Update
diff --git a/gds/user_proj_example.gds.gz b/gds/user_proj_example.gds.gz
index 5d51dad..03a52bc 100644
--- a/gds/user_proj_example.gds.gz
+++ b/gds/user_proj_example.gds.gz
Binary files differ
diff --git a/verilog/gl/powered_netlist.v b/verilog/gl/powered_netlist.v
new file mode 100644
index 0000000..d435a23
--- /dev/null
+++ b/verilog/gl/powered_netlist.v
@@ -0,0 +1,641122 @@
+/*
+###############################################################
+#  Generated by:      Cadence Innovus 20.10-p004_1
+#  OS:                Linux x86_64(Host ID merl-HP-Z840)
+#  Generated on:      Tue Jun 15 22:34:48 2021
+#  Design:            user_proj_example
+#  Command:           saveNetlist -excludeLeafCell -includePowerGround -flat -exportTopPGNets powered_netlist.v
+###############################################################
+*/
+// Generated by Cadence Genus(TM) Synthesis Solution 20.11-s111_1
+// Generated on: Jun 15 2021 20:27:41 PKT (Jun 15 2021 15:27:41 UTC)
+// Verification Directory fv/user_proj_example 
+module user_proj_example (
+	wb_clk_i, 
+	wb_rst_i, 
+	wbs_stb_i, 
+	wbs_cyc_i, 
+	wbs_we_i, 
+	wbs_sel_i, 
+	wbs_dat_i, 
+	wbs_adr_i, 
+	wbs_ack_o, 
+	wbs_dat_o, 
+	la_data_in, 
+	la_data_out, 
+	la_oenb, 
+	io_in, 
+	io_out, 
+	io_oeb, 
+	irq, 
+	vssd1, 
+	vccd1);
+   input wb_clk_i;
+   input wb_rst_i;
+   input wbs_stb_i;
+   input wbs_cyc_i;
+   input wbs_we_i;
+   input [3:0] wbs_sel_i;
+   input [31:0] wbs_dat_i;
+   input [31:0] wbs_adr_i;
+   output wbs_ack_o;
+   output [31:0] wbs_dat_o;
+   input [127:0] la_data_in;
+   output [127:0] la_data_out;
+   input [127:0] la_oenb;
+   input [37:0] io_in;
+   output [37:0] io_out;
+   output [37:0] io_oeb;
+   output [2:0] irq;
+   inout vssd1;
+   inout vccd1;
+
+   // Internal wires
+   wire CTS_13;
+   wire CTS_12;
+   wire CTS_11;
+   wire CTS_10;
+   wire CTS_9;
+   wire CTS_8;
+   wire CTS_7;
+   wire CTS_6;
+   wire CTS_5;
+   wire CTS_4;
+   wire CTS_3;
+   wire CTS_2;
+   wire CTS_1;
+   wire FE_DBTN45_brqrv_top_brqrv_ifu_ifc_fetch_addr_bf_30;
+   wire FE_DBTN44_brqrv_top_brqrv_ifu_ifc_fetch_addr_bf_28;
+   wire FE_DBTN43_n_33126;
+   wire FE_DBTN42_n_33122;
+   wire FE_DBTN41_brqrv_top_brqrv_trigger_pkt_any_137;
+   wire FE_DBTN40_brqrv_top_brqrv_trigger_pkt_any_99;
+   wire FE_DBTN39_brqrv_top_brqrv_trigger_pkt_any_61;
+   wire FE_DBTN38_brqrv_top_brqrv_exu_i_mul_rs2_x_31;
+   wire FE_DBTN37_brqrv_top_brqrv_exu_i_mul_rs2_x_25;
+   wire FE_DBTN36_brqrv_top_brqrv_exu_i_mul_rs2_x_23;
+   wire FE_DBTN35_brqrv_top_brqrv_exu_i_mul_rs2_x_19;
+   wire FE_DBTN34_brqrv_top_brqrv_exu_i_mul_rs2_x_17;
+   wire FE_DBTN33_brqrv_top_brqrv_exu_i_mul_rs2_x_15;
+   wire FE_DBTN32_brqrv_top_brqrv_exu_i_mul_rs2_x_11;
+   wire FE_DBTN31_brqrv_top_brqrv_exu_i_mul_rs2_x_9;
+   wire FE_DBTN30_brqrv_top_brqrv_exu_i_mul_rs2_x_7;
+   wire FE_DBTN29_brqrv_top_brqrv_exu_i_mul_rs2_x_5;
+   wire FE_DBTN28_brqrv_top_brqrv_exu_i_mul_rs2_x_3;
+   wire FE_DBTN27_brqrv_top_brqrv_exu_i_mul_rs2_x_1;
+   wire FE_DBTN26_brqrv_top_brqrv_dec_tlu_nmi_lsu_load_type_f;
+   wire FE_DBTN25_brqrv_top_brqrv_ifu_aln_first2B;
+   wire FE_DBTN24_brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_en;
+   wire FE_DBTN23_n_36373;
+   wire FE_DBTN22_n_54;
+   wire FE_DBTN21_n_34786;
+   wire FE_DBTN20_n_34692;
+   wire FE_DBTN19_n_35903;
+   wire FE_DBTN18_n_35901;
+   wire FE_DBTN17_n_35916;
+   wire FE_DBTN16_n_10224;
+   wire FE_DBTN15_n_36150;
+   wire FE_DBTN14_n_11528;
+   wire FE_DBTN13_n_36183;
+   wire FE_DBTN12_n_35713;
+   wire FE_DBTN11_n_35987;
+   wire FE_DBTN10_n_34088;
+   wire FE_DBTN9_n_11562;
+   wire FE_DBTN8_n_11566;
+   wire FE_DBTN7_n_36251;
+   wire FE_DBTN6_n_41918;
+   wire FE_DBTN5_n_35627;
+   wire FE_DBTN4_n_35603;
+   wire FE_DBTN3_n_35605;
+   wire FE_DBTN2_n_35618;
+   wire FE_DBTN1_n_41901;
+   wire FE_DBTN0_n_41903;
+   wire [155:0] \brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout ;
+   wire [35:4] \brqrv_top_mem_iccm.iccm_addr_bank ;
+   wire [155:0] \brqrv_top_mem_iccm.iccm_iccm_bank_dout ;
+   wire [31:0] brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d;
+   wire [31:0] brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d;
+   wire [31:0] brqrv_top_brqrv_exu_i0_rs1_d;
+   wire [36:0] \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff ;
+   wire [31:1] brqrv_top_brqrv_ifu_ifc_fetch_addr_f;
+   wire [32:0] \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff ;
+   wire [1:0] brqrv_top_brqrv_dec_tlu_mstatus;
+   wire [31:0] brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff;
+   wire [31:0] brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff;
+   wire [3:0] brqrv_top_brqrv_dbg_sbaddress0_incr;
+   wire [3:0] brqrv_top_brqrv_dec_tlu_mhpmc_inc_r;
+   wire [31:1] brqrv_top_brqrv_ifu_ifc_fetch_addr_bf;
+   wire [31:0] brqrv_top_brqrv_lsu_lsu_lsc_ctl_rs1_d;
+   wire [31:0] brqrv_top_brqrv_exu_i0_rs2_d;
+   wire [2:0] brqrv_top_brqrv_div_p;
+   wire [19:0] brqrv_top_brqrv_mul_p;
+   wire [5:0] brqrv_top_brqrv_exu_mp_btag;
+   wire [31:0] brqrv_top_brqrv_dec_dec_i0_instr_d;
+   wire [31:0] brqrv_top_brqrv_dma_ctrl_fifo_addr_in;
+   wire [31:0] brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in;
+   wire [23:0] brqrv_top_brqrv_dec_decode_r_d;
+   wire [1:0] brqrv_top_brqrv_ifu_aln_f0val;
+   wire [3:0] brqrv_top_brqrv_dbg_dbg_state;
+   wire [1:0] brqrv_top_brqrv_ifu_aln_rdptr;
+   wire [31:0] \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_in ;
+   wire [31:0] \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff ;
+   wire [31:0] brqrv_top_brqrv_exu_alu_result_x;
+   wire [6:0] brqrv_top_dmi_reg_addr;
+   wire [31:3] brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_m;
+   wire [31:0] brqrv_top_brqrv_lsu_lsu_addr_m;
+   wire [31:0] brqrv_top_brqrv_lsu_end_addr_m;
+   wire [31:0] brqrv_top_brqrv_dbg_dmcontrol_reg;
+   wire [6:0] brqrv_top_brqrv_dec_tlu_mcountinhibit;
+   wire [9:0] brqrv_top_brqrv_dec_tlu_mhpme4;
+   wire [9:0] brqrv_top_brqrv_dec_tlu_mhpme5;
+   wire [9:0] brqrv_top_brqrv_dec_tlu_mhpme3;
+   wire [9:0] brqrv_top_brqrv_dec_tlu_mhpme6;
+   wire [55:0] brqrv_top_brqrv_exu_i0_predict_p_x;
+   wire [55:0] brqrv_top_brqrv_exu_mp_pkt;
+   wire [31:0] brqrv_top_brqrv_ifu_aln_uncompress0;
+   wire [31:0] brqrv_top_dmi_reg_wdata;
+   wire [2:0] brqrv_top_brqrv_ifu_mem_ctl_miss_state;
+   wire [1023:32] brqrv_top_brqrv_dec_arf_gpr_out;
+   wire [31:2] brqrv_top_brqrv_dec_tlu_meihap;
+   wire [1:0] \brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_fir_error_m ;
+   wire [22:0] \brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data ;
+   wire [19:0] brqrv_top_brqrv_exu_predpipe_x;
+   wire [55:0] brqrv_top_brqrv_dec_i0_predict_p_d;
+   wire [31:0] brqrv_top_brqrv_dbg_data1_reg;
+   wire [31:0] brqrv_top_brqrv_dbg_command_reg;
+   wire [4:0] brqrv_top_brqrv_dma_ctrl_fifo_valid;
+   wire [127:0] brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in;
+   wire [31:0] brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data;
+   wire [127:0] brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in;
+   wire [31:0] brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr;
+   wire [13:0] brqrv_top_brqrv_lsu_lsu_pkt_r;
+   wire [13:0] brqrv_top_brqrv_lsu_lsu_pkt_m;
+   wire [15:2] brqrv_top_brqrv_dec_tlu_dcsr;
+   wire [2:0] brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_timer;
+   wire [31:0] brqrv_top_brqrv_dbg_cmd_addr;
+   wire [31:0] brqrv_top_brqrv_ifu_aln_q0eff;
+   wire [5:0] brqrv_top_brqrv_dec_tlu_mie_ns;
+   wire [5:0] brqrv_top_brqrv_dec_tlu_mip;
+   wire [5:0] brqrv_top_brqrv_dec_tlu_mfdht;
+   wire [5:0] brqrv_top_brqrv_ifu_i0_bp_btag;
+   wire [8:2] brqrv_top_brqrv_ifu_i0_bp_index;
+   wire [5:0] brqrv_top_brqrv_dec_tlu_mie;
+   wire [31:0] brqrv_top_brqrv_dec_decode_write_csr_data;
+   wire [31:0] brqrv_top_brqrv_dec_dec_csr_wrdata_r;
+   wire [31:0] brqrv_top_brqrv_ifu_aln_q0;
+   wire [31:0] brqrv_top_brqrv_ifu_aln_q1;
+   wire [31:0] brqrv_top_brqrv_ifu_aln_q2;
+   wire [31:0] brqrv_top_brqrv_dec_tlu_force_halt_ctr_f;
+   wire [16:0] brqrv_top_brqrv_dec_decode_r_t;
+   wire [31:0] brqrv_top_brqrv_dec_i0_result_r;
+   wire [11:0] brqrv_top_brqrv_dec_dec_csr_wraddr_r;
+   wire [31:1] brqrv_top_brqrv_ifu_i0_pc;
+   wire [31:0] brqrv_top_brqrv_lsu_store_data_lo_r;
+   wire [31:0] brqrv_top_brqrv_lsu_store_data_hi_r;
+   wire [3:0] brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_error;
+   wire [31:1] brqrv_top_brqrv_lsu_fir_addr;
+   wire [31:0] brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r;
+   wire [31:1] brqrv_top_brqrv_ifu_aln_q0pc;
+   wire [9:0] brqrv_top_brqrv_dec_tlu_mtdata1_t2;
+   wire [31:1] brqrv_top_brqrv_ifu_aln_q1pc;
+   wire [3:0] brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_write;
+   wire [11:0] brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state;
+   wire [15:0] brqrv_top_brqrv_ifu_aln_brdata0eff;
+   wire [31:1] brqrv_top_brqrv_ifu_aln_q2pc;
+   wire [15:0] brqrv_top_brqrv_ifu_aln_brdata1;
+   wire [15:0] brqrv_top_brqrv_ifu_aln_brdata0;
+   wire [15:0] brqrv_top_brqrv_ifu_aln_brdata2;
+   wire [9:0] brqrv_top_brqrv_dec_tlu_mtdata1_t1;
+   wire [3:0] brqrv_top_brqrv_lsu_bus_intf_ldst_byteen_m;
+   wire [1:0] brqrv_top_brqrv_lsu_fir_error;
+   wire [31:0] \brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U1_Plus1_0.lsu_ld_datafn_corr_r ;
+   wire [31:0] brqrv_top_brqrv_lsu_lsu_ld_data_corr_r;
+   wire [9:0] brqrv_top_brqrv_dec_tlu_mtdata1_t3;
+   wire [1:0] brqrv_top_brqrv_lsu_bus_intf_bus_buffer_WrPtr1_r;
+   wire [1:0] brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_tag;
+   wire [31:0] brqrv_top_brqrv_lsu_lsu_addr_r;
+   wire [1:0] brqrv_top_brqrv_ifu_aln_f1val;
+   wire [31:3] brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r;
+   wire [127:0] brqrv_top_brqrv_lsu_trigger_lsu_match_data;
+   wire [31:0] brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_pre_m;
+   wire [31:0] brqrv_top_brqrv_lsu_bus_read_data_m;
+   wire [31:0] brqrv_top_brqrv_lsu_lsu_ld_data_m;
+   wire [23:0] brqrv_top_brqrv_dec_decode_x_d;
+   wire [13:0] brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_r_in;
+   wire [23:0] brqrv_top_brqrv_dec_decode_wbd;
+   wire [94:0] brqrv_top_brqrv_dec_decode_i0_dp_raw;
+   wire [127:0] brqrv_top_brqrv_lsu_stbuf_stbuf_data;
+   wire [127:0] brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data;
+   wire [31:0] brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw;
+   wire [15:0] brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen;
+   wire [127:0] brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr;
+   wire [39:0] brqrv_top_brqrv_dec_decode_cam_raw;
+   wire [31:0] brqrv_top_brqrv_dma_mem_addr;
+   wire [15:0] brqrv_top_brqrv_lsu_stbuf_stbuf_byteen;
+   wire [127:0] brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg;
+   wire [50:0] brqrv_top_brqrv_i0_brp;
+   wire [31:0] brqrv_top_brqrv_pic_ctrl_inst_intenable_reg;
+   wire [63:0] brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg;
+   wire [47:0] brqrv_top_brqrv_lsu_stbuf_stbuf_addr;
+   wire [31:0] brqrv_top_brqrv_dbg_cmd_wrdata;
+   wire [3:0] brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_byteen;
+   wire [1:0] brqrv_top_brqrv_ifu_aln_alignicaf;
+   wire [15:0] brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_ageQ;
+   wire [31:0] brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff;
+   wire [51:0] brqrv_top_brqrv_ifu_aln_misc2;
+   wire [51:0] brqrv_top_brqrv_ifu_aln_misc0;
+   wire [51:0] brqrv_top_brqrv_ifu_aln_misc1;
+   wire [39:0] brqrv_top_brqrv_dma_ctrl_fifo_byteen;
+   wire [159:0] brqrv_top_brqrv_dma_ctrl_fifo_addr;
+   wire [4:0] brqrv_top_brqrv_dma_ctrl_fifo_write;
+   wire [4:0] brqrv_top_brqrv_dma_ctrl_fifo_dbg;
+   wire [4:0] brqrv_top_brqrv_dec_div_waddr_wb;
+   wire [3:0] brqrv_top_brqrv_lsu_bus_intf_ldst_byteen_r;
+   wire [31:0] brqrv_top_brqrv_lsu_end_addr_r;
+   wire [2:0] brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_tag1;
+   wire [2:0] brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_tag0;
+   wire [3:0] brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dualhi;
+   wire [3:0] brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dual;
+   wire [3:0] brqrv_top_brqrv_lsu_stbuf_stbuf_dma_kill;
+   wire [3:0] brqrv_top_brqrv_lsu_stbuf_stbuf_vld;
+   wire [2:0] brqrv_top_brqrv_dma_mem_tag;
+   wire [1:0] brqrv_top_brqrv_dec_data_en;
+   wire [6:0] brqrv_top_brqrv_ifu_ifu_bp_fghr_f;
+   wire [6:0] \brqrv_top_brqrv_ifu_bpred.bp_fghr_ns ;
+   wire [31:1] brqrv_top_brqrv_exu_pred_correct_npc_r;
+   wire [6:0] \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_count_in ;
+   wire [31:1] brqrv_top_brqrv_pred_correct_npc_x;
+   wire [31:1] brqrv_top_brqrv_exu_i0_pc_x;
+   wire [12:1] brqrv_top_brqrv_dec_decode_last_br_immed_x;
+   wire [11:0] brqrv_top_brqrv_ifu_ifu_bp_poffset_f;
+   wire [1:0] brqrv_top_brqrv_ifu_ifu_bp_hist1_f;
+   wire [1:0] \brqrv_top_brqrv_ifu_bpred.bp_vwayhit_f ;
+   wire [23:1] \brqrv_top_brqrv_ifu_bpred.bp_genblk1.sv2v_tmp_BEF15 ;
+   wire [1:0] brqrv_top_brqrv_ifu_ifu_bp_ret_f;
+   wire [1:0] brqrv_top_brqrv_ifu_ifu_bp_pc4_f;
+   wire [23:1] \brqrv_top_brqrv_ifu_bpred.bp_genblk1.sv2v_tmp_F09CF ;
+   wire [22:0] \brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_f ;
+   wire [22:0] \brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_f ;
+   wire [5:0] \brqrv_top_brqrv_ifu_bpred.bp_fetch_rd_tag_f ;
+   wire [5:0] \brqrv_top_brqrv_ifu_bpred.bp_fetch_rd_tag_p1_f ;
+   wire [511:0] \brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out ;
+   wire [2943:0] \brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out ;
+   wire [2943:0] \brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out ;
+   wire [8:2] brqrv_top_brqrv_exu_i0_br_index_r;
+   wire [8:2] \brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_p1_f ;
+   wire [8:2] \brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_f ;
+   wire [1023:32] brqrv_top_brqrv_dec_arf_gpr_in;
+   wire [319:0] brqrv_top_brqrv_dma_ctrl_fifo_data_in;
+   wire [63:0] brqrv_top_brqrv_iccm_dma_rdata;
+   wire [31:0] brqrv_top_brqrv_dec_decode_i0_result_x;
+   wire [32:0] \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff ;
+   wire [2:0] \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_control_ff ;
+   wire [4:0] brqrv_top_brqrv_dec_dec_nonblock_load_waddr;
+   wire [4:0] brqrv_top_brqrv_dec_dec_i0_waddr_r;
+   wire [31:0] brqrv_top_brqrv_lsu_bus_intf_bus_buffer_lsu_nonblock_data_unalgn;
+   wire [43:0] brqrv_top_brqrv_i0_ap;
+   wire [12:1] brqrv_top_brqrv_dec_decode_last_br_immed_d;
+   wire [14:0] brqrv_top_brqrv_dma_ctrl_fifo_sz;
+   wire [2:0] brqrv_top_brqrv_dccm_dma_rtag;
+   wire [3:0] brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_unsign;
+   wire [7:0] brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_sz;
+   wire [2:0] brqrv_top_brqrv_iccm_dma_rtag;
+   wire [4:0] brqrv_top_brqrv_dma_ctrl_fifo_done;
+   wire [1:0] brqrv_top_brqrv_dbg_cmd_size;
+   wire [63:0] lsu_axi_wdata;
+   wire [31:1] brqrv_top_brqrv_ifu_ifu_bp_btb_target_f;
+   wire [255:0] \brqrv_top_brqrv_ifu_bpred.bp_rets_out ;
+   wire [31:1] brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f;
+   wire [1:1] \brqrv_top_brqrv_ifu_bpred.bp_bp_total_branch_offset_f ;
+   wire [1:0] \brqrv_top_brqrv_ifu_bpred.bp_bloc_f ;
+   wire [16:1] \brqrv_top_brqrv_ifu_bpred.bp_btb_sel_data_f ;
+   wire [31:2] \brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior ;
+   wire [7:0] brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_valid;
+   wire [31:1] brqrv_top_brqrv_ifu_mem_ctl_imb_ff;
+   wire [13:0] brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_m_in;
+   wire [31:0] brqrv_top_brqrv_dec_tlu_mrac_ff_0;
+   wire [36:0] \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_adder7_out ;
+   wire [36:0] \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_adder4_out ;
+   wire [36:0] \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_adder5_out ;
+   wire [36:0] \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_adder6_out ;
+   wire [34:0] \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_adder2_out ;
+   wire [33:0] \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_adder1_out ;
+   wire [31:0] brqrv_top_brqrv_dec_tlu_miccmect;
+   wire [31:0] brqrv_top_brqrv_dec_tlu_micect;
+   wire [31:0] brqrv_top_brqrv_dec_tlu_mdccmect;
+   wire [3:0] brqrv_top_brqrv_dec_tlu_meicurpl;
+   wire [3:0] brqrv_top_brqrv_dec_tlu_meipt;
+   wire [7:0] brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_error;
+   wire [3:0] brqrv_top_brqrv_pic_ctrl_inst_pl_in_q;
+   wire [1631:0] \brqrv_top_brqrv_pic_ctrl_inst_genblock.level_intpend_id ;
+   wire [255:0] \brqrv_top_brqrv_ifu_bpred.bp_rets_in ;
+   wire [6:0] \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_count_ff ;
+   wire [1:0] brqrv_top_brqrv_ifu_ifu_bp_way_f;
+   wire [1:0] brqrv_top_brqrv_ifu_ifu_bp_hist0_f;
+   wire [4:0] \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff ;
+   wire [9:0] brqrv_top_brqrv_dec_tlu_mcgc_int;
+   wire [7:0] brqrv_top_brqrv_pic_ctrl_inst_intenable_clk_enable_grp;
+   wire [127:0] \brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns ;
+   wire [127:0] \brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f ;
+   wire [15:0] \brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clken ;
+   wire [63:0] brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in;
+   wire [3:2] \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_mem_addr_ff ;
+   wire [31:0] \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.iccm_dma_rdata_1_muxed ;
+   wire [31:0] brqrv_top_brqrv_pic_ctrl_inst_gw_clear_reg_we;
+   wire [6:0] brqrv_top_brqrv_exu_ghr_d;
+   wire [6:0] brqrv_top_brqrv_exu_ghr_x;
+   wire [31:0] brqrv_top_brqrv_pic_ctrl_inst_intenable_reg_we;
+   wire [31:0] brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we;
+   wire [31:0] brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we;
+   wire [7:0] brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteen;
+   wire [4:0] brqrv_top_brqrv_dec_tlu_exc_cause_r;
+   wire [4:0] brqrv_top_dmi_wrapper_i_jtag_tap_ir;
+   wire [3:0] brqrv_top_brqrv_dec_decode_i0_pipe_en;
+   wire [1:0] brqrv_top_brqrv_dec_ctl_en;
+   wire [8:2] \brqrv_top_brqrv_ifu_bpred.bp_br0_hashed_wb ;
+   wire [3:0] brqrv_top_dmi_wrapper_i_jtag_tap_state;
+   wire [1:0] brqrv_top_brqrv_ifu_ifu_bp_valid_f;
+   wire [3:1] \brqrv_top_mem_iccm.iccm_iccm_rd_addr_lo_q ;
+   wire [7:0] \brqrv_top_brqrv_ifu_bpred.bp_rsenable ;
+   wire [31:0] brqrv_top_brqrv_dec_csr_rddata_d;
+   wire [31:0] brqrv_top_brqrv_dec_tlu_mcyclel;
+   wire [5:0] brqrv_top_brqrv_exu_i_alu_shift_amount;
+   wire [31:0] brqrv_top_brqrv_dec_tlu_mhpmc5;
+   wire [31:0] brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0;
+   wire [31:1] brqrv_top_brqrv_dec_tlu_flush_path_r;
+   wire [31:0] brqrv_top_brqrv_dec_tlu_mhpmc4;
+   wire [31:0] brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b;
+   wire [31:0] brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b;
+   wire [31:0] brqrv_top_brqrv_dec_tlu_mhpmc6;
+   wire [31:0] brqrv_top_brqrv_dec_tlu_mhpmc5h;
+   wire [31:0] brqrv_top_brqrv_dec_tlu_mhpmc4h;
+   wire [31:0] brqrv_top_brqrv_dec_tlu_mdseac;
+   wire [31:1] brqrv_top_brqrv_dec_tlu_dpc;
+   wire [31:0] brqrv_top_brqrv_dec_tlu_minstretl;
+   wire [151:0] brqrv_top_brqrv_trigger_pkt_any;
+   wire [31:1] brqrv_top_brqrv_dec_tlu_mepc;
+   wire [31:0] brqrv_top_brqrv_dec_tlu_mscratch;
+   wire [31:0] brqrv_top_brqrv_dec_tlu_mhpmc6h;
+   wire [30:0] brqrv_top_brqrv_dec_tlu_mtvec;
+   wire [31:0] brqrv_top_brqrv_dec_tlu_mhpmc3h;
+   wire [31:0] brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1;
+   wire [31:0] brqrv_top_brqrv_dbg_sbdata1_din;
+   wire [31:0] brqrv_top_brqrv_dec_tlu_mhpmc3;
+   wire [31:0] brqrv_top_brqrv_dec_tlu_mtval;
+   wire [31:0] brqrv_top_brqrv_dec_tlu_mcause;
+   wire [31:0] brqrv_top_brqrv_dec_tlu_dicad0;
+   wire [31:0] brqrv_top_brqrv_dec_tlu_dicad0h;
+   wire [16:0] brqrv_top_brqrv_dec_tlu_dicawics;
+   wire [9:0] brqrv_top_brqrv_dec_tlu_mtdata1_t0;
+   wire [3:0] brqrv_top_brqrv_dec_tlu_mscause;
+   wire [3:0] brqrv_top_brqrv_dec_tlu_int_timers_mitctl1;
+   wire [2:0] brqrv_top_brqrv_dec_tlu_int_timers_mitctl0;
+   wire [31:1] brqrv_top_brqrv_dec_tlu_npc_r;
+   wire [6:0] brqrv_top_brqrv_dec_tlu_dicad1_raw;
+   wire [31:0] brqrv_top_brqrv_dbg_sbdata0_din;
+   wire [31:0] brqrv_top_brqrv_picm_rdaddr;
+   wire [1:0] brqrv_top_brqrv_ifu_i0_icaf_type;
+   wire [15:0] brqrv_top_brqrv_dec_tlu_mfdc_int;
+   wire [3:0] brqrv_top_brqrv_dec_tlu_meicidpl;
+   wire [1:0] brqrv_top_brqrv_dec_tlu_mfdhs;
+   wire [13:0] brqrv_top_brqrv_lsu_lsu_pkt_d;
+   wire [16:0] brqrv_top_brqrv_dec_decode_d_t;
+   wire [1:0] brqrv_top_brqrv_dec_tlu_mtsel;
+   wire [13:0] brqrv_top_brqrv_lsu_p;
+   wire [3:0] brqrv_top_brqrv_dec_dec_trigger_dec_i0_trigger_data_match;
+   wire [3:0] brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_sideeffect;
+   wire [89:0] brqrv_top_brqrv_dec_tlu_ic_diag_pkt;
+   wire [31:0] brqrv_top_brqrv_dec_decode_i0_inst_d;
+   wire [19:15] brqrv_top_brqrv_dbg_sbcs_reg_int;
+   wire [1:1] brqrv_top_brqrv_dec_tlu_mpmc_b;
+   wire [31:1] brqrv_top_brqrv_dec_dec_tlu_i0_pc_r;
+   wire [31:1] brqrv_top_brqrv_dec_tlu_pc_r_d1;
+   wire [31:1] brqrv_top_brqrv_dec_tlu_pc_r;
+   wire [3:0] brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_samedw;
+   wire [31:1] brqrv_top_brqrv_dec_tlu_npc_r_d1;
+   wire [2:0] brqrv_top_brqrv_dma_mem_sz;
+   wire [40:0] brqrv_top_dmi_wrapper_i_jtag_tap_sr;
+   wire [31:0] brqrv_top_dmi_reg_rdata;
+   wire [3:0] brqrv_top_brqrv_dec_decode_lsu_trigger_match_r;
+   wire [39:0] brqrv_top_brqrv_lsu_error_pkt_r;
+   wire [3:0] brqrv_top_brqrv_lsu_lsu_lsc_ctl_exc_mscause_d;
+   wire [31:0] brqrv_top_brqrv_ifu_ic_data_f;
+   wire [1:0] brqrv_top_brqrv_ifu_ic_access_fault_f;
+   wire [7:0] brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_valid_in;
+   wire [7:0] brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_error_in;
+   wire [4:0] \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift ;
+   wire [6:0] brqrv_top_brqrv_exu_ghr_x_ns;
+   wire [9:0] brqrv_top_brqrv_dec_tlu_event_r;
+   wire [31:0] brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_m_in;
+   wire [31:0] brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in;
+   wire [31:0] brqrv_top_brqrv_dec_tlu_dicad0h_ns;
+   wire [31:0] brqrv_top_brqrv_dec_tlu_dicad0_ns;
+   wire [6:0] brqrv_top_brqrv_dec_tlu_dicad1_ns;
+   wire [31:1] brqrv_top_brqrv_dec_tlu_dpc_ns;
+   wire [31:0] brqrv_top_brqrv_lsu_imprecise_error_addr_any;
+   wire [2:0] brqrv_top_brqrv_dec_decode_i0_x_c;
+   wire [31:0] brqrv_top_brqrv_dec_tlu_mrac_in;
+   wire [31:1] brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1;
+   wire [31:1] brqrv_top_brqrv_exu_i0_flush_path_upper_r;
+   wire [2:0] brqrv_top_brqrv_dma_ctrl_dma_nack_count;
+   wire [4:0] brqrv_top_brqrv_dma_ctrl_fifo_rpend;
+   wire [2:0] brqrv_top_brqrv_dec_decode_i0_r_c;
+   wire [319:0] brqrv_top_brqrv_dma_ctrl_fifo_data;
+   wire [127:0] brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data;
+   wire [32:0] \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_in ;
+   wire [3:0] \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_byteenff_din_new ;
+   wire [3:0] \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_byteenff_din_new ;
+   wire [3:0] \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_byteenff_din_new ;
+   wire [3:0] \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_byteenff_din_new ;
+   wire [127:0] brqrv_top_brqrv_lsu_stbuf_stbuf_datain;
+   wire [3:0] brqrv_top_brqrv_lsu_stbuf_stbuf_wr_en;
+   wire [35:0] \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_adder3_out ;
+   wire [1:0] brqrv_top_brqrv_lsu_stbuf_WrPtr;
+   wire [31:0] brqrv_top_brqrv_picm_wr_data;
+   wire [11:1] \brqrv_top_mem_iccm.iccm_addr_bank_inc ;
+   wire [47:0] brqrv_top_brqrv_lsu_stbuf_stbuf_addrin;
+   wire [3:0] brqrv_top_brqrv_lsu_stbuf_stbuf_reset;
+   wire [31:0] brqrv_top_brqrv_lsu_dccm_ctl_store_data_hi_r_in;
+   wire [31:0] brqrv_top_brqrv_dbg_data0_din;
+   wire [3:0] brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_en;
+   wire [2:0] brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_timer_in;
+   wire [31:0] brqrv_top_brqrv_dbg_abstractcs_reg;
+   wire [31:0] brqrv_top_brqrv_exu_i_alu_result;
+   wire [31:0] brqrv_top_brqrv_lsu_dccm_ctl_store_data_lo_r_in;
+   wire [35:4] \brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank ;
+   wire [11:0] brqrv_top_dccm_rd_addr_hi;
+   wire [1:0] brqrv_top_brqrv_lsu_stbuf_RdPtr;
+   wire [39:0] brqrv_top_brqrv_dec_decode_cam_in;
+   wire [2:0] brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_timer;
+   wire [31:1] brqrv_top_brqrv_exu_i_alu_pcout;
+   wire [7:0] brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dualtag;
+   wire [31:0] brqrv_top_brqrv_dec_dec_illegal_inst;
+   wire [31:0] brqrv_top_brqrv_dec_tlu_mtval_ns;
+   wire [31:1] brqrv_top_brqrv_ifu_mem_ctl_imb_in;
+   wire [32:0] \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_in ;
+   wire [31:0] brqrv_top_brqrv_dbg_dmi_reg_rdata_din;
+   wire [1:0] brqrv_top_brqrv_ifu_aln_f0val_in;
+   wire [1:0] brqrv_top_brqrv_ifu_aln_f1val_in;
+   wire [1:0] brqrv_top_brqrv_lsu_nonblock_load_tag_m;
+   wire [31:0] brqrv_top_brqrv_dec_tlu_mcause_ns;
+   wire [2:0] brqrv_top_brqrv_dma_ctrl_RspPtr;
+   wire [4:0] brqrv_top_brqrv_dma_ctrl_fifo_reset;
+   wire [1:0] brqrv_top_brqrv_dec_tlu_mstatus_ns;
+   wire [3:0] brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_ldfwd;
+   wire [31:1] brqrv_top_brqrv_dec_tlu_mepc_ns;
+   wire [3:0] brqrv_top_brqrv_dec_tlu_meicidpl_ns;
+   wire [1:0] brqrv_top_brqrv_lsu_bus_intf_bus_buffer_WrPtr1_m;
+   wire [1:0] brqrv_top_brqrv_ifu_aln_f2val_in;
+   wire [31:0] brqrv_top_brqrv_dbg_sbcs_reg;
+   wire [15:0] brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_age_in;
+   wire [1:0] \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_error_dff_din_new ;
+   wire [1:0] \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_error_dff_din_new ;
+   wire [1:0] \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_error_dff_din_new ;
+   wire [1:0] \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_error_dff_din_new ;
+   wire [1:0] \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_error_dff_din_new ;
+   wire [16:0] brqrv_top_brqrv_dec_decode_x_t;
+   wire [15:0] brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_rspage_in;
+   wire [31:0] brqrv_top_brqrv_dbg_sbdata1_reg;
+   wire [31:0] brqrv_top_brqrv_dbg_sbaddress0_reg;
+   wire [15:0] brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_rsp_pickage;
+   wire [55:0] brqrv_top_brqrv_exu_i0_predict_p_d;
+   wire [2:0] brqrv_top_brqrv_dma_ctrl_WrPtr;
+   wire [4:0] brqrv_top_brqrv_dma_ctrl_fifo_data_en;
+   wire [1:0] brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dualtag;
+   wire [1:0] brqrv_top_brqrv_lsu_nonblock_load_inv_tag_r;
+   wire [15:2] brqrv_top_brqrv_dec_tlu_dcsr_ns;
+   wire [3:0] brqrv_top_brqrv_pic_pl;
+   wire [31:0] brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ns;
+   wire [1:0] brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_sz;
+   wire [31:0] brqrv_top_brqrv_dbg_sbdata0_reg;
+   wire [31:1] brqrv_top_brqrv_exu_i0_flush_path_d;
+   wire [1:0] brqrv_top_brqrv_ifu_aln_f2val;
+   wire [31:0] brqrv_top_brqrv_dbg_sbaddress0_reg_din;
+   wire [3:0] brqrv_top_brqrv_dec_tlu_mscause_ns;
+   wire [3:0] brqrv_top_brqrv_lsu_stbuf_stbuf_dma_kill_en;
+   wire [31:0] brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ns;
+   wire [9:0] brqrv_top_brqrv_dec_tlu_mtdata1_t2_ns;
+   wire [9:0] brqrv_top_brqrv_dec_tlu_mtdata1_t1_ns;
+   wire [9:0] brqrv_top_brqrv_dec_tlu_mtdata1_t3_ns;
+   wire [3:0] brqrv_top_brqrv_ifu_ifc_fb_write_ns;
+   wire [3:0] brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_nomerge;
+   wire [31:0] brqrv_top_brqrv_dec_decode_write_csr_data_in;
+   wire [15:0] brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_rspageQ;
+   wire [3:0] brqrv_top_brqrv_dbg_sb_state;
+   wire [4:0] brqrv_top_brqrv_dma_ctrl_fifo_cmd_en;
+   wire [31:0] brqrv_top_brqrv_dec_tlu_mhpmc6h_ns;
+   wire [9:0] brqrv_top_brqrv_dec_tlu_mtdata1_t0_ns;
+   wire [31:0] brqrv_top_brqrv_dbg_data1_din;
+   wire [3:0] brqrv_top_brqrv_ifu_ifc_fb_write_f;
+   wire [11:0] brqrv_top_dccm_rd_addr_lo;
+   wire [2:0] brqrv_top_brqrv_ifu_aln_qwen;
+   wire [31:0] brqrv_top_brqrv_exu_csr_rs1_x;
+   wire [7:0] brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteen_in;
+   wire [1:0] brqrv_top_brqrv_ifu_ifc_next_state;
+   wire [2:0] brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_timer_in;
+   wire [31:0] brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr;
+   wire [23:0] brqrv_top_brqrv_dec_decode_d_d;
+   wire [2:0] \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_control_in ;
+   wire [31:0] brqrv_top_brqrv_dec_tlu_mcyclel_ns;
+   wire [3:0] brqrv_top_brqrv_dec_tlu_meipt_ns;
+   wire [3:0] brqrv_top_brqrv_dec_tlu_meicurpl_ns;
+   wire [31:0] brqrv_top_brqrv_dec_tlu_mhpmc5h_ns;
+   wire [31:0] brqrv_top_brqrv_dbg_command_din;
+   wire [31:0] brqrv_top_brqrv_dec_tlu_mhpmc5_ns;
+   wire [31:0] brqrv_top_brqrv_dec_tlu_mdccmect_ns;
+   wire [31:0] brqrv_top_brqrv_dec_tlu_miccmect_ns;
+   wire [31:0] brqrv_top_brqrv_dec_tlu_mcycleh_ns;
+   wire [31:0] brqrv_top_brqrv_dec_tlu_micect_ns;
+   wire [1:0] brqrv_top_brqrv_dbg_abstractauto_reg;
+   wire [1:0] brqrv_top_brqrv_dec_tlu_mtsel_ns;
+   wire [31:0] brqrv_top_brqrv_dec_decode_csr_rddata_x;
+   wire [31:0] brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data_in;
+   wire [3:0] brqrv_top_brqrv_lsu_trigger_lsu_trigger_data_match;
+   wire [3:0] brqrv_top_brqrv_lsu_trigger_match_m;
+   wire [32:0] brqrv_top_brqrv_exu_i_mul_rs2_ext_in;
+   wire [4:0] brqrv_top_brqrv_dma_ctrl_fifo_done_bus;
+   wire [31:0] brqrv_top_brqrv_dec_tlu_minstreth_ns;
+   wire [1:0] brqrv_top_brqrv_ifu_ifc_state;
+   wire [9:0] brqrv_top_brqrv_dec_tlu_mcgc_ns;
+   wire [31:0] brqrv_top_brqrv_dec_tlu_mhpmc3h_ns;
+   wire [31:0] brqrv_top_brqrv_dec_tlu_mhpmc6_ns;
+   wire [31:0] brqrv_top_brqrv_dec_tlu_mhpmc4h_ns;
+   wire [4:0] brqrv_top_brqrv_dma_ctrl_fifo_done_en;
+   wire [6:0] brqrv_top_brqrv_exu_ghr_d_ns;
+   wire [31:1] brqrv_top_brqrv_exu_i0_flush_path_x;
+   wire [32:0] \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_in ;
+   wire [31:0] brqrv_top_brqrv_dec_tlu_mhpmc3_ns;
+   wire [31:0] brqrv_top_brqrv_dec_tlu_mhpmc4_ns;
+   wire [3:0] brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_rst;
+   wire [1:0] brqrv_top_brqrv_ifu_aln_wrptr_in;
+   wire [1:0] brqrv_top_brqrv_ifu_aln_rdptr_in;
+   wire [1:1] brqrv_top_brqrv_dec_tlu_mpmc_b_ns;
+   wire [6:0] brqrv_top_brqrv_ifu_i0_bp_fghr;
+   wire [31:0] brqrv_top_brqrv_picm_wraddr;
+   wire [31:0] brqrv_top_brqrv_dec_tlu_force_halt_ctr;
+   wire [31:1] brqrv_top_brqrv_dec_arf_gpr_wr_en;
+   wire [32:0] brqrv_top_brqrv_exu_i_mul_rs1_ext_in;
+   wire [3:0] brqrv_top_brqrv_lsu_stbuf_stbuf_numvld_any;
+   wire [23:0] brqrv_top_brqrv_dec_decode_x_d_in;
+   wire [1:0] brqrv_top_brqrv_ifu_aln_wrptr;
+   wire [31:0] brqrv_top_brqrv_dec_tlu_minstretl_ns;
+   wire [9:0] brqrv_top_brqrv_dma_ctrl_fifo_error;
+   wire [4:0] brqrv_top_brqrv_dec_decode_csrimm_x;
+   wire [16:0] brqrv_top_brqrv_dec_decode_x_t_in;
+   wire [2:0] brqrv_top_brqrv_dec_decode_i0_d_c;
+   wire [4:0] brqrv_top_brqrv_dma_ctrl_fifo_pend_en;
+   wire [31:0] brqrv_top_iccm_instr_wdata;
+   wire [13:0] brqrv_top_iccm_instr_addr;
+   wire [4:0] brqrv_top_brqrv_dma_ctrl_fifo_done_bus_en;
+   wire [11:0] brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_offset_d;
+   wire [32:0] brqrv_top_brqrv_exu_i_mul_rs2_x;
+   wire [32:0] brqrv_top_brqrv_exu_i_mul_rs1_x;
+   wire [31:0] brqrv_top_brqrv_lsu_end_addr_d;
+   wire [31:0] brqrv_top_brqrv_dec_tlu_mcycleh;
+   wire [31:0] brqrv_top_brqrv_dec_tlu_minstreth;
+   wire [7:0] brqrv_top_brqrv_pic_claimid;
+   wire [6:0] brqrv_top_brqrv_dec_tlu_syncro_ff_din_ff1;
+   wire [0:0] \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_done_bus_dff_din_new ;
+   wire [0:0] \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_done_dff_din_new ;
+   wire [0:0] \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_rpend_dff_din_new ;
+   wire [0:0] \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_valid_dff_din_new ;
+   wire [0:0] \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_done_bus_dff_din_new ;
+   wire [0:0] \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_done_dff_din_new ;
+   wire [0:0] \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_rpend_dff_din_new ;
+   wire [0:0] \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_valid_dff_din_new ;
+   wire [0:0] \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_done_bus_dff_din_new ;
+   wire [0:0] \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_done_dff_din_new ;
+   wire [0:0] \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_rpend_dff_din_new ;
+   wire [0:0] \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_valid_dff_din_new ;
+   wire [0:0] \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_done_bus_dff_din_new ;
+   wire [0:0] \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_done_dff_din_new ;
+   wire [0:0] \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_rpend_dff_din_new ;
+   wire [0:0] \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_valid_dff_din_new ;
+   wire [0:0] \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_done_bus_dff_din_new ;
+   wire [0:0] \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_done_dff_din_new ;
+   wire [0:0] \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_rpend_dff_din_new ;
+   wire [0:0] \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_valid_dff_din_new ;
+   wire [0:0] \brqrv_top_brqrv_dma_ctrl_rdbuf_vldff_genblk1.dffsc_din_new ;
+   wire [0:0] \brqrv_top_brqrv_dma_ctrl_wrbuf_data_vldff_genblk1.dffsc_din_new ;
+   wire [0:0] \brqrv_top_brqrv_dma_ctrl_wrbuf_vldff_genblk1.dffsc_din_new ;
+   wire [6:0] brqrv_top_brqrv_exu_i0_br_fghr_r;
+   wire [1:0] brqrv_top_brqrv_ifu_ic_access_fault_type_f;
+   wire [15:0] \brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk ;
+   wire [0:0] \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_errorff_din_new ;
+   wire [0:0] \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_errorff_din_new ;
+   wire [0:0] \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_errorff_din_new ;
+   wire [0:0] \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_errorff_din_new ;
+   wire [0:0] brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_valid_ff_din_new;
+   wire [0:0] brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_valid_ff_din_new;
+   wire [3:0] brqrv_top_brqrv_lsu_lsu_lsc_ctl_exc_mscause_m;
+   wire [0:0] \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_killff_din_new ;
+   wire [0:0] \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_vldff_din_new ;
+   wire [0:0] \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_killff_din_new ;
+   wire [0:0] \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_vldff_din_new ;
+   wire [0:0] \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_killff_din_new ;
+   wire [0:0] \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_vldff_din_new ;
+   wire [0:0] \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_killff_din_new ;
+   wire [0:0] \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_vldff_din_new ;
+   wire [7:0] brqrv_top_brqrv_pic_ctrl_inst_gw_clk;
+   wire [2:0] brqrv_top_dmi_wrapper_i_dmi_jtag_to_core_sync_rden;
+   wire [2:0] brqrv_top_dmi_wrapper_i_dmi_jtag_to_core_sync_wren;
+   wire [1:0] brqrv_top_iccm_controller_byte_count;
+   wire [7:0] brqrv_top_rx_byte_i;
+   wire [1:0] brqrv_top_iccm_controller_ctrl_fsm_cs;
+   wire [2:0] brqrv_top_uart_rx_m_r_Bit_Index;
+   wire [15:0] brqrv_top_uart_rx_m_r_Clock_Count;
+   wire [2:0] brqrv_top_uart_rx_m_r_SM_Main;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_0;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_1;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_2;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_3;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_4;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_5;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_6;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_7;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_8;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_9;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_10;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_11;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_12;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_13;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_14;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_16;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_18;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_19;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_20;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_21;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_22;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_23;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_24;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_25;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_26;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_28;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_29;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_30;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_31;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_32;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_33;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_34;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_35;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_36;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_37;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_40;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_41;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_42;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_43;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_44;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_45;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_46;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_47;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_48;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_49;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_50;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_51;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_52;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_53;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_54;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_55;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_56;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_57;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_58;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_59;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_60;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_61;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_62;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_63;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_64;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_65;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_66;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_67;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_68;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_69;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_70;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_71;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_74;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_75;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_76;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_77;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_78;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_79;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_80;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_81;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_82;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_83;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_84;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_85;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_86;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_87;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_88;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_89;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_90;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_91;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_93;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_95;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_96;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_97;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_98;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_99;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_100;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_101;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_102;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_105;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_106;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_108;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_109;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_110;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_111;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_112;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_113;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_114;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_115;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_116;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_117;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_118;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_119;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_121;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_122;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_123;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_124;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_125;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_126;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_127;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_128;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_129;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_130;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_131;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_132;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_133;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_134;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_135;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_136;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_137;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_138;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_139;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_140;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_141;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_142;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_143;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_144;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_145;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_147;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_149;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_150;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_151;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_152;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_153;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_154;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_155;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_156;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_157;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_158;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_159;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_160;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_161;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_162;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_163;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_164;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_165;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_166;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_167;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_168;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_169;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_171;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_172;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_173;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_174;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_176;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_177;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_178;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_179;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_180;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_181;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_182;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_183;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_184;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_185;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_186;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_188;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_189;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_191;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_192;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_193;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_194;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_195;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_196;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_197;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_198;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_199;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_200;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_201;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_202;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_204;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_205;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_206;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_207;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_208;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_209;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_210;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_211;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_212;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_213;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_214;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_215;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_216;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_217;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_218;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_219;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_220;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_221;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_222;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_223;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_224;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_225;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_226;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_227;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_228;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_229;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_230;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_231;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_232;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_233;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_235;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_236;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_237;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_238;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_239;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_240;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_241;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_242;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_243;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_244;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_245;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_246;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_248;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_249;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_251;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_252;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_253;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_254;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_255;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_256;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_257;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_258;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_259;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_260;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_261;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_262;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_263;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_264;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_265;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_266;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_267;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_269;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_270;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_271;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_273;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_274;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_275;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_276;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_277;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_278;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_279;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_280;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_284;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_287;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_288;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_289;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_290;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_291;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_292;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_293;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_295;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_297;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_298;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_300;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_301;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_302;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_303;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_304;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_305;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_306;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_308;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_310;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_316;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_317;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_318;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_319;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_320;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_321;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_322;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_323;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_324;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_325;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_326;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_327;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_328;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_329;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_330;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_331;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_332;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_333;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_334;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_335;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_336;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_337;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_338;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_339;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_341;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_342;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_352;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_353;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_354;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_355;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_356;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_357;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_358;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_359;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_360;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_361;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_362;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_363;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_364;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_365;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_366;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_367;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_368;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_369;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_370;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_371;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_372;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_373;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_374;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_375;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_376;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_377;
+   wire brqrv_top_active_l2clk;
+   wire brqrv_top_brqrv_active_cg1_logic_1_1_net;
+   wire brqrv_top_brqrv_active_clk;
+   wire brqrv_top_brqrv_active_state;
+   wire brqrv_top_brqrv_dbg_abstractauto_reg_wren;
+   wire brqrv_top_brqrv_dbg_add_5313_143_n_0;
+   wire brqrv_top_brqrv_dbg_add_5313_143_n_2;
+   wire brqrv_top_brqrv_dbg_add_5313_143_n_4;
+   wire brqrv_top_brqrv_dbg_add_5313_143_n_6;
+   wire brqrv_top_brqrv_dbg_add_5313_143_n_8;
+   wire brqrv_top_brqrv_dbg_add_5313_143_n_10;
+   wire brqrv_top_brqrv_dbg_add_5313_143_n_12;
+   wire brqrv_top_brqrv_dbg_add_5313_143_n_14;
+   wire brqrv_top_brqrv_dbg_add_5313_143_n_16;
+   wire brqrv_top_brqrv_dbg_add_5313_143_n_18;
+   wire brqrv_top_brqrv_dbg_add_5313_143_n_20;
+   wire brqrv_top_brqrv_dbg_add_5313_143_n_22;
+   wire brqrv_top_brqrv_dbg_add_5313_143_n_24;
+   wire brqrv_top_brqrv_dbg_add_5313_143_n_26;
+   wire brqrv_top_brqrv_dbg_add_5313_143_n_28;
+   wire brqrv_top_brqrv_dbg_add_5313_143_n_30;
+   wire brqrv_top_brqrv_dbg_add_5313_143_n_32;
+   wire brqrv_top_brqrv_dbg_add_5313_143_n_34;
+   wire brqrv_top_brqrv_dbg_add_5313_143_n_36;
+   wire brqrv_top_brqrv_dbg_add_5313_143_n_38;
+   wire brqrv_top_brqrv_dbg_add_5313_143_n_40;
+   wire brqrv_top_brqrv_dbg_add_5313_143_n_42;
+   wire brqrv_top_brqrv_dbg_add_5313_143_n_44;
+   wire brqrv_top_brqrv_dbg_add_5313_143_n_46;
+   wire brqrv_top_brqrv_dbg_add_5313_143_n_48;
+   wire brqrv_top_brqrv_dbg_add_5313_143_n_50;
+   wire brqrv_top_brqrv_dbg_add_5313_143_n_52;
+   wire brqrv_top_brqrv_dbg_add_5313_143_n_54;
+   wire brqrv_top_brqrv_dbg_add_5313_143_n_56;
+   wire brqrv_top_brqrv_dbg_add_5313_143_n_59;
+   wire brqrv_top_brqrv_dbg_add_5313_143_n_60;
+   wire brqrv_top_brqrv_dbg_add_5612_59_n_0;
+   wire brqrv_top_brqrv_dbg_add_5612_59_n_2;
+   wire brqrv_top_brqrv_dbg_add_5612_59_n_4;
+   wire brqrv_top_brqrv_dbg_add_5612_59_n_6;
+   wire brqrv_top_brqrv_dbg_add_5612_59_n_8;
+   wire brqrv_top_brqrv_dbg_add_5612_59_n_10;
+   wire brqrv_top_brqrv_dbg_add_5612_59_n_12;
+   wire brqrv_top_brqrv_dbg_add_5612_59_n_14;
+   wire brqrv_top_brqrv_dbg_add_5612_59_n_16;
+   wire brqrv_top_brqrv_dbg_add_5612_59_n_18;
+   wire brqrv_top_brqrv_dbg_add_5612_59_n_20;
+   wire brqrv_top_brqrv_dbg_add_5612_59_n_22;
+   wire brqrv_top_brqrv_dbg_add_5612_59_n_24;
+   wire brqrv_top_brqrv_dbg_add_5612_59_n_26;
+   wire brqrv_top_brqrv_dbg_add_5612_59_n_28;
+   wire brqrv_top_brqrv_dbg_add_5612_59_n_30;
+   wire brqrv_top_brqrv_dbg_add_5612_59_n_32;
+   wire brqrv_top_brqrv_dbg_add_5612_59_n_34;
+   wire brqrv_top_brqrv_dbg_add_5612_59_n_36;
+   wire brqrv_top_brqrv_dbg_add_5612_59_n_38;
+   wire brqrv_top_brqrv_dbg_add_5612_59_n_40;
+   wire brqrv_top_brqrv_dbg_add_5612_59_n_42;
+   wire brqrv_top_brqrv_dbg_add_5612_59_n_44;
+   wire brqrv_top_brqrv_dbg_add_5612_59_n_46;
+   wire brqrv_top_brqrv_dbg_add_5612_59_n_48;
+   wire brqrv_top_brqrv_dbg_add_5612_59_n_50;
+   wire brqrv_top_brqrv_dbg_add_5612_59_n_52;
+   wire brqrv_top_brqrv_dbg_add_5612_59_n_54;
+   wire brqrv_top_brqrv_dbg_add_5612_59_n_56;
+   wire brqrv_top_brqrv_dbg_add_5612_59_n_59;
+   wire brqrv_top_brqrv_dbg_add_5612_59_n_60;
+   wire brqrv_top_brqrv_dbg_cmd_valid;
+   wire brqrv_top_brqrv_dbg_cmd_write;
+   wire brqrv_top_brqrv_dbg_command_postexec_din;
+   wire brqrv_top_brqrv_dbg_command_regno_wren;
+   wire brqrv_top_brqrv_dbg_command_transfer_din;
+   wire brqrv_top_brqrv_dbg_command_wren;
+   wire brqrv_top_brqrv_dbg_data0_reg_wren;
+   wire brqrv_top_brqrv_dbg_data1_reg_wren;
+   wire brqrv_top_brqrv_dbg_dbg_abstractauto_reg_n_2;
+   wire brqrv_top_brqrv_dbg_dbg_abstractauto_reg_n_3;
+   wire brqrv_top_brqrv_dbg_dbg_data0_reg_l1clk;
+   wire brqrv_top_brqrv_dbg_dbg_data1_reg_l1clk;
+   wire brqrv_top_brqrv_dbg_dbg_dm_rst_l;
+   wire brqrv_top_brqrv_dbg_dbg_free_clk;
+   wire brqrv_top_brqrv_dbg_dbg_free_clken;
+   wire brqrv_top_brqrv_dbg_dbg_sbaddress0_reg_l1clk;
+   wire brqrv_top_brqrv_dbg_dbg_sbdata0_reg_l1clk;
+   wire brqrv_top_brqrv_dbg_dbg_sbdata1_reg_l1clk;
+   wire brqrv_top_brqrv_dbg_dbg_state_reg_n_10;
+   wire brqrv_top_brqrv_dbg_dbg_state_reg_n_11;
+   wire brqrv_top_brqrv_dbg_dbg_state_reg_n_12;
+   wire brqrv_top_brqrv_dbg_dbg_state_reg_n_13;
+   wire brqrv_top_brqrv_dbg_dma_bubble;
+   wire brqrv_top_brqrv_dbg_dmabstractcs_busy_reg_n_4;
+   wire brqrv_top_brqrv_dbg_dmcommand_reg_l1clk;
+   wire brqrv_top_brqrv_dbg_dmcommand_regno_reg_l1clk;
+   wire brqrv_top_brqrv_dbg_dmcontrol_dmactive_ff_n_2;
+   wire brqrv_top_brqrv_dbg_dmcontrol_wren;
+   wire brqrv_top_brqrv_dbg_dmcontrol_wren_Q;
+   wire brqrv_top_brqrv_dbg_dmcontrolff_n_4;
+   wire brqrv_top_brqrv_dbg_dmcontrolff_n_5;
+   wire brqrv_top_brqrv_dbg_dmcontrolff_n_6;
+   wire brqrv_top_brqrv_dbg_dmi_rddata_reg_l1clk;
+   wire brqrv_top_brqrv_dbg_dmstatus_halted;
+   wire brqrv_top_brqrv_dbg_dmstatus_haveresetn;
+   wire brqrv_top_brqrv_dbg_dmstatus_haveresetn_reg_n_2;
+   wire brqrv_top_brqrv_dbg_dmstatus_haveresetn_wren;
+   wire brqrv_top_brqrv_dbg_dmstatus_resumeack;
+   wire brqrv_top_brqrv_dbg_dmstatus_resumeack_din;
+   wire brqrv_top_brqrv_dbg_dmstatus_resumeack_reg_n_2;
+   wire brqrv_top_brqrv_dbg_dmstatus_resumeack_wren;
+   wire brqrv_top_brqrv_dbg_execute_command;
+   wire brqrv_top_brqrv_dbg_execute_command_ns;
+   wire brqrv_top_brqrv_dbg_n_5;
+   wire brqrv_top_brqrv_dbg_n_18;
+   wire brqrv_top_brqrv_dbg_n_975;
+   wire brqrv_top_brqrv_dbg_n_1024;
+   wire brqrv_top_brqrv_dbg_n_1032;
+   wire brqrv_top_brqrv_dbg_n_1033;
+   wire brqrv_top_brqrv_dbg_n_1034;
+   wire brqrv_top_brqrv_dbg_n_1043;
+   wire brqrv_top_brqrv_dbg_n_4261;
+   wire brqrv_top_brqrv_dbg_n_4265;
+   wire brqrv_top_brqrv_dbg_n_4269;
+   wire brqrv_top_brqrv_dbg_n_4412;
+   wire brqrv_top_brqrv_dbg_n_4428;
+   wire brqrv_top_brqrv_dbg_n_4432;
+   wire brqrv_top_brqrv_dbg_n_4554;
+   wire brqrv_top_brqrv_dbg_n_4567;
+   wire brqrv_top_brqrv_dbg_n_4571;
+   wire brqrv_top_brqrv_dbg_n_4575;
+   wire brqrv_top_brqrv_dbg_n_4582;
+   wire brqrv_top_brqrv_dbg_n_4595;
+   wire brqrv_top_brqrv_dbg_n_4598;
+   wire brqrv_top_brqrv_dbg_sb_abmem_cmd_done;
+   wire brqrv_top_brqrv_dbg_sb_abmem_cmd_doneff_n_4;
+   wire brqrv_top_brqrv_dbg_sb_abmem_data_done;
+   wire brqrv_top_brqrv_dbg_sb_abmem_data_doneff_n_4;
+   wire brqrv_top_brqrv_dbg_sb_free_clk;
+   wire brqrv_top_brqrv_dbg_sb_free_clken;
+   wire brqrv_top_brqrv_dbg_sb_state_reg_n_0;
+   wire brqrv_top_brqrv_dbg_sb_state_reg_n_3;
+   wire brqrv_top_brqrv_dbg_sb_state_reg_n_4;
+   wire brqrv_top_brqrv_dbg_sb_state_reg_n_5;
+   wire brqrv_top_brqrv_dbg_sb_state_reg_n_6;
+   wire brqrv_top_brqrv_dbg_sbaddress0_reg_wren;
+   wire brqrv_top_brqrv_dbg_sbcs_error_reg_n_8;
+   wire brqrv_top_brqrv_dbg_sbcs_error_reg_n_9;
+   wire brqrv_top_brqrv_dbg_sbcs_error_reg_n_10;
+   wire brqrv_top_brqrv_dbg_sbcs_misc_reg_n_0;
+   wire brqrv_top_brqrv_dbg_sbcs_misc_reg_n_3;
+   wire brqrv_top_brqrv_dbg_sbcs_misc_reg_n_4;
+   wire brqrv_top_brqrv_dbg_sbcs_misc_reg_n_5;
+   wire brqrv_top_brqrv_dbg_sbcs_misc_reg_n_6;
+   wire brqrv_top_brqrv_dbg_sbcs_misc_reg_n_7;
+   wire brqrv_top_brqrv_dbg_sbcs_sbbusy_reg_n_2;
+   wire brqrv_top_brqrv_dbg_sbcs_sbbusyerror_din;
+   wire brqrv_top_brqrv_dbg_sbcs_sbbusyerror_reg_n_2;
+   wire brqrv_top_brqrv_dbg_sbcs_sbbusyerror_wren;
+   wire brqrv_top_brqrv_dbg_sbcs_sbreadonaddr_reg_n_2;
+   wire brqrv_top_brqrv_dbg_sbcs_wren;
+   wire brqrv_top_brqrv_dbg_sbdata0_reg_wren;
+   wire brqrv_top_brqrv_dbg_sbdata1_reg_wren_BAR;
+   wire \brqrv_top_brqrv_dec_arf_gpr[1].gprff_l1clk ;
+   wire \brqrv_top_brqrv_dec_arf_gpr[2].gprff_l1clk ;
+   wire \brqrv_top_brqrv_dec_arf_gpr[3].gprff_l1clk ;
+   wire \brqrv_top_brqrv_dec_arf_gpr[4].gprff_l1clk ;
+   wire \brqrv_top_brqrv_dec_arf_gpr[5].gprff_l1clk ;
+   wire \brqrv_top_brqrv_dec_arf_gpr[6].gprff_l1clk ;
+   wire \brqrv_top_brqrv_dec_arf_gpr[7].gprff_l1clk ;
+   wire \brqrv_top_brqrv_dec_arf_gpr[8].gprff_l1clk ;
+   wire \brqrv_top_brqrv_dec_arf_gpr[9].gprff_l1clk ;
+   wire \brqrv_top_brqrv_dec_arf_gpr[10].gprff_l1clk ;
+   wire \brqrv_top_brqrv_dec_arf_gpr[11].gprff_l1clk ;
+   wire \brqrv_top_brqrv_dec_arf_gpr[12].gprff_l1clk ;
+   wire \brqrv_top_brqrv_dec_arf_gpr[13].gprff_l1clk ;
+   wire \brqrv_top_brqrv_dec_arf_gpr[14].gprff_l1clk ;
+   wire \brqrv_top_brqrv_dec_arf_gpr[15].gprff_l1clk ;
+   wire \brqrv_top_brqrv_dec_arf_gpr[16].gprff_l1clk ;
+   wire \brqrv_top_brqrv_dec_arf_gpr[17].gprff_l1clk ;
+   wire \brqrv_top_brqrv_dec_arf_gpr[18].gprff_l1clk ;
+   wire \brqrv_top_brqrv_dec_arf_gpr[19].gprff_l1clk ;
+   wire \brqrv_top_brqrv_dec_arf_gpr[20].gprff_l1clk ;
+   wire \brqrv_top_brqrv_dec_arf_gpr[21].gprff_l1clk ;
+   wire \brqrv_top_brqrv_dec_arf_gpr[22].gprff_l1clk ;
+   wire \brqrv_top_brqrv_dec_arf_gpr[23].gprff_l1clk ;
+   wire \brqrv_top_brqrv_dec_arf_gpr[24].gprff_l1clk ;
+   wire \brqrv_top_brqrv_dec_arf_gpr[25].gprff_l1clk ;
+   wire \brqrv_top_brqrv_dec_arf_gpr[26].gprff_l1clk ;
+   wire \brqrv_top_brqrv_dec_arf_gpr[27].gprff_l1clk ;
+   wire \brqrv_top_brqrv_dec_arf_gpr[28].gprff_l1clk ;
+   wire \brqrv_top_brqrv_dec_arf_gpr[29].gprff_l1clk ;
+   wire \brqrv_top_brqrv_dec_arf_gpr[30].gprff_l1clk ;
+   wire \brqrv_top_brqrv_dec_arf_gpr[31].gprff_l1clk ;
+   wire brqrv_top_brqrv_dec_arf_n_744;
+   wire brqrv_top_brqrv_dec_arf_n_2138;
+   wire brqrv_top_brqrv_dec_arf_n_2624;
+   wire brqrv_top_brqrv_dec_arf_n_3596;
+   wire brqrv_top_brqrv_dec_arf_n_3920;
+   wire brqrv_top_brqrv_dec_arf_n_5378;
+   wire brqrv_top_brqrv_dec_arf_n_10558;
+   wire brqrv_top_brqrv_dec_arf_n_10568;
+   wire brqrv_top_brqrv_dec_dec_csr_wen_unq_d;
+   wire brqrv_top_brqrv_dec_dec_debug_valid_d;
+   wire brqrv_top_brqrv_dec_dec_div_active;
+   wire brqrv_top_brqrv_dec_dec_ib0_valid_d;
+   wire brqrv_top_brqrv_dec_dec_pause_state;
+   wire brqrv_top_brqrv_dec_dec_tlu_debug_stall;
+   wire brqrv_top_brqrv_dec_dec_tlu_dec_clk_override;
+   wire brqrv_top_brqrv_dec_dec_tlu_flush_extint;
+   wire brqrv_top_brqrv_dec_dec_tlu_flush_pause_r;
+   wire brqrv_top_brqrv_dec_dec_tlu_i0_kill_writeb_wb;
+   wire brqrv_top_brqrv_dec_dec_tlu_pipelining_disable;
+   wire brqrv_top_brqrv_dec_dec_tlu_wr_pause_r;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_1 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_2 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_3 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_4 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_5 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_6 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_7 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_8 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_9 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_10 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_11 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_12 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_13 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_14 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_15 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_16 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_17 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_18 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_19 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_20 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_21 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_22 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_23 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_24 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_25 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_26 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_27 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_28 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_29 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_30 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_31 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_32 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_33 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_34 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_35 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_36 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_37 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_38 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_39 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_40 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_41 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_42 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_43 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_44 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_45 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_46 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_47 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_48 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_49 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_50 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_51 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_52 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_53 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_54 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_55 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_56 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_57 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_58 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_60 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_61 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_62 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_63 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_64 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_65 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_66 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_67 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_68 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_69 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_70 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_71 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_72 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_73 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_74 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_75 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_76 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_77 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_78 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_79 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_80 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_81 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_82 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_83 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_84 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_85 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_86 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_87 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_88 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_89 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_90 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_91 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_92 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_93 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_94 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_95 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_96 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_97 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_98 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_99 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_100 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_101 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_102 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_103 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_104 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_105 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_106 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_107 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_108 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_109 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_110 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_111 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_112 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_113 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_114 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_181 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_0 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_1 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_2 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_3 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_4 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_6 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_7 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_8 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_9 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_10 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_11 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_12 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_13 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_14 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_15 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_16 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_17 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_18 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_19 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_20 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_21 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_22 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_23 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_24 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_25 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_26 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_27 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_28 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_29 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_30 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_31 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_32 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_33 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_34 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_35 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_36 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_37 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_38 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_39 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_40 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_41 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_42 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_43 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_44 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_45 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_46 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_47 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_48 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_49 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_50 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_51 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_52 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_53 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_54 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_55 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_56 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_57 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_58 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_59 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_60 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_61 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_62 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_63 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_64 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_65 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_66 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_67 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_68 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_69 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_70 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_71 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_72 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_73 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_74 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_75 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_76 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_77 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_78 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_79 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_80 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_81 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_82 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_83 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_84 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_85 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_86 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_87 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_88 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_89 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_90 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_91 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_92 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_93 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_94 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_95 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_96 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_97 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_98 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_99 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_100 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_101 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_102 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_103 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_104 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_105 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_106 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_107 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_108 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_109 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_110 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_111 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_112 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_113 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_114 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_115 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_116 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_117 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_118 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_119 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_120 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_121 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_122 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_0 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_1 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_2 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_3 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_4 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_6 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_7 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_8 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_9 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_10 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_11 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_12 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_13 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_14 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_15 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_16 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_17 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_18 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_19 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_20 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_21 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_22 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_23 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_24 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_25 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_26 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_27 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_28 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_29 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_30 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_31 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_32 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_33 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_34 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_35 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_36 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_37 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_38 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_39 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_40 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_41 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_42 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_43 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_44 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_45 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_46 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_47 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_48 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_49 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_50 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_51 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_52 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_53 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_54 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_55 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_56 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_57 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_58 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_59 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_60 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_61 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_62 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_63 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_64 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_65 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_66 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_67 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_68 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_69 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_70 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_71 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_72 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_73 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_74 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_75 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_76 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_77 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_78 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_79 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_80 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_81 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_82 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_83 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_84 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_85 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_86 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_87 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_88 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_89 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_90 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_91 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_92 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_93 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_94 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_95 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_96 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_97 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_98 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_99 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_100 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_101 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_102 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_103 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_104 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_105 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_106 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_107 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_108 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_109 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_110 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_111 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_112 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_113 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_114 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_115 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_116 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_117 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_118 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_119 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_120 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_121 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_122 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_0 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_1 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_2 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_3 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_4 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_5 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_7 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_8 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_9 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_10 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_11 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_12 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_13 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_14 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_15 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_16 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_17 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_18 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_19 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_20 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_21 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_22 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_23 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_24 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_25 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_26 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_27 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_28 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_29 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_30 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_31 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_32 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_33 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_34 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_35 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_36 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_37 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_38 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_39 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_40 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_41 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_42 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_43 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_44 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_45 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_46 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_47 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_48 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_49 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_50 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_51 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_52 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_53 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_54 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_55 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_56 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_57 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_58 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_59 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_60 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_61 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_62 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_63 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_64 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_65 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_66 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_67 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_68 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_69 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_70 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_71 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_72 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_73 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_74 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_75 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_76 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_77 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_78 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_79 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_80 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_81 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_82 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_83 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_84 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_85 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_86 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_87 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_88 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_89 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_90 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_91 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_92 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_93 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_94 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_95 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_96 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_97 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_98 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_99 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_100 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_101 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_102 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_103 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_104 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_105 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_106 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_107 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_108 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_109 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_110 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_111 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_112 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_113 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_114 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_115 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_116 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_117 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_118 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_119 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_120 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_121 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_122 ;
+   wire brqrv_top_brqrv_dec_decode_any_csr_d;
+   wire \brqrv_top_brqrv_dec_decode_cam_array[0].cam_ff_en ;
+   wire \brqrv_top_brqrv_dec_decode_cam_array[0].cam_ff_l1clk ;
+   wire \brqrv_top_brqrv_dec_decode_cam_array[1].cam_ff_en ;
+   wire \brqrv_top_brqrv_dec_decode_cam_array[1].cam_ff_l1clk ;
+   wire \brqrv_top_brqrv_dec_decode_cam_array[2].cam_ff_en ;
+   wire \brqrv_top_brqrv_dec_decode_cam_array[2].cam_ff_l1clk ;
+   wire \brqrv_top_brqrv_dec_decode_cam_array[3].cam_ff_en ;
+   wire \brqrv_top_brqrv_dec_decode_cam_array[3].cam_ff_l1clk ;
+   wire \brqrv_top_brqrv_dec_decode_cam_in[0]_906 ;
+   wire \brqrv_top_brqrv_dec_decode_cam_in[0]_916 ;
+   wire \brqrv_top_brqrv_dec_decode_cam_in[1]_907 ;
+   wire \brqrv_top_brqrv_dec_decode_cam_in[1]_917 ;
+   wire \brqrv_top_brqrv_dec_decode_cam_in[2]_908 ;
+   wire \brqrv_top_brqrv_dec_decode_cam_in[2]_918 ;
+   wire \brqrv_top_brqrv_dec_decode_cam_in[3]_909 ;
+   wire \brqrv_top_brqrv_dec_decode_cam_in[3]_919 ;
+   wire \brqrv_top_brqrv_dec_decode_cam_in[4]_910 ;
+   wire \brqrv_top_brqrv_dec_decode_cam_in[4]_920 ;
+   wire \brqrv_top_brqrv_dec_decode_cam_in[5]_911 ;
+   wire \brqrv_top_brqrv_dec_decode_cam_in[5]_921 ;
+   wire \brqrv_top_brqrv_dec_decode_cam_in[6]_912 ;
+   wire \brqrv_top_brqrv_dec_decode_cam_in[6]_922 ;
+   wire \brqrv_top_brqrv_dec_decode_cam_in[7]_913 ;
+   wire \brqrv_top_brqrv_dec_decode_cam_in[7]_923 ;
+   wire \brqrv_top_brqrv_dec_decode_cam_in[8]_914 ;
+   wire \brqrv_top_brqrv_dec_decode_cam_in[8]_924 ;
+   wire \brqrv_top_brqrv_dec_decode_cam_in[8]_926 ;
+   wire \brqrv_top_brqrv_dec_decode_cam_in[9]_915 ;
+   wire \brqrv_top_brqrv_dec_decode_cam_in[9]_925 ;
+   wire \brqrv_top_brqrv_dec_decode_cam_in[9]_927 ;
+   wire brqrv_top_brqrv_dec_decode_csr_clr_d;
+   wire brqrv_top_brqrv_dec_decode_csr_data_wen;
+   wire brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_l1clk;
+   wire brqrv_top_brqrv_dec_decode_csr_read_x;
+   wire brqrv_top_brqrv_dec_decode_csr_ren_qual_d;
+   wire brqrv_top_brqrv_dec_decode_csr_set_d;
+   wire brqrv_top_brqrv_dec_decode_csr_write_d;
+   wire brqrv_top_brqrv_dec_decode_debug_fence_i;
+   wire brqrv_top_brqrv_dec_decode_debug_valid_x;
+   wire brqrv_top_brqrv_dec_decode_div_active_in;
+   wire brqrv_top_brqrv_dec_decode_e1brpcff_l1clk;
+   wire brqrv_top_brqrv_dec_decode_e1ff_dff_extra_l1clk;
+   wire brqrv_top_brqrv_dec_decode_e1ff_dff_left_dff_l1clk;
+   wire brqrv_top_brqrv_dec_decode_e1ff_dff_left_final_en;
+   wire brqrv_top_brqrv_dec_decode_flush_final_r;
+   wire brqrv_top_brqrv_dec_decode_i0_br_unpred;
+   wire brqrv_top_brqrv_dec_decode_i0_dec_n_72;
+   wire brqrv_top_brqrv_dec_decode_i0_dec_n_75;
+   wire brqrv_top_brqrv_dec_decode_i0_dec_n_168;
+   wire brqrv_top_brqrv_dec_decode_i0_dec_n_186_BAR;
+   wire brqrv_top_brqrv_dec_decode_i0_dec_n_314;
+   wire brqrv_top_brqrv_dec_decode_i0_dec_n_483;
+   wire brqrv_top_brqrv_dec_decode_i0_icaf_d;
+   wire brqrv_top_brqrv_dec_decode_i0_pc_r_ff_dff_dff_extra_l1clk;
+   wire brqrv_top_brqrv_dec_decode_i0_pc_r_ff_dff_dff_left_dff_l1clk;
+   wire brqrv_top_brqrv_dec_decode_i0_pc_r_ff_dff_dff_left_final_en;
+   wire brqrv_top_brqrv_dec_decode_i0_pc_r_ff_n_0;
+   wire brqrv_top_brqrv_dec_decode_i0_pc_r_ff_n_1;
+   wire brqrv_top_brqrv_dec_decode_i0_pc_r_ff_n_2;
+   wire brqrv_top_brqrv_dec_decode_i0_pc_r_ff_n_3;
+   wire brqrv_top_brqrv_dec_decode_i0_pc_r_ff_n_4;
+   wire brqrv_top_brqrv_dec_decode_i0_pc_r_ff_n_5;
+   wire brqrv_top_brqrv_dec_decode_i0_pc_r_ff_n_6;
+   wire brqrv_top_brqrv_dec_decode_i0_pc_r_ff_n_7;
+   wire brqrv_top_brqrv_dec_decode_i0_pc_r_ff_n_8;
+   wire brqrv_top_brqrv_dec_decode_i0_pc_r_ff_n_9;
+   wire brqrv_top_brqrv_dec_decode_i0_pc_r_ff_n_10;
+   wire brqrv_top_brqrv_dec_decode_i0_pc_r_ff_n_11;
+   wire brqrv_top_brqrv_dec_decode_i0_pc_r_ff_n_12;
+   wire brqrv_top_brqrv_dec_decode_i0_pc_r_ff_n_13;
+   wire brqrv_top_brqrv_dec_decode_i0_pc_r_ff_n_14;
+   wire brqrv_top_brqrv_dec_decode_i0_pc_r_ff_n_15;
+   wire brqrv_top_brqrv_dec_decode_i0_pc_r_ff_n_16;
+   wire brqrv_top_brqrv_dec_decode_i0_pc_r_ff_n_17;
+   wire brqrv_top_brqrv_dec_decode_i0_pc_r_ff_n_18;
+   wire brqrv_top_brqrv_dec_decode_i0_pc_r_ff_n_19;
+   wire brqrv_top_brqrv_dec_decode_i0_pc_r_ff_n_20;
+   wire brqrv_top_brqrv_dec_decode_i0_pc_r_ff_n_21;
+   wire brqrv_top_brqrv_dec_decode_i0_pc_r_ff_n_22;
+   wire brqrv_top_brqrv_dec_decode_i0_pc_r_ff_n_23;
+   wire brqrv_top_brqrv_dec_decode_i0_r_c_ff_n_0;
+   wire brqrv_top_brqrv_dec_decode_i0_r_c_ff_n_3;
+   wire brqrv_top_brqrv_dec_decode_i0_r_c_ff_n_4;
+   wire brqrv_top_brqrv_dec_decode_i0_r_c_ff_n_5;
+   wire brqrv_top_brqrv_dec_decode_i0_result_r_ff_l1clk;
+   wire brqrv_top_brqrv_dec_decode_i0_wb_ctl_en;
+   wire brqrv_top_brqrv_dec_decode_i0_x_c_ff_n_3;
+   wire brqrv_top_brqrv_dec_decode_i0_x_c_ff_n_4;
+   wire brqrv_top_brqrv_dec_decode_i0_x_c_ff_n_5;
+   wire brqrv_top_brqrv_dec_decode_i0rdff_l1clk;
+   wire brqrv_top_brqrv_dec_decode_illegal_any_ff_l1clk;
+   wire brqrv_top_brqrv_dec_decode_illegal_inst_en;
+   wire brqrv_top_brqrv_dec_decode_illegal_lockout;
+   wire brqrv_top_brqrv_dec_decode_illegal_lockout_in;
+   wire brqrv_top_brqrv_dec_decode_leak1_i0_stall;
+   wire brqrv_top_brqrv_dec_decode_leak1_i0_stall_in;
+   wire brqrv_top_brqrv_dec_decode_leak1_i1_stall_in;
+   wire brqrv_top_brqrv_dec_decode_leak1_mode;
+   wire brqrv_top_brqrv_dec_decode_lsu_idle;
+   wire brqrv_top_brqrv_dec_decode_misc1ff_en;
+   wire brqrv_top_brqrv_dec_decode_misc1ff_l1clk;
+   wire brqrv_top_brqrv_dec_decode_misc1ff_n_0;
+   wire brqrv_top_brqrv_dec_decode_misc1ff_n_1;
+   wire brqrv_top_brqrv_dec_decode_misc1ff_n_2;
+   wire brqrv_top_brqrv_dec_decode_misc1ff_n_3;
+   wire brqrv_top_brqrv_dec_decode_misc1ff_n_4;
+   wire brqrv_top_brqrv_dec_decode_misc1ff_n_5;
+   wire brqrv_top_brqrv_dec_decode_misc1ff_n_6;
+   wire brqrv_top_brqrv_dec_decode_misc1ff_n_7;
+   wire brqrv_top_brqrv_dec_decode_misc1ff_n_8;
+   wire brqrv_top_brqrv_dec_decode_misc1ff_n_9;
+   wire brqrv_top_brqrv_dec_decode_misc2ff_en;
+   wire brqrv_top_brqrv_dec_decode_misc2ff_l1clk;
+   wire brqrv_top_brqrv_dec_decode_misc2ff_n_0;
+   wire brqrv_top_brqrv_dec_decode_misc2ff_n_1;
+   wire brqrv_top_brqrv_dec_decode_misc2ff_n_2;
+   wire brqrv_top_brqrv_dec_decode_misc2ff_n_3;
+   wire brqrv_top_brqrv_dec_decode_misc2ff_n_4;
+   wire brqrv_top_brqrv_dec_decode_misc2ff_n_5;
+   wire brqrv_top_brqrv_dec_decode_misc2ff_n_6;
+   wire brqrv_top_brqrv_dec_decode_misc2ff_n_7;
+   wire brqrv_top_brqrv_dec_decode_misc2ff_n_8;
+   wire brqrv_top_brqrv_dec_decode_misc2ff_n_9;
+   wire brqrv_top_brqrv_dec_decode_misc2ff_n_10;
+   wire brqrv_top_brqrv_dec_decode_n_762;
+   wire brqrv_top_brqrv_dec_decode_n_962;
+   wire brqrv_top_brqrv_dec_decode_n_964;
+   wire brqrv_top_brqrv_dec_decode_n_1216;
+   wire brqrv_top_brqrv_dec_decode_n_1234;
+   wire brqrv_top_brqrv_dec_decode_n_1428;
+   wire brqrv_top_brqrv_dec_decode_n_1461;
+   wire brqrv_top_brqrv_dec_decode_n_1558;
+   wire brqrv_top_brqrv_dec_decode_nonblock_load_valid_m_delay;
+   wire brqrv_top_brqrv_dec_decode_pause_state_in;
+   wire brqrv_top_brqrv_dec_decode_postsync_stall;
+   wire brqrv_top_brqrv_dec_decode_ps_stall_in;
+   wire brqrv_top_brqrv_dec_decode_r_d_ff_dff_extra_l1clk;
+   wire brqrv_top_brqrv_dec_decode_r_d_ff_dff_left_dff_l1clk;
+   wire brqrv_top_brqrv_dec_decode_r_d_ff_dff_left_final_en;
+   wire brqrv_top_brqrv_dec_decode_r_d_ff_n_0;
+   wire brqrv_top_brqrv_dec_decode_r_d_ff_n_1;
+   wire brqrv_top_brqrv_dec_decode_r_d_ff_n_2;
+   wire brqrv_top_brqrv_dec_decode_r_d_ff_n_3;
+   wire brqrv_top_brqrv_dec_decode_r_d_ff_n_4;
+   wire brqrv_top_brqrv_dec_decode_r_d_ff_n_5;
+   wire brqrv_top_brqrv_dec_decode_r_d_ff_n_6;
+   wire brqrv_top_brqrv_dec_decode_r_d_ff_n_7;
+   wire brqrv_top_brqrv_dec_decode_r_d_ff_n_8;
+   wire brqrv_top_brqrv_dec_decode_r_d_ff_n_9;
+   wire brqrv_top_brqrv_dec_decode_r_d_ff_n_10;
+   wire brqrv_top_brqrv_dec_decode_r_d_ff_n_11;
+   wire brqrv_top_brqrv_dec_decode_r_d_ff_n_12;
+   wire brqrv_top_brqrv_dec_decode_r_d_ff_n_13;
+   wire brqrv_top_brqrv_dec_decode_r_d_ff_n_14;
+   wire brqrv_top_brqrv_dec_decode_r_d_ff_n_15;
+   wire brqrv_top_brqrv_dec_decode_tlu_wr_pause_r1;
+   wire brqrv_top_brqrv_dec_decode_tlu_wr_pause_r2;
+   wire brqrv_top_brqrv_dec_decode_trap_r_ff_dff_extra_l1clk;
+   wire brqrv_top_brqrv_dec_decode_trap_r_ff_dff_left_dff_l1clk;
+   wire brqrv_top_brqrv_dec_decode_trap_r_ff_dff_left_final_en;
+   wire brqrv_top_brqrv_dec_decode_trap_xff_dff_extra_l1clk;
+   wire brqrv_top_brqrv_dec_decode_trap_xff_dff_left_dff_l1clk;
+   wire brqrv_top_brqrv_dec_decode_trap_xff_dff_left_final_en;
+   wire \brqrv_top_brqrv_dec_decode_wbff_dff_left_dff_genblock.dff_dout[0]_80 ;
+   wire \brqrv_top_brqrv_dec_decode_wbff_dff_left_dff_genblock.dff_dout[1]_81 ;
+   wire \brqrv_top_brqrv_dec_decode_wbff_dff_left_dff_genblock.dff_dout[2]_82 ;
+   wire \brqrv_top_brqrv_dec_decode_wbff_dff_left_dff_genblock.dff_dout[3]_83 ;
+   wire \brqrv_top_brqrv_dec_decode_wbff_dff_left_dff_genblock.dff_dout[4]_84 ;
+   wire \brqrv_top_brqrv_dec_decode_wbff_dff_left_dff_genblock.dff_dout[5]_85 ;
+   wire \brqrv_top_brqrv_dec_decode_wbff_dff_left_dff_genblock.dff_dout[6]_86 ;
+   wire \brqrv_top_brqrv_dec_decode_wbff_dff_left_dff_genblock.dff_dout[7]_87 ;
+   wire \brqrv_top_brqrv_dec_decode_wbff_dff_left_dff_genblock.dff_dout[8]_88 ;
+   wire \brqrv_top_brqrv_dec_decode_wbff_dff_left_dff_genblock.dff_dout[10]_90 ;
+   wire \brqrv_top_brqrv_dec_decode_wbff_dff_left_dff_genblock.dff_dout[11]_91 ;
+   wire \brqrv_top_brqrv_dec_decode_wbff_dff_left_dff_genblock.dff_dout[14]_94 ;
+   wire brqrv_top_brqrv_dec_decode_wbff_dff_left_dff_l1clk;
+   wire brqrv_top_brqrv_dec_decode_wbff_dff_left_final_en;
+   wire brqrv_top_brqrv_dec_decode_wbff_dff_left_n_0;
+   wire brqrv_top_brqrv_dec_decode_wbff_dff_left_n_1;
+   wire brqrv_top_brqrv_dec_decode_wbff_dff_left_n_2;
+   wire brqrv_top_brqrv_dec_decode_wbff_dff_left_n_3;
+   wire brqrv_top_brqrv_dec_decode_wbff_dff_left_n_4;
+   wire brqrv_top_brqrv_dec_decode_wbff_dff_left_n_5;
+   wire brqrv_top_brqrv_dec_decode_wbff_dff_left_n_6;
+   wire brqrv_top_brqrv_dec_decode_wbff_dff_left_n_7;
+   wire brqrv_top_brqrv_dec_decode_wbff_dff_left_n_8;
+   wire brqrv_top_brqrv_dec_decode_wbff_dff_left_n_9;
+   wire brqrv_top_brqrv_dec_decode_wbff_dff_left_n_10;
+   wire brqrv_top_brqrv_dec_decode_wbff_dff_left_n_11;
+   wire brqrv_top_brqrv_dec_decode_wbff_dff_left_n_12;
+   wire brqrv_top_brqrv_dec_decode_wbff_dff_left_n_13;
+   wire brqrv_top_brqrv_dec_decode_wbff_dff_left_n_14;
+   wire brqrv_top_brqrv_dec_decode_wbff_dff_left_n_15;
+   wire brqrv_top_brqrv_dec_decode_wbnbloaddelayff_n_2;
+   wire brqrv_top_brqrv_dec_decode_write_csr_ff_l1clk;
+   wire brqrv_top_brqrv_dec_extint_stall;
+   wire brqrv_top_brqrv_dec_i0_branch_d;
+   wire brqrv_top_brqrv_dec_i0_decode_d;
+   wire brqrv_top_brqrv_dec_i0_rs2_en_d;
+   wire brqrv_top_brqrv_dec_lsu_valid_raw_d;
+   wire brqrv_top_brqrv_dec_tlu_add_9264_51_n_0;
+   wire brqrv_top_brqrv_dec_tlu_add_9264_51_n_1;
+   wire brqrv_top_brqrv_dec_tlu_add_9264_51_n_2;
+   wire brqrv_top_brqrv_dec_tlu_add_9264_51_n_3;
+   wire brqrv_top_brqrv_dec_tlu_add_9264_51_n_4;
+   wire brqrv_top_brqrv_dec_tlu_add_9264_51_n_6;
+   wire brqrv_top_brqrv_dec_tlu_add_9264_51_n_8;
+   wire brqrv_top_brqrv_dec_tlu_add_9264_51_n_9;
+   wire brqrv_top_brqrv_dec_tlu_add_9264_51_n_10;
+   wire brqrv_top_brqrv_dec_tlu_add_9264_51_n_11;
+   wire brqrv_top_brqrv_dec_tlu_add_9264_51_n_12;
+   wire brqrv_top_brqrv_dec_tlu_add_9264_51_n_15;
+   wire brqrv_top_brqrv_dec_tlu_add_9264_51_n_23;
+   wire brqrv_top_brqrv_dec_tlu_add_9264_51_n_24;
+   wire brqrv_top_brqrv_dec_tlu_add_9264_51_n_26;
+   wire brqrv_top_brqrv_dec_tlu_add_9264_51_n_28;
+   wire brqrv_top_brqrv_dec_tlu_add_9264_51_n_30;
+   wire brqrv_top_brqrv_dec_tlu_add_9264_51_n_32;
+   wire brqrv_top_brqrv_dec_tlu_add_9264_51_n_34;
+   wire brqrv_top_brqrv_dec_tlu_add_9264_51_n_36;
+   wire brqrv_top_brqrv_dec_tlu_add_9264_51_n_39;
+   wire brqrv_top_brqrv_dec_tlu_add_9264_51_n_40;
+   wire brqrv_top_brqrv_dec_tlu_add_9264_51_n_42;
+   wire brqrv_top_brqrv_dec_tlu_add_9264_51_n_44;
+   wire brqrv_top_brqrv_dec_tlu_add_9264_51_n_46;
+   wire brqrv_top_brqrv_dec_tlu_add_9264_51_n_48;
+   wire brqrv_top_brqrv_dec_tlu_add_9264_51_n_49;
+   wire brqrv_top_brqrv_dec_tlu_add_9264_51_n_51;
+   wire brqrv_top_brqrv_dec_tlu_add_9264_51_n_53;
+   wire brqrv_top_brqrv_dec_tlu_add_9264_51_n_55;
+   wire brqrv_top_brqrv_dec_tlu_add_9264_51_n_57;
+   wire brqrv_top_brqrv_dec_tlu_add_9264_51_n_59;
+   wire brqrv_top_brqrv_dec_tlu_add_9264_51_n_61;
+   wire brqrv_top_brqrv_dec_tlu_add_9264_51_n_63;
+   wire brqrv_top_brqrv_dec_tlu_add_9264_51_n_65;
+   wire brqrv_top_brqrv_dec_tlu_add_9264_51_n_67;
+   wire brqrv_top_brqrv_dec_tlu_add_9264_51_n_69;
+   wire brqrv_top_brqrv_dec_tlu_add_9264_51_n_71;
+   wire brqrv_top_brqrv_dec_tlu_bpred_disable;
+   wire brqrv_top_brqrv_dec_tlu_ce_int;
+   wire brqrv_top_brqrv_dec_tlu_core_empty;
+   wire brqrv_top_brqrv_dec_tlu_cpu_halt_status;
+   wire brqrv_top_brqrv_dec_tlu_csr_wr_clk;
+   wire brqrv_top_brqrv_dec_tlu_dbg_halt_req_held;
+   wire brqrv_top_brqrv_dec_tlu_dbg_halt_req_held_ns;
+   wire brqrv_top_brqrv_dec_tlu_dbg_halt_state_f;
+   wire brqrv_top_brqrv_dec_tlu_dbg_halt_state_ns;
+   wire brqrv_top_brqrv_dec_tlu_dbg_halted;
+   wire brqrv_top_brqrv_dec_tlu_dbg_run_state_f;
+   wire brqrv_top_brqrv_dec_tlu_dbg_run_state_ns;
+   wire brqrv_top_brqrv_dec_tlu_dbg_tlu_halted;
+   wire brqrv_top_brqrv_dec_tlu_dcsr_ff_l1clk;
+   wire brqrv_top_brqrv_dec_tlu_dcsr_single_step_done;
+   wire brqrv_top_brqrv_dec_tlu_dcsr_single_step_done_f;
+   wire brqrv_top_brqrv_dec_tlu_dcsr_single_step_running;
+   wire brqrv_top_brqrv_dec_tlu_dcsr_single_step_running_f;
+   wire brqrv_top_brqrv_dec_tlu_debug_brkpt_status_f;
+   wire brqrv_top_brqrv_dec_tlu_debug_brkpt_status_ns;
+   wire brqrv_top_brqrv_dec_tlu_debug_brkpt_valid;
+   wire brqrv_top_brqrv_dec_tlu_debug_halt_req;
+   wire brqrv_top_brqrv_dec_tlu_debug_halt_req_d1;
+   wire brqrv_top_brqrv_dec_tlu_debug_halt_req_ns;
+   wire brqrv_top_brqrv_dec_tlu_debug_mode;
+   wire brqrv_top_brqrv_dec_tlu_debug_resume_req;
+   wire brqrv_top_brqrv_dec_tlu_debug_resume_req_f_raw;
+   wire brqrv_top_brqrv_dec_tlu_dec_pause_state_f;
+   wire brqrv_top_brqrv_dec_tlu_dec_timer_t0_pulse;
+   wire brqrv_top_brqrv_dec_tlu_dec_timer_t1_pulse;
+   wire brqrv_top_brqrv_dec_tlu_dec_tlu_flush_noredir_r_d1;
+   wire brqrv_top_brqrv_dec_tlu_dec_tlu_flush_pause_r_d1;
+   wire brqrv_top_brqrv_dec_tlu_dec_tlu_pmu_fw_halted;
+   wire brqrv_top_brqrv_dec_tlu_dec_tlu_wr_pause_r_d1;
+   wire brqrv_top_brqrv_dec_tlu_dicad0_ff_l1clk;
+   wire brqrv_top_brqrv_dec_tlu_dicad0h_ff_l1clk;
+   wire brqrv_top_brqrv_dec_tlu_dicawics_ff_l1clk;
+   wire brqrv_top_brqrv_dec_tlu_dpc_ff_l1clk;
+   wire brqrv_top_brqrv_dec_tlu_e4e5_clk;
+   wire brqrv_top_brqrv_dec_tlu_e4e5_int_clk;
+   wire brqrv_top_brqrv_dec_tlu_e5_valid;
+   wire brqrv_top_brqrv_dec_tlu_ebreak_to_debug_mode_r;
+   wire brqrv_top_brqrv_dec_tlu_ebreak_to_debug_mode_r_d1;
+   wire brqrv_top_brqrv_dec_tlu_enter_debug_halt_req;
+   wire brqrv_top_brqrv_dec_tlu_exc_or_int_valid_r;
+   wire brqrv_top_brqrv_dec_tlu_exc_or_int_valid_r_d1;
+   wire brqrv_top_brqrv_dec_tlu_excinfo_wb_ff_en;
+   wire brqrv_top_brqrv_dec_tlu_excinfo_wb_ff_l1clk;
+   wire brqrv_top_brqrv_dec_tlu_excinfo_wb_ff_n_19;
+   wire brqrv_top_brqrv_dec_tlu_excinfo_wb_ff_n_20;
+   wire brqrv_top_brqrv_dec_tlu_excinfo_wb_ff_n_21;
+   wire brqrv_top_brqrv_dec_tlu_excinfo_wb_ff_n_22;
+   wire brqrv_top_brqrv_dec_tlu_excinfo_wb_ff_n_23;
+   wire brqrv_top_brqrv_dec_tlu_excinfo_wb_ff_n_24;
+   wire brqrv_top_brqrv_dec_tlu_excinfo_wb_ff_n_26;
+   wire brqrv_top_brqrv_dec_tlu_ext_int_freeze;
+   wire brqrv_top_brqrv_dec_tlu_ext_int_freeze_d1;
+   wire brqrv_top_brqrv_dec_tlu_external_ldfwd_disable;
+   wire brqrv_top_brqrv_dec_tlu_exthaltff_en;
+   wire brqrv_top_brqrv_dec_tlu_exthaltff_l1clk;
+   wire brqrv_top_brqrv_dec_tlu_exthaltff_n_0;
+   wire brqrv_top_brqrv_dec_tlu_exthaltff_n_1;
+   wire brqrv_top_brqrv_dec_tlu_exthaltff_n_2;
+   wire brqrv_top_brqrv_dec_tlu_exthaltff_n_3;
+   wire brqrv_top_brqrv_dec_tlu_exthaltff_n_4;
+   wire brqrv_top_brqrv_dec_tlu_exthaltff_n_5;
+   wire brqrv_top_brqrv_dec_tlu_exthaltff_n_6;
+   wire brqrv_top_brqrv_dec_tlu_fence_i_r;
+   wire brqrv_top_brqrv_dec_tlu_flush_lower_ff_dff_dff_extra_l1clk;
+   wire brqrv_top_brqrv_dec_tlu_flush_lower_ff_dff_dff_left_dff_l1clk;
+   wire brqrv_top_brqrv_dec_tlu_flush_lower_ff_dff_dff_left_final_en;
+   wire brqrv_top_brqrv_dec_tlu_flush_noredir_r;
+   wire brqrv_top_brqrv_dec_tlu_force_halt;
+   wire brqrv_top_brqrv_dec_tlu_force_halt_4648;
+   wire brqrv_top_brqrv_dec_tlu_forcehaltctr_ff_l1clk;
+   wire \brqrv_top_brqrv_dec_tlu_freeff_dff_dout[3]_63 ;
+   wire brqrv_top_brqrv_dec_tlu_freeff_en;
+   wire brqrv_top_brqrv_dec_tlu_freeff_l1clk;
+   wire brqrv_top_brqrv_dec_tlu_freeff_n_0;
+   wire brqrv_top_brqrv_dec_tlu_freeff_n_1;
+   wire brqrv_top_brqrv_dec_tlu_freeff_n_2;
+   wire brqrv_top_brqrv_dec_tlu_freeff_n_3;
+   wire brqrv_top_brqrv_dec_tlu_freeff_n_4;
+   wire brqrv_top_brqrv_dec_tlu_freeff_n_5;
+   wire brqrv_top_brqrv_dec_tlu_freeff_n_6;
+   wire brqrv_top_brqrv_dec_tlu_freeff_n_7;
+   wire brqrv_top_brqrv_dec_tlu_freeff_n_8;
+   wire brqrv_top_brqrv_dec_tlu_freeff_n_9;
+   wire brqrv_top_brqrv_dec_tlu_fw_halted;
+   wire brqrv_top_brqrv_dec_tlu_fw_halted_ns;
+   wire \brqrv_top_brqrv_dec_tlu_genblk4.dicad1_ff_l1clk ;
+   wire \brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_en ;
+   wire \brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_l1clk ;
+   wire \brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_n_37 ;
+   wire \brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_n_38 ;
+   wire \brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_n_39 ;
+   wire \brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_n_40 ;
+   wire \brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_n_41 ;
+   wire \brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_n_62 ;
+   wire brqrv_top_brqrv_dec_tlu_halt_ff_en;
+   wire brqrv_top_brqrv_dec_tlu_halt_ff_l1clk;
+   wire brqrv_top_brqrv_dec_tlu_halt_ff_n_1;
+   wire brqrv_top_brqrv_dec_tlu_halt_ff_n_2;
+   wire brqrv_top_brqrv_dec_tlu_halt_ff_n_3;
+   wire brqrv_top_brqrv_dec_tlu_halt_ff_n_4;
+   wire brqrv_top_brqrv_dec_tlu_halt_ff_n_5;
+   wire brqrv_top_brqrv_dec_tlu_halt_ff_n_6;
+   wire brqrv_top_brqrv_dec_tlu_halt_ff_n_7;
+   wire brqrv_top_brqrv_dec_tlu_halt_ff_n_8;
+   wire brqrv_top_brqrv_dec_tlu_halt_ff_n_9;
+   wire brqrv_top_brqrv_dec_tlu_halt_ff_n_10;
+   wire brqrv_top_brqrv_dec_tlu_halt_ff_n_11;
+   wire brqrv_top_brqrv_dec_tlu_halt_ff_n_12;
+   wire brqrv_top_brqrv_dec_tlu_halt_ff_n_13;
+   wire brqrv_top_brqrv_dec_tlu_halt_ff_n_14;
+   wire brqrv_top_brqrv_dec_tlu_halt_ff_n_15;
+   wire brqrv_top_brqrv_dec_tlu_halt_ff_n_16;
+   wire brqrv_top_brqrv_dec_tlu_halt_ff_n_17;
+   wire brqrv_top_brqrv_dec_tlu_halt_ff_n_18;
+   wire brqrv_top_brqrv_dec_tlu_halt_ff_n_19;
+   wire brqrv_top_brqrv_dec_tlu_halt_ff_n_20;
+   wire brqrv_top_brqrv_dec_tlu_halt_ff_n_21;
+   wire brqrv_top_brqrv_dec_tlu_halt_ff_n_22;
+   wire brqrv_top_brqrv_dec_tlu_halt_ff_n_23;
+   wire brqrv_top_brqrv_dec_tlu_halt_ff_n_24;
+   wire brqrv_top_brqrv_dec_tlu_halt_taken;
+   wire brqrv_top_brqrv_dec_tlu_halt_taken_f;
+   wire brqrv_top_brqrv_dec_tlu_i0_exception_valid_r;
+   wire brqrv_top_brqrv_dec_tlu_i0_trigger_hit_raw_r;
+   wire brqrv_top_brqrv_dec_tlu_i0_valid_no_ebreak_ecall_r;
+   wire brqrv_top_brqrv_dec_tlu_i_cpu_run_req_d1;
+   wire brqrv_top_brqrv_dec_tlu_icache_rd_valid;
+   wire brqrv_top_brqrv_dec_tlu_icache_wr_valid;
+   wire brqrv_top_brqrv_dec_tlu_ifu_miss_state_idle_f;
+   wire brqrv_top_brqrv_dec_tlu_illegal_r;
+   wire brqrv_top_brqrv_dec_tlu_inst_acc_r;
+   wire brqrv_top_brqrv_dec_tlu_int_timer0_int_hold;
+   wire brqrv_top_brqrv_dec_tlu_int_timer0_int_hold_f;
+   wire brqrv_top_brqrv_dec_tlu_int_timer1_int_hold;
+   wire brqrv_top_brqrv_dec_tlu_int_timer1_int_hold_f;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_2;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_3;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_5;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_7;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_8;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_13;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_14;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_16;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_17;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_18;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_19;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_20;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_23;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_24;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_28;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_29;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_30;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_32;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_33;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_34;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_35;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_36;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_37;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_38;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_39;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_40;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_41;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_42;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_43;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_44;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_45;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_46;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_47;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_48;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_49;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_50;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_51;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_52;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_53;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_54;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_55;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_56;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_57;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_58;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_59;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_60;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_61;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_62;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_63;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_64;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_65;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_66;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_n_2;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_n_3;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_n_5;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_n_7;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_n_13;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_n_14;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_n_16;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_n_17;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_n_18;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_n_19;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_n_20;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_n_23;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_n_24;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_n_28;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_n_29;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_n_30;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_n_32;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_n_33;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_n_35;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_n_36;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_n_37;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_n_38;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_n_39;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_n_42;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_n_43;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_n_44;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_n_45;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_n_46;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_n_47;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_n_48;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_n_49;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_n_50;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_n_51;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_n_52;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_n_53;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_n_54;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_n_55;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_n_56;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_n_57;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_n_58;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_n_59;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_n_60;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_n_61;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_n_62;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_n_63;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_n_64;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_n_65;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_n_66;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_mitb0_ff_l1clk;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_mitb1_ff_l1clk;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ffa_l1clk;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ffb_l1clk;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_inc_cout;
+   wire \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ns[0]_279 ;
+   wire \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ns[1]_280 ;
+   wire \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ns[2]_281 ;
+   wire \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ns[3]_282 ;
+   wire \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ns[4]_283 ;
+   wire \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ns[5]_284 ;
+   wire \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ns[6]_285 ;
+   wire \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ns[7]_286 ;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ffa_l1clk;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ffb_l1clk;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_inc_cout;
+   wire \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ns[0]_289 ;
+   wire \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ns[1]_290 ;
+   wire \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ns[2]_291 ;
+   wire \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ns[3]_292 ;
+   wire \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ns[4]_293 ;
+   wire \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ns[5]_294 ;
+   wire \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ns[6]_295 ;
+   wire \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ns[7]_296 ;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_mitctl0_0_b;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_mitctl0_0_b_ns;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_mitctl0_ff_n_9;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_mitctl0_ff_n_10;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_mitctl1_0_b;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_mitctl1_0_b_ns;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_mitctl1_ff_n_11;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_mitctl1_ff_n_12;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_mitctl1_ff_n_13;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_n_275;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_n_287;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_n_288;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_n_297;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_n_298;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_n_300;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_n_310;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_n_313;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_n_314;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_n_315;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_n_316;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_n_318;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_n_319;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_n_320;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_n_321;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_n_322;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_n_323;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_n_324;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_wr_mitb0_r;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_wr_mitb1_r;
+   wire brqrv_top_brqrv_dec_tlu_internal_dbg_halt_mode;
+   wire brqrv_top_brqrv_dec_tlu_internal_dbg_halt_mode_f2;
+   wire brqrv_top_brqrv_dec_tlu_internal_pmu_fw_halt_mode;
+   wire brqrv_top_brqrv_dec_tlu_internal_pmu_fw_halt_mode_f;
+   wire brqrv_top_brqrv_dec_tlu_interrupt_valid_r;
+   wire brqrv_top_brqrv_dec_tlu_interrupt_valid_r_d1;
+   wire brqrv_top_brqrv_dec_tlu_lsu_clk_override;
+   wire brqrv_top_brqrv_dec_tlu_lsu_exc_st_r;
+   wire brqrv_top_brqrv_dec_tlu_lsu_i0_exc_r;
+   wire brqrv_top_brqrv_dec_tlu_lsu_idle_any_f;
+   wire brqrv_top_brqrv_dec_tlu_lsu_pmu_load_external_r;
+   wire brqrv_top_brqrv_dec_tlu_lsu_pmu_store_external_r;
+   wire brqrv_top_brqrv_dec_tlu_mcause_ff_l1clk;
+   wire brqrv_top_brqrv_dec_tlu_mcgc_ff_l1clk;
+   wire brqrv_top_brqrv_dec_tlu_mcountinhibit_ff_n_14;
+   wire brqrv_top_brqrv_dec_tlu_mcountinhibit_ff_n_15;
+   wire brqrv_top_brqrv_dec_tlu_mcountinhibit_ff_n_16;
+   wire brqrv_top_brqrv_dec_tlu_mcountinhibit_ff_n_17;
+   wire brqrv_top_brqrv_dec_tlu_mcountinhibit_ff_n_18;
+   wire brqrv_top_brqrv_dec_tlu_mcountinhibit_ff_n_19;
+   wire brqrv_top_brqrv_dec_tlu_mcycleh_ff_l1clk;
+   wire brqrv_top_brqrv_dec_tlu_mcyclel_aff_l1clk;
+   wire brqrv_top_brqrv_dec_tlu_mcyclel_bff_l1clk;
+   wire brqrv_top_brqrv_dec_tlu_mcyclel_cout;
+   wire brqrv_top_brqrv_dec_tlu_mcyclel_cout_f;
+   wire \brqrv_top_brqrv_dec_tlu_mcyclel_ns[0]_3316 ;
+   wire \brqrv_top_brqrv_dec_tlu_mcyclel_ns[1]_3317 ;
+   wire \brqrv_top_brqrv_dec_tlu_mcyclel_ns[2]_3318 ;
+   wire \brqrv_top_brqrv_dec_tlu_mcyclel_ns[3]_3319 ;
+   wire \brqrv_top_brqrv_dec_tlu_mcyclel_ns[4]_3320 ;
+   wire \brqrv_top_brqrv_dec_tlu_mcyclel_ns[5]_3321 ;
+   wire \brqrv_top_brqrv_dec_tlu_mcyclel_ns[6]_3322 ;
+   wire \brqrv_top_brqrv_dec_tlu_mcyclel_ns[7]_3323 ;
+   wire brqrv_top_brqrv_dec_tlu_mcyclela_cout;
+   wire brqrv_top_brqrv_dec_tlu_mdccmect_ff_l1clk;
+   wire brqrv_top_brqrv_dec_tlu_mdseac_en;
+   wire brqrv_top_brqrv_dec_tlu_mdseac_ff_l1clk;
+   wire brqrv_top_brqrv_dec_tlu_mdseac_locked_f;
+   wire brqrv_top_brqrv_dec_tlu_mdseac_locked_ns;
+   wire brqrv_top_brqrv_dec_tlu_meihap_ff_l1clk;
+   wire brqrv_top_brqrv_dec_tlu_meivt_ff_l1clk;
+   wire brqrv_top_brqrv_dec_tlu_mepc_ff_l1clk;
+   wire brqrv_top_brqrv_dec_tlu_mfdc_ff_l1clk;
+   wire brqrv_top_brqrv_dec_tlu_mfdhs_ff_n_6;
+   wire brqrv_top_brqrv_dec_tlu_mfdhs_ff_n_7;
+   wire brqrv_top_brqrv_dec_tlu_mfdht_ff_n_14;
+   wire brqrv_top_brqrv_dec_tlu_mfdht_ff_n_15;
+   wire brqrv_top_brqrv_dec_tlu_mfdht_ff_n_16;
+   wire brqrv_top_brqrv_dec_tlu_mfdht_ff_n_17;
+   wire brqrv_top_brqrv_dec_tlu_mfdht_ff_n_18;
+   wire brqrv_top_brqrv_dec_tlu_mfdht_ff_n_19;
+   wire brqrv_top_brqrv_dec_tlu_mhpmc3_ff_l1clk;
+   wire brqrv_top_brqrv_dec_tlu_mhpmc3_wr_en;
+   wire brqrv_top_brqrv_dec_tlu_mhpmc3h_ff_l1clk;
+   wire brqrv_top_brqrv_dec_tlu_mhpmc3h_wr_en;
+   wire brqrv_top_brqrv_dec_tlu_mhpmc4_ff_l1clk;
+   wire brqrv_top_brqrv_dec_tlu_mhpmc4_wr_en;
+   wire brqrv_top_brqrv_dec_tlu_mhpmc4h_ff_l1clk;
+   wire brqrv_top_brqrv_dec_tlu_mhpmc4h_wr_en;
+   wire brqrv_top_brqrv_dec_tlu_mhpmc5_ff_l1clk;
+   wire brqrv_top_brqrv_dec_tlu_mhpmc5_wr_en;
+   wire brqrv_top_brqrv_dec_tlu_mhpmc5h_ff_l1clk;
+   wire brqrv_top_brqrv_dec_tlu_mhpmc5h_wr_en;
+   wire brqrv_top_brqrv_dec_tlu_mhpmc6_ff_l1clk;
+   wire brqrv_top_brqrv_dec_tlu_mhpmc6_wr_en;
+   wire brqrv_top_brqrv_dec_tlu_mhpmc6h_ff_l1clk;
+   wire brqrv_top_brqrv_dec_tlu_mhpmc6h_wr_en;
+   wire brqrv_top_brqrv_dec_tlu_mhpme3_ff_l1clk;
+   wire brqrv_top_brqrv_dec_tlu_mhpme4_ff_l1clk;
+   wire brqrv_top_brqrv_dec_tlu_mhpme5_ff_l1clk;
+   wire brqrv_top_brqrv_dec_tlu_mhpme6_ff_l1clk;
+   wire brqrv_top_brqrv_dec_tlu_miccmect_ff_l1clk;
+   wire brqrv_top_brqrv_dec_tlu_micect_ff_l1clk;
+   wire brqrv_top_brqrv_dec_tlu_minstret_enable;
+   wire brqrv_top_brqrv_dec_tlu_minstret_enable_f;
+   wire brqrv_top_brqrv_dec_tlu_minstreth_ff_l1clk;
+   wire brqrv_top_brqrv_dec_tlu_minstretl_aff_l1clk;
+   wire brqrv_top_brqrv_dec_tlu_minstretl_bff_l1clk;
+   wire brqrv_top_brqrv_dec_tlu_minstretl_cout;
+   wire brqrv_top_brqrv_dec_tlu_minstretl_cout_f;
+   wire brqrv_top_brqrv_dec_tlu_minstretl_cout_ns;
+   wire brqrv_top_brqrv_dec_tlu_minstretl_couta;
+   wire \brqrv_top_brqrv_dec_tlu_minstretl_ns[0]_3327 ;
+   wire \brqrv_top_brqrv_dec_tlu_minstretl_ns[1]_3328 ;
+   wire \brqrv_top_brqrv_dec_tlu_minstretl_ns[2]_3329 ;
+   wire \brqrv_top_brqrv_dec_tlu_minstretl_ns[3]_3330 ;
+   wire \brqrv_top_brqrv_dec_tlu_minstretl_ns[4]_3331 ;
+   wire \brqrv_top_brqrv_dec_tlu_minstretl_ns[5]_3332 ;
+   wire \brqrv_top_brqrv_dec_tlu_minstretl_ns[6]_3333 ;
+   wire \brqrv_top_brqrv_dec_tlu_minstretl_ns[7]_3334 ;
+   wire brqrv_top_brqrv_dec_tlu_misc_clk_override;
+   wire brqrv_top_brqrv_dec_tlu_mpc_debug_run_ack_f;
+   wire brqrv_top_brqrv_dec_tlu_mpc_debug_run_ack_ns;
+   wire brqrv_top_brqrv_dec_tlu_mpc_debug_run_req_sync;
+   wire brqrv_top_brqrv_dec_tlu_mpc_debug_run_req_sync_f;
+   wire brqrv_top_brqrv_dec_tlu_mpc_run_state_f;
+   wire brqrv_top_brqrv_dec_tlu_mpc_run_state_ns;
+   wire brqrv_top_brqrv_dec_tlu_mpvhalt_ff_dff_logic_1_1_net;
+   wire brqrv_top_brqrv_dec_tlu_mpvhalt_ff_en;
+   wire brqrv_top_brqrv_dec_tlu_mpvhalt_ff_l1clk;
+   wire brqrv_top_brqrv_dec_tlu_mpvhalt_ff_n_1;
+   wire brqrv_top_brqrv_dec_tlu_mpvhalt_ff_n_2;
+   wire brqrv_top_brqrv_dec_tlu_mpvhalt_ff_n_3;
+   wire brqrv_top_brqrv_dec_tlu_mpvhalt_ff_n_4;
+   wire brqrv_top_brqrv_dec_tlu_mpvhalt_ff_n_5;
+   wire brqrv_top_brqrv_dec_tlu_mpvhalt_ff_n_6;
+   wire brqrv_top_brqrv_dec_tlu_mpvhalt_ff_n_7;
+   wire brqrv_top_brqrv_dec_tlu_mpvhalt_ff_n_8;
+   wire brqrv_top_brqrv_dec_tlu_mpvhalt_ff_n_9;
+   wire brqrv_top_brqrv_dec_tlu_mpvhalt_ff_n_10;
+   wire brqrv_top_brqrv_dec_tlu_mpvhalt_ff_n_11;
+   wire brqrv_top_brqrv_dec_tlu_mpvhalt_ff_n_12;
+   wire brqrv_top_brqrv_dec_tlu_mrac_ff_l1clk;
+   wire brqrv_top_brqrv_dec_tlu_mscratch_ff_l1clk;
+   wire brqrv_top_brqrv_dec_tlu_mstatus_mie_ns;
+   wire brqrv_top_brqrv_dec_tlu_mtdata1_t0_ff_l1clk;
+   wire brqrv_top_brqrv_dec_tlu_mtdata1_t1_ff_l1clk;
+   wire brqrv_top_brqrv_dec_tlu_mtdata1_t2_ff_l1clk;
+   wire brqrv_top_brqrv_dec_tlu_mtdata1_t3_ff_l1clk;
+   wire brqrv_top_brqrv_dec_tlu_mtdata2_t0_ff_l1clk;
+   wire brqrv_top_brqrv_dec_tlu_mtdata2_t1_ff_l1clk;
+   wire brqrv_top_brqrv_dec_tlu_mtdata2_t2_ff_l1clk;
+   wire brqrv_top_brqrv_dec_tlu_mtdata2_t3_ff_l1clk;
+   wire brqrv_top_brqrv_dec_tlu_mtval_ff_l1clk;
+   wire brqrv_top_brqrv_dec_tlu_mtvec_ff_l1clk;
+   wire brqrv_top_brqrv_dec_tlu_n_373;
+   wire brqrv_top_brqrv_dec_tlu_n_397;
+   wire brqrv_top_brqrv_dec_tlu_n_3024;
+   wire brqrv_top_brqrv_dec_tlu_n_3270;
+   wire brqrv_top_brqrv_dec_tlu_n_3271;
+   wire brqrv_top_brqrv_dec_tlu_n_3272;
+   wire brqrv_top_brqrv_dec_tlu_n_3314;
+   wire brqrv_top_brqrv_dec_tlu_n_3315;
+   wire brqrv_top_brqrv_dec_tlu_n_3324;
+   wire brqrv_top_brqrv_dec_tlu_n_3325;
+   wire brqrv_top_brqrv_dec_tlu_n_3326;
+   wire brqrv_top_brqrv_dec_tlu_n_3335;
+   wire brqrv_top_brqrv_dec_tlu_n_3336;
+   wire brqrv_top_brqrv_dec_tlu_n_3337;
+   wire brqrv_top_brqrv_dec_tlu_n_3338;
+   wire brqrv_top_brqrv_dec_tlu_n_3339;
+   wire brqrv_top_brqrv_dec_tlu_n_3388;
+   wire brqrv_top_brqrv_dec_tlu_n_3389;
+   wire brqrv_top_brqrv_dec_tlu_n_3390;
+   wire brqrv_top_brqrv_dec_tlu_n_3391;
+   wire brqrv_top_brqrv_dec_tlu_n_3392;
+   wire brqrv_top_brqrv_dec_tlu_n_3393;
+   wire brqrv_top_brqrv_dec_tlu_n_3394;
+   wire brqrv_top_brqrv_dec_tlu_n_3395;
+   wire brqrv_top_brqrv_dec_tlu_n_3396;
+   wire brqrv_top_brqrv_dec_tlu_n_3397;
+   wire brqrv_top_brqrv_dec_tlu_n_3468;
+   wire brqrv_top_brqrv_dec_tlu_n_3813;
+   wire brqrv_top_brqrv_dec_tlu_n_4284;
+   wire brqrv_top_brqrv_dec_tlu_n_4856;
+   wire brqrv_top_brqrv_dec_tlu_n_5591;
+   wire brqrv_top_brqrv_dec_tlu_n_5592;
+   wire brqrv_top_brqrv_dec_tlu_n_5593;
+   wire brqrv_top_brqrv_dec_tlu_n_5594;
+   wire brqrv_top_brqrv_dec_tlu_n_5595;
+   wire brqrv_top_brqrv_dec_tlu_n_5596;
+   wire brqrv_top_brqrv_dec_tlu_n_5597;
+   wire brqrv_top_brqrv_dec_tlu_n_5598;
+   wire brqrv_top_brqrv_dec_tlu_n_5599;
+   wire brqrv_top_brqrv_dec_tlu_n_5600;
+   wire brqrv_top_brqrv_dec_tlu_n_5601;
+   wire brqrv_top_brqrv_dec_tlu_n_5602;
+   wire brqrv_top_brqrv_dec_tlu_n_5603;
+   wire brqrv_top_brqrv_dec_tlu_n_5604;
+   wire brqrv_top_brqrv_dec_tlu_n_5605;
+   wire brqrv_top_brqrv_dec_tlu_n_5606;
+   wire brqrv_top_brqrv_dec_tlu_n_5607;
+   wire brqrv_top_brqrv_dec_tlu_n_5608;
+   wire brqrv_top_brqrv_dec_tlu_n_5609;
+   wire brqrv_top_brqrv_dec_tlu_n_5610;
+   wire brqrv_top_brqrv_dec_tlu_n_5611;
+   wire brqrv_top_brqrv_dec_tlu_n_5612;
+   wire brqrv_top_brqrv_dec_tlu_n_5613;
+   wire brqrv_top_brqrv_dec_tlu_n_5614;
+   wire brqrv_top_brqrv_dec_tlu_n_5615;
+   wire brqrv_top_brqrv_dec_tlu_n_5616;
+   wire brqrv_top_brqrv_dec_tlu_n_5617;
+   wire brqrv_top_brqrv_dec_tlu_n_5618;
+   wire brqrv_top_brqrv_dec_tlu_n_5619;
+   wire brqrv_top_brqrv_dec_tlu_n_5620;
+   wire brqrv_top_brqrv_dec_tlu_n_6058;
+   wire brqrv_top_brqrv_dec_tlu_n_6062;
+   wire brqrv_top_brqrv_dec_tlu_n_12944;
+   wire brqrv_top_brqrv_dec_tlu_n_12947;
+   wire brqrv_top_brqrv_dec_tlu_n_12950;
+   wire brqrv_top_brqrv_dec_tlu_n_13200;
+   wire brqrv_top_brqrv_dec_tlu_n_13273;
+   wire brqrv_top_brqrv_dec_tlu_nmi_int_detected;
+   wire brqrv_top_brqrv_dec_tlu_nmi_int_detected_f;
+   wire brqrv_top_brqrv_dec_tlu_nmi_lsu_load_type;
+   wire brqrv_top_brqrv_dec_tlu_nmi_lsu_load_type_f;
+   wire brqrv_top_brqrv_dec_tlu_nmi_lsu_store_type;
+   wire brqrv_top_brqrv_dec_tlu_nmi_lsu_store_type_f;
+   wire brqrv_top_brqrv_dec_tlu_npwbc_ff_dff_dff_extra_l1clk;
+   wire brqrv_top_brqrv_dec_tlu_npwbc_ff_dff_dff_left_dff_l1clk;
+   wire brqrv_top_brqrv_dec_tlu_npwbc_ff_dff_dff_left_final_en;
+   wire brqrv_top_brqrv_dec_tlu_pause_expired_r;
+   wire brqrv_top_brqrv_dec_tlu_pause_expired_wb;
+   wire brqrv_top_brqrv_dec_tlu_pc0_valid_r_BAR;
+   wire brqrv_top_brqrv_dec_tlu_perfcnt_halted;
+   wire brqrv_top_brqrv_dec_tlu_pic_clk_override;
+   wire brqrv_top_brqrv_dec_tlu_pmu_fw_halt_req_f;
+   wire brqrv_top_brqrv_dec_tlu_pmu_fw_halt_req_ns;
+   wire brqrv_top_brqrv_dec_tlu_pmu_fw_tlu_halted;
+   wire brqrv_top_brqrv_dec_tlu_pwbc_ff_dff_dff_extra_l1clk;
+   wire brqrv_top_brqrv_dec_tlu_pwbc_ff_dff_dff_left_dff_l1clk;
+   wire brqrv_top_brqrv_dec_tlu_pwbc_ff_dff_dff_left_final_en;
+   wire brqrv_top_brqrv_dec_tlu_request_debug_mode_done;
+   wire brqrv_top_brqrv_dec_tlu_request_debug_mode_done_f;
+   wire brqrv_top_brqrv_dec_tlu_request_debug_mode_r;
+   wire brqrv_top_brqrv_dec_tlu_request_debug_mode_r_d1;
+   wire brqrv_top_brqrv_dec_tlu_reset_delayed;
+   wire brqrv_top_brqrv_dec_tlu_reset_detect;
+   wire brqrv_top_brqrv_dec_tlu_reset_detected;
+   wire brqrv_top_brqrv_dec_tlu_resume_ack;
+   wire brqrv_top_brqrv_dec_tlu_resume_ack_ns;
+   wire brqrv_top_brqrv_dec_tlu_syncro_ff_sync_ff1_logic_1_1_net;
+   wire brqrv_top_brqrv_dec_tlu_take_ext_int_start_d1;
+   wire brqrv_top_brqrv_dec_tlu_take_ext_int_start_d2;
+   wire brqrv_top_brqrv_dec_tlu_take_ext_int_start_d3;
+   wire brqrv_top_brqrv_dec_tlu_take_nmi;
+   wire brqrv_top_brqrv_dec_tlu_take_nmi_r_d1;
+   wire brqrv_top_brqrv_dec_tlu_trigger_hit_dmode_r;
+   wire brqrv_top_brqrv_dec_tlu_trigger_hit_dmode_r_d1;
+   wire brqrv_top_brqrv_dec_tlu_trigger_hit_r_d1;
+   wire brqrv_top_brqrv_dec_tlu_wb_coalescing_disable;
+   wire brqrv_top_brqrv_dec_tlu_wr_dicawics_r;
+   wire brqrv_top_brqrv_dec_tlu_wr_mcgc_r;
+   wire brqrv_top_brqrv_dec_tlu_wr_mdccmect_r;
+   wire brqrv_top_brqrv_dec_tlu_wr_meicpct_r;
+   wire brqrv_top_brqrv_dec_tlu_wr_meivt_r;
+   wire brqrv_top_brqrv_dec_tlu_wr_mfdc_r;
+   wire brqrv_top_brqrv_dec_tlu_wr_mhpme3_r;
+   wire brqrv_top_brqrv_dec_tlu_wr_mhpme4_r;
+   wire brqrv_top_brqrv_dec_tlu_wr_mhpme5_r;
+   wire brqrv_top_brqrv_dec_tlu_wr_mhpme6_r;
+   wire brqrv_top_brqrv_dec_tlu_wr_miccmect_r;
+   wire brqrv_top_brqrv_dec_tlu_wr_micect_r;
+   wire brqrv_top_brqrv_dec_tlu_wr_mrac_r;
+   wire brqrv_top_brqrv_dec_tlu_wr_mscratch_r;
+   wire brqrv_top_brqrv_dec_tlu_wr_mtdata2_t0_r;
+   wire brqrv_top_brqrv_dec_tlu_wr_mtdata2_t1_r;
+   wire brqrv_top_brqrv_dec_tlu_wr_mtdata2_t2_r;
+   wire brqrv_top_brqrv_dec_tlu_wr_mtdata2_t3_r;
+   wire brqrv_top_brqrv_dec_tlu_wr_mtvec_r;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_addr_dff_l1clk ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_byteen_dff_n_18 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_byteen_dff_n_19 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_byteen_dff_n_20 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_byteen_dff_n_21 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_byteen_dff_n_22 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_byteen_dff_n_23 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_byteen_dff_n_24 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_byteen_dff_n_25 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_l1clk ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_dbg_dff_n_2 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_done_bus_dff_n_0 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_done_dff_n_0 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_rpend_dff_n_0 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_sz_dff_n_8 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_sz_dff_n_9 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_sz_dff_n_10 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_valid_dff_n_0 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_write_dff_n_4 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_addr_dff_l1clk ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_byteen_dff_n_18 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_byteen_dff_n_19 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_byteen_dff_n_20 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_byteen_dff_n_21 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_byteen_dff_n_22 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_byteen_dff_n_23 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_byteen_dff_n_24 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_byteen_dff_n_25 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_l1clk ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_dbg_dff_n_2 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_done_bus_dff_n_0 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_done_dff_n_0 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_rpend_dff_n_0 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_sz_dff_n_8 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_sz_dff_n_9 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_sz_dff_n_10 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_valid_dff_n_0 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_write_dff_n_4 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_addr_dff_l1clk ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_byteen_dff_n_18 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_byteen_dff_n_19 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_byteen_dff_n_20 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_byteen_dff_n_21 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_byteen_dff_n_22 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_byteen_dff_n_23 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_byteen_dff_n_24 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_byteen_dff_n_25 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_l1clk ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_dbg_dff_n_2 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_done_bus_dff_n_0 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_done_dff_n_0 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_rpend_dff_n_0 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_sz_dff_n_8 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_sz_dff_n_9 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_sz_dff_n_10 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_valid_dff_n_0 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_write_dff_n_4 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_addr_dff_l1clk ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_byteen_dff_n_18 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_byteen_dff_n_19 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_byteen_dff_n_20 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_byteen_dff_n_21 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_byteen_dff_n_22 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_byteen_dff_n_23 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_byteen_dff_n_24 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_byteen_dff_n_25 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_l1clk ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_dbg_dff_n_2 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_done_bus_dff_n_0 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_done_dff_n_0 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_rpend_dff_n_0 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_sz_dff_n_8 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_sz_dff_n_9 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_sz_dff_n_10 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_valid_dff_n_0 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_write_dff_n_4 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_addr_dff_l1clk ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_byteen_dff_n_18 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_byteen_dff_n_19 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_byteen_dff_n_20 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_byteen_dff_n_21 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_byteen_dff_n_22 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_byteen_dff_n_23 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_byteen_dff_n_24 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_byteen_dff_n_25 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_l1clk ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_dbg_dff_n_2 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_done_bus_dff_n_0 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_done_dff_n_0 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_rpend_dff_n_0 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_sz_dff_n_8 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_sz_dff_n_9 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_sz_dff_n_10 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_valid_dff_n_0 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_write_dff_n_4 ;
+   wire \brqrv_top_brqrv_dma_ctrl_Gen_dccm_enable.addr_dccm_rangecheck_n_0 ;
+   wire \brqrv_top_brqrv_dma_ctrl_Gen_dccm_enable.addr_dccm_rangecheck_n_1 ;
+   wire \brqrv_top_brqrv_dma_ctrl_Gen_dccm_enable.addr_dccm_rangecheck_n_2 ;
+   wire \brqrv_top_brqrv_dma_ctrl_Gen_dccm_enable.addr_dccm_rangecheck_n_3 ;
+   wire \brqrv_top_brqrv_dma_ctrl_Gen_dccm_enable.addr_dccm_rangecheck_n_4 ;
+   wire \brqrv_top_brqrv_dma_ctrl_Gen_iccm_enable.addr_iccm_rangecheck_n_0 ;
+   wire \brqrv_top_brqrv_dma_ctrl_Gen_iccm_enable.addr_iccm_rangecheck_n_1 ;
+   wire \brqrv_top_brqrv_dma_ctrl_Gen_iccm_enable.addr_iccm_rangecheck_n_2 ;
+   wire \brqrv_top_brqrv_dma_ctrl_Gen_iccm_enable.addr_iccm_rangecheck_n_3 ;
+   wire \brqrv_top_brqrv_dma_ctrl_Gen_iccm_enable.addr_iccm_rangecheck_n_4 ;
+   wire brqrv_top_brqrv_dma_ctrl_RdPtr_dff_n_8;
+   wire brqrv_top_brqrv_dma_ctrl_RdPtr_dff_n_9;
+   wire brqrv_top_brqrv_dma_ctrl_RdPtr_dff_n_10;
+   wire brqrv_top_brqrv_dma_ctrl_RspPtr_dff_n_8;
+   wire brqrv_top_brqrv_dma_ctrl_RspPtr_dff_n_9;
+   wire brqrv_top_brqrv_dma_ctrl_RspPtr_dff_n_10;
+   wire brqrv_top_brqrv_dma_ctrl_WrPtr_dff_n_8;
+   wire brqrv_top_brqrv_dma_ctrl_WrPtr_dff_n_9;
+   wire brqrv_top_brqrv_dma_ctrl_WrPtr_dff_n_10;
+   wire brqrv_top_brqrv_dma_ctrl_addr_pic_rangecheck_n_0;
+   wire brqrv_top_brqrv_dma_ctrl_addr_pic_rangecheck_n_1;
+   wire brqrv_top_brqrv_dma_ctrl_addr_pic_rangecheck_n_2;
+   wire brqrv_top_brqrv_dma_ctrl_addr_pic_rangecheck_n_3;
+   wire brqrv_top_brqrv_dma_ctrl_axi_mstr_priority;
+   wire brqrv_top_brqrv_dma_ctrl_bus_cmd_sent;
+   wire brqrv_top_brqrv_dma_ctrl_bus_cmd_valid;
+   wire brqrv_top_brqrv_dma_ctrl_dbg_dma_bubble_bus;
+   wire brqrv_top_brqrv_dma_ctrl_dma_buffer_c1_clk;
+   wire brqrv_top_brqrv_dma_ctrl_dma_buffer_c1_clken;
+   wire brqrv_top_brqrv_dma_ctrl_dma_bus_cgc_logic_1_1_net;
+   wire brqrv_top_brqrv_dma_ctrl_dma_bus_clk;
+   wire brqrv_top_brqrv_dma_ctrl_dma_dbg_cmd_done_q;
+   wire brqrv_top_brqrv_dma_ctrl_dma_free_clk;
+   wire brqrv_top_brqrv_dma_ctrl_dma_free_clken;
+   wire brqrv_top_brqrv_dma_ctrl_dma_mem_addr_in_dccm;
+   wire brqrv_top_brqrv_dma_ctrl_dma_mem_addr_in_iccm;
+   wire brqrv_top_brqrv_dma_ctrl_dma_mem_addr_in_pic;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[0]_1468 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[0]_1534 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[0]_1600 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[1]_1469 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[1]_1535 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[1]_1601 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[2]_1470 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[2]_1536 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[2]_1602 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[3]_1471 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[3]_1537 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[3]_1603 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[4]_1472 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[4]_1538 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[4]_1604 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[5]_1473 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[5]_1539 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[5]_1605 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[6]_1474 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[6]_1540 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[6]_1606 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[7]_1475 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[7]_1541 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[7]_1607 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[8]_1476 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[8]_1542 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[8]_1608 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[9]_1477 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[9]_1543 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[9]_1609 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[10]_1478 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[10]_1544 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[10]_1610 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[11]_1479 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[11]_1545 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[11]_1611 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[12]_1480 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[12]_1546 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[12]_1612 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[13]_1481 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[13]_1547 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[13]_1613 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[14]_1482 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[14]_1548 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[14]_1614 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[15]_1483 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[15]_1549 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[15]_1615 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[16]_1484 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[16]_1550 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[16]_1616 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[17]_1485 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[17]_1551 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[17]_1617 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[18]_1486 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[18]_1552 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[18]_1618 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[19]_1487 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[19]_1553 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[19]_1619 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[20]_1488 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[20]_1554 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[20]_1620 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[21]_1489 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[21]_1555 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[21]_1621 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[22]_1490 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[22]_1556 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[22]_1622 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[23]_1491 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[23]_1557 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[23]_1623 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[24]_1492 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[24]_1558 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[24]_1624 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[25]_1493 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[25]_1559 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[25]_1625 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[26]_1494 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[26]_1560 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[26]_1626 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[27]_1495 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[27]_1561 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[27]_1627 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[28]_1496 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[28]_1562 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[28]_1628 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[29]_1497 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[29]_1563 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[29]_1629 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[30]_1498 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[30]_1564 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[30]_1630 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[31]_1499 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[31]_1565 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[31]_1631 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[32]_1500 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[32]_1566 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[32]_1632 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[33]_1501 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[33]_1567 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[33]_1633 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[34]_1502 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[34]_1568 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[34]_1634 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[35]_1503 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[35]_1569 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[35]_1635 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[36]_1504 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[36]_1570 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[36]_1636 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[37]_1505 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[37]_1571 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[37]_1637 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[38]_1506 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[38]_1572 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[38]_1638 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[39]_1507 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[39]_1573 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[39]_1639 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[40]_1508 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[40]_1574 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[40]_1640 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[41]_1509 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[41]_1575 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[41]_1641 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[42]_1510 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[42]_1576 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[42]_1642 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[43]_1511 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[43]_1577 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[43]_1643 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[44]_1512 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[44]_1578 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[44]_1644 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[45]_1513 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[45]_1579 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[45]_1645 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[46]_1514 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[46]_1580 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[46]_1646 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[47]_1515 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[47]_1581 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[47]_1647 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[48]_1516 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[48]_1582 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[48]_1648 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[49]_1517 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[49]_1583 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[49]_1649 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[50]_1518 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[50]_1584 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[50]_1650 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[51]_1519 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[51]_1585 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[51]_1651 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[52]_1520 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[52]_1586 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[52]_1652 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[53]_1521 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[53]_1587 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[53]_1653 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[54]_1522 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[54]_1588 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[54]_1654 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[55]_1523 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[55]_1589 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[55]_1655 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[56]_1524 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[56]_1590 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[56]_1656 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[57]_1525 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[57]_1591 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[57]_1657 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[58]_1526 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[58]_1592 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[58]_1658 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[59]_1527 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[59]_1593 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[59]_1659 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[60]_1528 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[60]_1594 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[60]_1660 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[61]_1529 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[61]_1595 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[61]_1661 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[62]_1530 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[62]_1596 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[62]_1662 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[63]_1531 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[63]_1597 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[63]_1663 ;
+   wire brqrv_top_brqrv_dma_ctrl_fifo_full_spec;
+   wire brqrv_top_brqrv_dma_ctrl_fifo_full_spec_bus;
+   wire \brqrv_top_brqrv_dma_ctrl_mstr_prtyff_genblock.dffs_n_2 ;
+   wire brqrv_top_brqrv_dma_ctrl_n_578;
+   wire brqrv_top_brqrv_dma_ctrl_n_583;
+   wire brqrv_top_brqrv_dma_ctrl_n_588;
+   wire brqrv_top_brqrv_dma_ctrl_n_593;
+   wire brqrv_top_brqrv_dma_ctrl_n_598;
+   wire brqrv_top_brqrv_dma_ctrl_n_603;
+   wire brqrv_top_brqrv_dma_ctrl_n_608;
+   wire brqrv_top_brqrv_dma_ctrl_n_613;
+   wire brqrv_top_brqrv_dma_ctrl_n_618;
+   wire brqrv_top_brqrv_dma_ctrl_n_623;
+   wire brqrv_top_brqrv_dma_ctrl_n_628;
+   wire brqrv_top_brqrv_dma_ctrl_n_633;
+   wire brqrv_top_brqrv_dma_ctrl_n_638;
+   wire brqrv_top_brqrv_dma_ctrl_n_643;
+   wire brqrv_top_brqrv_dma_ctrl_n_648;
+   wire brqrv_top_brqrv_dma_ctrl_n_653;
+   wire brqrv_top_brqrv_dma_ctrl_n_658;
+   wire brqrv_top_brqrv_dma_ctrl_n_663;
+   wire brqrv_top_brqrv_dma_ctrl_n_668;
+   wire brqrv_top_brqrv_dma_ctrl_n_673;
+   wire brqrv_top_brqrv_dma_ctrl_n_678;
+   wire brqrv_top_brqrv_dma_ctrl_n_683;
+   wire brqrv_top_brqrv_dma_ctrl_n_688;
+   wire brqrv_top_brqrv_dma_ctrl_n_693;
+   wire brqrv_top_brqrv_dma_ctrl_n_698;
+   wire brqrv_top_brqrv_dma_ctrl_n_703;
+   wire brqrv_top_brqrv_dma_ctrl_n_708;
+   wire brqrv_top_brqrv_dma_ctrl_n_713;
+   wire brqrv_top_brqrv_dma_ctrl_n_718;
+   wire brqrv_top_brqrv_dma_ctrl_n_723;
+   wire brqrv_top_brqrv_dma_ctrl_n_742;
+   wire brqrv_top_brqrv_dma_ctrl_n_747;
+   wire brqrv_top_brqrv_dma_ctrl_n_752;
+   wire brqrv_top_brqrv_dma_ctrl_n_777;
+   wire brqrv_top_brqrv_dma_ctrl_n_782;
+   wire brqrv_top_brqrv_dma_ctrl_n_787;
+   wire brqrv_top_brqrv_dma_ctrl_n_792;
+   wire brqrv_top_brqrv_dma_ctrl_n_797;
+   wire brqrv_top_brqrv_dma_ctrl_n_802;
+   wire brqrv_top_brqrv_dma_ctrl_n_807;
+   wire brqrv_top_brqrv_dma_ctrl_n_812;
+   wire brqrv_top_brqrv_dma_ctrl_n_823;
+   wire brqrv_top_brqrv_dma_ctrl_n_999;
+   wire brqrv_top_brqrv_dma_ctrl_n_1004;
+   wire brqrv_top_brqrv_dma_ctrl_n_1009;
+   wire brqrv_top_brqrv_dma_ctrl_n_1014;
+   wire brqrv_top_brqrv_dma_ctrl_n_1019;
+   wire brqrv_top_brqrv_dma_ctrl_n_1024;
+   wire brqrv_top_brqrv_dma_ctrl_n_1029;
+   wire brqrv_top_brqrv_dma_ctrl_n_1034;
+   wire brqrv_top_brqrv_dma_ctrl_n_1039;
+   wire brqrv_top_brqrv_dma_ctrl_n_1044;
+   wire brqrv_top_brqrv_dma_ctrl_n_1049;
+   wire brqrv_top_brqrv_dma_ctrl_n_1054;
+   wire brqrv_top_brqrv_dma_ctrl_n_1059;
+   wire brqrv_top_brqrv_dma_ctrl_n_1064;
+   wire brqrv_top_brqrv_dma_ctrl_n_1069;
+   wire brqrv_top_brqrv_dma_ctrl_n_1074;
+   wire brqrv_top_brqrv_dma_ctrl_n_1079;
+   wire brqrv_top_brqrv_dma_ctrl_n_1084;
+   wire brqrv_top_brqrv_dma_ctrl_n_1089;
+   wire brqrv_top_brqrv_dma_ctrl_n_1094;
+   wire brqrv_top_brqrv_dma_ctrl_n_1099;
+   wire brqrv_top_brqrv_dma_ctrl_n_1104;
+   wire brqrv_top_brqrv_dma_ctrl_n_1109;
+   wire brqrv_top_brqrv_dma_ctrl_n_1114;
+   wire brqrv_top_brqrv_dma_ctrl_n_1119;
+   wire brqrv_top_brqrv_dma_ctrl_n_1124;
+   wire brqrv_top_brqrv_dma_ctrl_n_1129;
+   wire brqrv_top_brqrv_dma_ctrl_n_1134;
+   wire brqrv_top_brqrv_dma_ctrl_n_1139;
+   wire brqrv_top_brqrv_dma_ctrl_n_1144;
+   wire brqrv_top_brqrv_dma_ctrl_n_1149;
+   wire brqrv_top_brqrv_dma_ctrl_n_1154;
+   wire brqrv_top_brqrv_dma_ctrl_n_1159;
+   wire brqrv_top_brqrv_dma_ctrl_n_1164;
+   wire brqrv_top_brqrv_dma_ctrl_n_1169;
+   wire brqrv_top_brqrv_dma_ctrl_n_1174;
+   wire brqrv_top_brqrv_dma_ctrl_n_1179;
+   wire brqrv_top_brqrv_dma_ctrl_n_1184;
+   wire brqrv_top_brqrv_dma_ctrl_n_1189;
+   wire brqrv_top_brqrv_dma_ctrl_n_1194;
+   wire brqrv_top_brqrv_dma_ctrl_n_1199;
+   wire brqrv_top_brqrv_dma_ctrl_n_1204;
+   wire brqrv_top_brqrv_dma_ctrl_n_1209;
+   wire brqrv_top_brqrv_dma_ctrl_n_1214;
+   wire brqrv_top_brqrv_dma_ctrl_n_1219;
+   wire brqrv_top_brqrv_dma_ctrl_n_1224;
+   wire brqrv_top_brqrv_dma_ctrl_n_1229;
+   wire brqrv_top_brqrv_dma_ctrl_n_1234;
+   wire brqrv_top_brqrv_dma_ctrl_n_1239;
+   wire brqrv_top_brqrv_dma_ctrl_n_1244;
+   wire brqrv_top_brqrv_dma_ctrl_n_1249;
+   wire brqrv_top_brqrv_dma_ctrl_n_1254;
+   wire brqrv_top_brqrv_dma_ctrl_n_1259;
+   wire brqrv_top_brqrv_dma_ctrl_n_1264;
+   wire brqrv_top_brqrv_dma_ctrl_n_1269;
+   wire brqrv_top_brqrv_dma_ctrl_n_1274;
+   wire brqrv_top_brqrv_dma_ctrl_n_1279;
+   wire brqrv_top_brqrv_dma_ctrl_n_1284;
+   wire brqrv_top_brqrv_dma_ctrl_n_1289;
+   wire brqrv_top_brqrv_dma_ctrl_n_1294;
+   wire brqrv_top_brqrv_dma_ctrl_n_1299;
+   wire brqrv_top_brqrv_dma_ctrl_n_1304;
+   wire brqrv_top_brqrv_dma_ctrl_n_1309;
+   wire brqrv_top_brqrv_dma_ctrl_n_1314;
+   wire brqrv_top_brqrv_dma_ctrl_n_1319;
+   wire brqrv_top_brqrv_dma_ctrl_n_1400;
+   wire brqrv_top_brqrv_dma_ctrl_n_1401;
+   wire brqrv_top_brqrv_dma_ctrl_n_1402;
+   wire brqrv_top_brqrv_dma_ctrl_n_1403;
+   wire brqrv_top_brqrv_dma_ctrl_n_1404;
+   wire brqrv_top_brqrv_dma_ctrl_n_1405;
+   wire brqrv_top_brqrv_dma_ctrl_n_1406;
+   wire brqrv_top_brqrv_dma_ctrl_n_1407;
+   wire brqrv_top_brqrv_dma_ctrl_n_1408;
+   wire brqrv_top_brqrv_dma_ctrl_n_1409;
+   wire brqrv_top_brqrv_dma_ctrl_n_1410;
+   wire brqrv_top_brqrv_dma_ctrl_n_1411;
+   wire brqrv_top_brqrv_dma_ctrl_n_1412;
+   wire brqrv_top_brqrv_dma_ctrl_n_1413;
+   wire brqrv_top_brqrv_dma_ctrl_n_1414;
+   wire brqrv_top_brqrv_dma_ctrl_n_1415;
+   wire brqrv_top_brqrv_dma_ctrl_n_1416;
+   wire brqrv_top_brqrv_dma_ctrl_n_1417;
+   wire brqrv_top_brqrv_dma_ctrl_n_1418;
+   wire brqrv_top_brqrv_dma_ctrl_n_1419;
+   wire brqrv_top_brqrv_dma_ctrl_n_1420;
+   wire brqrv_top_brqrv_dma_ctrl_n_1421;
+   wire brqrv_top_brqrv_dma_ctrl_n_1422;
+   wire brqrv_top_brqrv_dma_ctrl_n_1423;
+   wire brqrv_top_brqrv_dma_ctrl_n_1424;
+   wire brqrv_top_brqrv_dma_ctrl_n_1425;
+   wire brqrv_top_brqrv_dma_ctrl_n_1426;
+   wire brqrv_top_brqrv_dma_ctrl_n_1427;
+   wire brqrv_top_brqrv_dma_ctrl_n_1428;
+   wire brqrv_top_brqrv_dma_ctrl_n_1429;
+   wire brqrv_top_brqrv_dma_ctrl_n_1430;
+   wire brqrv_top_brqrv_dma_ctrl_n_1431;
+   wire brqrv_top_brqrv_dma_ctrl_n_1432;
+   wire brqrv_top_brqrv_dma_ctrl_n_1433;
+   wire brqrv_top_brqrv_dma_ctrl_n_1434;
+   wire brqrv_top_brqrv_dma_ctrl_n_1435;
+   wire brqrv_top_brqrv_dma_ctrl_n_1436;
+   wire brqrv_top_brqrv_dma_ctrl_n_1437;
+   wire brqrv_top_brqrv_dma_ctrl_n_1438;
+   wire brqrv_top_brqrv_dma_ctrl_n_1439;
+   wire brqrv_top_brqrv_dma_ctrl_n_1440;
+   wire brqrv_top_brqrv_dma_ctrl_n_1441;
+   wire brqrv_top_brqrv_dma_ctrl_n_1442;
+   wire brqrv_top_brqrv_dma_ctrl_n_1443;
+   wire brqrv_top_brqrv_dma_ctrl_n_1444;
+   wire brqrv_top_brqrv_dma_ctrl_n_1445;
+   wire brqrv_top_brqrv_dma_ctrl_n_1446;
+   wire brqrv_top_brqrv_dma_ctrl_n_1447;
+   wire brqrv_top_brqrv_dma_ctrl_n_1448;
+   wire brqrv_top_brqrv_dma_ctrl_n_1449;
+   wire brqrv_top_brqrv_dma_ctrl_n_1450;
+   wire brqrv_top_brqrv_dma_ctrl_n_1451;
+   wire brqrv_top_brqrv_dma_ctrl_n_1452;
+   wire brqrv_top_brqrv_dma_ctrl_n_1453;
+   wire brqrv_top_brqrv_dma_ctrl_n_1454;
+   wire brqrv_top_brqrv_dma_ctrl_n_1455;
+   wire brqrv_top_brqrv_dma_ctrl_n_1456;
+   wire brqrv_top_brqrv_dma_ctrl_n_1457;
+   wire brqrv_top_brqrv_dma_ctrl_n_1458;
+   wire brqrv_top_brqrv_dma_ctrl_n_1459;
+   wire brqrv_top_brqrv_dma_ctrl_n_1460;
+   wire brqrv_top_brqrv_dma_ctrl_n_1461;
+   wire brqrv_top_brqrv_dma_ctrl_n_1462;
+   wire brqrv_top_brqrv_dma_ctrl_n_1463;
+   wire brqrv_top_brqrv_dma_ctrl_n_1834;
+   wire brqrv_top_brqrv_dma_ctrl_n_2635;
+   wire brqrv_top_brqrv_dma_ctrl_n_3251;
+   wire brqrv_top_brqrv_dma_ctrl_n_3254;
+   wire brqrv_top_brqrv_dma_ctrl_nack_count_dff_n_8;
+   wire brqrv_top_brqrv_dma_ctrl_nack_count_dff_n_9;
+   wire brqrv_top_brqrv_dma_ctrl_nack_count_dff_n_10;
+   wire brqrv_top_brqrv_dma_ctrl_rdbuf_cmd_sent;
+   wire brqrv_top_brqrv_dma_ctrl_rdbuf_vld;
+   wire brqrv_top_brqrv_dma_ctrl_wrbuf_cmd_sent;
+   wire brqrv_top_brqrv_dma_ctrl_wrbuf_data_vld;
+   wire brqrv_top_brqrv_dma_ctrl_wrbuf_vld;
+   wire brqrv_top_brqrv_dma_dbg_cmd_done;
+   wire brqrv_top_brqrv_dma_dccm_req;
+   wire brqrv_top_brqrv_dma_iccm_req;
+   wire brqrv_top_brqrv_dma_iccm_stall_any;
+   wire brqrv_top_brqrv_dma_mem_write;
+   wire brqrv_top_brqrv_exu_flush_final;
+   wire brqrv_top_brqrv_exu_i0_br_error_r;
+   wire brqrv_top_brqrv_exu_i0_br_mp_r;
+   wire brqrv_top_brqrv_exu_i0_br_start_error_r;
+   wire brqrv_top_brqrv_exu_i0_br_valid_r;
+   wire brqrv_top_brqrv_exu_i0_br_way_r;
+   wire brqrv_top_brqrv_exu_i0_branch_x;
+   wire brqrv_top_brqrv_exu_i0_flush_upper_d;
+   wire brqrv_top_brqrv_exu_i0_flush_upper_x;
+   wire brqrv_top_brqrv_exu_i0_pred_correct_upper_d;
+   wire brqrv_top_brqrv_exu_i0_pred_correct_upper_r;
+   wire brqrv_top_brqrv_exu_i0_pred_correct_upper_x;
+   wire brqrv_top_brqrv_exu_i0_taken_d;
+   wire brqrv_top_brqrv_exu_i0_taken_x;
+   wire brqrv_top_brqrv_exu_i_alu_i_pc_ff_dff_dff_extra_l1clk;
+   wire brqrv_top_brqrv_exu_i_alu_i_pc_ff_dff_dff_left_dff_l1clk;
+   wire brqrv_top_brqrv_exu_i_alu_i_pc_ff_dff_dff_left_final_en;
+   wire brqrv_top_brqrv_exu_i_alu_i_result_ff_l1clk;
+   wire brqrv_top_brqrv_exu_i_alu_lt;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_0;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_1;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_2;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_3;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_4;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_5;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_6;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_7;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_8;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_9;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_10;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_11;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_12;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_13;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_14;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_15;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_16;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_17;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_18;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_19;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_20;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_21;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_22;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_23;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_24;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_25;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_26;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_27;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_28;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_29;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_30;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_31;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_32;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_33;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_34;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_35;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_36;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_37;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_38;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_39;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_40;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_41;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_42;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_43;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_44;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_45;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_46;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_47;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_48;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_49;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_50;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_51;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_52;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_53;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_54;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_55;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_56;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_57;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_58;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_59;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_60;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_61;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_62;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_63;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_64;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_65;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_66;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_67;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_68;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_69;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_70;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_71;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_72;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_73;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_74;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_75;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_76;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_77;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_78;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_79;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_80;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_81;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_82;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_83;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_84;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_85;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_86;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_87;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_88;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_89;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_90;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_91;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_92;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_93;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_94;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_95;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_96;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_97;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_98;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_99;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_100;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_101;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_102;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_103;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_104;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_105;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_106;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_107;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_108;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_109;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_110;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_111;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_112;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_113;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_114;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_115;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_116;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_117;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_118;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_119;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_120;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_121;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_122;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_123;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_124;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_125;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_126;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_127;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_128;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_129;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_130;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_131;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_132;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_133;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_134;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_135;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_136;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_137;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_138;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_139;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_140;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_141;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_142;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_143;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_144;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_145;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_146;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_147;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_148;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_149;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_150;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_151;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_152;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_153;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_154;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_155;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_156;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_157;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_158;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_159;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_160;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_161;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_162;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_163;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_164;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_165;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_166;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_167;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_168;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_169;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_170;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_171;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_172;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_173;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_174;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_175;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_176;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_177;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_178;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_179;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_180;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_181;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_182;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_183;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_184;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_185;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_186;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_187;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_188;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_189;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_190;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_191;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_192;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_193;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_194;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_195;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_196;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_197;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_198;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_199;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_200;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_201;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_202;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_203;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_204;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_205;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_206;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_207;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_208;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_209;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_210;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_211;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_212;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_213;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_214;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_215;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_216;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_217;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_218;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_219;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_220;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_221;
+   wire brqrv_top_brqrv_exu_i_csr_rs1_x_ff_l1clk;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_enable ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_1 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_2 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_3 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_4 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_5 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_6 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_8 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_9 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_10 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_11 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_12 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_13 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_14 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_15 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_16 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_17 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_18 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_19 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_20 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_21 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_22 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_23 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_24 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_25 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_27 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_28 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_29 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_30 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_32 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_33 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_35 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_36 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_38 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_39 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_40 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_41 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_42 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_43 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_44 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_45 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_46 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_47 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_48 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_50 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_51 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_52 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_53 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_54 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_55 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_56 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_57 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_58 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_59 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_60 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_62 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_64 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_65 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_67 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_68 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_70 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_71 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_73 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_74 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_76 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_77 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_79 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_82 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_83 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_85 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_86 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_88 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_89 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_91 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_92 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_94 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_95 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_97 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_98 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_100 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_103 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_104 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_106 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_109 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_110 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_112 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_113 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_115 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_116 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_118 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_119 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_121 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_122 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_124 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_125 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_127 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_128 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_130 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_137 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_139 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_141 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_143 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_145 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_147 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_150 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_1 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_2 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_3 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_4 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_5 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_6 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_8 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_9 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_10 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_11 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_12 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_13 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_14 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_15 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_16 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_18 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_19 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_20 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_21 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_22 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_23 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_24 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_25 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_26 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_28 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_29 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_30 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_31 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_32 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_33 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_34 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_35 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_36 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_37 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_39 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_40 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_41 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_42 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_43 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_44 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_45 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_46 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_47 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_48 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_49 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_51 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_52 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_53 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_54 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_56 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_57 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_58 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_59 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_60 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_61 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_63 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_65 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_66 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_68 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_69 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_71 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_72 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_74 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_75 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_77 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_78 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_80 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_81 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_83 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_84 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_86 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_87 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_89 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_90 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_92 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_93 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_95 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_96 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_98 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_99 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_101 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_102 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_104 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_105 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_107 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_108 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_110 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_113 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_114 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_116 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_117 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_119 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_120 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_122 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_123 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_125 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_126 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_128 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_138 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_140 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_142 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_144 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_146 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_148 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_151 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_0 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_2 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_3 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_4 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_5 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_6 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_7 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_8 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_9 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_10 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_11 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_12 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_13 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_14 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_15 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_17 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_18 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_19 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_20 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_22 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_23 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_25 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_27 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_28 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_29 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_32 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_33 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_34 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_35 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_36 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_38 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_39 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_40 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_41 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_43 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_44 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_46 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_47 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_48 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_51 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_52 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_53 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_55 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_57 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_58 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_59 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_60 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_61 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_62 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_63 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_64 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_65 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_67 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_68 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_69 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_70 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_71 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_72 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_73 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_74 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_75 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_76 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_77 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_78 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_79 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_80 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_81 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_84 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_85 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_86 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_88 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_90 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_91 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_93 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_94 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_95 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_98 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_99 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_100 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_103 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_104 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_106 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_107 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_109 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_110 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_112 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_113 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_114 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_116 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_117 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_118 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_120 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_121 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_122 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_124 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_125 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_126 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_128 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_129 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_131 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_132 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_134 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_135 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_137 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_138 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_139 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_141 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_142 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_143 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_145 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_146 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_147 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_149 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_150 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_152 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_162 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_164 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_165 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_167 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_168 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_170 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_171 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_173 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_174 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_176 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_177 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_179 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_180 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_182 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_183 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_184 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_186 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_187 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_189 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_200 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_201 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_0 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_1 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_2 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_4 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_5 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_6 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_7 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_8 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_9 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_10 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_11 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_12 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_13 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_14 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_15 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_16 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_17 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_18 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_20 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_21 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_22 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_24 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_25 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_26 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_27 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_28 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_29 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_30 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_31 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_32 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_33 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_35 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_36 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_37 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_38 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_39 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_41 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_42 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_43 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_44 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_45 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_46 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_47 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_48 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_49 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_50 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_51 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_52 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_53 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_54 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_55 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_57 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_58 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_59 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_60 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_61 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_62 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_63 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_64 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_65 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_66 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_67 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_68 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_69 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_70 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_72 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_74 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_75 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_77 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_81 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_83 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_84 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_86 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_87 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_89 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_90 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_92 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_93 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_95 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_96 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_98 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_99 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_101 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_102 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_104 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_105 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_107 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_108 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_110 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_111 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_113 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_114 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_116 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_117 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_119 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_120 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_122 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_123 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_125 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_126 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_128 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_129 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_131 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_132 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_134 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_135 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_137 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_138 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_140 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_141 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_143 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_144 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_146 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_147 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_149 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_150 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_152 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_153 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_155 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_156 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_158 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_159 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_161 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_168 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_170 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_173 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_0 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_1 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_2 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_3 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_4 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_6 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_7 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_8 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_10 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_11 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_12 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_13 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_14 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_15 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_16 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_17 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_18 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_19 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_20 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_21 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_22 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_23 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_25 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_28 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_30 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_31 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_33 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_34 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_35 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_37 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_38 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_39 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_40 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_41 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_42 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_43 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_44 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_45 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_46 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_47 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_48 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_49 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_51 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_52 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_53 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_54 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_55 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_56 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_58 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_59 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_60 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_61 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_62 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_63 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_64 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_65 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_66 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_67 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_68 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_69 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_70 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_72 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_74 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_75 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_76 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_79 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_80 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_81 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_82 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_83 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_84 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_86 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_87 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_88 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_89 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_90 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_91 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_92 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_93 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_94 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_96 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_97 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_98 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_100 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_101 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_103 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_104 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_106 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_110 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_112 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_113 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_115 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_116 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_118 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_119 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_121 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_125 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_127 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_128 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_130 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_131 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_133 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_134 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_136 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_137 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_139 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_140 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_142 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_143 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_145 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_147 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_149 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_153 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_155 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_156 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_158 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_159 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_161 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_162 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_164 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_165 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_167 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_168 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_170 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_171 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_173 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_174 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_176 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_177 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_179 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_180 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_182 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_189 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_192 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_193 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_195 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_196 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_0 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_1 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_2 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_3 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_4 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_5 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_7 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_8 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_10 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_11 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_13 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_14 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_15 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_16 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_18 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_19 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_20 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_21 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_22 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_23 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_24 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_25 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_26 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_27 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_28 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_29 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_30 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_31 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_32 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_33 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_34 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_35 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_36 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_37 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_38 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_39 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_40 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_41 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_42 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_43 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_44 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_45 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_46 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_47 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_48 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_49 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_50 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_51 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_52 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_53 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_54 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_55 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_56 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_57 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_58 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_59 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_60 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_61 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_62 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_63 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_64 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_65 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_66 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_67 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_70 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_71 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_72 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_73 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_74 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_75 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_76 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_77 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_78 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_79 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_80 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_81 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_82 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_83 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_84 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_85 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_86 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_87 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_88 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_89 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_90 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_91 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_92 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_93 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_95 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_96 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_97 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_99 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_100 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_102 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_103 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_105 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_109 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_111 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_112 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_114 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_115 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_117 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_118 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_120 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_121 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_123 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_127 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_129 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_130 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_132 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_133 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_135 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_136 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_138 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_139 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_141 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_142 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_144 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_145 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_147 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_149 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_150 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_152 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_153 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_155 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_156 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_158 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_159 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_161 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_162 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_164 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_165 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_167 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_168 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_170 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_171 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_173 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_174 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_176 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_177 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_179 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_180 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_182 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_183 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_186 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_187 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_188 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_190 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_191 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_192 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_193 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_196 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_enable ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_by_zero_case ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_by_zero_case_ff ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_finish ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_finish_ff ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_a_ff_l1clk ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_b_ff_l1clk ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_misc_ff_l1clk ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_q_ff_l1clk ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_r_ff_l1clk ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_misc_enable ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_rq_enable ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable_ff ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_1 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_2 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_3 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_4 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_5 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_6 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_7 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_8 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_9 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_10 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_11 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_12 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_13 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_14 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_15 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_16 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_17 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_18 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_19 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_20 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_21 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_22 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_23 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_24 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_25 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_26 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_27 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_28 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_29 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_30 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_31 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_32 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_33 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_34 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_35 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_36 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_37 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_38 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_39 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_40 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_41 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_42 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_43 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_44 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_45 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_46 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_47 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_48 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_49 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_50 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_51 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_52 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_53 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_54 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_55 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_56 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_57 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_58 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_59 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_60 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_61 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_62 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_63 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_64 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_65 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_66 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_67 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_68 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_69 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_70 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_71 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_72 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_73 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_74 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_75 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_76 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_77 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_78 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_79 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_80 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_81 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_82 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_83 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_84 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_86 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_87 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_88 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_89 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_90 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_91 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_92 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_93 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_94 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_95 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_96 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_97 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_98 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_99 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_100 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_101 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_102 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_103 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_104 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_105 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_106 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_107 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_108 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_109 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_111 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_112 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_113 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_114 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_115 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_116 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_117 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_118 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_119 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_120 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_121 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_122 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_123 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_124 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_125 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_126 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_127 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_128 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_129 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_132 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_133 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_134 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_135 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_136 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_137 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_138 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_139 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_140 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_141 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_142 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_143 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_144 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_145 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_146 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_147 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_148 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_149 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_150 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_151 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_152 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_153 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_154 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_155 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_156 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_157 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_162 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_163 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_164 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_165 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_166 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_167 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_168 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_169 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_170 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_171 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_172 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_173 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_174 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_175 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_176 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_177 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_178 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_179 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_180 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_181 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_182 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_183 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_184 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_186 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_187 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_189 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_195 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_196 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_valid_ff ;
+   wire brqrv_top_brqrv_exu_i_flush_path_x_ff_dff_dff_extra_l1clk;
+   wire brqrv_top_brqrv_exu_i_flush_path_x_ff_dff_dff_left_dff_l1clk;
+   wire brqrv_top_brqrv_exu_i_flush_path_x_ff_dff_dff_left_final_en;
+   wire brqrv_top_brqrv_exu_i_flush_r_ff_dff_dff_extra_l1clk;
+   wire brqrv_top_brqrv_exu_i_flush_r_ff_dff_dff_left_dff_l1clk;
+   wire brqrv_top_brqrv_exu_i_flush_r_ff_dff_dff_left_final_en;
+   wire brqrv_top_brqrv_exu_i_flush_r_ff_n_0;
+   wire brqrv_top_brqrv_exu_i_flush_r_ff_n_1;
+   wire brqrv_top_brqrv_exu_i_flush_r_ff_n_2;
+   wire brqrv_top_brqrv_exu_i_flush_r_ff_n_3;
+   wire brqrv_top_brqrv_exu_i_flush_r_ff_n_4;
+   wire brqrv_top_brqrv_exu_i_flush_r_ff_n_5;
+   wire brqrv_top_brqrv_exu_i_flush_r_ff_n_6;
+   wire brqrv_top_brqrv_exu_i_flush_r_ff_n_7;
+   wire brqrv_top_brqrv_exu_i_flush_r_ff_n_8;
+   wire brqrv_top_brqrv_exu_i_flush_r_ff_n_9;
+   wire brqrv_top_brqrv_exu_i_flush_r_ff_n_10;
+   wire brqrv_top_brqrv_exu_i_flush_r_ff_n_11;
+   wire brqrv_top_brqrv_exu_i_flush_r_ff_n_12;
+   wire brqrv_top_brqrv_exu_i_flush_r_ff_n_13;
+   wire brqrv_top_brqrv_exu_i_flush_r_ff_n_14;
+   wire brqrv_top_brqrv_exu_i_flush_r_ff_n_15;
+   wire brqrv_top_brqrv_exu_i_flush_r_ff_n_16;
+   wire brqrv_top_brqrv_exu_i_flush_r_ff_n_17;
+   wire brqrv_top_brqrv_exu_i_flush_r_ff_n_18;
+   wire brqrv_top_brqrv_exu_i_flush_r_ff_n_19;
+   wire brqrv_top_brqrv_exu_i_flush_r_ff_n_20;
+   wire brqrv_top_brqrv_exu_i_flush_r_ff_n_21;
+   wire brqrv_top_brqrv_exu_i_flush_r_ff_n_22;
+   wire brqrv_top_brqrv_exu_i_flush_r_ff_n_23;
+   wire brqrv_top_brqrv_exu_i_misc_ff_en;
+   wire brqrv_top_brqrv_exu_i_misc_ff_l1clk;
+   wire brqrv_top_brqrv_exu_i_mul_i_a_x_ff_l1clk;
+   wire brqrv_top_brqrv_exu_i_mul_i_b_x_ff_l1clk;
+   wire brqrv_top_brqrv_exu_i_mul_low_x;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_10;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_11;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_12;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_13;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_14;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_15;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_16;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_17;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_22;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_23;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_24;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_25;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_26;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_27;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_28;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_29;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_30;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_31;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_32;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_33;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_34;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_35;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_36;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_37;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_38;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_39;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_40;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_41;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_42;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_43;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_44;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_45;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_46;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_47;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_48;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_49;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_54;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_56;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_58;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_67;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_68;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_69;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_71;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_74;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_76;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_77;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_78;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_79;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_80;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_81;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_82;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_84;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_85;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_89;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_126;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_135;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_141;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_143;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_148;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_149;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_176;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_183;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_190;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_192;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_198;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_200;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_202;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_204;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_206;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_208;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_209;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_210;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_213;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_216;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_217;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_218;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_219;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_220;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_222;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_231;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_232;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_233;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_234;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_235;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_236;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_240;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_241;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_242;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_243;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_244;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_245;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_246;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_247;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_248;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_249;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_250;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_251;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_252;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_253;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_254;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_264;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_265;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_266;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_267;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_268;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_269;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_270;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_271;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_274;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_275;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_276;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_278;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_279;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_280;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_281;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_282;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_283;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_284;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_285;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_286;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_288;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_347;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_348;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_349;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_350;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_351;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_352;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_353;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_354;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_355;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_356;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_357;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_358;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_364;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_365;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_369;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_381;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_384;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_396;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_397;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_400;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_401;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_407;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_408;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_409;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_410;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_411;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_412;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_413;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_414;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_415;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_416;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_417;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_418;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_419;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_420;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_421;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_422;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_423;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_424;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_425;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_426;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_427;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_428;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_429;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_430;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_431;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_432;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_433;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_435;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_436;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_437;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_438;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_439;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_440;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_441;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_442;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_443;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_444;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_445;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_446;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_447;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_448;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_449;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_450;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_451;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_452;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_453;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_454;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_455;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_456;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_457;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_458;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_459;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_460;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_461;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_462;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_463;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_464;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_465;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_466;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_468;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_469;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_470;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_471;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_472;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_473;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_475;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_476;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_477;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_478;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_479;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_480;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_481;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_482;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_483;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_484;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_485;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_486;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_487;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_488;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_489;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_490;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_491;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_492;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_493;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_494;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_495;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_496;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_497;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_498;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_499;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_500;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_501;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_502;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_503;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_504;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_505;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_506;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_507;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_508;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_509;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_510;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_512;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_513;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_514;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_515;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_516;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_517;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_519;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_520;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_521;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_522;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_523;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_524;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_525;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_526;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_527;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_528;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_529;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_530;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_531;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_532;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_533;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_534;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_535;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_536;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_537;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_538;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_539;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_540;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_541;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_542;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_543;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_544;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_545;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_546;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_547;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_548;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_549;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_550;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_551;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_552;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_553;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_554;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_555;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_556;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_557;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_558;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_559;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_560;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_561;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_562;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_563;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_564;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_565;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_566;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_567;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_568;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_569;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_570;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_571;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_572;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_573;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_574;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_575;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_576;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_577;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_578;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_579;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_580;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_581;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_582;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_583;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_584;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_585;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_586;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_587;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_588;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_589;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_590;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_591;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_592;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_593;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_594;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_595;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_596;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_597;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_598;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_600;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_601;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_602;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_603;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_604;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_605;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_606;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_607;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_608;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_609;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_610;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_611;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_612;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_613;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_614;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_615;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_616;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_617;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_618;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_619;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_620;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_621;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_622;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_623;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_624;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_625;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_626;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_627;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_628;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_629;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_630;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_632;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_633;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_634;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_635;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_636;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_637;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_638;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_639;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_640;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_641;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_642;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_643;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_644;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_645;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_646;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_648;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_649;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_650;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_651;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_652;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_653;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_654;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_655;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_656;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_657;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_658;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_659;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_660;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_661;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_662;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_663;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_664;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_665;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_666;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_667;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_668;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_669;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_670;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_671;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_672;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_673;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_674;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_675;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_676;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_677;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_678;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_679;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_680;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_681;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_682;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_684;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_685;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_686;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_687;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_688;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_689;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_690;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_691;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_692;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_693;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_694;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_695;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_696;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_698;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_699;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_700;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_701;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_702;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_703;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_704;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_705;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_706;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_707;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_708;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_709;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_710;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_711;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_712;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_713;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_715;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_716;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_717;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_718;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_720;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_721;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_722;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_723;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_724;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_725;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_726;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_727;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_728;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_729;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_730;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_731;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_732;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_733;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_734;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_735;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_737;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_738;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_739;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_740;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_742;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_743;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_744;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_745;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_746;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_747;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_748;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_749;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_750;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_751;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_752;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_753;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_754;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_755;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_756;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_757;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_758;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_759;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_760;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_764;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_765;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_767;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_770;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_775;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_776;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_777;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_778;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_779;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_780;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_781;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_782;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_783;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_784;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_785;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_786;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_787;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_788;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_790;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_793;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_794;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_795;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_797;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_798;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_799;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_801;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_803;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_805;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_806;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_807;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_808;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_810;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_811;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_812;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_813;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_814;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_815;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_816;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_817;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_818;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_820;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_822;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_823;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_824;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_825;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_826;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_827;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_828;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_829;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_830;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_831;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_832;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_833;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_834;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_835;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_836;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_837;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_838;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_839;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_840;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_841;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_842;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_843;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_844;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_845;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_846;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_847;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_848;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_849;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_850;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_851;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_852;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_853;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_854;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_855;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_856;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_857;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_858;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_859;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_860;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_861;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_862;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_863;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_864;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_865;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_866;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_867;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_868;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_869;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_870;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_871;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_872;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_873;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_874;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_875;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_876;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_878;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_879;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_880;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_881;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_883;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_884;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_885;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_886;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_887;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_888;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_889;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_891;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_894;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_895;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_896;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_897;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_898;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_899;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_900;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_901;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_902;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_903;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_904;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_905;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_906;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_907;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_909;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_910;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_911;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_913;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_914;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_915;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_916;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_917;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_918;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_919;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_920;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_921;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_922;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_923;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_924;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_925;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_926;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_927;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_928;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_930;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_931;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_932;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_933;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_934;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_935;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_936;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_937;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_938;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_939;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_940;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_941;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_942;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_943;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_944;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_945;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_946;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_947;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_948;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_951;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_952;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_953;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_954;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_955;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_956;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_957;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_958;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_959;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_960;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_961;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_962;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_963;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_964;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_965;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_966;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_967;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_968;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_969;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_970;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_971;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_972;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_974;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_975;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_976;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_977;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_978;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_979;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_980;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_981;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_982;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_983;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_984;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_985;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_986;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_987;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_988;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_989;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_990;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_991;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_992;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_993;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_994;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_995;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_996;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_997;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_998;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_999;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1000;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1001;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1002;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1003;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1004;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1005;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1006;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1007;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1008;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1009;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1010;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1011;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1012;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1013;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1014;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1016;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1017;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1018;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1019;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1020;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1021;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1022;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1023;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1024;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1025;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1026;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1027;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1028;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1029;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1030;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1031;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1032;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1033;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1034;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1035;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1036;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1037;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1038;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1039;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1040;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1041;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1042;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1043;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1044;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1045;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1046;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1047;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1048;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1049;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1051;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1052;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1053;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1054;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1055;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1056;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1058;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1059;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1060;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1061;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1062;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1063;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1064;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1065;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1066;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1067;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1068;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1069;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1070;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1071;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1072;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1073;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1074;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1075;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1076;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1077;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1078;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1079;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1080;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1081;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1082;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1083;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1085;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1086;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1087;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1088;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1089;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1090;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1091;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1092;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1093;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1094;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1095;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1096;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1097;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1098;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1099;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1100;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1101;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1102;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1103;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1104;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1105;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1106;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1107;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1108;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1109;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1111;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1114;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1115;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1116;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1117;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1118;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1120;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1121;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1122;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1123;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1124;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1126;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1128;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1129;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1130;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1131;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1132;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1133;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1134;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1135;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1138;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1139;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1141;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1142;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1143;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1144;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1145;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1146;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1147;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1148;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1149;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1150;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1151;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1152;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1153;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1154;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1155;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1156;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1157;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1158;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1159;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1160;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1161;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1162;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1163;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1164;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1165;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1166;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1167;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1168;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1169;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1170;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1171;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1172;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1173;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1174;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1175;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1176;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1177;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1178;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1179;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1180;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1181;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1182;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1183;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1184;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1185;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1186;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1187;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1188;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1189;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1190;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1191;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1192;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1193;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1194;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1195;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1196;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1197;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1198;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1199;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1200;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1201;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1202;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1203;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1204;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1205;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1206;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1207;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1209;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1210;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1211;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1212;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1213;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1214;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1215;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1216;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1217;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1219;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1220;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1223;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1224;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1227;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1231;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1232;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1234;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1236;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1237;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1239;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1241;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1242;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1244;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1246;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1249;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1253;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1254;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1255;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1256;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1257;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1258;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1259;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1260;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1261;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1262;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1263;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1264;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1265;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1267;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1268;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1270;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1271;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1272;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1273;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1274;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1275;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1277;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1279;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1280;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1283;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1285;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1286;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1287;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1288;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1290;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1291;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1295;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1297;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1298;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1299;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1300;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1301;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1302;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1305;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1306;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1308;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1309;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1310;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1312;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1314;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1315;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1317;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1318;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1319;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1320;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1321;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1322;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1323;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1324;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1325;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1327;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1328;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1329;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1330;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1331;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1332;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1333;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1334;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1335;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1336;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1337;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1338;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1340;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1341;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1342;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1344;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1345;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1348;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1350;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1351;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1352;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1353;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1355;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1356;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1357;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1359;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1361;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1362;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1368;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1371;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1372;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1374;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1375;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1377;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1378;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1380;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1381;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1383;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1384;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1385;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1386;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1388;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1389;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1395;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1396;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1397;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1398;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1399;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1400;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1401;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1402;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1403;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1404;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1405;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1406;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1407;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1408;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1409;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1410;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1411;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1412;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1413;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1414;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1415;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1416;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1417;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1418;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1419;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1420;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1421;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1422;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1423;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1424;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1425;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1426;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1427;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1428;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1429;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1430;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1431;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1432;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1433;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1434;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1435;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1436;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1437;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1438;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1439;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1440;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1441;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1442;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1443;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1444;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1445;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1446;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1447;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1448;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1449;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1451;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1452;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1453;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1456;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1457;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1458;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1459;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1461;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1462;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1463;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1464;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1465;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1466;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1467;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1468;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1469;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1471;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1472;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1473;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1474;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1475;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1476;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1477;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1478;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1479;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1480;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1481;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1482;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1483;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1484;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1485;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1486;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1487;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1489;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1490;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1491;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1492;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1494;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1495;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1496;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1497;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1498;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1499;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1500;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1501;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1502;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1503;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1504;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1505;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1507;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1508;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1510;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1511;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1512;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1513;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1514;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1517;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1518;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1519;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1520;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1521;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1523;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1524;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1525;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1526;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1527;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1528;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1529;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1530;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1531;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1532;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1536;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1537;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1538;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1539;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1540;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1542;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1543;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1544;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1545;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1547;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1548;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1549;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1550;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1551;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1552;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1554;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1556;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1557;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1558;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1559;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1560;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1561;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1562;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1563;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1565;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1566;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1567;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1568;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1570;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1571;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1572;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1573;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1574;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1575;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1576;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1577;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1578;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1579;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1580;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1581;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1582;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1583;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1584;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1585;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1586;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1587;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1589;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1590;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1591;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1592;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1594;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1595;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1596;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1597;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1598;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1599;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1600;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1601;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1603;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1604;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1605;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1606;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1607;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1608;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1609;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1610;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1611;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1612;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1613;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1614;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1615;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1616;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1617;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1618;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1619;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1620;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1621;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1622;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1623;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1624;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1625;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1626;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1627;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1628;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1629;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1630;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1631;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1632;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1633;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1634;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1636;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1637;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1638;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1639;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1640;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1641;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1642;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1643;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1644;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1645;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1646;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1647;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1648;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1649;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1650;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1651;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1652;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1653;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1654;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1655;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1656;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1657;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1658;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1659;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1660;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1661;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1662;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1663;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1664;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1665;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1667;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1669;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1670;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1671;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1672;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1673;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1674;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1675;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1676;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1677;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1678;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1679;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1680;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1681;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1682;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1683;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1684;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1685;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1686;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1687;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1688;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1689;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1691;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1692;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1693;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1694;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1695;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1696;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1697;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1698;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1699;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1700;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1701;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1702;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1703;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1704;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1705;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1706;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1707;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1708;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1709;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1710;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1711;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1712;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1713;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1714;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1715;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1716;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1717;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1718;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1719;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1720;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1721;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1722;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1723;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1724;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1725;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1726;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1727;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1728;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1729;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1730;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1731;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1732;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1733;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1734;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1735;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1736;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1737;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1738;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1739;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1741;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1745;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1746;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1747;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1748;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1749;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1750;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1751;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1753;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1754;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1755;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1756;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1757;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1758;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1759;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1760;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1761;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1762;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1763;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1764;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1765;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1766;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1767;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1768;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1769;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1770;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1771;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1773;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1774;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1775;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1776;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1779;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1780;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1781;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1782;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1784;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1786;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1787;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1788;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1789;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1791;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1792;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1793;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1794;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1795;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1796;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1798;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1799;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1800;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1801;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1802;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1806;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1807;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1808;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1809;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1810;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1811;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1812;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1813;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1815;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1816;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1817;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1818;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1819;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1820;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1821;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1822;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1823;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1824;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1825;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1826;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1827;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1828;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1829;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1831;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1832;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1833;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1835;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1836;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1838;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1839;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1840;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1842;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1843;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1844;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1845;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1846;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1847;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1848;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1849;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1850;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1852;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1853;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1856;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1857;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1858;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1861;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1862;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1864;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1865;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1866;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1868;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1869;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1870;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1871;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1872;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1873;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1874;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1875;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1876;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1877;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1878;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1879;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1880;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1881;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1882;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1883;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1884;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1885;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1886;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1887;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1888;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1889;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1891;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1892;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1893;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1894;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1895;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1896;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1897;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1899;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1900;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1901;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1902;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1903;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1904;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1905;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1908;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1909;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1910;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1911;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1912;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1913;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1914;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1915;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1916;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1917;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1918;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1920;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1921;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1922;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1923;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1924;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1925;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1926;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1928;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1929;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1930;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1931;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1932;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1933;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1934;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1935;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1936;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1937;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1938;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1939;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1940;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1941;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1942;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1944;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1945;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1946;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1947;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1948;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1949;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1950;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1951;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1952;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1953;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1954;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1955;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1956;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1957;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1958;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1959;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1960;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1962;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1963;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1964;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1967;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1968;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1969;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1970;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1971;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1972;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1973;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1974;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1977;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1983;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1987;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1988;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1989;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1990;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1992;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1993;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1994;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1997;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1998;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1999;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2001;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2003;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2005;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2007;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2010;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2011;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2012;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2015;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2017;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2018;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2022;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2023;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2024;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2025;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2026;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2027;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2028;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2029;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2030;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2031;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2032;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2033;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2034;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2035;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2036;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2037;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2038;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2039;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2041;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2042;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2044;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2046;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2047;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2049;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2050;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2052;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2054;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2057;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2058;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2059;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2064;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2070;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2075;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2077;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2078;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2079;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2081;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2082;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2084;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2086;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2087;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2088;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2089;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2090;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2093;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2094;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2095;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2099;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2100;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2103;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2105;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2108;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2109;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2111;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2115;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2120;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2122;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2130;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2133;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2134;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2135;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2137;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2138;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2139;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2140;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2141;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2142;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2143;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2144;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2145;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2146;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2147;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2148;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2149;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2151;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2152;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2153;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2154;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2155;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2156;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2157;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2158;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2159;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2160;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2162;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2163;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2164;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2165;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2166;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2167;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2168;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2169;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2170;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2171;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2172;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2173;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2174;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2175;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2176;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2177;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2178;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2179;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2181;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2182;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2183;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2185;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2186;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2187;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2188;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2189;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2190;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2191;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2192;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2193;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2194;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2195;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2196;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2197;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2198;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2199;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2200;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2201;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2202;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2203;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2205;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2206;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2207;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2209;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2211;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2212;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2213;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2214;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2216;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2219;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2222;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2224;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2225;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2226;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2227;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2228;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2229;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2230;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2231;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2232;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2234;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2235;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2236;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2237;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2238;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2239;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2240;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2241;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2242;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2243;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2244;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2245;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2247;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2248;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2249;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2250;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2251;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2252;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2253;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2254;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2256;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2257;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2258;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2259;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2260;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2261;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2262;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2263;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2266;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2267;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2269;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2271;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2274;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2276;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2277;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2278;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2279;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2280;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2281;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2282;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2283;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2284;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2285;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2286;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2287;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2289;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2290;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2291;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2292;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2293;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2294;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2295;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2296;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2297;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2299;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2302;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2303;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2304;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2305;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2306;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2307;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2308;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2309;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2310;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2311;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2312;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2313;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2314;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2315;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2316;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2319;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2322;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2323;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2324;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2325;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2328;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2330;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2331;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2332;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2333;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2334;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2335;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2336;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2337;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2338;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2339;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2340;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2341;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2342;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2343;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2344;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2345;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2346;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2348;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2349;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2351;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2352;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2353;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2354;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2355;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2356;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2358;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2359;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2364;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2371;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2372;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2376;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2377;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2383;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2385;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2388;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2390;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2391;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2394;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2395;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2396;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2397;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2398;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2399;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2400;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2401;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2402;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2403;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2404;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2406;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2407;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2408;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2410;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2411;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2412;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2413;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2414;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2415;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2418;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2419;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2425;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2426;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2427;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2428;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2431;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2434;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2435;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2442;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2451;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2454;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2455;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2461;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2465;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2466;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2467;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2469;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2470;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2471;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2478;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2479;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2483;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2485;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2491;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2493;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2494;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2495;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2497;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2498;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2500;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2501;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2502;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2503;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2504;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2505;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2506;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2507;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2508;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2510;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2511;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2512;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2513;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2514;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2515;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2518;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2519;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2522;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2523;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2524;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2526;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2527;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2528;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2530;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2531;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2532;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2533;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2534;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2535;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2537;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2538;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2539;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2540;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2543;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2544;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2545;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2546;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2547;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2549;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2552;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2553;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2554;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2555;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2556;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2557;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2562;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2563;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2565;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2566;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2567;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2568;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2569;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2572;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2573;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2574;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2576;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2577;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2579;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2580;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2581;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2582;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2583;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2584;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2585;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2587;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2591;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2594;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2596;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2597;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2598;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2599;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2600;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2601;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2602;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2604;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2605;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2606;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2607;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2608;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2609;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2610;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2611;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2614;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2615;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2616;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2617;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2618;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2619;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2620;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2621;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2622;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2623;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2624;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2625;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2626;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2627;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2628;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2629;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2630;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2631;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2632;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2633;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2634;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2635;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2636;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2637;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2638;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2639;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2640;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2641;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2642;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2643;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2644;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2645;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2646;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2647;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2648;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2649;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2650;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2651;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2652;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2653;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2654;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2655;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2656;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2657;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2659;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2660;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2663;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2664;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2665;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2666;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2667;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2669;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2670;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2671;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2674;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2675;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2678;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2679;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2680;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2681;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2682;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2683;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2684;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2687;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2688;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2690;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2691;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2692;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2693;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2695;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2696;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2698;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2699;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2702;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2703;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2705;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2708;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2709;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2712;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2713;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2714;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2716;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2718;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2719;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2720;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2721;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2722;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2724;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2726;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2728;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2729;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2731;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2732;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2737;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2741;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2744;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2746;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2748;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2749;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2751;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2752;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2753;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2754;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2755;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2756;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2757;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2758;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2759;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2761;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2762;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2763;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2765;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2766;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2767;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2768;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2769;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2770;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2771;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2773;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2775;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2776;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2782;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2783;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2784;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2785;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2786;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2787;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2788;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2789;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2790;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2791;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2792;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2793;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2794;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2795;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2796;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2797;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2798;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2800;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2801;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2802;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2803;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2804;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2806;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2808;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2810;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2812;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2814;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2815;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2816;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2818;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2825;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2826;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2827;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2829;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2830;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2831;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2832;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2833;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2834;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2835;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2837;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2838;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2839;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2840;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2841;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2842;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2843;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2844;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2845;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2846;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2847;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2848;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2849;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2850;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2853;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2854;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2855;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2856;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2857;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2858;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2860;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2864;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2865;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2866;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2872;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2873;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2874;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2875;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2880;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2881;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2882;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2890;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2893;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2902;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2907;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2911;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2912;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2913;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2914;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2915;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2916;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2917;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2918;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2920;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2921;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2922;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2923;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2924;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2925;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2926;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2927;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2928;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2929;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2930;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2936;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2939;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2940;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2942;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2944;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2945;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2946;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2947;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2950;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2952;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2954;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2955;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2956;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2957;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2958;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2959;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2960;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2961;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2962;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2963;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2964;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2965;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2966;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2967;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2968;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2969;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2970;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2971;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2974;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2975;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2977;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2978;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2979;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2980;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2981;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2983;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2986;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2987;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2988;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2989;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2991;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2992;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2993;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2994;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2995;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2996;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2997;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2998;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3000;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3001;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3002;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3003;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3004;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3005;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3006;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3007;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3008;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3009;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3010;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3011;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3012;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3013;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3015;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3029;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3030;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3038;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3042;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3045;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3047;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3048;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3049;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3050;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3051;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3052;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3053;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3054;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3055;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3056;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3057;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3058;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3059;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3060;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3061;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3062;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3063;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3064;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3065;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3066;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3067;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3070;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3071;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3073;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3078;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3079;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3081;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3083;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3085;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3086;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3087;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3089;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3092;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3093;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3096;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3098;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3099;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3102;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3103;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3108;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3109;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3110;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3111;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3112;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3113;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3114;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3115;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3117;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3118;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3119;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3120;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3124;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3125;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3129;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3133;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3136;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3137;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3138;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3140;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3141;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3142;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3143;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3144;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3145;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3146;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3147;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3148;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3149;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3150;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3151;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3152;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3153;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3154;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3155;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3156;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3158;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3159;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3160;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3161;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3162;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3165;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3166;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3167;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3169;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3170;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3172;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3173;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3174;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3175;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3176;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3177;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3178;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3179;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3184;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3192;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3193;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3199;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3200;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3201;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3202;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3203;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3204;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3205;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3206;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3207;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3208;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3209;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3210;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3211;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3213;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3214;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3216;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3217;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3218;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3219;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3220;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3221;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3222;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3223;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3224;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3225;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3226;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3228;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3229;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3230;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3232;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3233;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3235;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3236;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3238;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3239;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3240;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3242;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3243;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3244;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3246;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3247;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3248;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3249;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3250;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3254;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3255;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3256;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3258;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3260;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3261;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3262;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3263;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3264;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3265;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3266;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3267;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3268;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3269;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3270;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3271;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3272;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3273;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3274;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3275;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3276;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3277;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3278;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3279;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3280;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3281;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3282;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3283;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3284;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3285;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3286;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3287;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3288;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3292;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3293;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3294;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3297;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3298;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3299;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3300;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3301;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3302;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3303;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3306;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3307;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3308;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3309;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3310;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3312;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3314;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3315;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3316;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3317;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3318;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3319;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3320;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3322;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3325;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3326;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3328;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3332;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3334;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3335;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3336;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3337;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3341;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3343;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3344;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3345;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3346;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3347;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3349;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3354;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3357;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3359;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3360;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3361;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3362;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3363;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3364;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3365;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3366;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3367;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3368;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3369;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3370;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3372;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3373;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3376;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3377;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3378;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3379;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3380;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3382;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3384;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3386;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3387;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3390;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3391;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3392;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3394;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3395;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3399;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3400;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3401;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3402;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3403;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3404;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3407;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3408;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3409;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3410;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3412;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3413;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3415;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3417;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3420;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3423;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3427;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3429;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3430;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3434;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3437;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3438;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3441;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3442;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3443;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3446;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3447;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3448;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3449;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3452;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3455;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3456;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3459;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3460;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3461;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3462;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3463;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3464;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3467;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3468;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3470;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3471;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3473;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3474;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3479;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3480;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3481;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3482;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3483;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3486;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3488;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3489;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3490;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3492;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3493;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3497;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3498;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3500;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3501;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3502;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3503;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3504;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3506;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3507;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3508;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3511;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3512;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3513;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3514;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3521;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3524;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3525;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3526;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3528;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3530;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3531;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3532;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3533;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3534;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3535;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3536;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3537;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3538;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3540;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3541;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3542;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3543;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3545;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3546;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3547;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3548;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3549;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3550;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3552;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3555;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3557;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3558;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3559;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3560;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3561;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3562;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3563;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3567;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3570;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3572;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3573;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3574;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3575;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3576;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3577;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3578;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3579;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3580;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3581;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3582;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3583;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3584;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3585;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3586;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3587;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3590;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3591;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3592;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3593;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3594;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3598;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3599;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3600;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3601;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3602;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3603;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3604;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3605;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3606;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3610;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3614;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3615;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3616;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3617;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3618;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3619;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3621;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3623;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3625;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3626;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3633;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3634;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3635;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3636;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3637;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3638;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3639;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3640;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3641;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3642;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3643;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3644;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3645;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3646;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3647;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3648;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3650;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3651;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3652;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3656;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3657;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3658;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3660;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3661;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3663;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3667;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3671;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3674;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3678;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3683;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3684;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3685;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3686;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3687;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3688;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3689;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3690;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3691;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3694;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3695;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3696;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3697;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3698;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3699;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3700;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3703;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3704;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3705;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3706;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3707;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3708;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3709;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3710;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3711;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3712;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3713;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3715;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3717;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3718;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3719;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3720;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3721;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3722;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3723;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3725;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3727;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3728;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3732;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3734;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3735;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3738;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3739;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3740;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3741;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3742;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3743;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3744;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3745;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3746;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3747;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3748;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3749;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3750;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3751;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3752;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3753;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3754;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3758;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3759;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3760;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3761;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3763;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3764;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3766;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3768;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3769;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3770;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3771;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3772;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3773;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3774;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3776;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3777;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3778;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3779;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3780;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3781;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3782;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3783;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3784;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3785;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3786;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3788;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3789;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3790;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3792;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3793;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3794;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3795;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3796;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3798;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3799;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3800;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3801;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3802;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3803;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3804;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3806;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3810;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3811;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3812;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3813;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3814;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3815;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3816;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3817;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3818;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3819;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3820;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3821;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3825;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3827;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3829;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3830;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3832;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3833;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3834;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3836;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3837;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3842;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3843;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3844;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3845;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3846;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3847;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3848;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3849;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3850;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3851;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3852;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3853;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3854;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3855;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3857;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3858;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3861;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3864;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3866;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3868;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3869;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3870;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3871;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3872;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3878;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3879;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3880;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3881;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3882;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3883;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3884;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3885;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3886;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3887;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3888;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3889;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3890;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3891;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3892;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3893;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3894;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3895;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3896;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3897;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3898;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3899;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3902;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3903;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3905;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3906;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3907;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3908;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3909;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3911;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3912;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3913;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3914;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3915;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3921;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3922;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3923;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3924;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3925;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3926;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3927;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3928;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3929;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3930;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3933;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3935;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3937;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3938;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3939;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3941;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3942;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3943;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3944;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3949;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3950;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3951;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3955;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3956;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3957;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3958;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3959;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3960;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3961;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3962;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3964;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3965;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3966;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3969;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3971;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3972;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3973;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3974;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3976;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3977;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3978;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3979;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3980;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3981;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3982;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3983;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3984;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3985;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3986;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3987;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3988;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3989;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3990;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3991;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3992;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3993;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3994;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3995;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3997;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3998;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3999;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4000;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4001;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4005;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4006;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4008;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4009;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4010;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4013;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4014;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4015;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4016;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4017;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4018;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4019;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4020;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4021;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4023;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4024;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4026;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4029;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4030;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4031;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4032;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4035;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4036;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4037;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4038;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4039;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4040;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4041;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4042;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4043;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4044;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4045;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4047;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4048;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4049;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4050;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4051;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4054;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4057;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4058;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4060;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4061;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4062;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4063;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4064;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4065;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4066;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4067;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4068;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4069;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4070;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4071;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4072;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4073;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4074;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4075;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4076;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4078;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4080;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4081;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4082;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4083;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4084;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4085;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4086;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4087;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4088;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4089;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4090;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4091;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4092;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4093;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4094;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4096;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4097;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4098;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4099;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4100;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4101;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4102;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4103;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4104;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4105;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4106;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4107;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4108;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4109;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4110;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4111;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4112;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4113;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4114;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4115;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4116;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4117;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4118;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4119;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4120;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4121;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4122;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4124;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4125;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4126;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4127;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4128;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4129;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4130;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4131;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4132;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4133;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4134;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4135;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4136;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4137;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4138;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4139;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4140;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4141;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4142;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4143;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4144;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4145;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4146;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4147;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4148;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4149;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4150;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4151;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4152;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4153;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4154;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4155;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4156;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4157;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4158;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4159;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4160;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4161;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4162;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4163;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4165;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4166;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4167;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4168;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4169;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4170;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4171;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4172;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4173;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4174;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4175;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4176;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4177;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4178;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4180;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4181;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4182;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4183;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4184;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4185;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4186;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4187;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4188;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4190;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4191;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4192;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4193;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4194;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4195;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4199;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4200;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4201;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4202;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4203;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4204;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4205;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4206;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4207;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4208;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4209;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4210;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4211;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4217;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4218;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4219;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4220;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4221;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4222;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4223;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4224;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4225;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4226;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4228;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4234;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4235;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4236;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4237;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4238;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4240;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4241;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4242;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4247;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4248;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4249;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4250;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4251;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4253;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4254;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4255;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4260;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4261;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4262;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4263;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4265;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4266;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4267;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4268;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4273;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4274;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4275;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4278;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4279;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4280;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4281;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4285;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4286;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4287;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4290;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4291;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4292;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4293;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4296;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4297;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4300;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4301;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4302;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4305;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4306;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4307;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4310;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4311;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4313;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4316;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4317;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4319;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4320;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4322;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4324;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4325;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4332;
+   wire brqrv_top_brqrv_exu_i_npc_r_ff_dff_dff_extra_l1clk;
+   wire brqrv_top_brqrv_exu_i_npc_r_ff_dff_dff_left_dff_l1clk;
+   wire brqrv_top_brqrv_exu_i_npc_r_ff_dff_dff_left_final_en;
+   wire brqrv_top_brqrv_exu_i_predictpacket_x_ff_dff_left_l1clk;
+   wire brqrv_top_brqrv_exu_i_predpipe_r_ff_l1clk;
+   wire brqrv_top_brqrv_exu_i_predpipe_x_ff_l1clk;
+   wire brqrv_top_brqrv_exu_i_r_ff0_dff_left_l1clk;
+   wire brqrv_top_brqrv_exu_i_x_ff_l1clk;
+   wire brqrv_top_brqrv_exu_mul_valid_x;
+   wire brqrv_top_brqrv_exu_n_254;
+   wire brqrv_top_brqrv_exu_n_887;
+   wire brqrv_top_brqrv_exu_n_888;
+   wire brqrv_top_brqrv_exu_n_889;
+   wire brqrv_top_brqrv_exu_n_890;
+   wire brqrv_top_brqrv_exu_n_892;
+   wire brqrv_top_brqrv_exu_n_893;
+   wire brqrv_top_brqrv_exu_n_894;
+   wire brqrv_top_brqrv_exu_n_895;
+   wire brqrv_top_brqrv_exu_n_896;
+   wire brqrv_top_brqrv_exu_n_897;
+   wire brqrv_top_brqrv_exu_n_898;
+   wire brqrv_top_brqrv_exu_n_901;
+   wire brqrv_top_brqrv_exu_n_902;
+   wire brqrv_top_brqrv_exu_n_903;
+   wire brqrv_top_brqrv_exu_n_904;
+   wire brqrv_top_brqrv_exu_n_906;
+   wire brqrv_top_brqrv_exu_n_909;
+   wire brqrv_top_brqrv_exu_n_910;
+   wire brqrv_top_brqrv_exu_n_911;
+   wire brqrv_top_brqrv_exu_n_912;
+   wire brqrv_top_brqrv_exu_n_913;
+   wire brqrv_top_brqrv_exu_n_914;
+   wire brqrv_top_brqrv_exu_n_915;
+   wire brqrv_top_brqrv_exu_n_917;
+   wire brqrv_top_brqrv_exu_n_1728;
+   wire brqrv_top_brqrv_exu_n_1745;
+   wire brqrv_top_brqrv_exu_n_1746;
+   wire brqrv_top_brqrv_exu_n_1748;
+   wire brqrv_top_brqrv_exu_pmu_i0_br_ataken;
+   wire brqrv_top_brqrv_exu_pmu_i0_pc4;
+   wire brqrv_top_brqrv_exu_r_data_en_q2;
+   wire brqrv_top_brqrv_exu_x_data_en_q1;
+   wire brqrv_top_brqrv_exu_x_data_en_q2;
+   wire brqrv_top_brqrv_free_cg1_logic_1_1_net;
+   wire brqrv_top_brqrv_free_cg2_logic_1_1_net;
+   wire brqrv_top_brqrv_free_clk;
+   wire brqrv_top_brqrv_iccm_dma_rvalid;
+   wire brqrv_top_brqrv_ifu_aln_bundle2ff_en;
+   wire brqrv_top_brqrv_ifu_aln_bundle2ff_l1clk;
+   wire brqrv_top_brqrv_ifu_aln_first2B;
+   wire \brqrv_top_brqrv_ifu_aln_genblk1.brdata0ff_l1clk ;
+   wire \brqrv_top_brqrv_ifu_aln_genblk1.brdata1ff_l1clk ;
+   wire \brqrv_top_brqrv_ifu_aln_genblk1.brdata2ff_l1clk ;
+   wire \brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_l1clk ;
+   wire \brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_l1clk ;
+   wire \brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_l1clk ;
+   wire brqrv_top_brqrv_ifu_aln_q0ff_l1clk;
+   wire brqrv_top_brqrv_ifu_aln_q0off;
+   wire brqrv_top_brqrv_ifu_aln_q0off_in;
+   wire brqrv_top_brqrv_ifu_aln_q0pcff_l1clk;
+   wire brqrv_top_brqrv_ifu_aln_q1ff_l1clk;
+   wire brqrv_top_brqrv_ifu_aln_q1off;
+   wire brqrv_top_brqrv_ifu_aln_q1off_in;
+   wire brqrv_top_brqrv_ifu_aln_q1pcff_l1clk;
+   wire brqrv_top_brqrv_ifu_aln_q1ptr;
+   wire brqrv_top_brqrv_ifu_aln_q2ff_l1clk;
+   wire brqrv_top_brqrv_ifu_aln_q2off;
+   wire brqrv_top_brqrv_ifu_aln_q2off_in;
+   wire brqrv_top_brqrv_ifu_aln_q2pcff_l1clk;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[0].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[0].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[1].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[1].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[2].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[2].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[3].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[3].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[4].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[4].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[5].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[5].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[6].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[6].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[7].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[7].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[8].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[8].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[9].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[9].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[10].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[10].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[11].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[11].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[12].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[12].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[13].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[13].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[14].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[14].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[15].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[15].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[0].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[0].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[1].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[1].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[2].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[2].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[3].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[3].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[4].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[4].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[5].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[5].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[6].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[6].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[7].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[7].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[8].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[8].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[9].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[9].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[10].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[10].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[11].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[11].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[12].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[12].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[13].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[13].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[14].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[14].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[15].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[15].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[0].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[0].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[1].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[1].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[2].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[2].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[3].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[3].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[4].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[4].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[5].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[5].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[6].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[6].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[7].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[7].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[8].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[8].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[9].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[9].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[10].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[10].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[11].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[11].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[12].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[12].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[13].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[13].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[14].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[14].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[15].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[15].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[0].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[0].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[1].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[1].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[2].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[2].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[3].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[3].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[4].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[4].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[5].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[5].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[6].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[6].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[7].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[7].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[8].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[8].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[9].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[9].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[10].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[10].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[11].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[11].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[12].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[12].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[13].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[13].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[14].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[14].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[15].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[15].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[0].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[0].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[1].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[1].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[2].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[2].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[3].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[3].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[4].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[4].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[5].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[5].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[6].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[6].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[7].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[7].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[8].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[9].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[9].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[10].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[10].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[11].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[11].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[12].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[12].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[13].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[13].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[14].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[14].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[15].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[15].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[0].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[0].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[1].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[1].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[2].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[2].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[3].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[3].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[4].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[4].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[5].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[5].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[6].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[6].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[7].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[7].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[8].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[8].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[9].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[9].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[10].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[10].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[11].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[11].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[12].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[12].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[13].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[13].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[14].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[14].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[15].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[15].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[0].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[0].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[1].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[1].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[2].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[2].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[3].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[3].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[4].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[4].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[5].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[5].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[6].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[6].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[7].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[7].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[8].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[8].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[9].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[9].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[10].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[10].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[11].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[11].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[12].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[12].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[13].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[13].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[14].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[14].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[15].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[15].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[0].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[0].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[1].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[1].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[2].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[2].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[3].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[3].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[4].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[4].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[5].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[5].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[6].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[6].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[7].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[7].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[8].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[8].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[9].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[9].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[10].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[10].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[11].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[11].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[12].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[12].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[13].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[13].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[14].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[14].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[15].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[15].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[0].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[0].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[1].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[1].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[2].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[2].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[3].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[3].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[4].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[4].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[5].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[5].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[6].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[6].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[7].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[7].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[8].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[8].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[9].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[9].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[10].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[10].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[11].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[11].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[12].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[12].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[13].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[13].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[14].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[14].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[15].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[15].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[0].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[0].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[1].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[1].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[2].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[2].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[3].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[3].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[4].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[4].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[5].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[5].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[6].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[6].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[7].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[7].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[8].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[8].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[9].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[9].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[10].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[10].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[11].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[11].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[12].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[12].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[13].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[13].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[14].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[14].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[15].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[15].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[0].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[0].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[1].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[1].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[2].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[2].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[3].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[3].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[4].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[4].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[5].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[5].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[6].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[6].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[7].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[7].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[8].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[8].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[9].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[9].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[10].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[10].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[11].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[11].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[12].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[12].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[13].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[13].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[14].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[14].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[15].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[15].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[0].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[0].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[1].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[1].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[2].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[2].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[3].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[3].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[4].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[4].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[5].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[5].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[6].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[6].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[7].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[7].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[8].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[8].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[9].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[9].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[10].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[10].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[11].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[11].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[12].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[12].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[13].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[13].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[14].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[14].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[15].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[15].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[0].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[0].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[1].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[1].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[2].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[2].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[3].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[3].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[4].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[4].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[5].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[5].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[6].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[6].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[7].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[7].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[8].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[8].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[9].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[9].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[10].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[10].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[11].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[11].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[12].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[12].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[13].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[13].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[14].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[14].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[15].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[15].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[0].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[0].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[1].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[1].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[2].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[2].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[3].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[3].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[4].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[4].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[5].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[5].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[6].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[6].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[7].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[7].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[8].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[8].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[9].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[9].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[10].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[10].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[11].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[11].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[12].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[12].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[13].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[13].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[14].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[14].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[15].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[15].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[0].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[0].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[1].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[1].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[2].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[2].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[3].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[3].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[4].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[4].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[5].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[5].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[6].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[6].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[7].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[7].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[8].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[8].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[9].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[9].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[10].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[10].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[11].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[11].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[12].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[12].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[13].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[13].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[14].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[14].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[15].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[15].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[0].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[0].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[1].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[1].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[2].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[2].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[3].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[3].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[4].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[4].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[5].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[5].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[6].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[6].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[7].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[7].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[8].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[8].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[9].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[9].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[10].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[10].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[11].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[11].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[12].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[12].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[13].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[13].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[14].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[14].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[15].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[15].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_btb_valid ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_exu_mp_way_f ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_extra_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_dff_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_final_en ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_n_0 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_n_1 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_n_2 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_n_3 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_n_4 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_n_5 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_n_8 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_n_9 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_n_10 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_n_11 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_n_12 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_n_13 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_n_14 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_n_15 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_n_16 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_n_17 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_n_18 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_n_19 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_n_20 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_n_21 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_n_22 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_n_23 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_fetchghr_en ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_fetchghr_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk3.genblk1.rdtagf_n_0 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk3.genblk1.rdtagf_n_1 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk3.genblk1.rdtagf_n_2 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk3.genblk1.rdtagf_n_3 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk3.genblk1.rdtagf_n_4 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk3.genblk1.rdtagf_n_5 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_leak_one_f_d1 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6610 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6624 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6858 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6859 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6860 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6861 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6862 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6863 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6864 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6865 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6866 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6867 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6868 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6869 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6870 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6871 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6872 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6873 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6874 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6875 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6876 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6877 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6878 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6879 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6880 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6881 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6882 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6883 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6884 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6885 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6886 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6887 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6888 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6889 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6890 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6891 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6892 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6893 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6894 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6895 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6896 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6897 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6898 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6899 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6900 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6901 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6902 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6903 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6904 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6905 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6906 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6907 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6908 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6909 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6910 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6911 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6912 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6913 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6914 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6915 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6916 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6917 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6918 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6919 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6920 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6921 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6922 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6923 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6924 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6925 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6926 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6927 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6928 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6929 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6930 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6931 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6932 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6933 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6934 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6935 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6936 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6937 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6938 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6939 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6940 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6941 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6942 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6943 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6944 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6945 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6946 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6947 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6948 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6949 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6950 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6951 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6952 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6953 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6954 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6955 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6956 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6957 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6958 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6959 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6960 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6961 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6962 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6963 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6964 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6965 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6966 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6967 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6968 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6969 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6970 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6971 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6972 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6973 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6974 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6975 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6976 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6977 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6978 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6979 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6980 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6981 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6982 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6983 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6984 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6985 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6986 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6987 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6988 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6989 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6990 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6991 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6992 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6993 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6994 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6995 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6996 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6997 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6998 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6999 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7000 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7001 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7002 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7003 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7004 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7005 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7006 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7007 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7008 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7009 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7010 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7011 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7012 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7013 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7014 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7015 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7016 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7017 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7018 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7019 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7020 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7021 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7022 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7023 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7024 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7025 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7026 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7027 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7028 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7029 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7030 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7031 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7032 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7033 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7034 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7035 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7036 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7037 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7038 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7039 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7040 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7041 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7042 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7043 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7044 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7045 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7046 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7047 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7048 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7049 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7050 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7051 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7052 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7053 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7054 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7055 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7056 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7057 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7058 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7059 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7060 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7061 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7062 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7063 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7064 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7065 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7066 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7067 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7068 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7069 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7070 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7071 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7072 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7073 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7074 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7075 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7076 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7077 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7078 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7079 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7080 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7081 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7082 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7083 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7084 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7085 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7086 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7087 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7088 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7089 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7090 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7091 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7092 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7093 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7094 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7095 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7096 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7097 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7098 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7099 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7100 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7101 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7102 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7103 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7104 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7105 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7106 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7107 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7108 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7109 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7110 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7111 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7112 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7113 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_10401 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_10656 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_retstack[0].rets_ff_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_retstack[1].rets_ff_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_retstack[2].rets_ff_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_retstack[3].rets_ff_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_retstack[4].rets_ff_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_retstack[5].rets_ff_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_retstack[6].rets_ff_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_retstack[7].rets_ff_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_rs_push ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_valid[1] ;
+   wire brqrv_top_brqrv_ifu_ic_debug_rd_data_valid;
+   wire brqrv_top_brqrv_ifu_ifc_dma_iccm_stall_any_f;
+   wire brqrv_top_brqrv_ifu_ifc_faddrf1_ff_dff_dff_extra_l1clk;
+   wire brqrv_top_brqrv_ifu_ifc_faddrf1_ff_dff_dff_left_dff_l1clk;
+   wire brqrv_top_brqrv_ifu_ifc_faddrf1_ff_dff_dff_left_final_en;
+   wire brqrv_top_brqrv_ifu_ifc_fb_full_f;
+   wire brqrv_top_brqrv_ifu_ifc_fbwrite_ff_en;
+   wire brqrv_top_brqrv_ifu_ifc_fbwrite_ff_l1clk;
+   wire brqrv_top_brqrv_ifu_ifc_fetch_bf_en;
+   wire brqrv_top_brqrv_ifu_ifc_fetch_req_bf;
+   wire brqrv_top_brqrv_ifu_ifc_fetch_req_f;
+   wire brqrv_top_brqrv_ifu_ifc_fetch_uncacheable_bf;
+   wire \brqrv_top_brqrv_ifu_ifc_genblk2.iccm_acc_in_region_bf ;
+   wire \brqrv_top_brqrv_ifu_ifc_genblk2.iccm_rangecheck_n_0 ;
+   wire \brqrv_top_brqrv_ifu_ifc_genblk2.iccm_rangecheck_n_3 ;
+   wire \brqrv_top_brqrv_ifu_ifc_genblk2.iccm_rangecheck_n_4 ;
+   wire \brqrv_top_brqrv_ifu_ifc_genblk2.iccm_rangecheck_n_5 ;
+   wire \brqrv_top_brqrv_ifu_ifc_genblk2.iccm_rangecheck_n_6 ;
+   wire \brqrv_top_brqrv_ifu_ifc_genblk2.iccm_rangecheck_n_8 ;
+   wire \brqrv_top_brqrv_ifu_ifc_genblk2.iccm_rangecheck_n_9 ;
+   wire \brqrv_top_brqrv_ifu_ifc_genblk2.iccm_rangecheck_n_10 ;
+   wire \brqrv_top_brqrv_ifu_ifc_genblk2.iccm_rangecheck_n_11 ;
+   wire \brqrv_top_brqrv_ifu_ifc_genblk2.iccm_rangecheck_n_12 ;
+   wire brqrv_top_brqrv_ifu_ifc_iccm_access_bf;
+   wire brqrv_top_brqrv_ifu_ifc_miss_a;
+   wire brqrv_top_brqrv_ifu_ifc_miss_f;
+   wire brqrv_top_brqrv_ifu_ifc_region_acc_fault_bf;
+   wire brqrv_top_brqrv_ifu_mem_ctl_bus_clk_f_logic_1_1_net;
+   wire brqrv_top_brqrv_ifu_mem_ctl_bus_clk_logic_1_1_net;
+   wire brqrv_top_brqrv_ifu_mem_ctl_bus_cmd_req_hold;
+   wire brqrv_top_brqrv_ifu_mem_ctl_bus_cmd_req_in;
+   wire brqrv_top_brqrv_ifu_mem_ctl_busclk;
+   wire brqrv_top_brqrv_ifu_mem_ctl_busclk_force;
+   wire brqrv_top_brqrv_ifu_mem_ctl_fetch_bf_f_c1_clk;
+   wire brqrv_top_brqrv_ifu_mem_ctl_fetch_bf_f_c1_clken;
+   wire brqrv_top_brqrv_ifu_mem_ctl_flush_final_f;
+   wire brqrv_top_brqrv_ifu_mem_ctl_ic_act_miss_f;
+   wire brqrv_top_brqrv_ifu_mem_ctl_ic_act_miss_f_delayed;
+   wire brqrv_top_brqrv_ifu_mem_ctl_ic_crit_wd_rdy_new_ff;
+   wire brqrv_top_brqrv_ifu_mem_ctl_ic_crit_wd_rdy_new_in;
+   wire brqrv_top_brqrv_ifu_mem_ctl_ic_debug_rd_en_ff;
+   wire \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_l1clk ;
+   wire \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_misc_bits_en ;
+   wire \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_misc_bits_l1clk ;
+   wire \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_misc_bits_n_8 ;
+   wire \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_misc_bits_n_9 ;
+   wire \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_misc_bits_n_10 ;
+   wire \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_misc_bits_n_19 ;
+   wire \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.iccm_dma_rden ;
+   wire \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.iccm_dma_rvalid_in ;
+   wire brqrv_top_brqrv_ifu_mem_ctl_ifc_bus_ic_req_ff_in;
+   wire brqrv_top_brqrv_ifu_mem_ctl_ifc_dma_access_ok_prev;
+   wire brqrv_top_brqrv_ifu_mem_ctl_ifc_fetch_req_f;
+   wire brqrv_top_brqrv_ifu_mem_ctl_ifc_fetch_req_f_raw;
+   wire brqrv_top_brqrv_ifu_mem_ctl_ifc_fetch_req_qual_bf;
+   wire brqrv_top_brqrv_ifu_mem_ctl_ifc_iccm_access_f;
+   wire brqrv_top_brqrv_ifu_mem_ctl_ifc_region_acc_fault_final_f;
+   wire brqrv_top_brqrv_ifu_mem_ctl_ifu_bus_arvalid_ff;
+   wire brqrv_top_brqrv_ifu_mem_ctl_ifu_bus_cmd_valid;
+   wire brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_f_ff_dff_dff_extra_l1clk;
+   wire brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_f_ff_dff_dff_left_dff_l1clk;
+   wire brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_f_ff_dff_dff_left_final_en;
+   wire brqrv_top_brqrv_ifu_mem_ctl_ifu_pmu_bus_busy_in;
+   wire brqrv_top_brqrv_ifu_mem_ctl_ifu_pmu_bus_error_in;
+   wire brqrv_top_brqrv_ifu_mem_ctl_ifu_pmu_sigs_ff_en;
+   wire brqrv_top_brqrv_ifu_mem_ctl_ifu_pmu_sigs_ff_l1clk;
+   wire brqrv_top_brqrv_ifu_mem_ctl_ifu_pmu_sigs_ff_n_21;
+   wire brqrv_top_brqrv_ifu_mem_ctl_imb_f_ff_dff_dff_extra_l1clk;
+   wire brqrv_top_brqrv_ifu_mem_ctl_imb_f_ff_dff_dff_left_dff_l1clk;
+   wire brqrv_top_brqrv_ifu_mem_ctl_imb_f_ff_dff_dff_left_final_en;
+   wire brqrv_top_brqrv_ifu_mem_ctl_misc1_ff_en;
+   wire brqrv_top_brqrv_ifu_mem_ctl_misc1_ff_l1clk;
+   wire \brqrv_top_brqrv_ifu_mem_ctl_misc_ff_dff_dout[0]_55 ;
+   wire \brqrv_top_brqrv_ifu_mem_ctl_misc_ff_dff_dout[5]_60 ;
+   wire brqrv_top_brqrv_ifu_mem_ctl_misc_ff_dff_logic_1_1_net;
+   wire brqrv_top_brqrv_ifu_mem_ctl_misc_ff_en;
+   wire brqrv_top_brqrv_ifu_mem_ctl_misc_ff_l1clk;
+   wire brqrv_top_brqrv_ifu_mem_ctl_misc_ff_n_0;
+   wire brqrv_top_brqrv_ifu_mem_ctl_misc_ff_n_1;
+   wire brqrv_top_brqrv_ifu_mem_ctl_misc_ff_n_2;
+   wire brqrv_top_brqrv_ifu_mem_ctl_misc_ff_n_3;
+   wire brqrv_top_brqrv_ifu_mem_ctl_misc_ff_n_6;
+   wire brqrv_top_brqrv_ifu_mem_ctl_misc_ff_n_7;
+   wire brqrv_top_brqrv_ifu_mem_ctl_misc_ff_n_8;
+   wire brqrv_top_brqrv_ifu_mem_ctl_misc_ff_n_9;
+   wire brqrv_top_brqrv_ifu_mem_ctl_misc_ff_n_10;
+   wire brqrv_top_brqrv_ifu_mem_ctl_misc_ff_n_11;
+   wire brqrv_top_brqrv_ifu_mem_ctl_misc_ff_n_30;
+   wire brqrv_top_brqrv_ifu_mem_ctl_miss_pending;
+   wire brqrv_top_brqrv_ifu_mem_ctl_miss_state_ff_n_8;
+   wire brqrv_top_brqrv_ifu_mem_ctl_miss_state_ff_n_9;
+   wire brqrv_top_brqrv_ifu_mem_ctl_n_666;
+   wire brqrv_top_brqrv_ifu_mem_ctl_n_1836;
+   wire brqrv_top_brqrv_ifu_mem_ctl_n_1839;
+   wire brqrv_top_brqrv_ifu_mem_ctl_n_2291;
+   wire brqrv_top_brqrv_ifu_mem_ctl_n_2292;
+   wire brqrv_top_brqrv_ifu_mem_ctl_n_2294;
+   wire brqrv_top_brqrv_ifu_mem_ctl_n_3474;
+   wire brqrv_top_brqrv_ifu_mem_ctl_n_3477;
+   wire brqrv_top_brqrv_ifu_mem_ctl_reset_all_tags;
+   wire brqrv_top_brqrv_ifu_mem_ctl_reset_ic_ff;
+   wire brqrv_top_brqrv_ifu_mem_ctl_reset_ic_in;
+   wire brqrv_top_brqrv_ifu_mem_ctl_reset_tag_valid_for_miss;
+   wire brqrv_top_brqrv_ifu_mem_ctl_sel_mb_addr_ff;
+   wire brqrv_top_brqrv_ifu_mem_ctl_uncacheable_miss_ff;
+   wire brqrv_top_brqrv_ifu_mem_ctl_uncacheable_miss_in;
+   wire brqrv_top_brqrv_ifu_miss_state_idle;
+   wire brqrv_top_brqrv_ifu_pmu_bus_busy;
+   wire brqrv_top_brqrv_ifu_pmu_bus_error;
+   wire brqrv_top_brqrv_ifu_pmu_ic_miss;
+   wire brqrv_top_brqrv_lsu_addr_external_m;
+   wire brqrv_top_brqrv_lsu_addr_in_dccm_d;
+   wire brqrv_top_brqrv_lsu_addr_in_dccm_m;
+   wire brqrv_top_brqrv_lsu_addr_in_dccm_r;
+   wire brqrv_top_brqrv_lsu_addr_in_pic_d;
+   wire brqrv_top_brqrv_lsu_addr_in_pic_m;
+   wire brqrv_top_brqrv_lsu_addr_in_pic_r;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[0]_3270 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[0]_3354 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[1]_3271 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[1]_3355 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[2]_3272 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[2]_3356 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[3]_3273 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[3]_3357 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[4]_3274 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[4]_3358 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[5]_3275 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[5]_3359 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[6]_3276 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[6]_3360 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[7]_3277 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[7]_3361 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[8]_3278 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[8]_3362 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[9]_3279 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[9]_3363 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[10]_3280 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[10]_3364 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[11]_3281 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[11]_3365 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[12]_3282 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[12]_3366 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[13]_3283 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[13]_3367 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[14]_3284 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[14]_3368 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[15]_3285 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[15]_3369 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[16]_3286 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[16]_3370 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[17]_3287 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[17]_3371 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[18]_3288 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[18]_3372 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[19]_3289 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[19]_3373 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[20]_3290 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[20]_3374 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[21]_3291 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[21]_3375 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[22]_3292 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[22]_3376 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[23]_3293 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[23]_3377 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[24]_3294 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[24]_3378 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[25]_3295 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[25]_3379 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[26]_3296 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[26]_3380 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[27]_3297 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[27]_3381 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[28]_3298 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[28]_3382 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[29]_3299 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[29]_3383 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[30]_3300 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[30]_3384 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[31]_3301 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[31]_3385 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_addrff_l1clk ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_byteenff_n_10 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_byteenff_n_11 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_byteenff_n_12 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_byteenff_n_13 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dataff_l1clk ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dualff_n_4 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dualhiff_n_4 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dualtagff_n_6 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dualtagff_n_7 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_ldfwdff_n_4 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_nomergeff_n_4 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_samedwff_n_4 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_sideeffectff_n_4 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_state_ff_n_8 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_szff_n_6 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_szff_n_7 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_unsignff_n_4 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_writeff_n_4 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_addrff_l1clk ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_byteenff_n_10 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_byteenff_n_11 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_byteenff_n_12 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_byteenff_n_13 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dataff_l1clk ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dualff_n_4 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dualhiff_n_4 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dualtagff_n_6 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dualtagff_n_7 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_ldfwdff_n_4 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_nomergeff_n_4 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_samedwff_n_4 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_sideeffectff_n_4 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_state_ff_n_8 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_szff_n_6 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_szff_n_7 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_unsignff_n_4 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_writeff_n_4 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_addrff_l1clk ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_byteenff_n_10 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_byteenff_n_11 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_byteenff_n_12 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_byteenff_n_13 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dataff_l1clk ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dualff_n_4 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dualhiff_n_4 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dualtagff_n_6 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dualtagff_n_7 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_ldfwdff_n_4 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_nomergeff_n_4 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_samedwff_n_4 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_sideeffectff_n_4 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_state_ff_n_8 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_szff_n_6 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_szff_n_7 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_unsignff_n_4 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_writeff_n_4 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_addrff_l1clk ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_byteenff_n_10 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_byteenff_n_11 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_byteenff_n_12 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_byteenff_n_13 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dataff_l1clk ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dualff_n_4 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dualhiff_n_4 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dualtagff_n_6 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dualtagff_n_7 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_ldfwdff_n_4 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_nomergeff_n_4 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_samedwff_n_4 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_sideeffectff_n_4 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_state_ff_n_8 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_szff_n_6 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_szff_n_7 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_unsignff_n_4 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_writeff_n_4 ;
+   wire brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addrff_l1clk;
+   wire brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_byteenff_n_10;
+   wire brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_byteenff_n_11;
+   wire brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_byteenff_n_12;
+   wire brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_byteenff_n_13;
+   wire brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dataff_l1clk;
+   wire brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dual;
+   wire brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dualff_n_2;
+   wire brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dualtagff_n_2;
+   wire brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dualtagff_n_3;
+   wire brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_nomerge;
+   wire brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_nomergeff_n_2;
+   wire brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_rst;
+   wire brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_samedw;
+   wire brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_samedwff_n_2;
+   wire brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_sideeffect;
+   wire brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_sideeffectff_n_2;
+   wire brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_szff_n_2;
+   wire brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_szff_n_3;
+   wire brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_tagff_n_6;
+   wire brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_tagff_n_7;
+   wire brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_unsign;
+   wire brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_unsignff_n_2;
+   wire brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_valid;
+   wire brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_valid_ff_n_0;
+   wire brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_wr_en;
+   wire brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_write;
+   wire brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_writeff_n_2;
+   wire brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ldst_samedw_r;
+   wire brqrv_top_brqrv_lsu_bus_intf_bus_buffer_lsu_nonblock_load_data_ready;
+   wire brqrv_top_brqrv_lsu_bus_intf_bus_buffer_lsu_nonblock_load_valid_r;
+   wire brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_3215;
+   wire brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_3220;
+   wire brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_3227;
+   wire brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_3304;
+   wire brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_3311;
+   wire brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_3388;
+   wire brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_3448;
+   wire brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_3460;
+   wire brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_3467;
+   wire brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_5337;
+   wire brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_5424;
+   wire brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_5511;
+   wire brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_5601;
+   wire brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_5853;
+   wire brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_6153;
+   wire brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_7535;
+   wire brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_7539;
+   wire brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_7543;
+   wire brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_7547;
+   wire brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addrff_l1clk;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteenff_genblock.dffs_n_3 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteenff_genblock.dffs_n_4 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteenff_genblock.dffs_n_5 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteenff_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteenff_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteenff_genblock.dffs_n_8 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteenff_genblock.dffs_n_9 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteenff_genblock.dffs_n_10 ;
+   wire brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_cmd_done;
+   wire brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_cmd_done_in;
+   wire brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_done;
+   wire brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_done_in;
+   wire brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_l1clk;
+   wire brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_merge;
+   wire brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_merge_en;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_mergeff_genblock.dffs_n_4 ;
+   wire brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_nosend;
+   wire brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_nosend_ff_n_2;
+   wire brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_nosend_in;
+   wire brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_rdrsp_pend;
+   wire brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_rdrsp_pend_in;
+   wire brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_rst;
+   wire brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_sideeffect;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_sideeffectff_genblock.dffs_n_4 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_tag0ff_genblock.dffs_n_8 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_tag0ff_genblock.dffs_n_9 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_tag0ff_genblock.dffs_n_10 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_tag1ff_genblock.dffs_n_8 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_tag1ff_genblock.dffs_n_9 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_tag1ff_genblock.dffs_n_10 ;
+   wire brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_valid;
+   wire brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_valid_ff_n_0;
+   wire brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_en;
+   wire brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_enQ;
+   wire brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_write;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_writeff_genblock.dffs_n_4 ;
+   wire brqrv_top_brqrv_lsu_bus_intf_clken_ff_logic_1_1_net;
+   wire brqrv_top_brqrv_lsu_bus_intf_is_sideeffects_r;
+   wire brqrv_top_brqrv_lsu_bus_intf_lsu_bus_clk_en_q;
+   wire brqrv_top_brqrv_lsu_bus_intf_no_dword_merge_r;
+   wire brqrv_top_brqrv_lsu_clkdomain_lsu_bus_buf_c1_clken;
+   wire brqrv_top_brqrv_lsu_clkdomain_lsu_bus_ibuf_c1_clken;
+   wire brqrv_top_brqrv_lsu_clkdomain_lsu_c1_m_clken;
+   wire brqrv_top_brqrv_lsu_clkdomain_lsu_c1_m_clken_q;
+   wire brqrv_top_brqrv_lsu_clkdomain_lsu_c1_r_clken;
+   wire brqrv_top_brqrv_lsu_clkdomain_lsu_c1_r_clken_q;
+   wire brqrv_top_brqrv_lsu_clkdomain_lsu_c2_m_clken;
+   wire brqrv_top_brqrv_lsu_clkdomain_lsu_c2_r_clken;
+   wire brqrv_top_brqrv_lsu_clkdomain_lsu_free_c1_clken;
+   wire brqrv_top_brqrv_lsu_clkdomain_lsu_free_c1_clken_q;
+   wire brqrv_top_brqrv_lsu_clkdomain_lsu_free_c2_clken;
+   wire brqrv_top_brqrv_lsu_clkdomain_lsu_stbuf_c1_clken;
+   wire brqrv_top_brqrv_lsu_clkdomain_lsu_store_c1_m_clken;
+   wire brqrv_top_brqrv_lsu_clkdomain_lsu_store_c1_r_clken;
+   wire brqrv_top_brqrv_lsu_clkdomain_n_2;
+   wire brqrv_top_brqrv_lsu_clkdomain_n_3;
+   wire brqrv_top_brqrv_lsu_clkdomain_n_32;
+   wire brqrv_top_brqrv_lsu_clkdomain_n_33;
+   wire \brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_hi_rff_l1clk ;
+   wire \brqrv_top_brqrv_lsu_dccm_ctl_L2U_Plus1_0.lsu_ld_data_corr_rff_l1clk ;
+   wire brqrv_top_brqrv_lsu_dccm_ctl_n_1110;
+   wire brqrv_top_brqrv_lsu_dccm_ctl_n_1114;
+   wire brqrv_top_brqrv_lsu_dccm_ctl_n_1137;
+   wire brqrv_top_brqrv_lsu_idle_any;
+   wire brqrv_top_brqrv_lsu_is_sideeffects_m;
+   wire brqrv_top_brqrv_lsu_ldst_stbuf_reqvld_r;
+   wire brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk;
+   wire brqrv_top_brqrv_lsu_lsu_bus_buffer_empty_any;
+   wire brqrv_top_brqrv_lsu_lsu_bus_buffer_pend_any;
+   wire brqrv_top_brqrv_lsu_lsu_bus_ibuf_c1_clk;
+   wire brqrv_top_brqrv_lsu_lsu_bus_obuf_c1_clk;
+   wire brqrv_top_brqrv_lsu_lsu_busm_clk;
+   wire brqrv_top_brqrv_lsu_lsu_busreq_r;
+   wire brqrv_top_brqrv_lsu_lsu_c1_m_clk;
+   wire brqrv_top_brqrv_lsu_lsu_c1_r_clk;
+   wire brqrv_top_brqrv_lsu_lsu_c2_m_clk;
+   wire brqrv_top_brqrv_lsu_lsu_c2_r_clk;
+   wire brqrv_top_brqrv_lsu_lsu_exc_m;
+   wire brqrv_top_brqrv_lsu_lsu_free_c2_clk;
+   wire \brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_l1clk ;
+   wire brqrv_top_brqrv_lsu_lsu_lsc_ctl_access_fault_d;
+   wire brqrv_top_brqrv_lsu_lsu_lsc_ctl_access_fault_m;
+   wire brqrv_top_brqrv_lsu_lsu_lsc_ctl_addr_external_d;
+   wire brqrv_top_brqrv_lsu_lsu_lsc_ctl_addr_external_r;
+   wire \brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.end_addr_dccm_rangecheck_n_0 ;
+   wire \brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.end_addr_dccm_rangecheck_n_1 ;
+   wire \brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.end_addr_dccm_rangecheck_n_2 ;
+   wire \brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.end_addr_dccm_rangecheck_n_4 ;
+   wire \brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.end_addr_dccm_rangecheck_n_5 ;
+   wire \brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.end_addr_dccm_rangecheck_n_6 ;
+   wire \brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.end_addr_dccm_rangecheck_n_7 ;
+   wire \brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.end_addr_dccm_rangecheck_n_8 ;
+   wire \brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.end_addr_dccm_rangecheck_n_9 ;
+   wire \brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.end_addr_dccm_rangecheck_n_10 ;
+   wire \brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.end_addr_dccm_rangecheck_n_11 ;
+   wire \brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.end_addr_dccm_rangecheck_n_12 ;
+   wire \brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.end_addr_dccm_rangecheck_n_13 ;
+   wire \brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.end_addr_dccm_rangecheck_n_14 ;
+   wire \brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.end_addr_dccm_rangecheck_n_15 ;
+   wire \brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.end_addr_dccm_rangecheck_n_17 ;
+   wire \brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.end_addr_dccm_rangecheck_n_18 ;
+   wire \brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.end_addr_dccm_rangecheck_n_19 ;
+   wire \brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.end_addr_dccm_rangecheck_n_20 ;
+   wire \brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.start_addr_dccm_rangecheck_n_0 ;
+   wire \brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.start_addr_dccm_rangecheck_n_1 ;
+   wire \brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.start_addr_dccm_rangecheck_n_2 ;
+   wire \brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.start_addr_dccm_rangecheck_n_3 ;
+   wire \brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.start_addr_dccm_rangecheck_n_4 ;
+   wire \brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.start_addr_dccm_rangecheck_n_5 ;
+   wire \brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.start_addr_dccm_rangecheck_n_7 ;
+   wire \brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.start_addr_dccm_rangecheck_n_9 ;
+   wire \brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.start_addr_dccm_rangecheck_n_10 ;
+   wire \brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.start_addr_dccm_rangecheck_n_12 ;
+   wire \brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.start_addr_dccm_rangecheck_n_13 ;
+   wire \brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.start_addr_dccm_rangecheck_n_16 ;
+   wire \brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.start_addr_dccm_rangecheck_n_17 ;
+   wire \brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.start_addr_dccm_rangecheck_n_18 ;
+   wire \brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.start_addr_dccm_rangecheck_n_20 ;
+   wire \brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.start_addr_dccm_rangecheck_n_21 ;
+   wire \brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.start_addr_dccm_rangecheck_n_43 ;
+   wire \brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.start_addr_dccm_rangecheck_n_44 ;
+   wire brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_end_addr_in_dccm_d;
+   wire brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_end_addr_in_pic_d;
+   wire brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_end_addr_pic_rangecheck_n_0;
+   wire brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_end_addr_pic_rangecheck_n_1;
+   wire brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_end_addr_pic_rangecheck_n_2;
+   wire brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_end_addr_pic_rangecheck_n_3;
+   wire brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_end_addr_pic_rangecheck_n_4;
+   wire brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_end_addr_pic_rangecheck_n_5;
+   wire brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_end_addr_pic_rangecheck_n_6;
+   wire brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_end_addr_pic_rangecheck_n_7;
+   wire brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_end_addr_pic_rangecheck_n_8;
+   wire brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_end_addr_pic_rangecheck_n_9;
+   wire brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_is_sideeffects_d;
+   wire brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_start_addr_in_dccm_d;
+   wire brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_start_addr_in_pic_d;
+   wire brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_start_addr_in_pic_region_d;
+   wire brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_start_addr_pic_rangecheck_n_4;
+   wire brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_start_addr_pic_rangecheck_n_11;
+   wire brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_start_addr_pic_rangecheck_n_12;
+   wire brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_start_addr_pic_rangecheck_n_30;
+   wire brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_start_addr_pic_rangecheck_n_31;
+   wire brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_start_addr_pic_rangecheck_n_32;
+   wire brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_start_addr_pic_rangecheck_n_33;
+   wire brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r_ff_l1clk;
+   wire brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_mff_l1clk;
+   wire brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_rff_l1clk;
+   wire brqrv_top_brqrv_lsu_lsu_lsc_ctl_fir_dccm_access_error_d;
+   wire brqrv_top_brqrv_lsu_lsu_lsc_ctl_fir_dccm_access_error_m;
+   wire brqrv_top_brqrv_lsu_lsu_lsc_ctl_fir_nondccm_access_error_d;
+   wire brqrv_top_brqrv_lsu_lsu_lsc_ctl_fir_nondccm_access_error_m;
+   wire brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_n_4;
+   wire brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_n_26;
+   wire brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_n_88;
+   wire \brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_error_pkt_m[0] ;
+   wire brqrv_top_brqrv_lsu_lsu_lsc_ctl_misaligned_fault_d;
+   wire brqrv_top_brqrv_lsu_lsu_lsc_ctl_misaligned_fault_m;
+   wire brqrv_top_brqrv_lsu_lsu_lsc_ctl_n_425;
+   wire brqrv_top_brqrv_lsu_lsu_lsc_ctl_n_430;
+   wire brqrv_top_brqrv_lsu_lsu_lsc_ctl_n_460;
+   wire brqrv_top_brqrv_lsu_lsu_lsc_ctl_n_468;
+   wire brqrv_top_brqrv_lsu_lsu_stbuf_c1_clk;
+   wire brqrv_top_brqrv_lsu_lsu_stbuf_empty_any;
+   wire brqrv_top_brqrv_lsu_lsu_store_c1_m_clk;
+   wire brqrv_top_brqrv_lsu_lsu_store_c1_r_clk;
+   wire brqrv_top_brqrv_lsu_nonblock_load_data_error;
+   wire brqrv_top_brqrv_lsu_nonblock_load_valid_m;
+   wire brqrv_top_brqrv_lsu_pmu_bus_error;
+   wire brqrv_top_brqrv_lsu_pmu_load_external_m;
+   wire brqrv_top_brqrv_lsu_pmu_misaligned_m;
+   wire brqrv_top_brqrv_lsu_pmu_store_external_m;
+   wire \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_addrff_l1clk ;
+   wire \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_dataff_l1clk ;
+   wire \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_killff_n_0 ;
+   wire \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_vldff_n_0 ;
+   wire \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_addrff_l1clk ;
+   wire \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_dataff_l1clk ;
+   wire \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_killff_n_0 ;
+   wire \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_vldff_n_0 ;
+   wire \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_addrff_l1clk ;
+   wire \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_dataff_l1clk ;
+   wire \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_killff_n_0 ;
+   wire \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_vldff_n_0 ;
+   wire \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_addrff_l1clk ;
+   wire \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_dataff_l1clk ;
+   wire \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_killff_n_0 ;
+   wire \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_vldff_n_0 ;
+   wire brqrv_top_brqrv_lsu_stbuf_RdPtrff_n_6;
+   wire brqrv_top_brqrv_lsu_stbuf_RdPtrff_n_7;
+   wire brqrv_top_brqrv_lsu_stbuf_WrPtrff_n_6;
+   wire brqrv_top_brqrv_lsu_stbuf_WrPtrff_n_7;
+   wire brqrv_top_brqrv_lsu_stbuf_n_580;
+   wire brqrv_top_brqrv_lsu_stbuf_n_584;
+   wire brqrv_top_brqrv_lsu_stbuf_n_588;
+   wire brqrv_top_brqrv_lsu_stbuf_n_592;
+   wire brqrv_top_brqrv_lsu_stbuf_n_596;
+   wire brqrv_top_brqrv_lsu_stbuf_n_600;
+   wire brqrv_top_brqrv_lsu_stbuf_n_604;
+   wire brqrv_top_brqrv_lsu_stbuf_n_608;
+   wire brqrv_top_brqrv_lsu_stbuf_n_612;
+   wire brqrv_top_brqrv_lsu_stbuf_n_616;
+   wire brqrv_top_brqrv_lsu_stbuf_n_1475;
+   wire brqrv_top_brqrv_lsu_stbuf_n_1476;
+   wire brqrv_top_brqrv_lsu_stbuf_reqvld_any;
+   wire brqrv_top_brqrv_lsu_stbuf_reqvld_flushed_any;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_0 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_1 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_2 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_3 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_4 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_5 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_6 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_7 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_8 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_9 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_10 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_11 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_12 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_13 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_14 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_15 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_16 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_17 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_18 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_19 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_20 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_21 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_22 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_23 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_24 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_25 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_26 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_27 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_28 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_29 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_30 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_31 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_32 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_33 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_34 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_35 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_36 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_37 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_38 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_39 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_40 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_41 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_42 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_43 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_44 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_45 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_46 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_47 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_48 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_49 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_50 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_51 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_52 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_53 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_54 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_55 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_56 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_57 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_58 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_59 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_60 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_61 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_62 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_63 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_64 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_65 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_66 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_67 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_68 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_69 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_70 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_71 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_72 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_73 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_74 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_75 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_76 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_77 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_78 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_79 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_80 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_81 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_82 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_83 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_84 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_85 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_86 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_87 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_88 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_89 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_90 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_91 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_92 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_93 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_94 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_95 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_96 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_97 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_98 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_99 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_100 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_101 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_102 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_103 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_104 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_105 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_106 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_107 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_108 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_109 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_110 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_111 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_112 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_113 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_0 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_2 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_3 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_4 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_5 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_6 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_7 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_8 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_9 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_10 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_11 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_12 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_13 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_14 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_15 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_16 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_17 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_18 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_19 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_20 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_21 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_22 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_23 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_24 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_25 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_26 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_27 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_28 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_29 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_30 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_31 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_32 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_33 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_34 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_35 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_36 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_37 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_38 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_39 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_40 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_41 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_42 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_43 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_44 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_45 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_46 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_47 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_48 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_49 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_50 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_51 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_52 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_53 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_54 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_55 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_56 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_57 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_58 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_59 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_60 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_61 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_62 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_63 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_64 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_65 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_66 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_67 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_68 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_69 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_70 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_71 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_72 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_73 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_74 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_75 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_76 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_77 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_78 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_79 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_80 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_81 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_82 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_83 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_84 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_85 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_86 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_87 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_88 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_89 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_90 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_91 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_92 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_93 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_94 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_95 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_96 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_97 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_98 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_99 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_100 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_101 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_102 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_103 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_104 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_105 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_106 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_107 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_108 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_109 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_110 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_111 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_112 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_113 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_0 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_2 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_3 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_4 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_5 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_6 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_7 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_8 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_9 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_10 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_11 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_12 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_13 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_14 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_15 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_16 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_17 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_18 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_19 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_20 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_21 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_22 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_23 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_24 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_25 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_26 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_27 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_28 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_29 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_30 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_31 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_32 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_33 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_34 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_35 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_36 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_37 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_38 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_39 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_40 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_41 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_42 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_43 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_44 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_45 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_46 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_47 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_48 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_49 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_50 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_51 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_52 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_53 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_54 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_55 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_56 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_57 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_58 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_59 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_60 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_61 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_62 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_63 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_64 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_65 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_66 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_67 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_68 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_69 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_70 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_71 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_72 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_73 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_74 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_75 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_76 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_77 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_78 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_79 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_80 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_81 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_82 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_83 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_84 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_85 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_86 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_87 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_88 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_89 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_90 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_91 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_92 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_93 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_94 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_95 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_96 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_97 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_98 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_99 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_100 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_101 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_102 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_103 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_104 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_105 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_106 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_107 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_108 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_109 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_110 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_111 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_112 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_113 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_0 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_2 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_3 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_4 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_5 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_6 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_7 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_8 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_9 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_10 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_11 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_12 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_13 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_14 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_15 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_16 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_17 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_18 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_19 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_20 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_21 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_22 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_23 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_24 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_25 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_26 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_27 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_28 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_29 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_30 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_31 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_32 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_33 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_34 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_35 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_36 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_37 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_38 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_39 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_40 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_41 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_42 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_43 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_44 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_45 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_46 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_47 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_48 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_49 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_50 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_51 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_52 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_53 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_54 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_55 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_56 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_57 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_58 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_59 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_60 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_61 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_62 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_63 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_64 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_65 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_66 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_67 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_68 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_69 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_70 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_71 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_72 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_73 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_74 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_75 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_76 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_77 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_78 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_79 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_80 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_81 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_82 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_83 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_84 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_85 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_86 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_87 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_88 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_89 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_90 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_91 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_92 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_93 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_94 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_95 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_96 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_97 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_98 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_99 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_100 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_101 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_102 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_103 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_104 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_105 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_106 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_107 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_108 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_109 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_110 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_111 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_112 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_113 ;
+   wire brqrv_top_brqrv_mexintpend;
+   wire brqrv_top_brqrv_mhwakeup;
+   wire brqrv_top_brqrv_n_7;
+   wire brqrv_top_brqrv_n_51;
+   wire brqrv_top_brqrv_n_578;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[1].NON_ZERO_INT.config_gw_inst_gw_int_pending ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[1].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[1].NON_ZERO_INT.config_gw_inst_n_0 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[1].NON_ZERO_INT.gw_config_ff_n_2 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[1].NON_ZERO_INT.gw_config_ff_n_3 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[1].NON_ZERO_INT.intenable_ff_n_2 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[1].NON_ZERO_INT.intpriority_ff_n_0 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[1].NON_ZERO_INT.intpriority_ff_n_3 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[1].NON_ZERO_INT.intpriority_ff_n_4 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[1].NON_ZERO_INT.intpriority_ff_n_5 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[1].NON_ZERO_INT.intpriority_ff_n_6 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[2].NON_ZERO_INT.config_gw_inst_gw_int_pending ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[2].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[2].NON_ZERO_INT.config_gw_inst_n_0 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[2].NON_ZERO_INT.gw_config_ff_n_2 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[2].NON_ZERO_INT.gw_config_ff_n_3 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[2].NON_ZERO_INT.intenable_ff_n_2 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[2].NON_ZERO_INT.intpriority_ff_n_0 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[2].NON_ZERO_INT.intpriority_ff_n_3 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[2].NON_ZERO_INT.intpriority_ff_n_4 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[2].NON_ZERO_INT.intpriority_ff_n_5 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[2].NON_ZERO_INT.intpriority_ff_n_6 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[3].NON_ZERO_INT.config_gw_inst_gw_int_pending ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[3].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[3].NON_ZERO_INT.config_gw_inst_n_0 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[3].NON_ZERO_INT.gw_config_ff_n_2 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[3].NON_ZERO_INT.gw_config_ff_n_3 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[3].NON_ZERO_INT.intenable_ff_n_2 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[3].NON_ZERO_INT.intpriority_ff_n_0 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[3].NON_ZERO_INT.intpriority_ff_n_3 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[3].NON_ZERO_INT.intpriority_ff_n_4 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[3].NON_ZERO_INT.intpriority_ff_n_5 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[3].NON_ZERO_INT.intpriority_ff_n_6 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[4].NON_ZERO_INT.config_gw_inst_gw_int_pending ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[4].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[4].NON_ZERO_INT.config_gw_inst_n_0 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[4].NON_ZERO_INT.gw_config_ff_n_2 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[4].NON_ZERO_INT.gw_config_ff_n_3 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[4].NON_ZERO_INT.intenable_ff_n_2 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[4].NON_ZERO_INT.intpriority_ff_n_0 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[4].NON_ZERO_INT.intpriority_ff_n_3 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[4].NON_ZERO_INT.intpriority_ff_n_4 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[4].NON_ZERO_INT.intpriority_ff_n_5 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[4].NON_ZERO_INT.intpriority_ff_n_6 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[5].NON_ZERO_INT.config_gw_inst_gw_int_pending ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[5].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[5].NON_ZERO_INT.config_gw_inst_n_0 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[5].NON_ZERO_INT.gw_config_ff_n_2 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[5].NON_ZERO_INT.gw_config_ff_n_3 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[5].NON_ZERO_INT.intenable_ff_n_2 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[5].NON_ZERO_INT.intpriority_ff_n_0 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[5].NON_ZERO_INT.intpriority_ff_n_3 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[5].NON_ZERO_INT.intpriority_ff_n_4 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[5].NON_ZERO_INT.intpriority_ff_n_5 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[5].NON_ZERO_INT.intpriority_ff_n_6 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[6].NON_ZERO_INT.config_gw_inst_gw_int_pending ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[6].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[6].NON_ZERO_INT.config_gw_inst_n_0 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[6].NON_ZERO_INT.gw_config_ff_n_2 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[6].NON_ZERO_INT.gw_config_ff_n_3 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[6].NON_ZERO_INT.intenable_ff_n_2 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[6].NON_ZERO_INT.intpriority_ff_n_0 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[6].NON_ZERO_INT.intpriority_ff_n_3 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[6].NON_ZERO_INT.intpriority_ff_n_4 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[6].NON_ZERO_INT.intpriority_ff_n_5 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[6].NON_ZERO_INT.intpriority_ff_n_6 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[7].NON_ZERO_INT.config_gw_inst_gw_int_pending ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[7].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[7].NON_ZERO_INT.config_gw_inst_n_0 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[7].NON_ZERO_INT.gw_config_ff_n_2 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[7].NON_ZERO_INT.gw_config_ff_n_3 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[7].NON_ZERO_INT.intenable_ff_n_2 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[7].NON_ZERO_INT.intpriority_ff_n_0 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[7].NON_ZERO_INT.intpriority_ff_n_3 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[7].NON_ZERO_INT.intpriority_ff_n_4 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[7].NON_ZERO_INT.intpriority_ff_n_5 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[7].NON_ZERO_INT.intpriority_ff_n_6 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[8].NON_ZERO_INT.config_gw_inst_gw_int_pending ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[8].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[8].NON_ZERO_INT.config_gw_inst_n_0 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[8].NON_ZERO_INT.gw_config_ff_n_2 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[8].NON_ZERO_INT.gw_config_ff_n_3 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[8].NON_ZERO_INT.intenable_ff_n_2 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[8].NON_ZERO_INT.intpriority_ff_n_0 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[8].NON_ZERO_INT.intpriority_ff_n_3 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[8].NON_ZERO_INT.intpriority_ff_n_4 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[8].NON_ZERO_INT.intpriority_ff_n_5 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[8].NON_ZERO_INT.intpriority_ff_n_6 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[9].NON_ZERO_INT.config_gw_inst_gw_int_pending ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[9].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[9].NON_ZERO_INT.config_gw_inst_n_0 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[9].NON_ZERO_INT.gw_config_ff_n_2 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[9].NON_ZERO_INT.gw_config_ff_n_3 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[9].NON_ZERO_INT.intenable_ff_n_2 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[9].NON_ZERO_INT.intpriority_ff_n_0 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[9].NON_ZERO_INT.intpriority_ff_n_3 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[9].NON_ZERO_INT.intpriority_ff_n_4 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[9].NON_ZERO_INT.intpriority_ff_n_5 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[9].NON_ZERO_INT.intpriority_ff_n_6 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[10].NON_ZERO_INT.config_gw_inst_gw_int_pending ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[10].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[10].NON_ZERO_INT.config_gw_inst_n_0 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[10].NON_ZERO_INT.gw_config_ff_n_2 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[10].NON_ZERO_INT.gw_config_ff_n_3 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[10].NON_ZERO_INT.intenable_ff_n_2 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[10].NON_ZERO_INT.intpriority_ff_n_0 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[10].NON_ZERO_INT.intpriority_ff_n_3 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[10].NON_ZERO_INT.intpriority_ff_n_4 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[10].NON_ZERO_INT.intpriority_ff_n_5 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[10].NON_ZERO_INT.intpriority_ff_n_6 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[11].NON_ZERO_INT.config_gw_inst_gw_int_pending ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[11].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[11].NON_ZERO_INT.config_gw_inst_n_0 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[11].NON_ZERO_INT.gw_config_ff_n_2 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[11].NON_ZERO_INT.gw_config_ff_n_3 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[11].NON_ZERO_INT.intenable_ff_n_2 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[11].NON_ZERO_INT.intpriority_ff_n_0 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[11].NON_ZERO_INT.intpriority_ff_n_3 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[11].NON_ZERO_INT.intpriority_ff_n_4 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[11].NON_ZERO_INT.intpriority_ff_n_5 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[11].NON_ZERO_INT.intpriority_ff_n_6 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[12].NON_ZERO_INT.config_gw_inst_gw_int_pending ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[12].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[12].NON_ZERO_INT.config_gw_inst_n_0 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[12].NON_ZERO_INT.gw_config_ff_n_2 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[12].NON_ZERO_INT.gw_config_ff_n_3 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[12].NON_ZERO_INT.intenable_ff_n_2 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[12].NON_ZERO_INT.intpriority_ff_n_0 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[12].NON_ZERO_INT.intpriority_ff_n_3 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[12].NON_ZERO_INT.intpriority_ff_n_4 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[12].NON_ZERO_INT.intpriority_ff_n_5 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[12].NON_ZERO_INT.intpriority_ff_n_6 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[13].NON_ZERO_INT.config_gw_inst_gw_int_pending ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[13].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[13].NON_ZERO_INT.config_gw_inst_n_0 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[13].NON_ZERO_INT.gw_config_ff_n_2 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[13].NON_ZERO_INT.gw_config_ff_n_3 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[13].NON_ZERO_INT.intenable_ff_n_2 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[13].NON_ZERO_INT.intpriority_ff_n_0 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[13].NON_ZERO_INT.intpriority_ff_n_3 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[13].NON_ZERO_INT.intpriority_ff_n_4 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[13].NON_ZERO_INT.intpriority_ff_n_5 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[13].NON_ZERO_INT.intpriority_ff_n_6 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[14].NON_ZERO_INT.config_gw_inst_gw_int_pending ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[14].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[14].NON_ZERO_INT.config_gw_inst_n_0 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[14].NON_ZERO_INT.gw_config_ff_n_2 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[14].NON_ZERO_INT.gw_config_ff_n_3 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[14].NON_ZERO_INT.intenable_ff_n_2 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[14].NON_ZERO_INT.intpriority_ff_n_0 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[14].NON_ZERO_INT.intpriority_ff_n_3 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[14].NON_ZERO_INT.intpriority_ff_n_4 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[14].NON_ZERO_INT.intpriority_ff_n_5 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[14].NON_ZERO_INT.intpriority_ff_n_6 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[15].NON_ZERO_INT.config_gw_inst_gw_int_pending ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[15].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[15].NON_ZERO_INT.config_gw_inst_n_0 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[15].NON_ZERO_INT.gw_config_ff_n_2 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[15].NON_ZERO_INT.gw_config_ff_n_3 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[15].NON_ZERO_INT.intenable_ff_n_2 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[15].NON_ZERO_INT.intpriority_ff_n_0 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[15].NON_ZERO_INT.intpriority_ff_n_3 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[15].NON_ZERO_INT.intpriority_ff_n_4 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[15].NON_ZERO_INT.intpriority_ff_n_5 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[15].NON_ZERO_INT.intpriority_ff_n_6 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[16].NON_ZERO_INT.config_gw_inst_gw_int_pending ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[16].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[16].NON_ZERO_INT.config_gw_inst_n_0 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[16].NON_ZERO_INT.gw_config_ff_n_2 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[16].NON_ZERO_INT.gw_config_ff_n_3 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[16].NON_ZERO_INT.intenable_ff_n_2 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[16].NON_ZERO_INT.intpriority_ff_n_0 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[16].NON_ZERO_INT.intpriority_ff_n_3 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[16].NON_ZERO_INT.intpriority_ff_n_4 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[16].NON_ZERO_INT.intpriority_ff_n_5 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[16].NON_ZERO_INT.intpriority_ff_n_6 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[17].NON_ZERO_INT.config_gw_inst_gw_int_pending ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[17].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[17].NON_ZERO_INT.config_gw_inst_n_0 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[17].NON_ZERO_INT.gw_config_ff_n_2 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[17].NON_ZERO_INT.gw_config_ff_n_3 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[17].NON_ZERO_INT.intenable_ff_n_2 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[17].NON_ZERO_INT.intpriority_ff_n_0 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[17].NON_ZERO_INT.intpriority_ff_n_3 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[17].NON_ZERO_INT.intpriority_ff_n_4 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[17].NON_ZERO_INT.intpriority_ff_n_5 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[17].NON_ZERO_INT.intpriority_ff_n_6 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[18].NON_ZERO_INT.config_gw_inst_gw_int_pending ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[18].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[18].NON_ZERO_INT.config_gw_inst_n_0 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[18].NON_ZERO_INT.gw_config_ff_n_2 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[18].NON_ZERO_INT.gw_config_ff_n_3 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[18].NON_ZERO_INT.intenable_ff_n_2 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[18].NON_ZERO_INT.intpriority_ff_n_0 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[18].NON_ZERO_INT.intpriority_ff_n_3 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[18].NON_ZERO_INT.intpriority_ff_n_4 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[18].NON_ZERO_INT.intpriority_ff_n_5 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[18].NON_ZERO_INT.intpriority_ff_n_6 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[19].NON_ZERO_INT.config_gw_inst_gw_int_pending ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[19].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[19].NON_ZERO_INT.config_gw_inst_n_0 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[19].NON_ZERO_INT.gw_config_ff_n_2 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[19].NON_ZERO_INT.gw_config_ff_n_3 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[19].NON_ZERO_INT.intenable_ff_n_2 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[19].NON_ZERO_INT.intpriority_ff_n_0 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[19].NON_ZERO_INT.intpriority_ff_n_3 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[19].NON_ZERO_INT.intpriority_ff_n_4 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[19].NON_ZERO_INT.intpriority_ff_n_5 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[19].NON_ZERO_INT.intpriority_ff_n_6 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[20].NON_ZERO_INT.config_gw_inst_gw_int_pending ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[20].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[20].NON_ZERO_INT.config_gw_inst_n_0 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[20].NON_ZERO_INT.gw_config_ff_n_2 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[20].NON_ZERO_INT.gw_config_ff_n_3 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[20].NON_ZERO_INT.intenable_ff_n_2 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[20].NON_ZERO_INT.intpriority_ff_n_0 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[20].NON_ZERO_INT.intpriority_ff_n_3 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[20].NON_ZERO_INT.intpriority_ff_n_4 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[20].NON_ZERO_INT.intpriority_ff_n_5 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[20].NON_ZERO_INT.intpriority_ff_n_6 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[21].NON_ZERO_INT.config_gw_inst_gw_int_pending ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[21].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[21].NON_ZERO_INT.config_gw_inst_n_0 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[21].NON_ZERO_INT.gw_config_ff_n_2 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[21].NON_ZERO_INT.gw_config_ff_n_3 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[21].NON_ZERO_INT.intenable_ff_n_2 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[21].NON_ZERO_INT.intpriority_ff_n_0 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[21].NON_ZERO_INT.intpriority_ff_n_3 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[21].NON_ZERO_INT.intpriority_ff_n_4 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[21].NON_ZERO_INT.intpriority_ff_n_5 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[21].NON_ZERO_INT.intpriority_ff_n_6 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[22].NON_ZERO_INT.config_gw_inst_gw_int_pending ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[22].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[22].NON_ZERO_INT.config_gw_inst_n_0 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[22].NON_ZERO_INT.gw_config_ff_n_2 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[22].NON_ZERO_INT.gw_config_ff_n_3 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[22].NON_ZERO_INT.intenable_ff_n_2 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[22].NON_ZERO_INT.intpriority_ff_n_0 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[22].NON_ZERO_INT.intpriority_ff_n_3 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[22].NON_ZERO_INT.intpriority_ff_n_4 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[22].NON_ZERO_INT.intpriority_ff_n_5 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[22].NON_ZERO_INT.intpriority_ff_n_6 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[23].NON_ZERO_INT.config_gw_inst_gw_int_pending ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[23].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[23].NON_ZERO_INT.config_gw_inst_n_0 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[23].NON_ZERO_INT.gw_config_ff_n_2 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[23].NON_ZERO_INT.gw_config_ff_n_3 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[23].NON_ZERO_INT.intenable_ff_n_2 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[23].NON_ZERO_INT.intpriority_ff_n_0 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[23].NON_ZERO_INT.intpriority_ff_n_3 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[23].NON_ZERO_INT.intpriority_ff_n_4 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[23].NON_ZERO_INT.intpriority_ff_n_5 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[23].NON_ZERO_INT.intpriority_ff_n_6 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[24].NON_ZERO_INT.config_gw_inst_gw_int_pending ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[24].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[24].NON_ZERO_INT.config_gw_inst_n_0 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[24].NON_ZERO_INT.gw_config_ff_n_2 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[24].NON_ZERO_INT.gw_config_ff_n_3 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[24].NON_ZERO_INT.intenable_ff_n_2 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[24].NON_ZERO_INT.intpriority_ff_n_0 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[24].NON_ZERO_INT.intpriority_ff_n_3 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[24].NON_ZERO_INT.intpriority_ff_n_4 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[24].NON_ZERO_INT.intpriority_ff_n_5 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[24].NON_ZERO_INT.intpriority_ff_n_6 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[25].NON_ZERO_INT.config_gw_inst_gw_int_pending ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[25].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[25].NON_ZERO_INT.config_gw_inst_n_0 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[25].NON_ZERO_INT.gw_config_ff_n_2 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[25].NON_ZERO_INT.gw_config_ff_n_3 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[25].NON_ZERO_INT.intenable_ff_n_2 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[25].NON_ZERO_INT.intpriority_ff_n_0 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[25].NON_ZERO_INT.intpriority_ff_n_3 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[25].NON_ZERO_INT.intpriority_ff_n_4 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[25].NON_ZERO_INT.intpriority_ff_n_5 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[25].NON_ZERO_INT.intpriority_ff_n_6 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[26].NON_ZERO_INT.config_gw_inst_gw_int_pending ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[26].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[26].NON_ZERO_INT.config_gw_inst_n_0 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[26].NON_ZERO_INT.gw_config_ff_n_2 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[26].NON_ZERO_INT.gw_config_ff_n_3 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[26].NON_ZERO_INT.intenable_ff_n_2 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[26].NON_ZERO_INT.intpriority_ff_n_0 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[26].NON_ZERO_INT.intpriority_ff_n_3 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[26].NON_ZERO_INT.intpriority_ff_n_4 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[26].NON_ZERO_INT.intpriority_ff_n_5 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[26].NON_ZERO_INT.intpriority_ff_n_6 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[27].NON_ZERO_INT.config_gw_inst_gw_int_pending ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[27].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[27].NON_ZERO_INT.config_gw_inst_n_0 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[27].NON_ZERO_INT.gw_config_ff_n_2 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[27].NON_ZERO_INT.gw_config_ff_n_3 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[27].NON_ZERO_INT.intenable_ff_n_2 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[27].NON_ZERO_INT.intpriority_ff_n_0 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[27].NON_ZERO_INT.intpriority_ff_n_3 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[27].NON_ZERO_INT.intpriority_ff_n_4 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[27].NON_ZERO_INT.intpriority_ff_n_5 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[27].NON_ZERO_INT.intpriority_ff_n_6 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[28].NON_ZERO_INT.config_gw_inst_gw_int_pending ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[28].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[28].NON_ZERO_INT.config_gw_inst_n_0 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[28].NON_ZERO_INT.gw_config_ff_n_2 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[28].NON_ZERO_INT.gw_config_ff_n_3 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[28].NON_ZERO_INT.intenable_ff_n_2 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[28].NON_ZERO_INT.intpriority_ff_n_0 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[28].NON_ZERO_INT.intpriority_ff_n_3 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[28].NON_ZERO_INT.intpriority_ff_n_4 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[28].NON_ZERO_INT.intpriority_ff_n_5 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[28].NON_ZERO_INT.intpriority_ff_n_6 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[29].NON_ZERO_INT.config_gw_inst_gw_int_pending ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[29].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[29].NON_ZERO_INT.config_gw_inst_n_0 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[29].NON_ZERO_INT.gw_config_ff_n_2 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[29].NON_ZERO_INT.gw_config_ff_n_3 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[29].NON_ZERO_INT.intenable_ff_n_2 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[29].NON_ZERO_INT.intpriority_ff_n_0 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[29].NON_ZERO_INT.intpriority_ff_n_3 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[29].NON_ZERO_INT.intpriority_ff_n_4 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[29].NON_ZERO_INT.intpriority_ff_n_5 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[29].NON_ZERO_INT.intpriority_ff_n_6 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[30].NON_ZERO_INT.config_gw_inst_gw_int_pending ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[30].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[30].NON_ZERO_INT.config_gw_inst_n_0 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[30].NON_ZERO_INT.gw_config_ff_n_2 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[30].NON_ZERO_INT.gw_config_ff_n_3 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[30].NON_ZERO_INT.intenable_ff_n_2 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[30].NON_ZERO_INT.intpriority_ff_n_0 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[30].NON_ZERO_INT.intpriority_ff_n_3 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[30].NON_ZERO_INT.intpriority_ff_n_4 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[30].NON_ZERO_INT.intpriority_ff_n_5 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[30].NON_ZERO_INT.intpriority_ff_n_6 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[31].NON_ZERO_INT.config_gw_inst_gw_int_pending ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[31].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[31].NON_ZERO_INT.config_gw_inst_n_0 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[31].NON_ZERO_INT.gw_config_ff_n_2 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[31].NON_ZERO_INT.gw_config_ff_n_3 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[31].NON_ZERO_INT.intenable_ff_n_2 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[31].NON_ZERO_INT.intpriority_ff_n_0 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[31].NON_ZERO_INT.intpriority_ff_n_3 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[31].NON_ZERO_INT.intpriority_ff_n_4 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[31].NON_ZERO_INT.intpriority_ff_n_5 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[31].NON_ZERO_INT.intpriority_ff_n_6 ;
+   wire brqrv_top_brqrv_pic_ctrl_inst_config_reg;
+   wire brqrv_top_brqrv_pic_ctrl_inst_config_reg_ff_n_2;
+   wire brqrv_top_brqrv_pic_ctrl_inst_config_reg_we;
+   wire brqrv_top_brqrv_pic_ctrl_inst_gw_config_c1_clk;
+   wire brqrv_top_brqrv_pic_ctrl_inst_gw_config_c1_clken;
+   wire brqrv_top_brqrv_pic_ctrl_inst_mexintpend_in;
+   wire brqrv_top_brqrv_pic_ctrl_inst_mhwakeup_in;
+   wire brqrv_top_brqrv_pic_ctrl_inst_pic_data_c1_clk;
+   wire brqrv_top_brqrv_pic_ctrl_inst_pic_data_c1_clken;
+   wire brqrv_top_brqrv_pic_ctrl_inst_pic_int_c1_clk;
+   wire brqrv_top_brqrv_pic_ctrl_inst_pic_int_c1_clken;
+   wire brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk;
+   wire brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clken;
+   wire brqrv_top_brqrv_pic_ctrl_inst_pic_raddr_c1_clk;
+   wire brqrv_top_brqrv_pic_ctrl_inst_pic_raddr_c1_clken;
+   wire brqrv_top_brqrv_pic_ctrl_inst_picm_mken_ff;
+   wire brqrv_top_brqrv_pic_ctrl_inst_picm_radd_flop_logic_1_1_net;
+   wire brqrv_top_brqrv_pic_ctrl_inst_picm_rden_ff;
+   wire brqrv_top_brqrv_pic_ctrl_inst_picm_wadd_flop_logic_1_1_net;
+   wire brqrv_top_brqrv_pic_ctrl_inst_picm_wren_ff;
+   wire brqrv_top_brqrv_picm_mken;
+   wire brqrv_top_brqrv_picm_rden;
+   wire brqrv_top_brqrv_picm_wren;
+   wire brqrv_top_core_rst;
+   wire brqrv_top_dccm_clk_override;
+   wire brqrv_top_dmi_reg_en;
+   wire brqrv_top_dmi_reg_wr_en;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_n_451;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_n_716;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_n_958;
+   wire brqrv_top_dmi_wrapper_rd_en;
+   wire brqrv_top_dmi_wrapper_wr_en;
+   wire brqrv_top_iccm_controller_n_0;
+   wire brqrv_top_iccm_controller_n_1;
+   wire brqrv_top_iccm_controller_n_2;
+   wire brqrv_top_iccm_controller_n_3;
+   wire brqrv_top_iccm_controller_n_4;
+   wire brqrv_top_iccm_controller_n_5;
+   wire brqrv_top_iccm_controller_n_6;
+   wire brqrv_top_iccm_controller_n_7;
+   wire brqrv_top_iccm_controller_n_8;
+   wire brqrv_top_iccm_controller_n_9;
+   wire brqrv_top_iccm_controller_n_10;
+   wire brqrv_top_iccm_controller_n_11;
+   wire brqrv_top_iccm_controller_n_12;
+   wire brqrv_top_iccm_controller_n_13;
+   wire brqrv_top_iccm_controller_n_14;
+   wire brqrv_top_iccm_controller_n_15;
+   wire brqrv_top_iccm_controller_n_16;
+   wire brqrv_top_iccm_controller_n_17;
+   wire brqrv_top_iccm_controller_n_18;
+   wire brqrv_top_iccm_controller_n_19;
+   wire brqrv_top_iccm_controller_n_20;
+   wire brqrv_top_iccm_controller_n_21;
+   wire brqrv_top_iccm_controller_n_22;
+   wire brqrv_top_iccm_controller_n_23;
+   wire brqrv_top_iccm_controller_n_24;
+   wire brqrv_top_iccm_controller_n_25;
+   wire brqrv_top_iccm_controller_n_26;
+   wire brqrv_top_iccm_controller_n_27;
+   wire brqrv_top_iccm_controller_n_28;
+   wire brqrv_top_iccm_controller_n_29;
+   wire brqrv_top_iccm_controller_n_30;
+   wire brqrv_top_iccm_controller_n_31;
+   wire brqrv_top_iccm_controller_n_32;
+   wire brqrv_top_iccm_controller_n_33;
+   wire brqrv_top_iccm_controller_n_34;
+   wire brqrv_top_iccm_controller_n_35;
+   wire brqrv_top_iccm_controller_n_36;
+   wire brqrv_top_iccm_controller_n_37;
+   wire brqrv_top_iccm_controller_n_38;
+   wire brqrv_top_iccm_controller_n_39;
+   wire brqrv_top_iccm_controller_n_40;
+   wire brqrv_top_iccm_controller_n_41;
+   wire brqrv_top_iccm_controller_n_42;
+   wire brqrv_top_iccm_controller_n_43;
+   wire brqrv_top_iccm_controller_n_44;
+   wire brqrv_top_iccm_controller_n_45;
+   wire brqrv_top_iccm_controller_n_46;
+   wire brqrv_top_iccm_controller_n_47;
+   wire brqrv_top_iccm_controller_n_48;
+   wire brqrv_top_iccm_controller_n_49;
+   wire brqrv_top_iccm_controller_n_50;
+   wire brqrv_top_iccm_controller_n_51;
+   wire brqrv_top_iccm_controller_n_52;
+   wire brqrv_top_iccm_controller_n_53;
+   wire brqrv_top_iccm_instr_we;
+   wire brqrv_top_icm_clk_override;
+   wire \brqrv_top_mem_Gen_dccm_enable.dccm_n_266 ;
+   wire \brqrv_top_mem_Gen_dccm_enable.dccm_n_267 ;
+   wire \brqrv_top_mem_Gen_dccm_enable.dccm_n_268 ;
+   wire \brqrv_top_mem_Gen_dccm_enable.dccm_n_269 ;
+   wire \brqrv_top_mem_Gen_dccm_enable.dccm_n_270 ;
+   wire \brqrv_top_mem_Gen_dccm_enable.dccm_n_271 ;
+   wire \brqrv_top_mem_Gen_dccm_enable.dccm_n_313 ;
+   wire \brqrv_top_mem_Gen_dccm_enable.dccm_n_314 ;
+   wire \brqrv_top_mem_Gen_dccm_enable.dccm_n_355 ;
+   wire \brqrv_top_mem_Gen_dccm_enable.dccm_n_356 ;
+   wire \brqrv_top_mem_Gen_dccm_enable.dccm_n_397 ;
+   wire \brqrv_top_mem_Gen_dccm_enable.dccm_n_398 ;
+   wire brqrv_top_mem_active_cg_logic_1_1_net;
+   wire brqrv_top_mem_active_clk;
+   wire \brqrv_top_mem_iccm.iccm_n_370 ;
+   wire \brqrv_top_mem_iccm.iccm_n_371 ;
+   wire \brqrv_top_mem_iccm.iccm_n_372 ;
+   wire \brqrv_top_mem_iccm.iccm_n_373 ;
+   wire \brqrv_top_mem_iccm.iccm_n_385 ;
+   wire \brqrv_top_mem_iccm.iccm_n_386 ;
+   wire \brqrv_top_mem_iccm.iccm_n_395 ;
+   wire \brqrv_top_mem_iccm.iccm_n_396 ;
+   wire \brqrv_top_mem_iccm.iccm_n_405 ;
+   wire \brqrv_top_mem_iccm.iccm_n_406 ;
+   wire \brqrv_top_mem_iccm.iccm_n_1379 ;
+   wire brqrv_top_n_974;
+   wire brqrv_top_n_975;
+   wire brqrv_top_n_988;
+   wire brqrv_top_n_989;
+   wire brqrv_top_n_990;
+   wire brqrv_top_n_991;
+   wire brqrv_top_n_992;
+   wire brqrv_top_n_993;
+   wire brqrv_top_n_994;
+   wire brqrv_top_n_995;
+   wire brqrv_top_n_996;
+   wire brqrv_top_n_997;
+   wire brqrv_top_n_998;
+   wire brqrv_top_n_999;
+   wire brqrv_top_n_1000;
+   wire brqrv_top_n_1001;
+   wire brqrv_top_n_1002;
+   wire brqrv_top_n_1003;
+   wire brqrv_top_n_1004;
+   wire brqrv_top_n_1005;
+   wire brqrv_top_n_1006;
+   wire brqrv_top_n_1007;
+   wire brqrv_top_n_1008;
+   wire brqrv_top_n_1009;
+   wire brqrv_top_n_1010;
+   wire brqrv_top_n_1011;
+   wire brqrv_top_n_1012;
+   wire brqrv_top_n_1013;
+   wire brqrv_top_n_1014;
+   wire brqrv_top_n_1015;
+   wire brqrv_top_n_1016;
+   wire brqrv_top_n_1017;
+   wire brqrv_top_n_1018;
+   wire brqrv_top_n_1019;
+   wire brqrv_top_n_1027;
+   wire brqrv_top_n_1028;
+   wire brqrv_top_n_1029;
+   wire brqrv_top_n_1030;
+   wire brqrv_top_n_1031;
+   wire brqrv_top_n_1032;
+   wire brqrv_top_n_1033;
+   wire brqrv_top_n_1034;
+   wire brqrv_top_n_1035;
+   wire brqrv_top_n_1036;
+   wire brqrv_top_n_1037;
+   wire brqrv_top_n_1038;
+   wire brqrv_top_n_1039;
+   wire brqrv_top_n_1040;
+   wire brqrv_top_n_1041;
+   wire brqrv_top_n_1042;
+   wire brqrv_top_n_1043;
+   wire brqrv_top_n_1044;
+   wire brqrv_top_n_1045;
+   wire brqrv_top_n_1046;
+   wire brqrv_top_n_1047;
+   wire brqrv_top_n_1048;
+   wire brqrv_top_n_1049;
+   wire brqrv_top_n_1050;
+   wire brqrv_top_n_1051;
+   wire brqrv_top_n_1052;
+   wire brqrv_top_n_1053;
+   wire brqrv_top_n_1054;
+   wire brqrv_top_n_1055;
+   wire brqrv_top_n_1056;
+   wire brqrv_top_n_1057;
+   wire brqrv_top_n_1058;
+   wire brqrv_top_rx_dv_i;
+   wire brqrv_top_uart_rx_m_n_0;
+   wire brqrv_top_uart_rx_m_n_2;
+   wire brqrv_top_uart_rx_m_n_3;
+   wire brqrv_top_uart_rx_m_n_4;
+   wire brqrv_top_uart_rx_m_n_5;
+   wire brqrv_top_uart_rx_m_n_6;
+   wire brqrv_top_uart_rx_m_n_7;
+   wire brqrv_top_uart_rx_m_n_8;
+   wire brqrv_top_uart_rx_m_n_9;
+   wire brqrv_top_uart_rx_m_n_10;
+   wire brqrv_top_uart_rx_m_n_11;
+   wire brqrv_top_uart_rx_m_n_12;
+   wire brqrv_top_uart_rx_m_n_13;
+   wire brqrv_top_uart_rx_m_n_14;
+   wire brqrv_top_uart_rx_m_n_15;
+   wire brqrv_top_uart_rx_m_n_16;
+   wire brqrv_top_uart_rx_m_n_17;
+   wire brqrv_top_uart_rx_m_n_18;
+   wire brqrv_top_uart_rx_m_n_19;
+   wire brqrv_top_uart_rx_m_n_20;
+   wire brqrv_top_uart_rx_m_n_21;
+   wire brqrv_top_uart_rx_m_n_22;
+   wire brqrv_top_uart_rx_m_n_23;
+   wire brqrv_top_uart_rx_m_n_24;
+   wire brqrv_top_uart_rx_m_n_25;
+   wire brqrv_top_uart_rx_m_n_26;
+   wire brqrv_top_uart_rx_m_n_27;
+   wire brqrv_top_uart_rx_m_n_28;
+   wire brqrv_top_uart_rx_m_n_29;
+   wire brqrv_top_uart_rx_m_n_30;
+   wire brqrv_top_uart_rx_m_n_31;
+   wire brqrv_top_uart_rx_m_n_32;
+   wire brqrv_top_uart_rx_m_n_33;
+   wire brqrv_top_uart_rx_m_n_34;
+   wire brqrv_top_uart_rx_m_n_35;
+   wire brqrv_top_uart_rx_m_n_36;
+   wire brqrv_top_uart_rx_m_n_37;
+   wire brqrv_top_uart_rx_m_n_38;
+   wire brqrv_top_uart_rx_m_n_39;
+   wire brqrv_top_uart_rx_m_n_40;
+   wire brqrv_top_uart_rx_m_n_41;
+   wire brqrv_top_uart_rx_m_n_42;
+   wire brqrv_top_uart_rx_m_n_43;
+   wire brqrv_top_uart_rx_m_n_44;
+   wire brqrv_top_uart_rx_m_n_45;
+   wire brqrv_top_uart_rx_m_n_46;
+   wire brqrv_top_uart_rx_m_n_47;
+   wire brqrv_top_uart_rx_m_n_48;
+   wire brqrv_top_uart_rx_m_n_49;
+   wire brqrv_top_uart_rx_m_n_50;
+   wire brqrv_top_uart_rx_m_n_51;
+   wire brqrv_top_uart_rx_m_n_52;
+   wire brqrv_top_uart_rx_m_n_53;
+   wire brqrv_top_uart_rx_m_n_54;
+   wire brqrv_top_uart_rx_m_n_55;
+   wire brqrv_top_uart_rx_m_n_56;
+   wire brqrv_top_uart_rx_m_n_57;
+   wire brqrv_top_uart_rx_m_n_58;
+   wire brqrv_top_uart_rx_m_n_59;
+   wire brqrv_top_uart_rx_m_n_60;
+   wire brqrv_top_uart_rx_m_n_61;
+   wire brqrv_top_uart_rx_m_n_62;
+   wire brqrv_top_uart_rx_m_n_63;
+   wire brqrv_top_uart_rx_m_n_64;
+   wire brqrv_top_uart_rx_m_n_65;
+   wire brqrv_top_uart_rx_m_n_66;
+   wire brqrv_top_uart_rx_m_n_67;
+   wire brqrv_top_uart_rx_m_n_68;
+   wire brqrv_top_uart_rx_m_n_69;
+   wire brqrv_top_uart_rx_m_n_70;
+   wire brqrv_top_uart_rx_m_n_71;
+   wire brqrv_top_uart_rx_m_n_72;
+   wire brqrv_top_uart_rx_m_n_73;
+   wire brqrv_top_uart_rx_m_n_74;
+   wire brqrv_top_uart_rx_m_n_75;
+   wire brqrv_top_uart_rx_m_n_76;
+   wire brqrv_top_uart_rx_m_n_77;
+   wire brqrv_top_uart_rx_m_n_78;
+   wire brqrv_top_uart_rx_m_n_79;
+   wire brqrv_top_uart_rx_m_n_80;
+   wire brqrv_top_uart_rx_m_n_81;
+   wire brqrv_top_uart_rx_m_n_82;
+   wire brqrv_top_uart_rx_m_n_83;
+   wire brqrv_top_uart_rx_m_n_84;
+   wire brqrv_top_uart_rx_m_n_85;
+   wire brqrv_top_uart_rx_m_n_86;
+   wire brqrv_top_uart_rx_m_n_87;
+   wire brqrv_top_uart_rx_m_n_88;
+   wire brqrv_top_uart_rx_m_n_89;
+   wire brqrv_top_uart_rx_m_n_90;
+   wire brqrv_top_uart_rx_m_n_91;
+   wire brqrv_top_uart_rx_m_n_92;
+   wire brqrv_top_uart_rx_m_n_93;
+   wire brqrv_top_uart_rx_m_n_94;
+   wire brqrv_top_uart_rx_m_n_95;
+   wire brqrv_top_uart_rx_m_n_96;
+   wire brqrv_top_uart_rx_m_n_97;
+   wire brqrv_top_uart_rx_m_n_98;
+   wire brqrv_top_uart_rx_m_n_99;
+   wire brqrv_top_uart_rx_m_n_100;
+   wire brqrv_top_uart_rx_m_n_101;
+   wire brqrv_top_uart_rx_m_n_102;
+   wire brqrv_top_uart_rx_m_n_103;
+   wire brqrv_top_uart_rx_m_n_104;
+   wire brqrv_top_uart_rx_m_n_105;
+   wire brqrv_top_uart_rx_m_n_106;
+   wire brqrv_top_uart_rx_m_n_107;
+   wire brqrv_top_uart_rx_m_n_108;
+   wire brqrv_top_uart_rx_m_n_109;
+   wire brqrv_top_uart_rx_m_n_110;
+   wire brqrv_top_uart_rx_m_n_111;
+   wire brqrv_top_uart_rx_m_n_112;
+   wire brqrv_top_uart_rx_m_n_113;
+   wire brqrv_top_uart_rx_m_n_114;
+   wire brqrv_top_uart_rx_m_n_115;
+   wire brqrv_top_uart_rx_m_n_116;
+   wire brqrv_top_uart_rx_m_n_117;
+   wire brqrv_top_uart_rx_m_n_118;
+   wire brqrv_top_uart_rx_m_n_119;
+   wire brqrv_top_uart_rx_m_n_120;
+   wire brqrv_top_uart_rx_m_n_121;
+   wire brqrv_top_uart_rx_m_n_122;
+   wire brqrv_top_uart_rx_m_n_123;
+   wire brqrv_top_uart_rx_m_n_124;
+   wire brqrv_top_uart_rx_m_n_125;
+   wire brqrv_top_uart_rx_m_n_126;
+   wire brqrv_top_uart_rx_m_n_127;
+   wire brqrv_top_uart_rx_m_n_128;
+   wire brqrv_top_uart_rx_m_n_129;
+   wire brqrv_top_uart_rx_m_n_130;
+   wire brqrv_top_uart_rx_m_n_131;
+   wire brqrv_top_uart_rx_m_n_132;
+   wire brqrv_top_uart_rx_m_n_133;
+   wire brqrv_top_uart_rx_m_n_134;
+   wire brqrv_top_uart_rx_m_n_135;
+   wire brqrv_top_uart_rx_m_n_136;
+   wire brqrv_top_uart_rx_m_n_137;
+   wire brqrv_top_uart_rx_m_n_138;
+   wire brqrv_top_uart_rx_m_n_139;
+   wire brqrv_top_uart_rx_m_n_141;
+   wire brqrv_top_uart_rx_m_n_142;
+   wire brqrv_top_uart_rx_m_n_143;
+   wire brqrv_top_uart_rx_m_n_144;
+   wire brqrv_top_uart_rx_m_n_145;
+   wire brqrv_top_uart_rx_m_n_146;
+   wire brqrv_top_uart_rx_m_n_147;
+   wire brqrv_top_uart_rx_m_n_148;
+   wire brqrv_top_uart_rx_m_n_149;
+   wire brqrv_top_uart_rx_m_n_150;
+   wire brqrv_top_uart_rx_m_n_151;
+   wire brqrv_top_uart_rx_m_n_152;
+   wire brqrv_top_uart_rx_m_n_153;
+   wire brqrv_top_uart_rx_m_n_154;
+   wire brqrv_top_uart_rx_m_n_155;
+   wire brqrv_top_uart_rx_m_n_156;
+   wire brqrv_top_uart_rx_m_n_157;
+   wire brqrv_top_uart_rx_m_n_158;
+   wire brqrv_top_uart_rx_m_n_159;
+   wire brqrv_top_uart_rx_m_n_160;
+   wire brqrv_top_uart_rx_m_n_161;
+   wire brqrv_top_uart_rx_m_n_162;
+   wire brqrv_top_uart_rx_m_n_163;
+   wire brqrv_top_uart_rx_m_n_164;
+   wire brqrv_top_uart_rx_m_n_165;
+   wire brqrv_top_uart_rx_m_n_166;
+   wire brqrv_top_uart_rx_m_n_167;
+   wire brqrv_top_uart_rx_m_n_168;
+   wire brqrv_top_uart_rx_m_n_169;
+   wire brqrv_top_uart_rx_m_n_170;
+   wire brqrv_top_uart_rx_m_n_171;
+   wire brqrv_top_uart_rx_m_n_172;
+   wire brqrv_top_uart_rx_m_n_173;
+   wire brqrv_top_uart_rx_m_n_174;
+   wire brqrv_top_uart_rx_m_n_175;
+   wire brqrv_top_uart_rx_m_n_176;
+   wire brqrv_top_uart_rx_m_n_177;
+   wire brqrv_top_uart_rx_m_n_178;
+   wire brqrv_top_uart_rx_m_n_179;
+   wire brqrv_top_uart_rx_m_n_180;
+   wire brqrv_top_uart_rx_m_n_181;
+   wire brqrv_top_uart_rx_m_n_182;
+   wire brqrv_top_uart_rx_m_n_183;
+   wire brqrv_top_uart_rx_m_n_184;
+   wire brqrv_top_uart_rx_m_n_185;
+   wire brqrv_top_uart_rx_m_n_186;
+   wire brqrv_top_uart_rx_m_n_187;
+   wire brqrv_top_uart_rx_m_n_188;
+   wire brqrv_top_uart_rx_m_n_189;
+   wire brqrv_top_uart_rx_m_n_190;
+   wire brqrv_top_uart_rx_m_n_191;
+   wire brqrv_top_uart_rx_m_n_192;
+   wire brqrv_top_uart_rx_m_n_193;
+   wire brqrv_top_uart_rx_m_n_194;
+   wire brqrv_top_uart_rx_m_n_215;
+   wire brqrv_top_uart_rx_m_r_Rx_Data;
+   wire brqrv_top_uart_rx_m_r_Rx_Data_R;
+   wire clk;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_1;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_3;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_4;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_5;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_6;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_7;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_8;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_9;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_11;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_14;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_15;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_18;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_19;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_20;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_21;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_22;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_23;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_24;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_25;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_26;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_27;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_28;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_29;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_30;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_31;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_32;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_33;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_34;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_35;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_36;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_37;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_38;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_39;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_40;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_41;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_42;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_43;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_44;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_45;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_46;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_47;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_48;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_49;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_50;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_51;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_52;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_53;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_54;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_55;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_56;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_57;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_58;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_59;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_60;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_61;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_62;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_63;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_64;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_65;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_66;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_67;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_68;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_69;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_70;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_71;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_72;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_73;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_74;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_75;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_76;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_77;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_78;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_79;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_80;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_81;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_82;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_83;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_84;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_85;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_86;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_87;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_88;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_89;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_90;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_91;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_92;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_93;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_94;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_95;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_96;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_97;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_98;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_99;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_100;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_101;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_102;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_103;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_104;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_105;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_106;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_107;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_108;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_109;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_110;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_111;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_112;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_113;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_114;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_115;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_116;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_117;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_118;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_119;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_120;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_121;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_122;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_123;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_124;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_125;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_126;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_127;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_128;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_129;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_130;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_131;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_132;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_133;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_134;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_135;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_136;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_137;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_138;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_139;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_140;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_141;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_142;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_143;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_144;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_146;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_147;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_148;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_149;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_150;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_151;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_152;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_153;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_154;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_155;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_156;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_157;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_158;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_159;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_160;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_161;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_162;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_163;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_165;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_167;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_168;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_170;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_171;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_173;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_174;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_176;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_177;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_179;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_180;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_182;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_183;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_185;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_186;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_188;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_189;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_191;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_193;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_194;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_196;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_197;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_199;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_200;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_202;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_203;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_205;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_206;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_207;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_208;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_211;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_212;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_214;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_215;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_217;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_218;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_219;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_222;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_223;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_225;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_227;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_228;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_230;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_231;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_233;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_234;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_236;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_237;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_239;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_240;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_242;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_244;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_246;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_249;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_251;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_0;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_5;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_12;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_13;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_14;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_15;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_16;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_17;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_18;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_19;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_20;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_21;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_22;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_23;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_24;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_26;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_27;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_28;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_29;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_30;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_31;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_32;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_33;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_35;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_36;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_37;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_38;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_39;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_40;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_41;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_42;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_43;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_44;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_45;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_46;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_47;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_48;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_49;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_50;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_51;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_52;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_53;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_54;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_55;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_56;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_57;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_58;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_59;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_62;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_64;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_65;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_68;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_70;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_71;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_72;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_73;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_74;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_76;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_77;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_78;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_79;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_81;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_82;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_83;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_84;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_85;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_86;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_87;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_88;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_89;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_90;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_91;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_93;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_94;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_95;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_96;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_97;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_98;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_99;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_100;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_101;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_102;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_103;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_104;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_105;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_106;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_107;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_108;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_109;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_110;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_111;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_112;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_113;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_114;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_115;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_116;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_117;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_118;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_119;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_120;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_121;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_122;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_123;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_125;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_126;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_127;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_128;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_129;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_130;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_131;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_133;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_138;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_139;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_140;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_141;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_142;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_143;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_144;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_145;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_146;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_147;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_148;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_149;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_150;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_151;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_152;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_153;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_154;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_156;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_157;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_158;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_159;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_160;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_161;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_162;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_163;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_164;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_165;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_166;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_167;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_168;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_169;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_170;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_172;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_173;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_175;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_176;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_177;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_178;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_180;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_181;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_182;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_183;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_184;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_185;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_186;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_187;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_188;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_189;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_190;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_191;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_192;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_193;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_194;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_195;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_197;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_198;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_199;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_200;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_201;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_202;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_203;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_204;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_205;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_206;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_207;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_208;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_209;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_210;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_211;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_212;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_213;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_214;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_215;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_216;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_217;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_218;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_219;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_220;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_221;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_222;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_224;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_225;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_226;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_227;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_228;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_229;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_230;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_231;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_232;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_233;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_234;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_235;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_236;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_237;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_238;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_239;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_240;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_241;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_243;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_244;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_245;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_246;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_248;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_249;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_251;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_252;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_253;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_255;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_258;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_260;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_262;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_264;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_265;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_266;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_267;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_268;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_269;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_273;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_274;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_275;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_276;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_277;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_278;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_279;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_284;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_285;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_287;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_288;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_289;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_291;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_297;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_298;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_299;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_300;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_301;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_302;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_303;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_304;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_311;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_312;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_313;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_314;
+   wire dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_0;
+   wire dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_1;
+   wire dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_2;
+   wire dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_3;
+   wire dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_4;
+   wire dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_5;
+   wire dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_6;
+   wire dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_7;
+   wire dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_9;
+   wire dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_10;
+   wire dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_11;
+   wire dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_12;
+   wire dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_13;
+   wire dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_15;
+   wire dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_16;
+   wire dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_17;
+   wire dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_19;
+   wire dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_20;
+   wire dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_21;
+   wire dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_22;
+   wire dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_25;
+   wire dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_26;
+   wire dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_29;
+   wire dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_30;
+   wire dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_31;
+   wire dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_35;
+   wire dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_36;
+   wire dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_37;
+   wire dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_38;
+   wire dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_42;
+   wire dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_43;
+   wire dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_44;
+   wire dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_45;
+   wire dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_49;
+   wire dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_50;
+   wire dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_51;
+   wire dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_53;
+   wire dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_57;
+   wire dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_60;
+   wire dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_64;
+   wire inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22742_35_n_0;
+   wire inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22742_35_n_1;
+   wire inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22742_35_n_3;
+   wire inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22742_35_n_4;
+   wire inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22742_35_n_5;
+   wire inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22742_35_n_7;
+   wire inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22742_35_n_8;
+   wire inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22742_35_n_9;
+   wire inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22742_35_n_11;
+   wire inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22742_35_n_14;
+   wire inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22742_35_n_15;
+   wire inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22742_35_n_18;
+   wire inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22742_35_n_20;
+   wire inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22742_35_n_21;
+   wire inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22742_35_n_22;
+   wire inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22742_35_n_24;
+   wire inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22742_35_n_26;
+   wire inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22742_35_n_28;
+   wire inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22742_35_n_30;
+   wire inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22742_35_n_35;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9330_59_n_0;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9330_59_n_1;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9330_59_n_2;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9330_59_n_3;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9330_59_n_4;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9330_59_n_5;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9330_59_n_7;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9330_59_n_8;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9330_59_n_10;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9330_59_n_11;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9330_59_n_12;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9330_59_n_14;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9330_59_n_15;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9330_59_n_16;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9330_59_n_17;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9330_59_n_18;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9330_59_n_19;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9330_59_n_22;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9330_59_n_23;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9330_59_n_24;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9330_59_n_25;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9330_59_n_29;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9330_59_n_30;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9330_59_n_31;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9330_59_n_32;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9330_59_n_37;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9330_59_n_38;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9330_59_n_44;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9330_59_n_47;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9330_59_n_49;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9330_59_n_52;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_0;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_1;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_2;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_3;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_4;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_5;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_6;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_8;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_9;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_11;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_12;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_13;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_14;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_15;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_17;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_18;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_19;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_20;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_21;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_24;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_25;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_26;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_27;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_30;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_31;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_32;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_33;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_34;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_35;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_36;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_42;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_43;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_44;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_49;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_52;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_53;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_58;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_60;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_65;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_66;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9364_65_n_0;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9364_65_n_1;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9364_65_n_2;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9364_65_n_3;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9364_65_n_4;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9364_65_n_5;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9364_65_n_7;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9364_65_n_8;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9364_65_n_10;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9364_65_n_11;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9364_65_n_12;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9364_65_n_14;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9364_65_n_15;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9364_65_n_16;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9364_65_n_17;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9364_65_n_18;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9364_65_n_19;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9364_65_n_22;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9364_65_n_23;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9364_65_n_24;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9364_65_n_25;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9364_65_n_29;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9364_65_n_30;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9364_65_n_31;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9364_65_n_32;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9364_65_n_37;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9364_65_n_38;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9364_65_n_44;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9364_65_n_47;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9364_65_n_49;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9364_65_n_52;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_0;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_1;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_2;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_3;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_4;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_5;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_6;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_8;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_9;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_11;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_12;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_13;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_14;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_15;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_16;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_17;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_18;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_19;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_22;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_23;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_24;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_25;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_26;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_30;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_31;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_32;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_33;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_34;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_35;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_36;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_42;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_43;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_44;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_49;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_52;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_53;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_58;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_60;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_65;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_66;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_0;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_1;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_2;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_3;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_4;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_5;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_7;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_8;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_9;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_10;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_11;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_13;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_14;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_15;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_17;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_18;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_20;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_21;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_22;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_23;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_26;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_27;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_28;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_29;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_33;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_34;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_35;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_36;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_37;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_41;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_42;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_43;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_48;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_50;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_52;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_53;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_60;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_64;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_0;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_1;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_2;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_3;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_4;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_5;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_7;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_8;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_9;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_10;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_11;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_13;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_14;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_15;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_17;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_18;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_20;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_21;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_22;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_23;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_26;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_27;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_28;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_29;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_33;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_34;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_35;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_36;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_37;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_41;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_42;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_43;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_48;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_50;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_52;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_53;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_59;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_63;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_64;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_0;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_1;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_2;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_3;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_4;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_5;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_6;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_7;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_8;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_9;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_10;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_11;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_12;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_13;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_15;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_16;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_17;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_18;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_19;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_20;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_21;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_23;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_24;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_25;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_27;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_28;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_29;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_30;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_32;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_33;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_34;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_35;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_36;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_37;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_40;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_41;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_42;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_43;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_47;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_48;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_49;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_52;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_53;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_54;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_55;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_56;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_57;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_62;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_63;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_64;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_65;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_66;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_67;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_70;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_73;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_74;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_75;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_76;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_77;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_78;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_82;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_83;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_84;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_86;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_88;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_89;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_90;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_91;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_92;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_93;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_100;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_103;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_105;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_106;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_107;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_108;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_109;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_110;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_117;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_118;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_122;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_124;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_130;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_132;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_134;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_141;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_142;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_0;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_1;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_2;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_3;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_4;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_5;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_6;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_7;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_8;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_9;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_10;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_11;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_12;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_13;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_15;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_16;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_17;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_18;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_19;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_20;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_21;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_23;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_24;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_25;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_27;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_28;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_29;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_30;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_32;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_33;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_34;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_35;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_36;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_37;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_40;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_41;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_42;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_43;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_47;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_48;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_49;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_52;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_53;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_54;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_55;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_56;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_57;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_62;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_63;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_64;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_65;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_66;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_67;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_70;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_73;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_74;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_75;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_76;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_77;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_78;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_82;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_83;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_84;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_86;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_88;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_89;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_90;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_91;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_92;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_93;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_100;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_103;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_105;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_106;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_107;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_108;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_109;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_110;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_117;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_118;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_122;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_124;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_130;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_132;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_134;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_141;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_142;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_0;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_1;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_2;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_3;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_4;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_5;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_6;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_7;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_8;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_9;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_10;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_11;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_12;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_13;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_15;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_16;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_17;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_18;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_19;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_20;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_21;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_23;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_24;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_25;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_27;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_28;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_29;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_30;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_32;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_33;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_34;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_35;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_36;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_37;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_40;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_41;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_42;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_43;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_47;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_48;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_49;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_52;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_53;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_54;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_55;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_56;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_57;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_62;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_63;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_64;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_65;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_66;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_67;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_70;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_73;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_74;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_75;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_76;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_77;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_78;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_82;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_83;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_84;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_86;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_88;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_89;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_90;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_91;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_92;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_93;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_100;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_103;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_105;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_106;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_107;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_108;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_109;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_110;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_117;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_118;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_122;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_124;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_130;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_132;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_134;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_141;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_142;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_0;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_1;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_2;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_3;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_4;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_5;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_6;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_7;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_8;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_9;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_10;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_11;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_12;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_13;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_15;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_16;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_17;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_18;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_19;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_20;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_21;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_23;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_24;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_25;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_27;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_28;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_29;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_30;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_32;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_33;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_34;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_35;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_36;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_37;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_40;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_41;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_42;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_43;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_47;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_48;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_49;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_52;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_53;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_54;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_55;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_56;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_57;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_62;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_63;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_64;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_65;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_66;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_67;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_70;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_73;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_74;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_75;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_76;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_77;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_78;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_82;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_83;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_84;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_86;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_88;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_89;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_90;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_91;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_92;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_93;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_100;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_103;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_105;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_106;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_107;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_108;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_109;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_110;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_117;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_118;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_122;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_124;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_130;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_132;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_134;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_141;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_142;
+   wire inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_n_0;
+   wire inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_n_1;
+   wire inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_n_2;
+   wire inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_n_3;
+   wire inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_n_4;
+   wire inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_n_6;
+   wire inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_n_8;
+   wire inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_n_9;
+   wire inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_n_10;
+   wire inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_n_11;
+   wire inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_n_12;
+   wire inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_n_13;
+   wire inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_n_14;
+   wire inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_n_15;
+   wire inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_n_16;
+   wire inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_n_19;
+   wire inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_n_20;
+   wire inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_n_21;
+   wire inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_n_22;
+   wire inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_n_23;
+   wire inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_n_27;
+   wire inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_n_28;
+   wire inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_n_29;
+   wire inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_n_30;
+   wire inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_n_36;
+   wire inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_n_37;
+   wire inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_n_43;
+   wire inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_n_47;
+   wire inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_n_48;
+   wire inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_n_0;
+   wire inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_n_1;
+   wire inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_n_2;
+   wire inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_n_3;
+   wire inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_n_4;
+   wire inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_n_6;
+   wire inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_n_8;
+   wire inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_n_9;
+   wire inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_n_10;
+   wire inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_n_11;
+   wire inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_n_12;
+   wire inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_n_13;
+   wire inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_n_14;
+   wire inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_n_15;
+   wire inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_n_16;
+   wire inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_n_19;
+   wire inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_n_20;
+   wire inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_n_21;
+   wire inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_n_22;
+   wire inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_n_23;
+   wire inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_n_27;
+   wire inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_n_28;
+   wire inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_n_29;
+   wire inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_n_30;
+   wire inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_n_36;
+   wire inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_n_37;
+   wire inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_n_43;
+   wire inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_n_47;
+   wire inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_n_48;
+   wire inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22742_35_n_0;
+   wire inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22742_35_n_1;
+   wire inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22742_35_n_2;
+   wire inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22742_35_n_3;
+   wire inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22742_35_n_5;
+   wire inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22742_35_n_8;
+   wire inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22742_35_n_9;
+   wire inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22742_35_n_10;
+   wire inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22742_35_n_13;
+   wire inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22742_35_n_15;
+   wire inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22742_35_n_17;
+   wire inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22742_35_n_18;
+   wire inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22742_35_n_19;
+   wire inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22742_35_n_20;
+   wire inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22742_35_n_21;
+   wire inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22742_35_n_22;
+   wire inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22742_35_n_23;
+   wire inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22742_35_n_26;
+   wire inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22742_35_n_27;
+   wire inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22742_35_n_34;
+   wire inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22742_35_n_36;
+   wire inc_brqrv_top_brqrv_ifu_aln_add_14238_97_n_0;
+   wire inc_brqrv_top_brqrv_ifu_aln_add_14238_97_n_1;
+   wire inc_brqrv_top_brqrv_ifu_aln_add_14238_97_n_2;
+   wire inc_brqrv_top_brqrv_ifu_aln_add_14238_97_n_3;
+   wire inc_brqrv_top_brqrv_ifu_aln_add_14238_97_n_4;
+   wire inc_brqrv_top_brqrv_ifu_aln_add_14238_97_n_5;
+   wire inc_brqrv_top_brqrv_ifu_aln_add_14238_97_n_6;
+   wire inc_brqrv_top_brqrv_ifu_aln_add_14238_97_n_7;
+   wire inc_brqrv_top_brqrv_ifu_aln_add_14238_97_n_8;
+   wire inc_brqrv_top_brqrv_ifu_aln_add_14238_97_n_9;
+   wire inc_brqrv_top_brqrv_ifu_aln_add_14238_97_n_10;
+   wire inc_brqrv_top_brqrv_ifu_aln_add_14238_97_n_11;
+   wire inc_brqrv_top_brqrv_ifu_aln_add_14238_97_n_12;
+   wire inc_brqrv_top_brqrv_ifu_aln_add_14238_97_n_13;
+   wire inc_brqrv_top_brqrv_ifu_aln_add_14238_97_n_14;
+   wire inc_brqrv_top_brqrv_ifu_aln_add_14238_97_n_15;
+   wire inc_brqrv_top_brqrv_ifu_aln_add_14238_97_n_16;
+   wire inc_brqrv_top_brqrv_ifu_aln_add_14238_97_n_17;
+   wire inc_brqrv_top_brqrv_ifu_aln_add_14238_97_n_18;
+   wire inc_brqrv_top_brqrv_ifu_aln_add_14238_97_n_19;
+   wire inc_brqrv_top_brqrv_ifu_aln_add_14238_97_n_20;
+   wire inc_brqrv_top_brqrv_ifu_aln_add_14238_97_n_21;
+   wire inc_brqrv_top_brqrv_ifu_aln_add_14238_97_n_22;
+   wire inc_brqrv_top_brqrv_ifu_aln_add_14238_97_n_23;
+   wire inc_brqrv_top_brqrv_ifu_aln_add_14238_97_n_24;
+   wire inc_brqrv_top_brqrv_ifu_aln_add_14238_97_n_25;
+   wire inc_brqrv_top_brqrv_ifu_aln_add_14238_97_n_26;
+   wire inc_brqrv_top_brqrv_ifu_aln_add_14238_97_n_27;
+   wire inc_brqrv_top_brqrv_ifu_aln_add_14238_97_n_28;
+   wire inc_brqrv_top_brqrv_ifu_aln_add_14238_97_n_29;
+   wire inc_brqrv_top_brqrv_ifu_aln_add_14238_97_n_30;
+   wire inc_brqrv_top_brqrv_ifu_aln_add_14238_97_n_31;
+   wire inc_brqrv_top_brqrv_ifu_aln_add_14238_97_n_32;
+   wire inc_brqrv_top_brqrv_ifu_aln_add_14238_97_n_33;
+   wire inc_brqrv_top_brqrv_ifu_aln_add_14238_97_n_34;
+   wire inc_brqrv_top_brqrv_ifu_aln_add_14238_97_n_65;
+   wire \inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22742_35_n_0 ;
+   wire \inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22742_35_n_1 ;
+   wire \inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22742_35_n_3 ;
+   wire \inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22742_35_n_4 ;
+   wire \inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22742_35_n_5 ;
+   wire \inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22742_35_n_7 ;
+   wire \inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22742_35_n_8 ;
+   wire \inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22742_35_n_9 ;
+   wire \inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22742_35_n_10 ;
+   wire \inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22742_35_n_13 ;
+   wire \inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22742_35_n_14 ;
+   wire \inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22742_35_n_15 ;
+   wire \inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22742_35_n_16 ;
+   wire \inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22742_35_n_20 ;
+   wire \inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22742_35_n_21 ;
+   wire \inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22742_35_n_22 ;
+   wire \inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22742_35_n_27 ;
+   wire \inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22742_35_n_30 ;
+   wire \inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22742_35_n_34 ;
+   wire \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_0 ;
+   wire \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_1 ;
+   wire \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_3 ;
+   wire \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_4 ;
+   wire \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_6 ;
+   wire \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_7 ;
+   wire \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_8 ;
+   wire \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_9 ;
+   wire \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_12 ;
+   wire \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_13 ;
+   wire \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_18 ;
+   wire \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_19 ;
+   wire \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_20 ;
+   wire \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_21 ;
+   wire \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_22 ;
+   wire \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_28 ;
+   wire \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_29 ;
+   wire \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_30 ;
+   wire \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_34 ;
+   wire \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_35 ;
+   wire \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_36 ;
+   wire \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_37 ;
+   wire \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_38 ;
+   wire \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_39 ;
+   wire \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_40 ;
+   wire \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_42 ;
+   wire \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_43 ;
+   wire \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_44 ;
+   wire \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_46 ;
+   wire \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_48 ;
+   wire \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_50 ;
+   wire \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_51 ;
+   wire \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_52 ;
+   wire \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_55 ;
+   wire \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_56 ;
+   wire \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_57 ;
+   wire \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_59 ;
+   wire \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_60 ;
+   wire \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_62 ;
+   wire \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_63 ;
+   wire \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_65 ;
+   wire \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_66 ;
+   wire \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_67 ;
+   wire \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_69 ;
+   wire \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_70 ;
+   wire \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_71 ;
+   wire \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_73 ;
+   wire \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_74 ;
+   wire \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_76 ;
+   wire \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_77 ;
+   wire \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_78 ;
+   wire \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_80 ;
+   wire \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_81 ;
+   wire \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_83 ;
+   wire \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_84 ;
+   wire \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_85 ;
+   wire \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_86 ;
+   wire \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_90 ;
+   wire \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_91 ;
+   wire \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_92 ;
+   wire \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_93 ;
+   wire \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_97 ;
+   wire \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_98 ;
+   wire \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_99 ;
+   wire \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_100 ;
+   wire \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_101 ;
+   wire \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_104 ;
+   wire \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_105 ;
+   wire \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_106 ;
+   wire \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_107 ;
+   wire \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_110 ;
+   wire \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_112 ;
+   wire \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_121 ;
+   wire \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_122 ;
+   wire \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_124 ;
+   wire \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_126 ;
+   wire \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_142 ;
+   wire inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_n_4;
+   wire inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_n_6;
+   wire inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_n_8;
+   wire inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_n_11;
+   wire inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_n_13;
+   wire inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_n_14;
+   wire inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_n_15;
+   wire inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_n_16;
+   wire inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_n_19;
+   wire inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_n_24;
+   wire inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_n_27;
+   wire inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_n_30;
+   wire inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_n_32;
+   wire inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_n_33;
+   wire inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_n_34;
+   wire inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_n_38;
+   wire inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_n_39;
+   wire inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_n_40;
+   wire inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_n_41;
+   wire inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_n_44;
+   wire inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_n_46;
+   wire inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_n_48;
+   wire inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_n_49;
+   wire inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_n_50;
+   wire inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_n_51;
+   wire inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_n_52;
+   wire inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_n_57;
+   wire logic_0_1_net;
+   wire logic_0_2_net;
+   wire logic_0_3_net;
+   wire logic_0_4_net;
+   wire logic_0_5_net;
+   wire logic_0_6_net;
+   wire logic_0_7_net;
+   wire logic_0_8_net;
+   wire logic_0_9_net;
+   wire logic_0_10_net;
+   wire logic_0_11_net;
+   wire logic_0_12_net;
+   wire logic_0_13_net;
+   wire logic_0_14_net;
+   wire logic_0_15_net;
+   wire logic_0_16_net;
+   wire logic_0_17_net;
+   wire logic_0_18_net;
+   wire logic_0_19_net;
+   wire logic_0_20_net;
+   wire logic_0_21_net;
+   wire logic_0_22_net;
+   wire logic_0_23_net;
+   wire logic_0_24_net;
+   wire logic_0_25_net;
+   wire logic_0_26_net;
+   wire logic_0_27_net;
+   wire logic_0_28_net;
+   wire logic_0_29_net;
+   wire logic_0_30_net;
+   wire logic_0_31_net;
+   wire logic_0_32_net;
+   wire logic_0_33_net;
+   wire logic_0_34_net;
+   wire logic_0_35_net;
+   wire logic_0_36_net;
+   wire logic_0_37_net;
+   wire logic_0_38_net;
+   wire logic_0_39_net;
+   wire logic_0_40_net;
+   wire logic_0_41_net;
+   wire logic_0_42_net;
+   wire logic_0_43_net;
+   wire logic_0_44_net;
+   wire logic_0_45_net;
+   wire logic_0_46_net;
+   wire logic_0_47_net;
+   wire logic_0_48_net;
+   wire logic_0_49_net;
+   wire logic_0_50_net;
+   wire logic_0_51_net;
+   wire logic_0_52_net;
+   wire logic_0_53_net;
+   wire logic_0_54_net;
+   wire logic_0_55_net;
+   wire logic_0_56_net;
+   wire logic_0_57_net;
+   wire logic_0_58_net;
+   wire logic_0_59_net;
+   wire logic_0_60_net;
+   wire logic_0_61_net;
+   wire logic_0_62_net;
+   wire logic_0_63_net;
+   wire logic_0_64_net;
+   wire lsu_axi_bvalid;
+   wire lsu_axi_wvalid;
+   wire n_0;
+   wire n_1;
+   wire n_2;
+   wire n_3;
+   wire n_4;
+   wire n_5;
+   wire n_6;
+   wire n_7;
+   wire n_8;
+   wire n_9;
+   wire n_10;
+   wire n_11;
+   wire n_12;
+   wire n_13;
+   wire n_14;
+   wire n_15;
+   wire n_18;
+   wire n_19;
+   wire n_20;
+   wire n_21;
+   wire n_22;
+   wire n_23;
+   wire n_24;
+   wire n_25;
+   wire n_26;
+   wire n_27;
+   wire n_28;
+   wire n_29;
+   wire n_32;
+   wire n_34;
+   wire n_35;
+   wire n_36;
+   wire n_37;
+   wire n_38;
+   wire n_39;
+   wire n_40;
+   wire n_41;
+   wire n_42;
+   wire n_43;
+   wire n_44;
+   wire n_45;
+   wire n_47;
+   wire n_51;
+   wire n_52;
+   wire n_53;
+   wire n_54;
+   wire n_56;
+   wire n_57;
+   wire n_58;
+   wire n_60;
+   wire n_61;
+   wire n_62;
+   wire n_63;
+   wire n_64;
+   wire n_65;
+   wire n_66;
+   wire n_67;
+   wire n_68;
+   wire n_69;
+   wire n_70;
+   wire n_71;
+   wire n_72;
+   wire n_73;
+   wire n_74;
+   wire n_75;
+   wire n_76;
+   wire n_77;
+   wire n_78;
+   wire n_79;
+   wire n_82;
+   wire n_83;
+   wire n_86;
+   wire n_88;
+   wire n_89;
+   wire n_92;
+   wire n_93;
+   wire n_96;
+   wire n_97;
+   wire n_99;
+   wire n_104;
+   wire n_105;
+   wire n_106;
+   wire n_108;
+   wire n_109;
+   wire n_110;
+   wire n_111;
+   wire n_112;
+   wire n_113;
+   wire n_114;
+   wire n_115;
+   wire n_116;
+   wire n_117;
+   wire n_118;
+   wire n_119;
+   wire n_120;
+   wire n_121;
+   wire n_122;
+   wire n_123;
+   wire n_124;
+   wire n_125;
+   wire n_126;
+   wire n_127;
+   wire n_128;
+   wire n_130;
+   wire n_131;
+   wire n_134;
+   wire n_135;
+   wire n_136;
+   wire n_137;
+   wire n_138;
+   wire n_140;
+   wire n_141;
+   wire n_144;
+   wire n_145;
+   wire n_146;
+   wire n_147;
+   wire n_148;
+   wire n_149;
+   wire n_150;
+   wire n_151;
+   wire n_152;
+   wire n_153;
+   wire n_154;
+   wire n_155;
+   wire n_156;
+   wire n_157;
+   wire n_158;
+   wire n_159;
+   wire n_160;
+   wire n_161;
+   wire n_162;
+   wire n_163;
+   wire n_164;
+   wire n_165;
+   wire n_166;
+   wire n_167;
+   wire n_168;
+   wire n_169;
+   wire n_170;
+   wire n_171;
+   wire n_172;
+   wire n_173;
+   wire n_174;
+   wire n_175;
+   wire n_176;
+   wire n_177;
+   wire n_178;
+   wire n_179;
+   wire n_180;
+   wire n_181;
+   wire n_182;
+   wire n_183;
+   wire n_184;
+   wire n_187;
+   wire n_188;
+   wire n_189;
+   wire n_190;
+   wire n_191;
+   wire n_192;
+   wire n_193;
+   wire n_194;
+   wire n_195;
+   wire n_196;
+   wire n_197;
+   wire n_198;
+   wire n_199;
+   wire n_200;
+   wire n_201;
+   wire n_202;
+   wire n_203;
+   wire n_204;
+   wire n_205;
+   wire n_206;
+   wire n_208;
+   wire n_209;
+   wire n_210;
+   wire n_211;
+   wire n_212;
+   wire n_213;
+   wire n_214;
+   wire n_215;
+   wire n_216;
+   wire n_217;
+   wire n_218;
+   wire n_219;
+   wire n_220;
+   wire n_221;
+   wire n_222;
+   wire n_223;
+   wire n_224;
+   wire n_225;
+   wire n_226;
+   wire n_227;
+   wire n_228;
+   wire n_229;
+   wire n_230;
+   wire n_231;
+   wire n_232;
+   wire n_233;
+   wire n_234;
+   wire n_235;
+   wire n_236;
+   wire n_237;
+   wire n_238;
+   wire n_239;
+   wire n_240;
+   wire n_241;
+   wire n_242;
+   wire n_243;
+   wire n_244;
+   wire n_245;
+   wire n_246;
+   wire n_247;
+   wire n_248;
+   wire n_249;
+   wire n_250;
+   wire n_251;
+   wire n_252;
+   wire n_253;
+   wire n_254;
+   wire n_255;
+   wire n_256;
+   wire n_257;
+   wire n_258;
+   wire n_259;
+   wire n_260;
+   wire n_261;
+   wire n_262;
+   wire n_263;
+   wire n_264;
+   wire n_265;
+   wire n_266;
+   wire n_267;
+   wire n_268;
+   wire n_269;
+   wire n_270;
+   wire n_271;
+   wire n_272;
+   wire n_273;
+   wire n_274;
+   wire n_275;
+   wire n_276;
+   wire n_277;
+   wire n_278;
+   wire n_279;
+   wire n_280;
+   wire n_281;
+   wire n_282;
+   wire n_283;
+   wire n_284;
+   wire n_285;
+   wire n_286;
+   wire n_287;
+   wire n_288;
+   wire n_289;
+   wire n_290;
+   wire n_291;
+   wire n_292;
+   wire n_293;
+   wire n_294;
+   wire n_295;
+   wire n_296;
+   wire n_297;
+   wire n_298;
+   wire n_299;
+   wire n_300;
+   wire n_301;
+   wire n_302;
+   wire n_303;
+   wire n_304;
+   wire n_305;
+   wire n_306;
+   wire n_307;
+   wire n_308;
+   wire n_309;
+   wire n_310;
+   wire n_311;
+   wire n_312;
+   wire n_313;
+   wire n_314;
+   wire n_315;
+   wire n_316;
+   wire n_317;
+   wire n_318;
+   wire n_319;
+   wire n_320;
+   wire n_321;
+   wire n_322;
+   wire n_323;
+   wire n_324;
+   wire n_325;
+   wire n_326;
+   wire n_327;
+   wire n_328;
+   wire n_329;
+   wire n_330;
+   wire n_331;
+   wire n_332;
+   wire n_333;
+   wire n_334;
+   wire n_335;
+   wire n_336;
+   wire n_337;
+   wire n_338;
+   wire n_339;
+   wire n_340;
+   wire n_341;
+   wire n_342;
+   wire n_343;
+   wire n_344;
+   wire n_345;
+   wire n_346;
+   wire n_347;
+   wire n_348;
+   wire n_349;
+   wire n_350;
+   wire n_351;
+   wire n_352;
+   wire n_353;
+   wire n_354;
+   wire n_355;
+   wire n_356;
+   wire n_357;
+   wire n_358;
+   wire n_359;
+   wire n_360;
+   wire n_361;
+   wire n_362;
+   wire n_363;
+   wire n_364;
+   wire n_365;
+   wire n_366;
+   wire n_367;
+   wire n_368;
+   wire n_369;
+   wire n_370;
+   wire n_371;
+   wire n_372;
+   wire n_373;
+   wire n_374;
+   wire n_375;
+   wire n_376;
+   wire n_377;
+   wire n_378;
+   wire n_379;
+   wire n_380;
+   wire n_381;
+   wire n_382;
+   wire n_383;
+   wire n_384;
+   wire n_385;
+   wire n_386;
+   wire n_387;
+   wire n_388;
+   wire n_389;
+   wire n_390;
+   wire n_391;
+   wire n_392;
+   wire n_393;
+   wire n_394;
+   wire n_395;
+   wire n_396;
+   wire n_397;
+   wire n_398;
+   wire n_399;
+   wire n_400;
+   wire n_401;
+   wire n_402;
+   wire n_403;
+   wire n_404;
+   wire n_405;
+   wire n_406;
+   wire n_407;
+   wire n_408;
+   wire n_409;
+   wire n_410;
+   wire n_411;
+   wire n_412;
+   wire n_413;
+   wire n_414;
+   wire n_415;
+   wire n_416;
+   wire n_417;
+   wire n_418;
+   wire n_419;
+   wire n_420;
+   wire n_421;
+   wire n_422;
+   wire n_423;
+   wire n_424;
+   wire n_425;
+   wire n_426;
+   wire n_427;
+   wire n_428;
+   wire n_429;
+   wire n_430;
+   wire n_431;
+   wire n_432;
+   wire n_433;
+   wire n_434;
+   wire n_435;
+   wire n_436;
+   wire n_437;
+   wire n_438;
+   wire n_439;
+   wire n_440;
+   wire n_441;
+   wire n_442;
+   wire n_443;
+   wire n_444;
+   wire n_445;
+   wire n_446;
+   wire n_447;
+   wire n_448;
+   wire n_449;
+   wire n_450;
+   wire n_451;
+   wire n_452;
+   wire n_453;
+   wire n_454;
+   wire n_455;
+   wire n_456;
+   wire n_457;
+   wire n_458;
+   wire n_459;
+   wire n_460;
+   wire n_461;
+   wire n_462;
+   wire n_463;
+   wire n_464;
+   wire n_465;
+   wire n_466;
+   wire n_467;
+   wire n_468;
+   wire n_469;
+   wire n_470;
+   wire n_471;
+   wire n_472;
+   wire n_473;
+   wire n_474;
+   wire n_475;
+   wire n_476;
+   wire n_477;
+   wire n_478;
+   wire n_479;
+   wire n_480;
+   wire n_481;
+   wire n_482;
+   wire n_483;
+   wire n_484;
+   wire n_485;
+   wire n_486;
+   wire n_487;
+   wire n_488;
+   wire n_489;
+   wire n_490;
+   wire n_491;
+   wire n_492;
+   wire n_493;
+   wire n_494;
+   wire n_495;
+   wire n_496;
+   wire n_497;
+   wire n_498;
+   wire n_499;
+   wire n_500;
+   wire n_501;
+   wire n_502;
+   wire n_503;
+   wire n_504;
+   wire n_505;
+   wire n_506;
+   wire n_507;
+   wire n_508;
+   wire n_509;
+   wire n_510;
+   wire n_511;
+   wire n_512;
+   wire n_513;
+   wire n_514;
+   wire n_515;
+   wire n_516;
+   wire n_517;
+   wire n_518;
+   wire n_519;
+   wire n_520;
+   wire n_521;
+   wire n_522;
+   wire n_523;
+   wire n_524;
+   wire n_525;
+   wire n_526;
+   wire n_527;
+   wire n_528;
+   wire n_529;
+   wire n_530;
+   wire n_531;
+   wire n_532;
+   wire n_533;
+   wire n_534;
+   wire n_535;
+   wire n_536;
+   wire n_537;
+   wire n_539;
+   wire n_540;
+   wire n_541;
+   wire n_542;
+   wire n_543;
+   wire n_544;
+   wire n_545;
+   wire n_546;
+   wire n_547;
+   wire n_548;
+   wire n_549;
+   wire n_550;
+   wire n_551;
+   wire n_552;
+   wire n_553;
+   wire n_554;
+   wire n_555;
+   wire n_556;
+   wire n_557;
+   wire n_558;
+   wire n_559;
+   wire n_560;
+   wire n_561;
+   wire n_562;
+   wire n_563;
+   wire n_564;
+   wire n_565;
+   wire n_566;
+   wire n_567;
+   wire n_568;
+   wire n_569;
+   wire n_570;
+   wire n_571;
+   wire n_572;
+   wire n_573;
+   wire n_574;
+   wire n_575;
+   wire n_576;
+   wire n_577;
+   wire n_578;
+   wire n_579;
+   wire n_580;
+   wire n_581;
+   wire n_582;
+   wire n_583;
+   wire n_584;
+   wire n_585;
+   wire n_586;
+   wire n_587;
+   wire n_588;
+   wire n_589;
+   wire n_590;
+   wire n_591;
+   wire n_592;
+   wire n_593;
+   wire n_594;
+   wire n_595;
+   wire n_596;
+   wire n_597;
+   wire n_598;
+   wire n_599;
+   wire n_600;
+   wire n_601;
+   wire n_602;
+   wire n_603;
+   wire n_604;
+   wire n_605;
+   wire n_606;
+   wire n_607;
+   wire n_608;
+   wire n_609;
+   wire n_610;
+   wire n_611;
+   wire n_612;
+   wire n_613;
+   wire n_614;
+   wire n_615;
+   wire n_616;
+   wire n_617;
+   wire n_618;
+   wire n_619;
+   wire n_620;
+   wire n_621;
+   wire n_622;
+   wire n_623;
+   wire n_624;
+   wire n_625;
+   wire n_626;
+   wire n_628;
+   wire n_629;
+   wire n_630;
+   wire n_631;
+   wire n_632;
+   wire n_633;
+   wire n_634;
+   wire n_635;
+   wire n_636;
+   wire n_637;
+   wire n_638;
+   wire n_639;
+   wire n_640;
+   wire n_641;
+   wire n_642;
+   wire n_643;
+   wire n_644;
+   wire n_645;
+   wire n_646;
+   wire n_647;
+   wire n_648;
+   wire n_649;
+   wire n_650;
+   wire n_651;
+   wire n_652;
+   wire n_653;
+   wire n_654;
+   wire n_655;
+   wire n_656;
+   wire n_657;
+   wire n_658;
+   wire n_660;
+   wire n_661;
+   wire n_662;
+   wire n_663;
+   wire n_664;
+   wire n_665;
+   wire n_666;
+   wire n_667;
+   wire n_668;
+   wire n_669;
+   wire n_670;
+   wire n_671;
+   wire n_672;
+   wire n_673;
+   wire n_674;
+   wire n_675;
+   wire n_676;
+   wire n_677;
+   wire n_678;
+   wire n_679;
+   wire n_680;
+   wire n_681;
+   wire n_682;
+   wire n_683;
+   wire n_684;
+   wire n_685;
+   wire n_686;
+   wire n_687;
+   wire n_688;
+   wire n_689;
+   wire n_690;
+   wire n_691;
+   wire n_692;
+   wire n_693;
+   wire n_694;
+   wire n_695;
+   wire n_696;
+   wire n_697;
+   wire n_698;
+   wire n_699;
+   wire n_700;
+   wire n_701;
+   wire n_702;
+   wire n_703;
+   wire n_704;
+   wire n_705;
+   wire n_706;
+   wire n_707;
+   wire n_708;
+   wire n_709;
+   wire n_710;
+   wire n_711;
+   wire n_712;
+   wire n_713;
+   wire n_714;
+   wire n_715;
+   wire n_717;
+   wire n_718;
+   wire n_719;
+   wire n_720;
+   wire n_721;
+   wire n_722;
+   wire n_723;
+   wire n_724;
+   wire n_725;
+   wire n_726;
+   wire n_727;
+   wire n_728;
+   wire n_729;
+   wire n_730;
+   wire n_731;
+   wire n_732;
+   wire n_733;
+   wire n_734;
+   wire n_735;
+   wire n_736;
+   wire n_737;
+   wire n_738;
+   wire n_739;
+   wire n_740;
+   wire n_741;
+   wire n_742;
+   wire n_743;
+   wire n_744;
+   wire n_745;
+   wire n_746;
+   wire n_747;
+   wire n_748;
+   wire n_749;
+   wire n_750;
+   wire n_751;
+   wire n_752;
+   wire n_753;
+   wire n_754;
+   wire n_755;
+   wire n_756;
+   wire n_757;
+   wire n_758;
+   wire n_759;
+   wire n_760;
+   wire n_761;
+   wire n_762;
+   wire n_763;
+   wire n_764;
+   wire n_765;
+   wire n_766;
+   wire n_767;
+   wire n_768;
+   wire n_769;
+   wire n_770;
+   wire n_771;
+   wire n_772;
+   wire n_773;
+   wire n_774;
+   wire n_775;
+   wire n_776;
+   wire n_777;
+   wire n_778;
+   wire n_779;
+   wire n_780;
+   wire n_781;
+   wire n_782;
+   wire n_783;
+   wire n_784;
+   wire n_785;
+   wire n_786;
+   wire n_787;
+   wire n_788;
+   wire n_789;
+   wire n_790;
+   wire n_791;
+   wire n_792;
+   wire n_793;
+   wire n_794;
+   wire n_795;
+   wire n_796;
+   wire n_797;
+   wire n_798;
+   wire n_799;
+   wire n_800;
+   wire n_801;
+   wire n_802;
+   wire n_803;
+   wire n_804;
+   wire n_805;
+   wire n_806;
+   wire n_807;
+   wire n_808;
+   wire n_809;
+   wire n_810;
+   wire n_811;
+   wire n_812;
+   wire n_813;
+   wire n_814;
+   wire n_815;
+   wire n_816;
+   wire n_817;
+   wire n_818;
+   wire n_819;
+   wire n_820;
+   wire n_822;
+   wire n_823;
+   wire n_824;
+   wire n_825;
+   wire n_826;
+   wire n_827;
+   wire n_828;
+   wire n_829;
+   wire n_830;
+   wire n_831;
+   wire n_832;
+   wire n_833;
+   wire n_834;
+   wire n_835;
+   wire n_836;
+   wire n_837;
+   wire n_838;
+   wire n_839;
+   wire n_840;
+   wire n_841;
+   wire n_842;
+   wire n_843;
+   wire n_844;
+   wire n_845;
+   wire n_846;
+   wire n_847;
+   wire n_848;
+   wire n_849;
+   wire n_850;
+   wire n_851;
+   wire n_852;
+   wire n_853;
+   wire n_854;
+   wire n_855;
+   wire n_856;
+   wire n_857;
+   wire n_858;
+   wire n_859;
+   wire n_860;
+   wire n_861;
+   wire n_862;
+   wire n_863;
+   wire n_864;
+   wire n_865;
+   wire n_866;
+   wire n_867;
+   wire n_868;
+   wire n_869;
+   wire n_870;
+   wire n_871;
+   wire n_872;
+   wire n_873;
+   wire n_874;
+   wire n_875;
+   wire n_876;
+   wire n_877;
+   wire n_878;
+   wire n_879;
+   wire n_880;
+   wire n_881;
+   wire n_882;
+   wire n_883;
+   wire n_884;
+   wire n_885;
+   wire n_886;
+   wire n_887;
+   wire n_888;
+   wire n_889;
+   wire n_890;
+   wire n_891;
+   wire n_892;
+   wire n_893;
+   wire n_894;
+   wire n_895;
+   wire n_896;
+   wire n_897;
+   wire n_898;
+   wire n_899;
+   wire n_900;
+   wire n_901;
+   wire n_902;
+   wire n_903;
+   wire n_904;
+   wire n_906;
+   wire n_907;
+   wire n_908;
+   wire n_910;
+   wire n_911;
+   wire n_912;
+   wire n_913;
+   wire n_914;
+   wire n_915;
+   wire n_916;
+   wire n_917;
+   wire n_918;
+   wire n_919;
+   wire n_920;
+   wire n_921;
+   wire n_922;
+   wire n_923;
+   wire n_924;
+   wire n_925;
+   wire n_926;
+   wire n_927;
+   wire n_928;
+   wire n_929;
+   wire n_930;
+   wire n_931;
+   wire n_932;
+   wire n_933;
+   wire n_934;
+   wire n_935;
+   wire n_936;
+   wire n_937;
+   wire n_938;
+   wire n_939;
+   wire n_940;
+   wire n_943;
+   wire n_944;
+   wire n_945;
+   wire n_946;
+   wire n_947;
+   wire n_948;
+   wire n_949;
+   wire n_950;
+   wire n_951;
+   wire n_952;
+   wire n_953;
+   wire n_954;
+   wire n_955;
+   wire n_956;
+   wire n_957;
+   wire n_958;
+   wire n_959;
+   wire n_960;
+   wire n_961;
+   wire n_962;
+   wire n_963;
+   wire n_964;
+   wire n_965;
+   wire n_966;
+   wire n_967;
+   wire n_968;
+   wire n_969;
+   wire n_970;
+   wire n_971;
+   wire n_972;
+   wire n_973;
+   wire n_974;
+   wire n_975;
+   wire n_976;
+   wire n_977;
+   wire n_978;
+   wire n_979;
+   wire n_980;
+   wire n_981;
+   wire n_982;
+   wire n_983;
+   wire n_984;
+   wire n_985;
+   wire n_986;
+   wire n_987;
+   wire n_988;
+   wire n_989;
+   wire n_990;
+   wire n_991;
+   wire n_992;
+   wire n_993;
+   wire n_994;
+   wire n_995;
+   wire n_996;
+   wire n_997;
+   wire n_998;
+   wire n_999;
+   wire n_1000;
+   wire n_1001;
+   wire n_1002;
+   wire n_1003;
+   wire n_1005;
+   wire n_1006;
+   wire n_1007;
+   wire n_1008;
+   wire n_1009;
+   wire n_1010;
+   wire n_1011;
+   wire n_1012;
+   wire n_1013;
+   wire n_1014;
+   wire n_1015;
+   wire n_1016;
+   wire n_1017;
+   wire n_1018;
+   wire n_1019;
+   wire n_1020;
+   wire n_1021;
+   wire n_1022;
+   wire n_1023;
+   wire n_1024;
+   wire n_1025;
+   wire n_1026;
+   wire n_1027;
+   wire n_1028;
+   wire n_1029;
+   wire n_1030;
+   wire n_1031;
+   wire n_1032;
+   wire n_1033;
+   wire n_1034;
+   wire n_1035;
+   wire n_1036;
+   wire n_1037;
+   wire n_1038;
+   wire n_1039;
+   wire n_1040;
+   wire n_1041;
+   wire n_1042;
+   wire n_1043;
+   wire n_1044;
+   wire n_1045;
+   wire n_1046;
+   wire n_1047;
+   wire n_1048;
+   wire n_1049;
+   wire n_1050;
+   wire n_1051;
+   wire n_1052;
+   wire n_1053;
+   wire n_1054;
+   wire n_1055;
+   wire n_1056;
+   wire n_1058;
+   wire n_1059;
+   wire n_1060;
+   wire n_1061;
+   wire n_1062;
+   wire n_1063;
+   wire n_1064;
+   wire n_1065;
+   wire n_1067;
+   wire n_1068;
+   wire n_1069;
+   wire n_1070;
+   wire n_1071;
+   wire n_1072;
+   wire n_1073;
+   wire n_1074;
+   wire n_1075;
+   wire n_1076;
+   wire n_1077;
+   wire n_1078;
+   wire n_1080;
+   wire n_1081;
+   wire n_1082;
+   wire n_1083;
+   wire n_1085;
+   wire n_1086;
+   wire n_1087;
+   wire n_1088;
+   wire n_1089;
+   wire n_1090;
+   wire n_1091;
+   wire n_1092;
+   wire n_1093;
+   wire n_1094;
+   wire n_1095;
+   wire n_1096;
+   wire n_1097;
+   wire n_1098;
+   wire n_1099;
+   wire n_1100;
+   wire n_1101;
+   wire n_1102;
+   wire n_1103;
+   wire n_1104;
+   wire n_1105;
+   wire n_1106;
+   wire n_1107;
+   wire n_1108;
+   wire n_1109;
+   wire n_1110;
+   wire n_1111;
+   wire n_1113;
+   wire n_1114;
+   wire n_1115;
+   wire n_1116;
+   wire n_1117;
+   wire n_1118;
+   wire n_1119;
+   wire n_1120;
+   wire n_1121;
+   wire n_1122;
+   wire n_1123;
+   wire n_1124;
+   wire n_1125;
+   wire n_1126;
+   wire n_1127;
+   wire n_1128;
+   wire n_1129;
+   wire n_1130;
+   wire n_1131;
+   wire n_1134;
+   wire n_1135;
+   wire n_1136;
+   wire n_1137;
+   wire n_1138;
+   wire n_1139;
+   wire n_1140;
+   wire n_1141;
+   wire n_1142;
+   wire n_1143;
+   wire n_1144;
+   wire n_1145;
+   wire n_1146;
+   wire n_1147;
+   wire n_1148;
+   wire n_1149;
+   wire n_1150;
+   wire n_1151;
+   wire n_1152;
+   wire n_1156;
+   wire n_1157;
+   wire n_1159;
+   wire n_1163;
+   wire n_1164;
+   wire n_1165;
+   wire n_1166;
+   wire n_1167;
+   wire n_1168;
+   wire n_1169;
+   wire n_1170;
+   wire n_1171;
+   wire n_1172;
+   wire n_1173;
+   wire n_1174;
+   wire n_1175;
+   wire n_1176;
+   wire n_1177;
+   wire n_1178;
+   wire n_1179;
+   wire n_1180;
+   wire n_1181;
+   wire n_1182;
+   wire n_1183;
+   wire n_1184;
+   wire n_1185;
+   wire n_1186;
+   wire n_1187;
+   wire n_1188;
+   wire n_1189;
+   wire n_1190;
+   wire n_1191;
+   wire n_1192;
+   wire n_1193;
+   wire n_1194;
+   wire n_1195;
+   wire n_1196;
+   wire n_1197;
+   wire n_1198;
+   wire n_1199;
+   wire n_1200;
+   wire n_1201;
+   wire n_1202;
+   wire n_1203;
+   wire n_1204;
+   wire n_1205;
+   wire n_1206;
+   wire n_1207;
+   wire n_1208;
+   wire n_1209;
+   wire n_1210;
+   wire n_1211;
+   wire n_1212;
+   wire n_1213;
+   wire n_1214;
+   wire n_1216;
+   wire n_1217;
+   wire n_1218;
+   wire n_1219;
+   wire n_1220;
+   wire n_1221;
+   wire n_1222;
+   wire n_1223;
+   wire n_1225;
+   wire n_1226;
+   wire n_1227;
+   wire n_1228;
+   wire n_1229;
+   wire n_1230;
+   wire n_1231;
+   wire n_1232;
+   wire n_1233;
+   wire n_1234;
+   wire n_1235;
+   wire n_1236;
+   wire n_1237;
+   wire n_1238;
+   wire n_1239;
+   wire n_1240;
+   wire n_1241;
+   wire n_1242;
+   wire n_1243;
+   wire n_1244;
+   wire n_1245;
+   wire n_1246;
+   wire n_1247;
+   wire n_1248;
+   wire n_1249;
+   wire n_1250;
+   wire n_1251;
+   wire n_1252;
+   wire n_1253;
+   wire n_1254;
+   wire n_1255;
+   wire n_1256;
+   wire n_1257;
+   wire n_1258;
+   wire n_1259;
+   wire n_1260;
+   wire n_1261;
+   wire n_1262;
+   wire n_1263;
+   wire n_1264;
+   wire n_1265;
+   wire n_1266;
+   wire n_1267;
+   wire n_1268;
+   wire n_1269;
+   wire n_1270;
+   wire n_1271;
+   wire n_1272;
+   wire n_1273;
+   wire n_1274;
+   wire n_1275;
+   wire n_1276;
+   wire n_1277;
+   wire n_1278;
+   wire n_1279;
+   wire n_1280;
+   wire n_1281;
+   wire n_1282;
+   wire n_1283;
+   wire n_1284;
+   wire n_1285;
+   wire n_1286;
+   wire n_1287;
+   wire n_1288;
+   wire n_1290;
+   wire n_1291;
+   wire n_1293;
+   wire n_1294;
+   wire n_1295;
+   wire n_1296;
+   wire n_1297;
+   wire n_1298;
+   wire n_1299;
+   wire n_1300;
+   wire n_1301;
+   wire n_1302;
+   wire n_1303;
+   wire n_1304;
+   wire n_1305;
+   wire n_1306;
+   wire n_1307;
+   wire n_1308;
+   wire n_1312;
+   wire n_1314;
+   wire n_1315;
+   wire n_1316;
+   wire n_1317;
+   wire n_1318;
+   wire n_1319;
+   wire n_1320;
+   wire n_1321;
+   wire n_1322;
+   wire n_1323;
+   wire n_1324;
+   wire n_1325;
+   wire n_1326;
+   wire n_1327;
+   wire n_1328;
+   wire n_1329;
+   wire n_1330;
+   wire n_1331;
+   wire n_1332;
+   wire n_1333;
+   wire n_1334;
+   wire n_1335;
+   wire n_1336;
+   wire n_1337;
+   wire n_1338;
+   wire n_1339;
+   wire n_1340;
+   wire n_1341;
+   wire n_1344;
+   wire n_1348;
+   wire n_1349;
+   wire n_1350;
+   wire n_1352;
+   wire n_1353;
+   wire n_1354;
+   wire n_1355;
+   wire n_1356;
+   wire n_1357;
+   wire n_1358;
+   wire n_1359;
+   wire n_1360;
+   wire n_1361;
+   wire n_1362;
+   wire n_1363;
+   wire n_1364;
+   wire n_1365;
+   wire n_1366;
+   wire n_1367;
+   wire n_1368;
+   wire n_1369;
+   wire n_1370;
+   wire n_1371;
+   wire n_1372;
+   wire n_1373;
+   wire n_1374;
+   wire n_1375;
+   wire n_1376;
+   wire n_1377;
+   wire n_1378;
+   wire n_1379;
+   wire n_1380;
+   wire n_1381;
+   wire n_1382;
+   wire n_1383;
+   wire n_1384;
+   wire n_1387;
+   wire n_1388;
+   wire n_1389;
+   wire n_1390;
+   wire n_1391;
+   wire n_1392;
+   wire n_1393;
+   wire n_1394;
+   wire n_1395;
+   wire n_1396;
+   wire n_1397;
+   wire n_1398;
+   wire n_1399;
+   wire n_1400;
+   wire n_1401;
+   wire n_1402;
+   wire n_1403;
+   wire n_1404;
+   wire n_1405;
+   wire n_1406;
+   wire n_1407;
+   wire n_1408;
+   wire n_1409;
+   wire n_1410;
+   wire n_1411;
+   wire n_1412;
+   wire n_1413;
+   wire n_1414;
+   wire n_1415;
+   wire n_1416;
+   wire n_1417;
+   wire n_1418;
+   wire n_1419;
+   wire n_1420;
+   wire n_1421;
+   wire n_1422;
+   wire n_1423;
+   wire n_1425;
+   wire n_1426;
+   wire n_1427;
+   wire n_1428;
+   wire n_1429;
+   wire n_1430;
+   wire n_1431;
+   wire n_1432;
+   wire n_1433;
+   wire n_1434;
+   wire n_1435;
+   wire n_1436;
+   wire n_1442;
+   wire n_1443;
+   wire n_1444;
+   wire n_1445;
+   wire n_1446;
+   wire n_1447;
+   wire n_1448;
+   wire n_1449;
+   wire n_1450;
+   wire n_1451;
+   wire n_1452;
+   wire n_1453;
+   wire n_1454;
+   wire n_1455;
+   wire n_1456;
+   wire n_1457;
+   wire n_1458;
+   wire n_1459;
+   wire n_1460;
+   wire n_1461;
+   wire n_1462;
+   wire n_1463;
+   wire n_1464;
+   wire n_1465;
+   wire n_1466;
+   wire n_1467;
+   wire n_1468;
+   wire n_1469;
+   wire n_1470;
+   wire n_1475;
+   wire n_1477;
+   wire n_1478;
+   wire n_1479;
+   wire n_1480;
+   wire n_1481;
+   wire n_1482;
+   wire n_1483;
+   wire n_1484;
+   wire n_1485;
+   wire n_1487;
+   wire n_1488;
+   wire n_1489;
+   wire n_1490;
+   wire n_1491;
+   wire n_1492;
+   wire n_1493;
+   wire n_1494;
+   wire n_1497;
+   wire n_1498;
+   wire n_1499;
+   wire n_1501;
+   wire n_1503;
+   wire n_1504;
+   wire n_1505;
+   wire n_1506;
+   wire n_1507;
+   wire n_1508;
+   wire n_1509;
+   wire n_1511;
+   wire n_1513;
+   wire n_1514;
+   wire n_1518;
+   wire n_1519;
+   wire n_1521;
+   wire n_1522;
+   wire n_1523;
+   wire n_1524;
+   wire n_1525;
+   wire n_1526;
+   wire n_1527;
+   wire n_1528;
+   wire n_1529;
+   wire n_1530;
+   wire n_1531;
+   wire n_1532;
+   wire n_1533;
+   wire n_1534;
+   wire n_1535;
+   wire n_1536;
+   wire n_1538;
+   wire n_1539;
+   wire n_1540;
+   wire n_1541;
+   wire n_1542;
+   wire n_1543;
+   wire n_1544;
+   wire n_1545;
+   wire n_1546;
+   wire n_1547;
+   wire n_1550;
+   wire n_1551;
+   wire n_1552;
+   wire n_1553;
+   wire n_1556;
+   wire n_1557;
+   wire n_1558;
+   wire n_1559;
+   wire n_1560;
+   wire n_1561;
+   wire n_1562;
+   wire n_1563;
+   wire n_1564;
+   wire n_1565;
+   wire n_1566;
+   wire n_1567;
+   wire n_1568;
+   wire n_1569;
+   wire n_1570;
+   wire n_1571;
+   wire n_1572;
+   wire n_1573;
+   wire n_1574;
+   wire n_1575;
+   wire n_1576;
+   wire n_1577;
+   wire n_1578;
+   wire n_1580;
+   wire n_1581;
+   wire n_1582;
+   wire n_1583;
+   wire n_1584;
+   wire n_1585;
+   wire n_1587;
+   wire n_1588;
+   wire n_1589;
+   wire n_1590;
+   wire n_1591;
+   wire n_1592;
+   wire n_1593;
+   wire n_1594;
+   wire n_1595;
+   wire n_1596;
+   wire n_1597;
+   wire n_1598;
+   wire n_1599;
+   wire n_1600;
+   wire n_1601;
+   wire n_1602;
+   wire n_1603;
+   wire n_1604;
+   wire n_1605;
+   wire n_1606;
+   wire n_1607;
+   wire n_1608;
+   wire n_1609;
+   wire n_1610;
+   wire n_1611;
+   wire n_1612;
+   wire n_1613;
+   wire n_1614;
+   wire n_1616;
+   wire n_1617;
+   wire n_1618;
+   wire n_1619;
+   wire n_1620;
+   wire n_1621;
+   wire n_1622;
+   wire n_1623;
+   wire n_1624;
+   wire n_1625;
+   wire n_1626;
+   wire n_1627;
+   wire n_1628;
+   wire n_1629;
+   wire n_1630;
+   wire n_1631;
+   wire n_1632;
+   wire n_1633;
+   wire n_1635;
+   wire n_1636;
+   wire n_1637;
+   wire n_1638;
+   wire n_1640;
+   wire n_1641;
+   wire n_1642;
+   wire n_1643;
+   wire n_1644;
+   wire n_1645;
+   wire n_1646;
+   wire n_1647;
+   wire n_1648;
+   wire n_1649;
+   wire n_1650;
+   wire n_1651;
+   wire n_1652;
+   wire n_1653;
+   wire n_1654;
+   wire n_1655;
+   wire n_1656;
+   wire n_1658;
+   wire n_1659;
+   wire n_1660;
+   wire n_1661;
+   wire n_1662;
+   wire n_1663;
+   wire n_1664;
+   wire n_1665;
+   wire n_1666;
+   wire n_1667;
+   wire n_1669;
+   wire n_1670;
+   wire n_1671;
+   wire n_1673;
+   wire n_1674;
+   wire n_1675;
+   wire n_1676;
+   wire n_1677;
+   wire n_1678;
+   wire n_1679;
+   wire n_1680;
+   wire n_1682;
+   wire n_1685;
+   wire n_1686;
+   wire n_1687;
+   wire n_1688;
+   wire n_1689;
+   wire n_1694;
+   wire n_1695;
+   wire n_1697;
+   wire n_1698;
+   wire n_1700;
+   wire n_1701;
+   wire n_1702;
+   wire n_1703;
+   wire n_1704;
+   wire n_1705;
+   wire n_1706;
+   wire n_1708;
+   wire n_1709;
+   wire n_1711;
+   wire n_1712;
+   wire n_1714;
+   wire n_1715;
+   wire n_1716;
+   wire n_1717;
+   wire n_1718;
+   wire n_1720;
+   wire n_1721;
+   wire n_1723;
+   wire n_1724;
+   wire n_1725;
+   wire n_1726;
+   wire n_1727;
+   wire n_1728;
+   wire n_1729;
+   wire n_1730;
+   wire n_1731;
+   wire n_1732;
+   wire n_1733;
+   wire n_1734;
+   wire n_1735;
+   wire n_1736;
+   wire n_1737;
+   wire n_1738;
+   wire n_1739;
+   wire n_1740;
+   wire n_1741;
+   wire n_1742;
+   wire n_1745;
+   wire n_1746;
+   wire n_1748;
+   wire n_1749;
+   wire n_1750;
+   wire n_1751;
+   wire n_1753;
+   wire n_1755;
+   wire n_1757;
+   wire n_1758;
+   wire n_1759;
+   wire n_1760;
+   wire n_1761;
+   wire n_1762;
+   wire n_1763;
+   wire n_1764;
+   wire n_1766;
+   wire n_1767;
+   wire n_1768;
+   wire n_1769;
+   wire n_1770;
+   wire n_1771;
+   wire n_1772;
+   wire n_1774;
+   wire n_1775;
+   wire n_1776;
+   wire n_1777;
+   wire n_1778;
+   wire n_1779;
+   wire n_1781;
+   wire n_1782;
+   wire n_1783;
+   wire n_1785;
+   wire n_1786;
+   wire n_1787;
+   wire n_1788;
+   wire n_1789;
+   wire n_1790;
+   wire n_1792;
+   wire n_1793;
+   wire n_1794;
+   wire n_1795;
+   wire n_1797;
+   wire n_1798;
+   wire n_1799;
+   wire n_1801;
+   wire n_1802;
+   wire n_1805;
+   wire n_1806;
+   wire n_1808;
+   wire n_1809;
+   wire n_1813;
+   wire n_1814;
+   wire n_1815;
+   wire n_1816;
+   wire n_1817;
+   wire n_1818;
+   wire n_1819;
+   wire n_1820;
+   wire n_1821;
+   wire n_1822;
+   wire n_1823;
+   wire n_1824;
+   wire n_1825;
+   wire n_1826;
+   wire n_1827;
+   wire n_1828;
+   wire n_1829;
+   wire n_1830;
+   wire n_1831;
+   wire n_1832;
+   wire n_1833;
+   wire n_1834;
+   wire n_1835;
+   wire n_1836;
+   wire n_1837;
+   wire n_1838;
+   wire n_1839;
+   wire n_1840;
+   wire n_1841;
+   wire n_1842;
+   wire n_1843;
+   wire n_1844;
+   wire n_1845;
+   wire n_1846;
+   wire n_1847;
+   wire n_1848;
+   wire n_1849;
+   wire n_1850;
+   wire n_1851;
+   wire n_1852;
+   wire n_1853;
+   wire n_1855;
+   wire n_1856;
+   wire n_1857;
+   wire n_1858;
+   wire n_1859;
+   wire n_1860;
+   wire n_1861;
+   wire n_1862;
+   wire n_1863;
+   wire n_1864;
+   wire n_1865;
+   wire n_1866;
+   wire n_1867;
+   wire n_1868;
+   wire n_1869;
+   wire n_1870;
+   wire n_1871;
+   wire n_1872;
+   wire n_1873;
+   wire n_1874;
+   wire n_1875;
+   wire n_1876;
+   wire n_1877;
+   wire n_1878;
+   wire n_1879;
+   wire n_1880;
+   wire n_1881;
+   wire n_1882;
+   wire n_1883;
+   wire n_1884;
+   wire n_1885;
+   wire n_1886;
+   wire n_1887;
+   wire n_1889;
+   wire n_1890;
+   wire n_1891;
+   wire n_1892;
+   wire n_1893;
+   wire n_1894;
+   wire n_1895;
+   wire n_1896;
+   wire n_1897;
+   wire n_1898;
+   wire n_1899;
+   wire n_1900;
+   wire n_1901;
+   wire n_1902;
+   wire n_1903;
+   wire n_1904;
+   wire n_1905;
+   wire n_1906;
+   wire n_1907;
+   wire n_1908;
+   wire n_1909;
+   wire n_1910;
+   wire n_1911;
+   wire n_1912;
+   wire n_1913;
+   wire n_1914;
+   wire n_1915;
+   wire n_1916;
+   wire n_1917;
+   wire n_1918;
+   wire n_1919;
+   wire n_1920;
+   wire n_1921;
+   wire n_1922;
+   wire n_1923;
+   wire n_1924;
+   wire n_1925;
+   wire n_1926;
+   wire n_1927;
+   wire n_1928;
+   wire n_1929;
+   wire n_1930;
+   wire n_1931;
+   wire n_1932;
+   wire n_1934;
+   wire n_1935;
+   wire n_1937;
+   wire n_1938;
+   wire n_1939;
+   wire n_1941;
+   wire n_1943;
+   wire n_1945;
+   wire n_1946;
+   wire n_1947;
+   wire n_1948;
+   wire n_1949;
+   wire n_1950;
+   wire n_1951;
+   wire n_1952;
+   wire n_1953;
+   wire n_1954;
+   wire n_1956;
+   wire n_1957;
+   wire n_1958;
+   wire n_1959;
+   wire n_1960;
+   wire n_1961;
+   wire n_1962;
+   wire n_1963;
+   wire n_1965;
+   wire n_1966;
+   wire n_1967;
+   wire n_1968;
+   wire n_1969;
+   wire n_1970;
+   wire n_1971;
+   wire n_1974;
+   wire n_1975;
+   wire n_1976;
+   wire n_1977;
+   wire n_1978;
+   wire n_1979;
+   wire n_1980;
+   wire n_1983;
+   wire n_1986;
+   wire n_1987;
+   wire n_1988;
+   wire n_1989;
+   wire n_1990;
+   wire n_1991;
+   wire n_1992;
+   wire n_1993;
+   wire n_1994;
+   wire n_1995;
+   wire n_1996;
+   wire n_1997;
+   wire n_1998;
+   wire n_1999;
+   wire n_2000;
+   wire n_2001;
+   wire n_2002;
+   wire n_2003;
+   wire n_2004;
+   wire n_2005;
+   wire n_2007;
+   wire n_2008;
+   wire n_2009;
+   wire n_2010;
+   wire n_2011;
+   wire n_2012;
+   wire n_2013;
+   wire n_2014;
+   wire n_2015;
+   wire n_2016;
+   wire n_2017;
+   wire n_2018;
+   wire n_2020;
+   wire n_2021;
+   wire n_2022;
+   wire n_2023;
+   wire n_2024;
+   wire n_2025;
+   wire n_2026;
+   wire n_2027;
+   wire n_2030;
+   wire n_2031;
+   wire n_2032;
+   wire n_2033;
+   wire n_2034;
+   wire n_2035;
+   wire n_2036;
+   wire n_2038;
+   wire n_2039;
+   wire n_2040;
+   wire n_2041;
+   wire n_2042;
+   wire n_2044;
+   wire n_2045;
+   wire n_2046;
+   wire n_2047;
+   wire n_2048;
+   wire n_2049;
+   wire n_2051;
+   wire n_2052;
+   wire n_2055;
+   wire n_2056;
+   wire n_2057;
+   wire n_2058;
+   wire n_2059;
+   wire n_2060;
+   wire n_2061;
+   wire n_2062;
+   wire n_2063;
+   wire n_2064;
+   wire n_2065;
+   wire n_2066;
+   wire n_2068;
+   wire n_2069;
+   wire n_2070;
+   wire n_2074;
+   wire n_2075;
+   wire n_2076;
+   wire n_2078;
+   wire n_2080;
+   wire n_2083;
+   wire n_2084;
+   wire n_2085;
+   wire n_2086;
+   wire n_2087;
+   wire n_2088;
+   wire n_2089;
+   wire n_2090;
+   wire n_2091;
+   wire n_2092;
+   wire n_2093;
+   wire n_2094;
+   wire n_2095;
+   wire n_2096;
+   wire n_2097;
+   wire n_2098;
+   wire n_2099;
+   wire n_2100;
+   wire n_2101;
+   wire n_2102;
+   wire n_2103;
+   wire n_2104;
+   wire n_2105;
+   wire n_2106;
+   wire n_2107;
+   wire n_2108;
+   wire n_2109;
+   wire n_2110;
+   wire n_2111;
+   wire n_2112;
+   wire n_2113;
+   wire n_2114;
+   wire n_2115;
+   wire n_2116;
+   wire n_2117;
+   wire n_2118;
+   wire n_2119;
+   wire n_2120;
+   wire n_2121;
+   wire n_2122;
+   wire n_2123;
+   wire n_2124;
+   wire n_2125;
+   wire n_2126;
+   wire n_2127;
+   wire n_2128;
+   wire n_2129;
+   wire n_2130;
+   wire n_2131;
+   wire n_2132;
+   wire n_2133;
+   wire n_2134;
+   wire n_2135;
+   wire n_2136;
+   wire n_2137;
+   wire n_2138;
+   wire n_2139;
+   wire n_2140;
+   wire n_2141;
+   wire n_2142;
+   wire n_2143;
+   wire n_2144;
+   wire n_2145;
+   wire n_2146;
+   wire n_2147;
+   wire n_2148;
+   wire n_2149;
+   wire n_2150;
+   wire n_2151;
+   wire n_2152;
+   wire n_2153;
+   wire n_2154;
+   wire n_2155;
+   wire n_2156;
+   wire n_2157;
+   wire n_2158;
+   wire n_2159;
+   wire n_2160;
+   wire n_2161;
+   wire n_2162;
+   wire n_2163;
+   wire n_2164;
+   wire n_2165;
+   wire n_2166;
+   wire n_2167;
+   wire n_2168;
+   wire n_2169;
+   wire n_2170;
+   wire n_2171;
+   wire n_2172;
+   wire n_2173;
+   wire n_2174;
+   wire n_2175;
+   wire n_2176;
+   wire n_2177;
+   wire n_2178;
+   wire n_2179;
+   wire n_2180;
+   wire n_2181;
+   wire n_2182;
+   wire n_2183;
+   wire n_2184;
+   wire n_2185;
+   wire n_2186;
+   wire n_2187;
+   wire n_2188;
+   wire n_2189;
+   wire n_2190;
+   wire n_2191;
+   wire n_2192;
+   wire n_2193;
+   wire n_2194;
+   wire n_2195;
+   wire n_2196;
+   wire n_2197;
+   wire n_2198;
+   wire n_2199;
+   wire n_2200;
+   wire n_2201;
+   wire n_2202;
+   wire n_2203;
+   wire n_2204;
+   wire n_2205;
+   wire n_2206;
+   wire n_2207;
+   wire n_2208;
+   wire n_2209;
+   wire n_2210;
+   wire n_2211;
+   wire n_2212;
+   wire n_2213;
+   wire n_2214;
+   wire n_2215;
+   wire n_2216;
+   wire n_2217;
+   wire n_2218;
+   wire n_2219;
+   wire n_2220;
+   wire n_2221;
+   wire n_2222;
+   wire n_2223;
+   wire n_2224;
+   wire n_2225;
+   wire n_2226;
+   wire n_2227;
+   wire n_2228;
+   wire n_2229;
+   wire n_2230;
+   wire n_2231;
+   wire n_2232;
+   wire n_2233;
+   wire n_2234;
+   wire n_2235;
+   wire n_2236;
+   wire n_2237;
+   wire n_2238;
+   wire n_2239;
+   wire n_2240;
+   wire n_2241;
+   wire n_2242;
+   wire n_2243;
+   wire n_2244;
+   wire n_2245;
+   wire n_2246;
+   wire n_2247;
+   wire n_2248;
+   wire n_2249;
+   wire n_2250;
+   wire n_2251;
+   wire n_2252;
+   wire n_2253;
+   wire n_2254;
+   wire n_2255;
+   wire n_2256;
+   wire n_2257;
+   wire n_2258;
+   wire n_2259;
+   wire n_2260;
+   wire n_2261;
+   wire n_2262;
+   wire n_2263;
+   wire n_2264;
+   wire n_2265;
+   wire n_2266;
+   wire n_2267;
+   wire n_2268;
+   wire n_2269;
+   wire n_2270;
+   wire n_2271;
+   wire n_2272;
+   wire n_2273;
+   wire n_2274;
+   wire n_2275;
+   wire n_2276;
+   wire n_2277;
+   wire n_2278;
+   wire n_2279;
+   wire n_2280;
+   wire n_2281;
+   wire n_2282;
+   wire n_2283;
+   wire n_2284;
+   wire n_2285;
+   wire n_2286;
+   wire n_2287;
+   wire n_2288;
+   wire n_2289;
+   wire n_2290;
+   wire n_2291;
+   wire n_2292;
+   wire n_2293;
+   wire n_2294;
+   wire n_2295;
+   wire n_2296;
+   wire n_2297;
+   wire n_2298;
+   wire n_2299;
+   wire n_2300;
+   wire n_2301;
+   wire n_2302;
+   wire n_2303;
+   wire n_2304;
+   wire n_2305;
+   wire n_2306;
+   wire n_2307;
+   wire n_2308;
+   wire n_2309;
+   wire n_2310;
+   wire n_2311;
+   wire n_2312;
+   wire n_2313;
+   wire n_2314;
+   wire n_2315;
+   wire n_2316;
+   wire n_2317;
+   wire n_2318;
+   wire n_2319;
+   wire n_2320;
+   wire n_2321;
+   wire n_2322;
+   wire n_2323;
+   wire n_2324;
+   wire n_2325;
+   wire n_2326;
+   wire n_2327;
+   wire n_2328;
+   wire n_2329;
+   wire n_2330;
+   wire n_2331;
+   wire n_2332;
+   wire n_2333;
+   wire n_2334;
+   wire n_2335;
+   wire n_2336;
+   wire n_2337;
+   wire n_2338;
+   wire n_2339;
+   wire n_2340;
+   wire n_2341;
+   wire n_2342;
+   wire n_2343;
+   wire n_2344;
+   wire n_2345;
+   wire n_2346;
+   wire n_2347;
+   wire n_2348;
+   wire n_2349;
+   wire n_2350;
+   wire n_2351;
+   wire n_2352;
+   wire n_2353;
+   wire n_2354;
+   wire n_2355;
+   wire n_2356;
+   wire n_2357;
+   wire n_2358;
+   wire n_2359;
+   wire n_2360;
+   wire n_2361;
+   wire n_2362;
+   wire n_2363;
+   wire n_2364;
+   wire n_2365;
+   wire n_2366;
+   wire n_2367;
+   wire n_2368;
+   wire n_2369;
+   wire n_2370;
+   wire n_2371;
+   wire n_2372;
+   wire n_2373;
+   wire n_2374;
+   wire n_2375;
+   wire n_2376;
+   wire n_2377;
+   wire n_2378;
+   wire n_2379;
+   wire n_2380;
+   wire n_2381;
+   wire n_2382;
+   wire n_2383;
+   wire n_2384;
+   wire n_2385;
+   wire n_2386;
+   wire n_2387;
+   wire n_2388;
+   wire n_2389;
+   wire n_2390;
+   wire n_2391;
+   wire n_2392;
+   wire n_2393;
+   wire n_2394;
+   wire n_2395;
+   wire n_2396;
+   wire n_2397;
+   wire n_2398;
+   wire n_2399;
+   wire n_2400;
+   wire n_2401;
+   wire n_2402;
+   wire n_2403;
+   wire n_2404;
+   wire n_2405;
+   wire n_2406;
+   wire n_2407;
+   wire n_2408;
+   wire n_2409;
+   wire n_2410;
+   wire n_2411;
+   wire n_2412;
+   wire n_2413;
+   wire n_2414;
+   wire n_2415;
+   wire n_2416;
+   wire n_2417;
+   wire n_2418;
+   wire n_2419;
+   wire n_2420;
+   wire n_2421;
+   wire n_2422;
+   wire n_2423;
+   wire n_2424;
+   wire n_2425;
+   wire n_2426;
+   wire n_2427;
+   wire n_2428;
+   wire n_2429;
+   wire n_2430;
+   wire n_2431;
+   wire n_2432;
+   wire n_2433;
+   wire n_2434;
+   wire n_2435;
+   wire n_2436;
+   wire n_2437;
+   wire n_2438;
+   wire n_2439;
+   wire n_2440;
+   wire n_2441;
+   wire n_2442;
+   wire n_2443;
+   wire n_2444;
+   wire n_2445;
+   wire n_2446;
+   wire n_2447;
+   wire n_2448;
+   wire n_2449;
+   wire n_2450;
+   wire n_2451;
+   wire n_2452;
+   wire n_2453;
+   wire n_2454;
+   wire n_2455;
+   wire n_2456;
+   wire n_2457;
+   wire n_2458;
+   wire n_2459;
+   wire n_2460;
+   wire n_2461;
+   wire n_2462;
+   wire n_2463;
+   wire n_2464;
+   wire n_2465;
+   wire n_2466;
+   wire n_2467;
+   wire n_2468;
+   wire n_2469;
+   wire n_2470;
+   wire n_2471;
+   wire n_2472;
+   wire n_2473;
+   wire n_2474;
+   wire n_2475;
+   wire n_2476;
+   wire n_2477;
+   wire n_2478;
+   wire n_2479;
+   wire n_2480;
+   wire n_2481;
+   wire n_2482;
+   wire n_2483;
+   wire n_2484;
+   wire n_2485;
+   wire n_2486;
+   wire n_2487;
+   wire n_2488;
+   wire n_2489;
+   wire n_2490;
+   wire n_2491;
+   wire n_2492;
+   wire n_2493;
+   wire n_2494;
+   wire n_2495;
+   wire n_2496;
+   wire n_2497;
+   wire n_2498;
+   wire n_2499;
+   wire n_2500;
+   wire n_2501;
+   wire n_2502;
+   wire n_2503;
+   wire n_2504;
+   wire n_2505;
+   wire n_2506;
+   wire n_2507;
+   wire n_2508;
+   wire n_2509;
+   wire n_2510;
+   wire n_2511;
+   wire n_2512;
+   wire n_2513;
+   wire n_2514;
+   wire n_2515;
+   wire n_2516;
+   wire n_2517;
+   wire n_2518;
+   wire n_2519;
+   wire n_2520;
+   wire n_2521;
+   wire n_2522;
+   wire n_2523;
+   wire n_2524;
+   wire n_2525;
+   wire n_2526;
+   wire n_2527;
+   wire n_2528;
+   wire n_2529;
+   wire n_2530;
+   wire n_2531;
+   wire n_2532;
+   wire n_2533;
+   wire n_2534;
+   wire n_2535;
+   wire n_2536;
+   wire n_2537;
+   wire n_2538;
+   wire n_2539;
+   wire n_2540;
+   wire n_2541;
+   wire n_2542;
+   wire n_2543;
+   wire n_2544;
+   wire n_2545;
+   wire n_2546;
+   wire n_2547;
+   wire n_2548;
+   wire n_2549;
+   wire n_2550;
+   wire n_2551;
+   wire n_2552;
+   wire n_2553;
+   wire n_2554;
+   wire n_2555;
+   wire n_2556;
+   wire n_2557;
+   wire n_2558;
+   wire n_2559;
+   wire n_2560;
+   wire n_2561;
+   wire n_2562;
+   wire n_2563;
+   wire n_2564;
+   wire n_2565;
+   wire n_2566;
+   wire n_2567;
+   wire n_2568;
+   wire n_2569;
+   wire n_2570;
+   wire n_2571;
+   wire n_2572;
+   wire n_2573;
+   wire n_2574;
+   wire n_2575;
+   wire n_2576;
+   wire n_2577;
+   wire n_2578;
+   wire n_2579;
+   wire n_2580;
+   wire n_2581;
+   wire n_2582;
+   wire n_2583;
+   wire n_2584;
+   wire n_2585;
+   wire n_2586;
+   wire n_2587;
+   wire n_2588;
+   wire n_2589;
+   wire n_2590;
+   wire n_2591;
+   wire n_2592;
+   wire n_2593;
+   wire n_2594;
+   wire n_2595;
+   wire n_2596;
+   wire n_2597;
+   wire n_2598;
+   wire n_2599;
+   wire n_2600;
+   wire n_2601;
+   wire n_2602;
+   wire n_2603;
+   wire n_2604;
+   wire n_2605;
+   wire n_2606;
+   wire n_2607;
+   wire n_2608;
+   wire n_2609;
+   wire n_2610;
+   wire n_2611;
+   wire n_2612;
+   wire n_2613;
+   wire n_2614;
+   wire n_2615;
+   wire n_2616;
+   wire n_2617;
+   wire n_2618;
+   wire n_2619;
+   wire n_2620;
+   wire n_2621;
+   wire n_2622;
+   wire n_2623;
+   wire n_2624;
+   wire n_2625;
+   wire n_2626;
+   wire n_2627;
+   wire n_2628;
+   wire n_2629;
+   wire n_2630;
+   wire n_2631;
+   wire n_2632;
+   wire n_2633;
+   wire n_2634;
+   wire n_2635;
+   wire n_2636;
+   wire n_2637;
+   wire n_2638;
+   wire n_2639;
+   wire n_2640;
+   wire n_2641;
+   wire n_2642;
+   wire n_2643;
+   wire n_2645;
+   wire n_2646;
+   wire n_2647;
+   wire n_2648;
+   wire n_2649;
+   wire n_2650;
+   wire n_2651;
+   wire n_2654;
+   wire n_2655;
+   wire n_2656;
+   wire n_2657;
+   wire n_2658;
+   wire n_2659;
+   wire n_2661;
+   wire n_2662;
+   wire n_2663;
+   wire n_2664;
+   wire n_2665;
+   wire n_2666;
+   wire n_2667;
+   wire n_2669;
+   wire n_2670;
+   wire n_2671;
+   wire n_2672;
+   wire n_2673;
+   wire n_2674;
+   wire n_2675;
+   wire n_2676;
+   wire n_2677;
+   wire n_2678;
+   wire n_2679;
+   wire n_2680;
+   wire n_2681;
+   wire n_2682;
+   wire n_2684;
+   wire n_2685;
+   wire n_2686;
+   wire n_2687;
+   wire n_2688;
+   wire n_2689;
+   wire n_2690;
+   wire n_2691;
+   wire n_2692;
+   wire n_2693;
+   wire n_2694;
+   wire n_2695;
+   wire n_2696;
+   wire n_2697;
+   wire n_2698;
+   wire n_2699;
+   wire n_2700;
+   wire n_2701;
+   wire n_2703;
+   wire n_2704;
+   wire n_2706;
+   wire n_2707;
+   wire n_2708;
+   wire n_2709;
+   wire n_2710;
+   wire n_2711;
+   wire n_2712;
+   wire n_2713;
+   wire n_2715;
+   wire n_2716;
+   wire n_2717;
+   wire n_2718;
+   wire n_2720;
+   wire n_2722;
+   wire n_2723;
+   wire n_2725;
+   wire n_2726;
+   wire n_2729;
+   wire n_2730;
+   wire n_2731;
+   wire n_2732;
+   wire n_2733;
+   wire n_2735;
+   wire n_2738;
+   wire n_2739;
+   wire n_2741;
+   wire n_2743;
+   wire n_2744;
+   wire n_2745;
+   wire n_2746;
+   wire n_2747;
+   wire n_2748;
+   wire n_2749;
+   wire n_2750;
+   wire n_2751;
+   wire n_2752;
+   wire n_2753;
+   wire n_2754;
+   wire n_2757;
+   wire n_2758;
+   wire n_2759;
+   wire n_2760;
+   wire n_2761;
+   wire n_2762;
+   wire n_2763;
+   wire n_2764;
+   wire n_2765;
+   wire n_2766;
+   wire n_2767;
+   wire n_2768;
+   wire n_2769;
+   wire n_2770;
+   wire n_2771;
+   wire n_2772;
+   wire n_2773;
+   wire n_2774;
+   wire n_2775;
+   wire n_2776;
+   wire n_2778;
+   wire n_2779;
+   wire n_2780;
+   wire n_2781;
+   wire n_2784;
+   wire n_2785;
+   wire n_2786;
+   wire n_2787;
+   wire n_2788;
+   wire n_2789;
+   wire n_2790;
+   wire n_2791;
+   wire n_2792;
+   wire n_2793;
+   wire n_2794;
+   wire n_2795;
+   wire n_2796;
+   wire n_2797;
+   wire n_2798;
+   wire n_2799;
+   wire n_2800;
+   wire n_2801;
+   wire n_2803;
+   wire n_2804;
+   wire n_2805;
+   wire n_2806;
+   wire n_2807;
+   wire n_2808;
+   wire n_2809;
+   wire n_2810;
+   wire n_2811;
+   wire n_2812;
+   wire n_2813;
+   wire n_2814;
+   wire n_2815;
+   wire n_2816;
+   wire n_2817;
+   wire n_2818;
+   wire n_2819;
+   wire n_2820;
+   wire n_2821;
+   wire n_2822;
+   wire n_2823;
+   wire n_2824;
+   wire n_2825;
+   wire n_2826;
+   wire n_2827;
+   wire n_2828;
+   wire n_2829;
+   wire n_2830;
+   wire n_2832;
+   wire n_2833;
+   wire n_2834;
+   wire n_2835;
+   wire n_2836;
+   wire n_2838;
+   wire n_2840;
+   wire n_2841;
+   wire n_2843;
+   wire n_2844;
+   wire n_2845;
+   wire n_2846;
+   wire n_2847;
+   wire n_2848;
+   wire n_2849;
+   wire n_2850;
+   wire n_2851;
+   wire n_2852;
+   wire n_2853;
+   wire n_2854;
+   wire n_2855;
+   wire n_2856;
+   wire n_2857;
+   wire n_2858;
+   wire n_2859;
+   wire n_2860;
+   wire n_2861;
+   wire n_2862;
+   wire n_2863;
+   wire n_2864;
+   wire n_2865;
+   wire n_2866;
+   wire n_2867;
+   wire n_2869;
+   wire n_2870;
+   wire n_2871;
+   wire n_2872;
+   wire n_2873;
+   wire n_2874;
+   wire n_2875;
+   wire n_2876;
+   wire n_2877;
+   wire n_2878;
+   wire n_2879;
+   wire n_2880;
+   wire n_2881;
+   wire n_2883;
+   wire n_2884;
+   wire n_2885;
+   wire n_2886;
+   wire n_2887;
+   wire n_2888;
+   wire n_2889;
+   wire n_2890;
+   wire n_2892;
+   wire n_2893;
+   wire n_2894;
+   wire n_2895;
+   wire n_2896;
+   wire n_2897;
+   wire n_2898;
+   wire n_2899;
+   wire n_2900;
+   wire n_2901;
+   wire n_2902;
+   wire n_2903;
+   wire n_2904;
+   wire n_2905;
+   wire n_2906;
+   wire n_2907;
+   wire n_2910;
+   wire n_2911;
+   wire n_2912;
+   wire n_2913;
+   wire n_2914;
+   wire n_2915;
+   wire n_2918;
+   wire n_2919;
+   wire n_2920;
+   wire n_2921;
+   wire n_2922;
+   wire n_2923;
+   wire n_2924;
+   wire n_2925;
+   wire n_2926;
+   wire n_2927;
+   wire n_2928;
+   wire n_2929;
+   wire n_2930;
+   wire n_2931;
+   wire n_2932;
+   wire n_2933;
+   wire n_2934;
+   wire n_2935;
+   wire n_2936;
+   wire n_2937;
+   wire n_2938;
+   wire n_2939;
+   wire n_2940;
+   wire n_2941;
+   wire n_2942;
+   wire n_2943;
+   wire n_2944;
+   wire n_2945;
+   wire n_2946;
+   wire n_2947;
+   wire n_2948;
+   wire n_2949;
+   wire n_2950;
+   wire n_2951;
+   wire n_2952;
+   wire n_2953;
+   wire n_2954;
+   wire n_2955;
+   wire n_2956;
+   wire n_2957;
+   wire n_2958;
+   wire n_2959;
+   wire n_2960;
+   wire n_2961;
+   wire n_2962;
+   wire n_2963;
+   wire n_2964;
+   wire n_2965;
+   wire n_2966;
+   wire n_2967;
+   wire n_2968;
+   wire n_2969;
+   wire n_2970;
+   wire n_2971;
+   wire n_2972;
+   wire n_2973;
+   wire n_2974;
+   wire n_2975;
+   wire n_2976;
+   wire n_2977;
+   wire n_2978;
+   wire n_2979;
+   wire n_2980;
+   wire n_2981;
+   wire n_2982;
+   wire n_2983;
+   wire n_2984;
+   wire n_2985;
+   wire n_2986;
+   wire n_2987;
+   wire n_2988;
+   wire n_2989;
+   wire n_2990;
+   wire n_2991;
+   wire n_2992;
+   wire n_2993;
+   wire n_2994;
+   wire n_2995;
+   wire n_2996;
+   wire n_2997;
+   wire n_2998;
+   wire n_2999;
+   wire n_3000;
+   wire n_3001;
+   wire n_3002;
+   wire n_3003;
+   wire n_3004;
+   wire n_3005;
+   wire n_3006;
+   wire n_3007;
+   wire n_3008;
+   wire n_3009;
+   wire n_3010;
+   wire n_3011;
+   wire n_3012;
+   wire n_3013;
+   wire n_3014;
+   wire n_3015;
+   wire n_3016;
+   wire n_3017;
+   wire n_3018;
+   wire n_3019;
+   wire n_3021;
+   wire n_3022;
+   wire n_3023;
+   wire n_3024;
+   wire n_3025;
+   wire n_3026;
+   wire n_3027;
+   wire n_3028;
+   wire n_3029;
+   wire n_3030;
+   wire n_3031;
+   wire n_3032;
+   wire n_3033;
+   wire n_3034;
+   wire n_3035;
+   wire n_3036;
+   wire n_3037;
+   wire n_3038;
+   wire n_3039;
+   wire n_3040;
+   wire n_3042;
+   wire n_3043;
+   wire n_3044;
+   wire n_3046;
+   wire n_3047;
+   wire n_3048;
+   wire n_3049;
+   wire n_3050;
+   wire n_3051;
+   wire n_3052;
+   wire n_3053;
+   wire n_3054;
+   wire n_3055;
+   wire n_3056;
+   wire n_3057;
+   wire n_3059;
+   wire n_3060;
+   wire n_3061;
+   wire n_3062;
+   wire n_3063;
+   wire n_3064;
+   wire n_3065;
+   wire n_3066;
+   wire n_3067;
+   wire n_3068;
+   wire n_3069;
+   wire n_3070;
+   wire n_3071;
+   wire n_3072;
+   wire n_3073;
+   wire n_3074;
+   wire n_3075;
+   wire n_3076;
+   wire n_3077;
+   wire n_3078;
+   wire n_3079;
+   wire n_3080;
+   wire n_3081;
+   wire n_3083;
+   wire n_3084;
+   wire n_3085;
+   wire n_3086;
+   wire n_3087;
+   wire n_3089;
+   wire n_3090;
+   wire n_3091;
+   wire n_3092;
+   wire n_3093;
+   wire n_3094;
+   wire n_3096;
+   wire n_3097;
+   wire n_3098;
+   wire n_3099;
+   wire n_3100;
+   wire n_3101;
+   wire n_3102;
+   wire n_3104;
+   wire n_3105;
+   wire n_3106;
+   wire n_3109;
+   wire n_3110;
+   wire n_3113;
+   wire n_3114;
+   wire n_3115;
+   wire n_3117;
+   wire n_3118;
+   wire n_3119;
+   wire n_3120;
+   wire n_3121;
+   wire n_3122;
+   wire n_3123;
+   wire n_3124;
+   wire n_3125;
+   wire n_3126;
+   wire n_3127;
+   wire n_3128;
+   wire n_3129;
+   wire n_3130;
+   wire n_3131;
+   wire n_3132;
+   wire n_3133;
+   wire n_3134;
+   wire n_3135;
+   wire n_3136;
+   wire n_3137;
+   wire n_3138;
+   wire n_3139;
+   wire n_3140;
+   wire n_3141;
+   wire n_3142;
+   wire n_3143;
+   wire n_3144;
+   wire n_3146;
+   wire n_3147;
+   wire n_3148;
+   wire n_3149;
+   wire n_3150;
+   wire n_3151;
+   wire n_3152;
+   wire n_3153;
+   wire n_3154;
+   wire n_3155;
+   wire n_3156;
+   wire n_3157;
+   wire n_3158;
+   wire n_3159;
+   wire n_3160;
+   wire n_3161;
+   wire n_3162;
+   wire n_3163;
+   wire n_3164;
+   wire n_3165;
+   wire n_3166;
+   wire n_3167;
+   wire n_3168;
+   wire n_3169;
+   wire n_3170;
+   wire n_3171;
+   wire n_3172;
+   wire n_3173;
+   wire n_3174;
+   wire n_3175;
+   wire n_3176;
+   wire n_3177;
+   wire n_3178;
+   wire n_3179;
+   wire n_3180;
+   wire n_3181;
+   wire n_3182;
+   wire n_3183;
+   wire n_3184;
+   wire n_3185;
+   wire n_3186;
+   wire n_3187;
+   wire n_3188;
+   wire n_3189;
+   wire n_3190;
+   wire n_3191;
+   wire n_3192;
+   wire n_3193;
+   wire n_3194;
+   wire n_3195;
+   wire n_3196;
+   wire n_3197;
+   wire n_3198;
+   wire n_3199;
+   wire n_3200;
+   wire n_3201;
+   wire n_3202;
+   wire n_3203;
+   wire n_3204;
+   wire n_3205;
+   wire n_3206;
+   wire n_3207;
+   wire n_3208;
+   wire n_3209;
+   wire n_3210;
+   wire n_3211;
+   wire n_3212;
+   wire n_3213;
+   wire n_3214;
+   wire n_3215;
+   wire n_3216;
+   wire n_3217;
+   wire n_3218;
+   wire n_3219;
+   wire n_3220;
+   wire n_3221;
+   wire n_3222;
+   wire n_3223;
+   wire n_3224;
+   wire n_3225;
+   wire n_3226;
+   wire n_3227;
+   wire n_3228;
+   wire n_3229;
+   wire n_3230;
+   wire n_3231;
+   wire n_3232;
+   wire n_3233;
+   wire n_3234;
+   wire n_3235;
+   wire n_3236;
+   wire n_3237;
+   wire n_3238;
+   wire n_3239;
+   wire n_3240;
+   wire n_3241;
+   wire n_3242;
+   wire n_3243;
+   wire n_3244;
+   wire n_3245;
+   wire n_3246;
+   wire n_3247;
+   wire n_3248;
+   wire n_3249;
+   wire n_3250;
+   wire n_3251;
+   wire n_3252;
+   wire n_3253;
+   wire n_3254;
+   wire n_3255;
+   wire n_3256;
+   wire n_3257;
+   wire n_3258;
+   wire n_3259;
+   wire n_3260;
+   wire n_3261;
+   wire n_3262;
+   wire n_3263;
+   wire n_3264;
+   wire n_3265;
+   wire n_3266;
+   wire n_3267;
+   wire n_3268;
+   wire n_3269;
+   wire n_3270;
+   wire n_3271;
+   wire n_3272;
+   wire n_3273;
+   wire n_3274;
+   wire n_3275;
+   wire n_3276;
+   wire n_3277;
+   wire n_3278;
+   wire n_3279;
+   wire n_3280;
+   wire n_3281;
+   wire n_3282;
+   wire n_3283;
+   wire n_3284;
+   wire n_3285;
+   wire n_3286;
+   wire n_3287;
+   wire n_3288;
+   wire n_3289;
+   wire n_3290;
+   wire n_3291;
+   wire n_3292;
+   wire n_3293;
+   wire n_3294;
+   wire n_3295;
+   wire n_3296;
+   wire n_3297;
+   wire n_3298;
+   wire n_3299;
+   wire n_3300;
+   wire n_3301;
+   wire n_3302;
+   wire n_3303;
+   wire n_3304;
+   wire n_3305;
+   wire n_3306;
+   wire n_3307;
+   wire n_3308;
+   wire n_3309;
+   wire n_3310;
+   wire n_3311;
+   wire n_3312;
+   wire n_3313;
+   wire n_3314;
+   wire n_3315;
+   wire n_3316;
+   wire n_3317;
+   wire n_3318;
+   wire n_3319;
+   wire n_3320;
+   wire n_3321;
+   wire n_3322;
+   wire n_3323;
+   wire n_3324;
+   wire n_3325;
+   wire n_3326;
+   wire n_3328;
+   wire n_3329;
+   wire n_3330;
+   wire n_3331;
+   wire n_3332;
+   wire n_3333;
+   wire n_3334;
+   wire n_3335;
+   wire n_3336;
+   wire n_3337;
+   wire n_3338;
+   wire n_3339;
+   wire n_3340;
+   wire n_3341;
+   wire n_3342;
+   wire n_3343;
+   wire n_3344;
+   wire n_3345;
+   wire n_3346;
+   wire n_3347;
+   wire n_3348;
+   wire n_3349;
+   wire n_3350;
+   wire n_3352;
+   wire n_3354;
+   wire n_3355;
+   wire n_3356;
+   wire n_3357;
+   wire n_3358;
+   wire n_3359;
+   wire n_3360;
+   wire n_3361;
+   wire n_3362;
+   wire n_3363;
+   wire n_3364;
+   wire n_3365;
+   wire n_3366;
+   wire n_3367;
+   wire n_3368;
+   wire n_3369;
+   wire n_3370;
+   wire n_3371;
+   wire n_3372;
+   wire n_3373;
+   wire n_3374;
+   wire n_3375;
+   wire n_3376;
+   wire n_3377;
+   wire n_3378;
+   wire n_3379;
+   wire n_3380;
+   wire n_3381;
+   wire n_3382;
+   wire n_3383;
+   wire n_3384;
+   wire n_3385;
+   wire n_3386;
+   wire n_3387;
+   wire n_3388;
+   wire n_3389;
+   wire n_3391;
+   wire n_3392;
+   wire n_3393;
+   wire n_3394;
+   wire n_3395;
+   wire n_3396;
+   wire n_3397;
+   wire n_3398;
+   wire n_3399;
+   wire n_3400;
+   wire n_3401;
+   wire n_3402;
+   wire n_3403;
+   wire n_3404;
+   wire n_3405;
+   wire n_3406;
+   wire n_3407;
+   wire n_3408;
+   wire n_3409;
+   wire n_3410;
+   wire n_3411;
+   wire n_3412;
+   wire n_3413;
+   wire n_3414;
+   wire n_3415;
+   wire n_3416;
+   wire n_3417;
+   wire n_3418;
+   wire n_3419;
+   wire n_3420;
+   wire n_3421;
+   wire n_3422;
+   wire n_3423;
+   wire n_3424;
+   wire n_3425;
+   wire n_3426;
+   wire n_3427;
+   wire n_3428;
+   wire n_3430;
+   wire n_3431;
+   wire n_3433;
+   wire n_3434;
+   wire n_3436;
+   wire n_3437;
+   wire n_3438;
+   wire n_3439;
+   wire n_3440;
+   wire n_3441;
+   wire n_3442;
+   wire n_3443;
+   wire n_3444;
+   wire n_3445;
+   wire n_3446;
+   wire n_3447;
+   wire n_3448;
+   wire n_3449;
+   wire n_3450;
+   wire n_3451;
+   wire n_3452;
+   wire n_3453;
+   wire n_3454;
+   wire n_3455;
+   wire n_3456;
+   wire n_3457;
+   wire n_3458;
+   wire n_3464;
+   wire n_3465;
+   wire n_3466;
+   wire n_3467;
+   wire n_3468;
+   wire n_3471;
+   wire n_3472;
+   wire n_3473;
+   wire n_3474;
+   wire n_3475;
+   wire n_3476;
+   wire n_3477;
+   wire n_3478;
+   wire n_3479;
+   wire n_3480;
+   wire n_3481;
+   wire n_3482;
+   wire n_3483;
+   wire n_3484;
+   wire n_3485;
+   wire n_3486;
+   wire n_3487;
+   wire n_3488;
+   wire n_3489;
+   wire n_3490;
+   wire n_3502;
+   wire n_3503;
+   wire n_3504;
+   wire n_3505;
+   wire n_3506;
+   wire n_3507;
+   wire n_3508;
+   wire n_3509;
+   wire n_3510;
+   wire n_3511;
+   wire n_3512;
+   wire n_3513;
+   wire n_3514;
+   wire n_3515;
+   wire n_3516;
+   wire n_3517;
+   wire n_3518;
+   wire n_3519;
+   wire n_3520;
+   wire n_3521;
+   wire n_3522;
+   wire n_3523;
+   wire n_3525;
+   wire n_3526;
+   wire n_3528;
+   wire n_3529;
+   wire n_3530;
+   wire n_3531;
+   wire n_3532;
+   wire n_3533;
+   wire n_3536;
+   wire n_3537;
+   wire n_3538;
+   wire n_3539;
+   wire n_3540;
+   wire n_3541;
+   wire n_3542;
+   wire n_3543;
+   wire n_3544;
+   wire n_3545;
+   wire n_3546;
+   wire n_3547;
+   wire n_3549;
+   wire n_3550;
+   wire n_3551;
+   wire n_3552;
+   wire n_3553;
+   wire n_3554;
+   wire n_3555;
+   wire n_3556;
+   wire n_3557;
+   wire n_3558;
+   wire n_3559;
+   wire n_3560;
+   wire n_3561;
+   wire n_3563;
+   wire n_3564;
+   wire n_3565;
+   wire n_3566;
+   wire n_3567;
+   wire n_3568;
+   wire n_3569;
+   wire n_3570;
+   wire n_3571;
+   wire n_3572;
+   wire n_3573;
+   wire n_3574;
+   wire n_3575;
+   wire n_3576;
+   wire n_3577;
+   wire n_3578;
+   wire n_3579;
+   wire n_3580;
+   wire n_3581;
+   wire n_3582;
+   wire n_3583;
+   wire n_3584;
+   wire n_3585;
+   wire n_3586;
+   wire n_3588;
+   wire n_3589;
+   wire n_3590;
+   wire n_3591;
+   wire n_3592;
+   wire n_3593;
+   wire n_3594;
+   wire n_3595;
+   wire n_3596;
+   wire n_3597;
+   wire n_3598;
+   wire n_3599;
+   wire n_3600;
+   wire n_3601;
+   wire n_3609;
+   wire n_3610;
+   wire n_3611;
+   wire n_3612;
+   wire n_3613;
+   wire n_3614;
+   wire n_3615;
+   wire n_3616;
+   wire n_3617;
+   wire n_3618;
+   wire n_3619;
+   wire n_3620;
+   wire n_3621;
+   wire n_3622;
+   wire n_3623;
+   wire n_3624;
+   wire n_3625;
+   wire n_3626;
+   wire n_3627;
+   wire n_3628;
+   wire n_3629;
+   wire n_3630;
+   wire n_3631;
+   wire n_3632;
+   wire n_3633;
+   wire n_3634;
+   wire n_3635;
+   wire n_3636;
+   wire n_3637;
+   wire n_3638;
+   wire n_3639;
+   wire n_3640;
+   wire n_3641;
+   wire n_3642;
+   wire n_3643;
+   wire n_3644;
+   wire n_3645;
+   wire n_3646;
+   wire n_3647;
+   wire n_3648;
+   wire n_3649;
+   wire n_3650;
+   wire n_3651;
+   wire n_3652;
+   wire n_3653;
+   wire n_3654;
+   wire n_3655;
+   wire n_3656;
+   wire n_3657;
+   wire n_3658;
+   wire n_3659;
+   wire n_3660;
+   wire n_3661;
+   wire n_3662;
+   wire n_3663;
+   wire n_3664;
+   wire n_3665;
+   wire n_3666;
+   wire n_3667;
+   wire n_3668;
+   wire n_3669;
+   wire n_3670;
+   wire n_3671;
+   wire n_3672;
+   wire n_3673;
+   wire n_3674;
+   wire n_3675;
+   wire n_3676;
+   wire n_3677;
+   wire n_3679;
+   wire n_3680;
+   wire n_3681;
+   wire n_3682;
+   wire n_3683;
+   wire n_3684;
+   wire n_3685;
+   wire n_3686;
+   wire n_3687;
+   wire n_3688;
+   wire n_3689;
+   wire n_3690;
+   wire n_3691;
+   wire n_3692;
+   wire n_3694;
+   wire n_3695;
+   wire n_3696;
+   wire n_3697;
+   wire n_3698;
+   wire n_3699;
+   wire n_3700;
+   wire n_3701;
+   wire n_3702;
+   wire n_3703;
+   wire n_3704;
+   wire n_3705;
+   wire n_3706;
+   wire n_3708;
+   wire n_3709;
+   wire n_3710;
+   wire n_3711;
+   wire n_3712;
+   wire n_3713;
+   wire n_3714;
+   wire n_3715;
+   wire n_3716;
+   wire n_3717;
+   wire n_3718;
+   wire n_3719;
+   wire n_3720;
+   wire n_3721;
+   wire n_3722;
+   wire n_3723;
+   wire n_3724;
+   wire n_3725;
+   wire n_3726;
+   wire n_3727;
+   wire n_3728;
+   wire n_3729;
+   wire n_3730;
+   wire n_3731;
+   wire n_3732;
+   wire n_3733;
+   wire n_3734;
+   wire n_3735;
+   wire n_3736;
+   wire n_3737;
+   wire n_3738;
+   wire n_3739;
+   wire n_3740;
+   wire n_3741;
+   wire n_3742;
+   wire n_3743;
+   wire n_3744;
+   wire n_3745;
+   wire n_3746;
+   wire n_3747;
+   wire n_3748;
+   wire n_3749;
+   wire n_3750;
+   wire n_3751;
+   wire n_3752;
+   wire n_3753;
+   wire n_3754;
+   wire n_3755;
+   wire n_3756;
+   wire n_3757;
+   wire n_3758;
+   wire n_3759;
+   wire n_3760;
+   wire n_3761;
+   wire n_3762;
+   wire n_3763;
+   wire n_3764;
+   wire n_3765;
+   wire n_3766;
+   wire n_3767;
+   wire n_3768;
+   wire n_3769;
+   wire n_3770;
+   wire n_3771;
+   wire n_3772;
+   wire n_3773;
+   wire n_3774;
+   wire n_3775;
+   wire n_3776;
+   wire n_3777;
+   wire n_3778;
+   wire n_3779;
+   wire n_3780;
+   wire n_3781;
+   wire n_3782;
+   wire n_3783;
+   wire n_3784;
+   wire n_3785;
+   wire n_3786;
+   wire n_3787;
+   wire n_3788;
+   wire n_3789;
+   wire n_3790;
+   wire n_3791;
+   wire n_3792;
+   wire n_3793;
+   wire n_3795;
+   wire n_3796;
+   wire n_3797;
+   wire n_3798;
+   wire n_3799;
+   wire n_3800;
+   wire n_3801;
+   wire n_3802;
+   wire n_3803;
+   wire n_3804;
+   wire n_3805;
+   wire n_3806;
+   wire n_3807;
+   wire n_3808;
+   wire n_3809;
+   wire n_3810;
+   wire n_3811;
+   wire n_3812;
+   wire n_3813;
+   wire n_3814;
+   wire n_3815;
+   wire n_3816;
+   wire n_3817;
+   wire n_3818;
+   wire n_3819;
+   wire n_3820;
+   wire n_3821;
+   wire n_3822;
+   wire n_3823;
+   wire n_3824;
+   wire n_3825;
+   wire n_3826;
+   wire n_3827;
+   wire n_3828;
+   wire n_3829;
+   wire n_3830;
+   wire n_3831;
+   wire n_3832;
+   wire n_3833;
+   wire n_3834;
+   wire n_3835;
+   wire n_3836;
+   wire n_3837;
+   wire n_3838;
+   wire n_3839;
+   wire n_3840;
+   wire n_3841;
+   wire n_3842;
+   wire n_3843;
+   wire n_3844;
+   wire n_3845;
+   wire n_3846;
+   wire n_3847;
+   wire n_3848;
+   wire n_3849;
+   wire n_3850;
+   wire n_3851;
+   wire n_3852;
+   wire n_3853;
+   wire n_3854;
+   wire n_3855;
+   wire n_3856;
+   wire n_3857;
+   wire n_3858;
+   wire n_3859;
+   wire n_3860;
+   wire n_3861;
+   wire n_3862;
+   wire n_3863;
+   wire n_3864;
+   wire n_3865;
+   wire n_3866;
+   wire n_3867;
+   wire n_3868;
+   wire n_3869;
+   wire n_3870;
+   wire n_3871;
+   wire n_3872;
+   wire n_3873;
+   wire n_3874;
+   wire n_3875;
+   wire n_3876;
+   wire n_3877;
+   wire n_3878;
+   wire n_3879;
+   wire n_3880;
+   wire n_3881;
+   wire n_3882;
+   wire n_3883;
+   wire n_3884;
+   wire n_3885;
+   wire n_3886;
+   wire n_3887;
+   wire n_3888;
+   wire n_3889;
+   wire n_3890;
+   wire n_3891;
+   wire n_3892;
+   wire n_3893;
+   wire n_3894;
+   wire n_3896;
+   wire n_3897;
+   wire n_3898;
+   wire n_3899;
+   wire n_3900;
+   wire n_3901;
+   wire n_3902;
+   wire n_3903;
+   wire n_3904;
+   wire n_3905;
+   wire n_3906;
+   wire n_3907;
+   wire n_3908;
+   wire n_3909;
+   wire n_3910;
+   wire n_3911;
+   wire n_3912;
+   wire n_3913;
+   wire n_3914;
+   wire n_3915;
+   wire n_3916;
+   wire n_3917;
+   wire n_3918;
+   wire n_3919;
+   wire n_3920;
+   wire n_3921;
+   wire n_3922;
+   wire n_3923;
+   wire n_3924;
+   wire n_3925;
+   wire n_3926;
+   wire n_3927;
+   wire n_3928;
+   wire n_3929;
+   wire n_3930;
+   wire n_3931;
+   wire n_3932;
+   wire n_3933;
+   wire n_3934;
+   wire n_3935;
+   wire n_3936;
+   wire n_3937;
+   wire n_3938;
+   wire n_3939;
+   wire n_3940;
+   wire n_3941;
+   wire n_3942;
+   wire n_3943;
+   wire n_3944;
+   wire n_3946;
+   wire n_3947;
+   wire n_3948;
+   wire n_3949;
+   wire n_3950;
+   wire n_3951;
+   wire n_3952;
+   wire n_3953;
+   wire n_3954;
+   wire n_3955;
+   wire n_3956;
+   wire n_3957;
+   wire n_3958;
+   wire n_3959;
+   wire n_3960;
+   wire n_3961;
+   wire n_3962;
+   wire n_3963;
+   wire n_3964;
+   wire n_3965;
+   wire n_3966;
+   wire n_3967;
+   wire n_3968;
+   wire n_3969;
+   wire n_3970;
+   wire n_3971;
+   wire n_3972;
+   wire n_3974;
+   wire n_3975;
+   wire n_3976;
+   wire n_3977;
+   wire n_3978;
+   wire n_3979;
+   wire n_3980;
+   wire n_3981;
+   wire n_3982;
+   wire n_3983;
+   wire n_3984;
+   wire n_3985;
+   wire n_3986;
+   wire n_3987;
+   wire n_3988;
+   wire n_3989;
+   wire n_3990;
+   wire n_3993;
+   wire n_3994;
+   wire n_3995;
+   wire n_3996;
+   wire n_3997;
+   wire n_3998;
+   wire n_3999;
+   wire n_4000;
+   wire n_4001;
+   wire n_4002;
+   wire n_4003;
+   wire n_4004;
+   wire n_4005;
+   wire n_4006;
+   wire n_4007;
+   wire n_4008;
+   wire n_4009;
+   wire n_4010;
+   wire n_4011;
+   wire n_4012;
+   wire n_4014;
+   wire n_4015;
+   wire n_4016;
+   wire n_4017;
+   wire n_4018;
+   wire n_4019;
+   wire n_4020;
+   wire n_4021;
+   wire n_4022;
+   wire n_4023;
+   wire n_4024;
+   wire n_4025;
+   wire n_4026;
+   wire n_4027;
+   wire n_4028;
+   wire n_4029;
+   wire n_4030;
+   wire n_4031;
+   wire n_4032;
+   wire n_4033;
+   wire n_4034;
+   wire n_4035;
+   wire n_4036;
+   wire n_4037;
+   wire n_4038;
+   wire n_4039;
+   wire n_4040;
+   wire n_4041;
+   wire n_4042;
+   wire n_4043;
+   wire n_4044;
+   wire n_4045;
+   wire n_4046;
+   wire n_4047;
+   wire n_4048;
+   wire n_4049;
+   wire n_4050;
+   wire n_4051;
+   wire n_4052;
+   wire n_4053;
+   wire n_4054;
+   wire n_4055;
+   wire n_4056;
+   wire n_4057;
+   wire n_4058;
+   wire n_4059;
+   wire n_4060;
+   wire n_4061;
+   wire n_4062;
+   wire n_4063;
+   wire n_4065;
+   wire n_4066;
+   wire n_4067;
+   wire n_4069;
+   wire n_4070;
+   wire n_4071;
+   wire n_4073;
+   wire n_4074;
+   wire n_4075;
+   wire n_4076;
+   wire n_4077;
+   wire n_4078;
+   wire n_4079;
+   wire n_4080;
+   wire n_4081;
+   wire n_4082;
+   wire n_4083;
+   wire n_4084;
+   wire n_4085;
+   wire n_4086;
+   wire n_4087;
+   wire n_4088;
+   wire n_4089;
+   wire n_4090;
+   wire n_4091;
+   wire n_4092;
+   wire n_4093;
+   wire n_4094;
+   wire n_4095;
+   wire n_4096;
+   wire n_4097;
+   wire n_4098;
+   wire n_4099;
+   wire n_4100;
+   wire n_4101;
+   wire n_4102;
+   wire n_4103;
+   wire n_4104;
+   wire n_4105;
+   wire n_4106;
+   wire n_4107;
+   wire n_4108;
+   wire n_4109;
+   wire n_4110;
+   wire n_4111;
+   wire n_4112;
+   wire n_4113;
+   wire n_4114;
+   wire n_4115;
+   wire n_4116;
+   wire n_4117;
+   wire n_4118;
+   wire n_4119;
+   wire n_4120;
+   wire n_4121;
+   wire n_4122;
+   wire n_4123;
+   wire n_4126;
+   wire n_4127;
+   wire n_4129;
+   wire n_4131;
+   wire n_4132;
+   wire n_4134;
+   wire n_4137;
+   wire n_4138;
+   wire n_4139;
+   wire n_4140;
+   wire n_4141;
+   wire n_4142;
+   wire n_4143;
+   wire n_4144;
+   wire n_4145;
+   wire n_4146;
+   wire n_4151;
+   wire n_4154;
+   wire n_4155;
+   wire n_4156;
+   wire n_4159;
+   wire n_4160;
+   wire n_4161;
+   wire n_4162;
+   wire n_4163;
+   wire n_4164;
+   wire n_4165;
+   wire n_4167;
+   wire n_4168;
+   wire n_4169;
+   wire n_4170;
+   wire n_4171;
+   wire n_4172;
+   wire n_4173;
+   wire n_4174;
+   wire n_4175;
+   wire n_4176;
+   wire n_4177;
+   wire n_4178;
+   wire n_4179;
+   wire n_4180;
+   wire n_4181;
+   wire n_4182;
+   wire n_4183;
+   wire n_4184;
+   wire n_4185;
+   wire n_4186;
+   wire n_4187;
+   wire n_4188;
+   wire n_4189;
+   wire n_4190;
+   wire n_4191;
+   wire n_4192;
+   wire n_4193;
+   wire n_4194;
+   wire n_4195;
+   wire n_4196;
+   wire n_4197;
+   wire n_4198;
+   wire n_4199;
+   wire n_4201;
+   wire n_4202;
+   wire n_4203;
+   wire n_4204;
+   wire n_4205;
+   wire n_4206;
+   wire n_4207;
+   wire n_4208;
+   wire n_4209;
+   wire n_4210;
+   wire n_4211;
+   wire n_4212;
+   wire n_4213;
+   wire n_4214;
+   wire n_4215;
+   wire n_4216;
+   wire n_4217;
+   wire n_4218;
+   wire n_4219;
+   wire n_4220;
+   wire n_4221;
+   wire n_4222;
+   wire n_4223;
+   wire n_4224;
+   wire n_4225;
+   wire n_4226;
+   wire n_4227;
+   wire n_4228;
+   wire n_4229;
+   wire n_4230;
+   wire n_4231;
+   wire n_4232;
+   wire n_4233;
+   wire n_4234;
+   wire n_4235;
+   wire n_4236;
+   wire n_4237;
+   wire n_4238;
+   wire n_4239;
+   wire n_4240;
+   wire n_4241;
+   wire n_4242;
+   wire n_4243;
+   wire n_4244;
+   wire n_4245;
+   wire n_4246;
+   wire n_4247;
+   wire n_4248;
+   wire n_4249;
+   wire n_4250;
+   wire n_4251;
+   wire n_4252;
+   wire n_4253;
+   wire n_4254;
+   wire n_4255;
+   wire n_4256;
+   wire n_4257;
+   wire n_4258;
+   wire n_4259;
+   wire n_4260;
+   wire n_4261;
+   wire n_4263;
+   wire n_4264;
+   wire n_4265;
+   wire n_4266;
+   wire n_4267;
+   wire n_4268;
+   wire n_4269;
+   wire n_4270;
+   wire n_4271;
+   wire n_4272;
+   wire n_4273;
+   wire n_4274;
+   wire n_4275;
+   wire n_4276;
+   wire n_4277;
+   wire n_4278;
+   wire n_4279;
+   wire n_4280;
+   wire n_4281;
+   wire n_4282;
+   wire n_4283;
+   wire n_4284;
+   wire n_4285;
+   wire n_4286;
+   wire n_4287;
+   wire n_4290;
+   wire n_4291;
+   wire n_4292;
+   wire n_4293;
+   wire n_4294;
+   wire n_4296;
+   wire n_4297;
+   wire n_4298;
+   wire n_4299;
+   wire n_4301;
+   wire n_4304;
+   wire n_4305;
+   wire n_4307;
+   wire n_4308;
+   wire n_4309;
+   wire n_4310;
+   wire n_4311;
+   wire n_4312;
+   wire n_4313;
+   wire n_4314;
+   wire n_4315;
+   wire n_4317;
+   wire n_4318;
+   wire n_4319;
+   wire n_4320;
+   wire n_4321;
+   wire n_4322;
+   wire n_4323;
+   wire n_4324;
+   wire n_4325;
+   wire n_4326;
+   wire n_4327;
+   wire n_4328;
+   wire n_4329;
+   wire n_4330;
+   wire n_4331;
+   wire n_4332;
+   wire n_4333;
+   wire n_4334;
+   wire n_4335;
+   wire n_4336;
+   wire n_4337;
+   wire n_4338;
+   wire n_4339;
+   wire n_4340;
+   wire n_4341;
+   wire n_4342;
+   wire n_4343;
+   wire n_4344;
+   wire n_4345;
+   wire n_4346;
+   wire n_4347;
+   wire n_4348;
+   wire n_4349;
+   wire n_4350;
+   wire n_4351;
+   wire n_4352;
+   wire n_4353;
+   wire n_4354;
+   wire n_4355;
+   wire n_4356;
+   wire n_4357;
+   wire n_4358;
+   wire n_4360;
+   wire n_4361;
+   wire n_4362;
+   wire n_4363;
+   wire n_4364;
+   wire n_4365;
+   wire n_4366;
+   wire n_4367;
+   wire n_4368;
+   wire n_4369;
+   wire n_4370;
+   wire n_4371;
+   wire n_4372;
+   wire n_4373;
+   wire n_4374;
+   wire n_4375;
+   wire n_4376;
+   wire n_4377;
+   wire n_4378;
+   wire n_4379;
+   wire n_4380;
+   wire n_4381;
+   wire n_4382;
+   wire n_4383;
+   wire n_4385;
+   wire n_4386;
+   wire n_4387;
+   wire n_4388;
+   wire n_4389;
+   wire n_4391;
+   wire n_4392;
+   wire n_4393;
+   wire n_4394;
+   wire n_4395;
+   wire n_4396;
+   wire n_4397;
+   wire n_4398;
+   wire n_4399;
+   wire n_4400;
+   wire n_4401;
+   wire n_4402;
+   wire n_4403;
+   wire n_4404;
+   wire n_4405;
+   wire n_4406;
+   wire n_4407;
+   wire n_4408;
+   wire n_4409;
+   wire n_4410;
+   wire n_4411;
+   wire n_4412;
+   wire n_4413;
+   wire n_4414;
+   wire n_4415;
+   wire n_4416;
+   wire n_4417;
+   wire n_4418;
+   wire n_4419;
+   wire n_4420;
+   wire n_4421;
+   wire n_4422;
+   wire n_4423;
+   wire n_4424;
+   wire n_4425;
+   wire n_4426;
+   wire n_4427;
+   wire n_4428;
+   wire n_4429;
+   wire n_4430;
+   wire n_4431;
+   wire n_4433;
+   wire n_4434;
+   wire n_4435;
+   wire n_4436;
+   wire n_4437;
+   wire n_4438;
+   wire n_4439;
+   wire n_4440;
+   wire n_4441;
+   wire n_4442;
+   wire n_4443;
+   wire n_4444;
+   wire n_4445;
+   wire n_4446;
+   wire n_4447;
+   wire n_4448;
+   wire n_4449;
+   wire n_4450;
+   wire n_4452;
+   wire n_4453;
+   wire n_4454;
+   wire n_4455;
+   wire n_4456;
+   wire n_4457;
+   wire n_4458;
+   wire n_4459;
+   wire n_4460;
+   wire n_4461;
+   wire n_4462;
+   wire n_4463;
+   wire n_4464;
+   wire n_4465;
+   wire n_4466;
+   wire n_4467;
+   wire n_4468;
+   wire n_4469;
+   wire n_4470;
+   wire n_4471;
+   wire n_4472;
+   wire n_4473;
+   wire n_4474;
+   wire n_4475;
+   wire n_4476;
+   wire n_4477;
+   wire n_4478;
+   wire n_4479;
+   wire n_4480;
+   wire n_4481;
+   wire n_4482;
+   wire n_4483;
+   wire n_4484;
+   wire n_4485;
+   wire n_4486;
+   wire n_4487;
+   wire n_4488;
+   wire n_4489;
+   wire n_4490;
+   wire n_4491;
+   wire n_4492;
+   wire n_4493;
+   wire n_4494;
+   wire n_4495;
+   wire n_4496;
+   wire n_4497;
+   wire n_4498;
+   wire n_4499;
+   wire n_4500;
+   wire n_4501;
+   wire n_4502;
+   wire n_4503;
+   wire n_4504;
+   wire n_4505;
+   wire n_4506;
+   wire n_4507;
+   wire n_4508;
+   wire n_4509;
+   wire n_4510;
+   wire n_4511;
+   wire n_4512;
+   wire n_4513;
+   wire n_4514;
+   wire n_4515;
+   wire n_4516;
+   wire n_4517;
+   wire n_4518;
+   wire n_4519;
+   wire n_4520;
+   wire n_4521;
+   wire n_4522;
+   wire n_4523;
+   wire n_4524;
+   wire n_4525;
+   wire n_4526;
+   wire n_4527;
+   wire n_4528;
+   wire n_4529;
+   wire n_4530;
+   wire n_4531;
+   wire n_4532;
+   wire n_4533;
+   wire n_4534;
+   wire n_4535;
+   wire n_4536;
+   wire n_4537;
+   wire n_4538;
+   wire n_4539;
+   wire n_4540;
+   wire n_4542;
+   wire n_4543;
+   wire n_4544;
+   wire n_4545;
+   wire n_4546;
+   wire n_4547;
+   wire n_4548;
+   wire n_4549;
+   wire n_4550;
+   wire n_4552;
+   wire n_4553;
+   wire n_4554;
+   wire n_4555;
+   wire n_4556;
+   wire n_4557;
+   wire n_4558;
+   wire n_4559;
+   wire n_4560;
+   wire n_4561;
+   wire n_4562;
+   wire n_4563;
+   wire n_4564;
+   wire n_4565;
+   wire n_4566;
+   wire n_4567;
+   wire n_4568;
+   wire n_4569;
+   wire n_4570;
+   wire n_4571;
+   wire n_4572;
+   wire n_4573;
+   wire n_4574;
+   wire n_4575;
+   wire n_4576;
+   wire n_4577;
+   wire n_4578;
+   wire n_4579;
+   wire n_4580;
+   wire n_4581;
+   wire n_4582;
+   wire n_4583;
+   wire n_4584;
+   wire n_4585;
+   wire n_4586;
+   wire n_4587;
+   wire n_4588;
+   wire n_4589;
+   wire n_4590;
+   wire n_4591;
+   wire n_4592;
+   wire n_4593;
+   wire n_4594;
+   wire n_4595;
+   wire n_4596;
+   wire n_4597;
+   wire n_4598;
+   wire n_4599;
+   wire n_4600;
+   wire n_4601;
+   wire n_4602;
+   wire n_4603;
+   wire n_4604;
+   wire n_4605;
+   wire n_4606;
+   wire n_4607;
+   wire n_4608;
+   wire n_4609;
+   wire n_4610;
+   wire n_4611;
+   wire n_4612;
+   wire n_4613;
+   wire n_4614;
+   wire n_4615;
+   wire n_4616;
+   wire n_4617;
+   wire n_4618;
+   wire n_4619;
+   wire n_4620;
+   wire n_4621;
+   wire n_4622;
+   wire n_4623;
+   wire n_4624;
+   wire n_4625;
+   wire n_4626;
+   wire n_4627;
+   wire n_4628;
+   wire n_4629;
+   wire n_4630;
+   wire n_4631;
+   wire n_4632;
+   wire n_4633;
+   wire n_4634;
+   wire n_4635;
+   wire n_4636;
+   wire n_4637;
+   wire n_4638;
+   wire n_4639;
+   wire n_4640;
+   wire n_4641;
+   wire n_4642;
+   wire n_4643;
+   wire n_4644;
+   wire n_4645;
+   wire n_4646;
+   wire n_4647;
+   wire n_4648;
+   wire n_4649;
+   wire n_4650;
+   wire n_4651;
+   wire n_4652;
+   wire n_4653;
+   wire n_4654;
+   wire n_4655;
+   wire n_4656;
+   wire n_4657;
+   wire n_4658;
+   wire n_4659;
+   wire n_4660;
+   wire n_4661;
+   wire n_4662;
+   wire n_4663;
+   wire n_4664;
+   wire n_4665;
+   wire n_4666;
+   wire n_4667;
+   wire n_4668;
+   wire n_4669;
+   wire n_4670;
+   wire n_4671;
+   wire n_4672;
+   wire n_4673;
+   wire n_4674;
+   wire n_4675;
+   wire n_4676;
+   wire n_4677;
+   wire n_4678;
+   wire n_4679;
+   wire n_4680;
+   wire n_4681;
+   wire n_4682;
+   wire n_4683;
+   wire n_4684;
+   wire n_4685;
+   wire n_4686;
+   wire n_4687;
+   wire n_4688;
+   wire n_4689;
+   wire n_4690;
+   wire n_4691;
+   wire n_4692;
+   wire n_4693;
+   wire n_4694;
+   wire n_4696;
+   wire n_4697;
+   wire n_4698;
+   wire n_4699;
+   wire n_4700;
+   wire n_4701;
+   wire n_4702;
+   wire n_4703;
+   wire n_4704;
+   wire n_4705;
+   wire n_4706;
+   wire n_4707;
+   wire n_4708;
+   wire n_4709;
+   wire n_4710;
+   wire n_4711;
+   wire n_4712;
+   wire n_4713;
+   wire n_4714;
+   wire n_4715;
+   wire n_4716;
+   wire n_4717;
+   wire n_4718;
+   wire n_4719;
+   wire n_4720;
+   wire n_4721;
+   wire n_4722;
+   wire n_4723;
+   wire n_4724;
+   wire n_4725;
+   wire n_4726;
+   wire n_4727;
+   wire n_4728;
+   wire n_4729;
+   wire n_4730;
+   wire n_4731;
+   wire n_4732;
+   wire n_4733;
+   wire n_4734;
+   wire n_4735;
+   wire n_4736;
+   wire n_4737;
+   wire n_4738;
+   wire n_4739;
+   wire n_4740;
+   wire n_4741;
+   wire n_4742;
+   wire n_4743;
+   wire n_4744;
+   wire n_4745;
+   wire n_4746;
+   wire n_4747;
+   wire n_4748;
+   wire n_4749;
+   wire n_4750;
+   wire n_4751;
+   wire n_4752;
+   wire n_4753;
+   wire n_4754;
+   wire n_4755;
+   wire n_4756;
+   wire n_4757;
+   wire n_4758;
+   wire n_4759;
+   wire n_4760;
+   wire n_4761;
+   wire n_4762;
+   wire n_4763;
+   wire n_4764;
+   wire n_4765;
+   wire n_4766;
+   wire n_4767;
+   wire n_4768;
+   wire n_4769;
+   wire n_4770;
+   wire n_4771;
+   wire n_4772;
+   wire n_4773;
+   wire n_4774;
+   wire n_4775;
+   wire n_4776;
+   wire n_4777;
+   wire n_4778;
+   wire n_4779;
+   wire n_4780;
+   wire n_4781;
+   wire n_4782;
+   wire n_4783;
+   wire n_4784;
+   wire n_4785;
+   wire n_4786;
+   wire n_4787;
+   wire n_4788;
+   wire n_4789;
+   wire n_4790;
+   wire n_4791;
+   wire n_4792;
+   wire n_4793;
+   wire n_4794;
+   wire n_4795;
+   wire n_4796;
+   wire n_4797;
+   wire n_4798;
+   wire n_4799;
+   wire n_4800;
+   wire n_4801;
+   wire n_4802;
+   wire n_4803;
+   wire n_4804;
+   wire n_4805;
+   wire n_4806;
+   wire n_4807;
+   wire n_4808;
+   wire n_4809;
+   wire n_4810;
+   wire n_4811;
+   wire n_4812;
+   wire n_4813;
+   wire n_4814;
+   wire n_4815;
+   wire n_4816;
+   wire n_4817;
+   wire n_4818;
+   wire n_4819;
+   wire n_4820;
+   wire n_4821;
+   wire n_4822;
+   wire n_4823;
+   wire n_4824;
+   wire n_4825;
+   wire n_4826;
+   wire n_4827;
+   wire n_4828;
+   wire n_4829;
+   wire n_4830;
+   wire n_4831;
+   wire n_4832;
+   wire n_4833;
+   wire n_4834;
+   wire n_4835;
+   wire n_4836;
+   wire n_4837;
+   wire n_4838;
+   wire n_4839;
+   wire n_4840;
+   wire n_4841;
+   wire n_4842;
+   wire n_4843;
+   wire n_4844;
+   wire n_4845;
+   wire n_4846;
+   wire n_4847;
+   wire n_4848;
+   wire n_4849;
+   wire n_4850;
+   wire n_4851;
+   wire n_4852;
+   wire n_4853;
+   wire n_4854;
+   wire n_4856;
+   wire n_4857;
+   wire n_4858;
+   wire n_4859;
+   wire n_4860;
+   wire n_4861;
+   wire n_4862;
+   wire n_4863;
+   wire n_4864;
+   wire n_4865;
+   wire n_4866;
+   wire n_4867;
+   wire n_4868;
+   wire n_4869;
+   wire n_4870;
+   wire n_4872;
+   wire n_4873;
+   wire n_4874;
+   wire n_4875;
+   wire n_4876;
+   wire n_4877;
+   wire n_4878;
+   wire n_4879;
+   wire n_4880;
+   wire n_4881;
+   wire n_4882;
+   wire n_4883;
+   wire n_4884;
+   wire n_4885;
+   wire n_4886;
+   wire n_4887;
+   wire n_4888;
+   wire n_4889;
+   wire n_4890;
+   wire n_4891;
+   wire n_4892;
+   wire n_4893;
+   wire n_4894;
+   wire n_4895;
+   wire n_4896;
+   wire n_4897;
+   wire n_4898;
+   wire n_4899;
+   wire n_4900;
+   wire n_4901;
+   wire n_4902;
+   wire n_4903;
+   wire n_4904;
+   wire n_4905;
+   wire n_4906;
+   wire n_4907;
+   wire n_4908;
+   wire n_4909;
+   wire n_4910;
+   wire n_4911;
+   wire n_4913;
+   wire n_4914;
+   wire n_4915;
+   wire n_4916;
+   wire n_4917;
+   wire n_4918;
+   wire n_4919;
+   wire n_4920;
+   wire n_4921;
+   wire n_4922;
+   wire n_4923;
+   wire n_4924;
+   wire n_4925;
+   wire n_4926;
+   wire n_4927;
+   wire n_4928;
+   wire n_4929;
+   wire n_4930;
+   wire n_4931;
+   wire n_4932;
+   wire n_4933;
+   wire n_4934;
+   wire n_4935;
+   wire n_4936;
+   wire n_4937;
+   wire n_4938;
+   wire n_4939;
+   wire n_4940;
+   wire n_4942;
+   wire n_4943;
+   wire n_4944;
+   wire n_4945;
+   wire n_4946;
+   wire n_4947;
+   wire n_4948;
+   wire n_4949;
+   wire n_4950;
+   wire n_4951;
+   wire n_4952;
+   wire n_4953;
+   wire n_4954;
+   wire n_4955;
+   wire n_4956;
+   wire n_4957;
+   wire n_4958;
+   wire n_4959;
+   wire n_4960;
+   wire n_4961;
+   wire n_4962;
+   wire n_4963;
+   wire n_4964;
+   wire n_4965;
+   wire n_4966;
+   wire n_4967;
+   wire n_4968;
+   wire n_4969;
+   wire n_4970;
+   wire n_4971;
+   wire n_4972;
+   wire n_4973;
+   wire n_4974;
+   wire n_4975;
+   wire n_4976;
+   wire n_4977;
+   wire n_4978;
+   wire n_4979;
+   wire n_4980;
+   wire n_4981;
+   wire n_4982;
+   wire n_4983;
+   wire n_4984;
+   wire n_4985;
+   wire n_4986;
+   wire n_4987;
+   wire n_4988;
+   wire n_4989;
+   wire n_4990;
+   wire n_4991;
+   wire n_4992;
+   wire n_4993;
+   wire n_4994;
+   wire n_4995;
+   wire n_4996;
+   wire n_4997;
+   wire n_4998;
+   wire n_4999;
+   wire n_5000;
+   wire n_5001;
+   wire n_5002;
+   wire n_5003;
+   wire n_5004;
+   wire n_5005;
+   wire n_5006;
+   wire n_5007;
+   wire n_5008;
+   wire n_5009;
+   wire n_5010;
+   wire n_5011;
+   wire n_5012;
+   wire n_5013;
+   wire n_5014;
+   wire n_5015;
+   wire n_5016;
+   wire n_5017;
+   wire n_5018;
+   wire n_5019;
+   wire n_5020;
+   wire n_5021;
+   wire n_5022;
+   wire n_5023;
+   wire n_5024;
+   wire n_5025;
+   wire n_5026;
+   wire n_5027;
+   wire n_5028;
+   wire n_5029;
+   wire n_5030;
+   wire n_5031;
+   wire n_5032;
+   wire n_5033;
+   wire n_5034;
+   wire n_5035;
+   wire n_5036;
+   wire n_5037;
+   wire n_5038;
+   wire n_5039;
+   wire n_5040;
+   wire n_5041;
+   wire n_5042;
+   wire n_5044;
+   wire n_5045;
+   wire n_5046;
+   wire n_5047;
+   wire n_5048;
+   wire n_5049;
+   wire n_5050;
+   wire n_5051;
+   wire n_5052;
+   wire n_5053;
+   wire n_5055;
+   wire n_5056;
+   wire n_5057;
+   wire n_5058;
+   wire n_5059;
+   wire n_5060;
+   wire n_5061;
+   wire n_5062;
+   wire n_5063;
+   wire n_5064;
+   wire n_5065;
+   wire n_5066;
+   wire n_5067;
+   wire n_5068;
+   wire n_5069;
+   wire n_5070;
+   wire n_5071;
+   wire n_5072;
+   wire n_5073;
+   wire n_5074;
+   wire n_5075;
+   wire n_5076;
+   wire n_5077;
+   wire n_5078;
+   wire n_5079;
+   wire n_5080;
+   wire n_5081;
+   wire n_5082;
+   wire n_5083;
+   wire n_5084;
+   wire n_5085;
+   wire n_5086;
+   wire n_5087;
+   wire n_5088;
+   wire n_5089;
+   wire n_5090;
+   wire n_5091;
+   wire n_5092;
+   wire n_5093;
+   wire n_5094;
+   wire n_5095;
+   wire n_5096;
+   wire n_5097;
+   wire n_5098;
+   wire n_5099;
+   wire n_5100;
+   wire n_5101;
+   wire n_5102;
+   wire n_5103;
+   wire n_5104;
+   wire n_5105;
+   wire n_5106;
+   wire n_5107;
+   wire n_5108;
+   wire n_5109;
+   wire n_5110;
+   wire n_5111;
+   wire n_5112;
+   wire n_5113;
+   wire n_5114;
+   wire n_5115;
+   wire n_5116;
+   wire n_5117;
+   wire n_5118;
+   wire n_5119;
+   wire n_5120;
+   wire n_5121;
+   wire n_5122;
+   wire n_5123;
+   wire n_5124;
+   wire n_5125;
+   wire n_5126;
+   wire n_5127;
+   wire n_5128;
+   wire n_5129;
+   wire n_5130;
+   wire n_5131;
+   wire n_5132;
+   wire n_5133;
+   wire n_5134;
+   wire n_5135;
+   wire n_5136;
+   wire n_5137;
+   wire n_5138;
+   wire n_5139;
+   wire n_5140;
+   wire n_5141;
+   wire n_5143;
+   wire n_5144;
+   wire n_5146;
+   wire n_5147;
+   wire n_5148;
+   wire n_5149;
+   wire n_5150;
+   wire n_5151;
+   wire n_5152;
+   wire n_5153;
+   wire n_5154;
+   wire n_5155;
+   wire n_5156;
+   wire n_5157;
+   wire n_5158;
+   wire n_5159;
+   wire n_5160;
+   wire n_5161;
+   wire n_5162;
+   wire n_5163;
+   wire n_5164;
+   wire n_5165;
+   wire n_5166;
+   wire n_5167;
+   wire n_5168;
+   wire n_5169;
+   wire n_5170;
+   wire n_5171;
+   wire n_5172;
+   wire n_5173;
+   wire n_5174;
+   wire n_5175;
+   wire n_5176;
+   wire n_5177;
+   wire n_5178;
+   wire n_5179;
+   wire n_5180;
+   wire n_5181;
+   wire n_5182;
+   wire n_5183;
+   wire n_5184;
+   wire n_5185;
+   wire n_5186;
+   wire n_5187;
+   wire n_5188;
+   wire n_5189;
+   wire n_5190;
+   wire n_5191;
+   wire n_5192;
+   wire n_5193;
+   wire n_5194;
+   wire n_5195;
+   wire n_5196;
+   wire n_5197;
+   wire n_5198;
+   wire n_5199;
+   wire n_5200;
+   wire n_5201;
+   wire n_5202;
+   wire n_5203;
+   wire n_5204;
+   wire n_5205;
+   wire n_5206;
+   wire n_5207;
+   wire n_5208;
+   wire n_5209;
+   wire n_5210;
+   wire n_5211;
+   wire n_5212;
+   wire n_5213;
+   wire n_5214;
+   wire n_5215;
+   wire n_5216;
+   wire n_5217;
+   wire n_5218;
+   wire n_5220;
+   wire n_5221;
+   wire n_5222;
+   wire n_5223;
+   wire n_5224;
+   wire n_5225;
+   wire n_5226;
+   wire n_5227;
+   wire n_5228;
+   wire n_5229;
+   wire n_5230;
+   wire n_5231;
+   wire n_5232;
+   wire n_5233;
+   wire n_5235;
+   wire n_5236;
+   wire n_5237;
+   wire n_5238;
+   wire n_5239;
+   wire n_5240;
+   wire n_5241;
+   wire n_5242;
+   wire n_5243;
+   wire n_5244;
+   wire n_5245;
+   wire n_5246;
+   wire n_5247;
+   wire n_5248;
+   wire n_5249;
+   wire n_5250;
+   wire n_5251;
+   wire n_5252;
+   wire n_5253;
+   wire n_5254;
+   wire n_5255;
+   wire n_5256;
+   wire n_5257;
+   wire n_5258;
+   wire n_5259;
+   wire n_5260;
+   wire n_5261;
+   wire n_5263;
+   wire n_5264;
+   wire n_5265;
+   wire n_5266;
+   wire n_5267;
+   wire n_5268;
+   wire n_5269;
+   wire n_5270;
+   wire n_5271;
+   wire n_5272;
+   wire n_5273;
+   wire n_5274;
+   wire n_5275;
+   wire n_5276;
+   wire n_5277;
+   wire n_5278;
+   wire n_5279;
+   wire n_5280;
+   wire n_5281;
+   wire n_5282;
+   wire n_5283;
+   wire n_5284;
+   wire n_5285;
+   wire n_5286;
+   wire n_5287;
+   wire n_5288;
+   wire n_5289;
+   wire n_5290;
+   wire n_5291;
+   wire n_5292;
+   wire n_5293;
+   wire n_5294;
+   wire n_5295;
+   wire n_5296;
+   wire n_5297;
+   wire n_5298;
+   wire n_5299;
+   wire n_5300;
+   wire n_5301;
+   wire n_5302;
+   wire n_5303;
+   wire n_5304;
+   wire n_5305;
+   wire n_5306;
+   wire n_5307;
+   wire n_5308;
+   wire n_5309;
+   wire n_5311;
+   wire n_5312;
+   wire n_5313;
+   wire n_5314;
+   wire n_5315;
+   wire n_5316;
+   wire n_5317;
+   wire n_5318;
+   wire n_5319;
+   wire n_5320;
+   wire n_5321;
+   wire n_5322;
+   wire n_5323;
+   wire n_5324;
+   wire n_5325;
+   wire n_5326;
+   wire n_5327;
+   wire n_5328;
+   wire n_5329;
+   wire n_5330;
+   wire n_5331;
+   wire n_5332;
+   wire n_5333;
+   wire n_5334;
+   wire n_5335;
+   wire n_5336;
+   wire n_5337;
+   wire n_5338;
+   wire n_5339;
+   wire n_5340;
+   wire n_5341;
+   wire n_5342;
+   wire n_5343;
+   wire n_5344;
+   wire n_5345;
+   wire n_5346;
+   wire n_5347;
+   wire n_5348;
+   wire n_5349;
+   wire n_5350;
+   wire n_5351;
+   wire n_5352;
+   wire n_5353;
+   wire n_5354;
+   wire n_5355;
+   wire n_5356;
+   wire n_5357;
+   wire n_5358;
+   wire n_5359;
+   wire n_5360;
+   wire n_5361;
+   wire n_5362;
+   wire n_5363;
+   wire n_5364;
+   wire n_5365;
+   wire n_5366;
+   wire n_5367;
+   wire n_5368;
+   wire n_5369;
+   wire n_5370;
+   wire n_5371;
+   wire n_5372;
+   wire n_5373;
+   wire n_5374;
+   wire n_5375;
+   wire n_5376;
+   wire n_5377;
+   wire n_5378;
+   wire n_5379;
+   wire n_5380;
+   wire n_5381;
+   wire n_5382;
+   wire n_5383;
+   wire n_5384;
+   wire n_5385;
+   wire n_5386;
+   wire n_5387;
+   wire n_5388;
+   wire n_5389;
+   wire n_5390;
+   wire n_5391;
+   wire n_5392;
+   wire n_5393;
+   wire n_5394;
+   wire n_5395;
+   wire n_5396;
+   wire n_5397;
+   wire n_5398;
+   wire n_5399;
+   wire n_5400;
+   wire n_5401;
+   wire n_5402;
+   wire n_5403;
+   wire n_5404;
+   wire n_5405;
+   wire n_5406;
+   wire n_5407;
+   wire n_5408;
+   wire n_5409;
+   wire n_5410;
+   wire n_5411;
+   wire n_5412;
+   wire n_5413;
+   wire n_5414;
+   wire n_5415;
+   wire n_5416;
+   wire n_5417;
+   wire n_5418;
+   wire n_5419;
+   wire n_5420;
+   wire n_5421;
+   wire n_5422;
+   wire n_5423;
+   wire n_5424;
+   wire n_5425;
+   wire n_5426;
+   wire n_5427;
+   wire n_5428;
+   wire n_5429;
+   wire n_5430;
+   wire n_5431;
+   wire n_5432;
+   wire n_5433;
+   wire n_5434;
+   wire n_5435;
+   wire n_5436;
+   wire n_5437;
+   wire n_5438;
+   wire n_5439;
+   wire n_5440;
+   wire n_5441;
+   wire n_5442;
+   wire n_5443;
+   wire n_5444;
+   wire n_5445;
+   wire n_5446;
+   wire n_5447;
+   wire n_5448;
+   wire n_5449;
+   wire n_5450;
+   wire n_5451;
+   wire n_5452;
+   wire n_5453;
+   wire n_5454;
+   wire n_5455;
+   wire n_5456;
+   wire n_5457;
+   wire n_5458;
+   wire n_5459;
+   wire n_5460;
+   wire n_5461;
+   wire n_5462;
+   wire n_5463;
+   wire n_5464;
+   wire n_5466;
+   wire n_5467;
+   wire n_5468;
+   wire n_5469;
+   wire n_5470;
+   wire n_5471;
+   wire n_5472;
+   wire n_5473;
+   wire n_5474;
+   wire n_5475;
+   wire n_5476;
+   wire n_5477;
+   wire n_5478;
+   wire n_5479;
+   wire n_5480;
+   wire n_5481;
+   wire n_5482;
+   wire n_5483;
+   wire n_5484;
+   wire n_5485;
+   wire n_5486;
+   wire n_5487;
+   wire n_5488;
+   wire n_5489;
+   wire n_5490;
+   wire n_5491;
+   wire n_5492;
+   wire n_5493;
+   wire n_5494;
+   wire n_5495;
+   wire n_5496;
+   wire n_5497;
+   wire n_5498;
+   wire n_5499;
+   wire n_5500;
+   wire n_5501;
+   wire n_5502;
+   wire n_5503;
+   wire n_5504;
+   wire n_5505;
+   wire n_5506;
+   wire n_5507;
+   wire n_5508;
+   wire n_5509;
+   wire n_5510;
+   wire n_5511;
+   wire n_5512;
+   wire n_5513;
+   wire n_5514;
+   wire n_5515;
+   wire n_5516;
+   wire n_5517;
+   wire n_5518;
+   wire n_5519;
+   wire n_5520;
+   wire n_5521;
+   wire n_5522;
+   wire n_5523;
+   wire n_5524;
+   wire n_5525;
+   wire n_5526;
+   wire n_5527;
+   wire n_5528;
+   wire n_5529;
+   wire n_5530;
+   wire n_5531;
+   wire n_5532;
+   wire n_5533;
+   wire n_5534;
+   wire n_5535;
+   wire n_5536;
+   wire n_5537;
+   wire n_5538;
+   wire n_5539;
+   wire n_5540;
+   wire n_5541;
+   wire n_5542;
+   wire n_5543;
+   wire n_5544;
+   wire n_5545;
+   wire n_5546;
+   wire n_5547;
+   wire n_5548;
+   wire n_5549;
+   wire n_5550;
+   wire n_5551;
+   wire n_5552;
+   wire n_5553;
+   wire n_5554;
+   wire n_5555;
+   wire n_5556;
+   wire n_5557;
+   wire n_5558;
+   wire n_5559;
+   wire n_5560;
+   wire n_5561;
+   wire n_5562;
+   wire n_5563;
+   wire n_5564;
+   wire n_5565;
+   wire n_5566;
+   wire n_5567;
+   wire n_5568;
+   wire n_5569;
+   wire n_5570;
+   wire n_5571;
+   wire n_5572;
+   wire n_5573;
+   wire n_5574;
+   wire n_5575;
+   wire n_5576;
+   wire n_5577;
+   wire n_5578;
+   wire n_5579;
+   wire n_5580;
+   wire n_5581;
+   wire n_5582;
+   wire n_5583;
+   wire n_5584;
+   wire n_5585;
+   wire n_5586;
+   wire n_5587;
+   wire n_5588;
+   wire n_5589;
+   wire n_5590;
+   wire n_5591;
+   wire n_5592;
+   wire n_5593;
+   wire n_5594;
+   wire n_5595;
+   wire n_5596;
+   wire n_5597;
+   wire n_5598;
+   wire n_5599;
+   wire n_5600;
+   wire n_5601;
+   wire n_5602;
+   wire n_5603;
+   wire n_5604;
+   wire n_5606;
+   wire n_5607;
+   wire n_5608;
+   wire n_5609;
+   wire n_5610;
+   wire n_5611;
+   wire n_5612;
+   wire n_5613;
+   wire n_5614;
+   wire n_5615;
+   wire n_5616;
+   wire n_5617;
+   wire n_5618;
+   wire n_5619;
+   wire n_5620;
+   wire n_5621;
+   wire n_5622;
+   wire n_5623;
+   wire n_5624;
+   wire n_5625;
+   wire n_5626;
+   wire n_5627;
+   wire n_5628;
+   wire n_5629;
+   wire n_5630;
+   wire n_5631;
+   wire n_5632;
+   wire n_5633;
+   wire n_5634;
+   wire n_5635;
+   wire n_5636;
+   wire n_5637;
+   wire n_5638;
+   wire n_5639;
+   wire n_5640;
+   wire n_5641;
+   wire n_5642;
+   wire n_5643;
+   wire n_5644;
+   wire n_5645;
+   wire n_5646;
+   wire n_5647;
+   wire n_5648;
+   wire n_5649;
+   wire n_5650;
+   wire n_5651;
+   wire n_5652;
+   wire n_5653;
+   wire n_5654;
+   wire n_5655;
+   wire n_5656;
+   wire n_5657;
+   wire n_5658;
+   wire n_5659;
+   wire n_5660;
+   wire n_5661;
+   wire n_5662;
+   wire n_5663;
+   wire n_5664;
+   wire n_5665;
+   wire n_5666;
+   wire n_5667;
+   wire n_5668;
+   wire n_5669;
+   wire n_5670;
+   wire n_5671;
+   wire n_5672;
+   wire n_5673;
+   wire n_5674;
+   wire n_5675;
+   wire n_5676;
+   wire n_5677;
+   wire n_5678;
+   wire n_5679;
+   wire n_5680;
+   wire n_5681;
+   wire n_5682;
+   wire n_5683;
+   wire n_5684;
+   wire n_5685;
+   wire n_5686;
+   wire n_5687;
+   wire n_5688;
+   wire n_5689;
+   wire n_5690;
+   wire n_5691;
+   wire n_5692;
+   wire n_5693;
+   wire n_5694;
+   wire n_5695;
+   wire n_5696;
+   wire n_5697;
+   wire n_5698;
+   wire n_5699;
+   wire n_5700;
+   wire n_5701;
+   wire n_5702;
+   wire n_5703;
+   wire n_5704;
+   wire n_5705;
+   wire n_5706;
+   wire n_5707;
+   wire n_5708;
+   wire n_5709;
+   wire n_5710;
+   wire n_5711;
+   wire n_5712;
+   wire n_5713;
+   wire n_5714;
+   wire n_5715;
+   wire n_5716;
+   wire n_5717;
+   wire n_5718;
+   wire n_5719;
+   wire n_5720;
+   wire n_5721;
+   wire n_5722;
+   wire n_5723;
+   wire n_5724;
+   wire n_5725;
+   wire n_5726;
+   wire n_5727;
+   wire n_5728;
+   wire n_5729;
+   wire n_5730;
+   wire n_5731;
+   wire n_5732;
+   wire n_5733;
+   wire n_5734;
+   wire n_5735;
+   wire n_5736;
+   wire n_5737;
+   wire n_5738;
+   wire n_5739;
+   wire n_5740;
+   wire n_5741;
+   wire n_5742;
+   wire n_5743;
+   wire n_5744;
+   wire n_5745;
+   wire n_5746;
+   wire n_5747;
+   wire n_5748;
+   wire n_5749;
+   wire n_5750;
+   wire n_5751;
+   wire n_5752;
+   wire n_5753;
+   wire n_5754;
+   wire n_5755;
+   wire n_5756;
+   wire n_5757;
+   wire n_5758;
+   wire n_5759;
+   wire n_5760;
+   wire n_5761;
+   wire n_5762;
+   wire n_5763;
+   wire n_5764;
+   wire n_5765;
+   wire n_5766;
+   wire n_5767;
+   wire n_5768;
+   wire n_5769;
+   wire n_5770;
+   wire n_5771;
+   wire n_5772;
+   wire n_5773;
+   wire n_5774;
+   wire n_5775;
+   wire n_5776;
+   wire n_5777;
+   wire n_5778;
+   wire n_5779;
+   wire n_5780;
+   wire n_5781;
+   wire n_5782;
+   wire n_5783;
+   wire n_5784;
+   wire n_5785;
+   wire n_5786;
+   wire n_5787;
+   wire n_5788;
+   wire n_5789;
+   wire n_5790;
+   wire n_5791;
+   wire n_5792;
+   wire n_5793;
+   wire n_5794;
+   wire n_5795;
+   wire n_5796;
+   wire n_5797;
+   wire n_5798;
+   wire n_5799;
+   wire n_5800;
+   wire n_5801;
+   wire n_5802;
+   wire n_5803;
+   wire n_5804;
+   wire n_5805;
+   wire n_5806;
+   wire n_5807;
+   wire n_5808;
+   wire n_5809;
+   wire n_5810;
+   wire n_5811;
+   wire n_5812;
+   wire n_5813;
+   wire n_5814;
+   wire n_5815;
+   wire n_5816;
+   wire n_5817;
+   wire n_5818;
+   wire n_5819;
+   wire n_5820;
+   wire n_5821;
+   wire n_5822;
+   wire n_5823;
+   wire n_5824;
+   wire n_5825;
+   wire n_5826;
+   wire n_5827;
+   wire n_5828;
+   wire n_5829;
+   wire n_5830;
+   wire n_5831;
+   wire n_5832;
+   wire n_5833;
+   wire n_5834;
+   wire n_5835;
+   wire n_5836;
+   wire n_5837;
+   wire n_5838;
+   wire n_5839;
+   wire n_5840;
+   wire n_5841;
+   wire n_5842;
+   wire n_5843;
+   wire n_5844;
+   wire n_5845;
+   wire n_5846;
+   wire n_5847;
+   wire n_5848;
+   wire n_5849;
+   wire n_5850;
+   wire n_5851;
+   wire n_5852;
+   wire n_5853;
+   wire n_5854;
+   wire n_5855;
+   wire n_5856;
+   wire n_5857;
+   wire n_5858;
+   wire n_5859;
+   wire n_5860;
+   wire n_5861;
+   wire n_5862;
+   wire n_5863;
+   wire n_5864;
+   wire n_5865;
+   wire n_5866;
+   wire n_5867;
+   wire n_5868;
+   wire n_5869;
+   wire n_5870;
+   wire n_5871;
+   wire n_5872;
+   wire n_5873;
+   wire n_5874;
+   wire n_5875;
+   wire n_5876;
+   wire n_5877;
+   wire n_5878;
+   wire n_5879;
+   wire n_5880;
+   wire n_5881;
+   wire n_5882;
+   wire n_5883;
+   wire n_5884;
+   wire n_5885;
+   wire n_5886;
+   wire n_5887;
+   wire n_5888;
+   wire n_5889;
+   wire n_5890;
+   wire n_5891;
+   wire n_5892;
+   wire n_5893;
+   wire n_5894;
+   wire n_5895;
+   wire n_5896;
+   wire n_5897;
+   wire n_5898;
+   wire n_5899;
+   wire n_5900;
+   wire n_5901;
+   wire n_5902;
+   wire n_5903;
+   wire n_5904;
+   wire n_5905;
+   wire n_5906;
+   wire n_5907;
+   wire n_5908;
+   wire n_5909;
+   wire n_5910;
+   wire n_5911;
+   wire n_5912;
+   wire n_5913;
+   wire n_5914;
+   wire n_5915;
+   wire n_5916;
+   wire n_5917;
+   wire n_5918;
+   wire n_5919;
+   wire n_5920;
+   wire n_5921;
+   wire n_5922;
+   wire n_5923;
+   wire n_5924;
+   wire n_5925;
+   wire n_5926;
+   wire n_5928;
+   wire n_5929;
+   wire n_5930;
+   wire n_5931;
+   wire n_5932;
+   wire n_5933;
+   wire n_5934;
+   wire n_5935;
+   wire n_5936;
+   wire n_5937;
+   wire n_5938;
+   wire n_5939;
+   wire n_5940;
+   wire n_5941;
+   wire n_5942;
+   wire n_5943;
+   wire n_5944;
+   wire n_5945;
+   wire n_5946;
+   wire n_5947;
+   wire n_5948;
+   wire n_5949;
+   wire n_5950;
+   wire n_5951;
+   wire n_5952;
+   wire n_5953;
+   wire n_5954;
+   wire n_5955;
+   wire n_5956;
+   wire n_5957;
+   wire n_5958;
+   wire n_5959;
+   wire n_5960;
+   wire n_5961;
+   wire n_5962;
+   wire n_5963;
+   wire n_5964;
+   wire n_5966;
+   wire n_5967;
+   wire n_5968;
+   wire n_5969;
+   wire n_5970;
+   wire n_5971;
+   wire n_5972;
+   wire n_5973;
+   wire n_5974;
+   wire n_5975;
+   wire n_5976;
+   wire n_5977;
+   wire n_5978;
+   wire n_5979;
+   wire n_5980;
+   wire n_5981;
+   wire n_5982;
+   wire n_5983;
+   wire n_5984;
+   wire n_5985;
+   wire n_5986;
+   wire n_5987;
+   wire n_5988;
+   wire n_5989;
+   wire n_5990;
+   wire n_5991;
+   wire n_5992;
+   wire n_5993;
+   wire n_5994;
+   wire n_5995;
+   wire n_5996;
+   wire n_5997;
+   wire n_5998;
+   wire n_5999;
+   wire n_6001;
+   wire n_6003;
+   wire n_6005;
+   wire n_6007;
+   wire n_6008;
+   wire n_6009;
+   wire n_6010;
+   wire n_6011;
+   wire n_6012;
+   wire n_6013;
+   wire n_6014;
+   wire n_6015;
+   wire n_6016;
+   wire n_6017;
+   wire n_6018;
+   wire n_6019;
+   wire n_6020;
+   wire n_6021;
+   wire n_6022;
+   wire n_6023;
+   wire n_6024;
+   wire n_6025;
+   wire n_6026;
+   wire n_6027;
+   wire n_6028;
+   wire n_6029;
+   wire n_6030;
+   wire n_6031;
+   wire n_6032;
+   wire n_6033;
+   wire n_6034;
+   wire n_6035;
+   wire n_6036;
+   wire n_6037;
+   wire n_6038;
+   wire n_6039;
+   wire n_6040;
+   wire n_6041;
+   wire n_6042;
+   wire n_6043;
+   wire n_6044;
+   wire n_6045;
+   wire n_6046;
+   wire n_6047;
+   wire n_6048;
+   wire n_6049;
+   wire n_6050;
+   wire n_6051;
+   wire n_6053;
+   wire n_6054;
+   wire n_6055;
+   wire n_6056;
+   wire n_6057;
+   wire n_6058;
+   wire n_6059;
+   wire n_6060;
+   wire n_6061;
+   wire n_6062;
+   wire n_6063;
+   wire n_6064;
+   wire n_6066;
+   wire n_6067;
+   wire n_6068;
+   wire n_6069;
+   wire n_6070;
+   wire n_6071;
+   wire n_6072;
+   wire n_6073;
+   wire n_6074;
+   wire n_6075;
+   wire n_6076;
+   wire n_6077;
+   wire n_6078;
+   wire n_6079;
+   wire n_6080;
+   wire n_6098;
+   wire n_6100;
+   wire n_6101;
+   wire n_6102;
+   wire n_6103;
+   wire n_6104;
+   wire n_6105;
+   wire n_6106;
+   wire n_6107;
+   wire n_6204;
+   wire n_6205;
+   wire n_6219;
+   wire n_6221;
+   wire n_6223;
+   wire n_6224;
+   wire n_6225;
+   wire n_6226;
+   wire n_6227;
+   wire n_6228;
+   wire n_6229;
+   wire n_6230;
+   wire n_6231;
+   wire n_6232;
+   wire n_6233;
+   wire n_6234;
+   wire n_6235;
+   wire n_6236;
+   wire n_6237;
+   wire n_6238;
+   wire n_6239;
+   wire n_6240;
+   wire n_6241;
+   wire n_6242;
+   wire n_6243;
+   wire n_6244;
+   wire n_6245;
+   wire n_6246;
+   wire n_6247;
+   wire n_6248;
+   wire n_6249;
+   wire n_6250;
+   wire n_6251;
+   wire n_6252;
+   wire n_6253;
+   wire n_6254;
+   wire n_6255;
+   wire n_6256;
+   wire n_6257;
+   wire n_6258;
+   wire n_6259;
+   wire n_6260;
+   wire n_6261;
+   wire n_6262;
+   wire n_6263;
+   wire n_6264;
+   wire n_6265;
+   wire n_6266;
+   wire n_6267;
+   wire n_6268;
+   wire n_6269;
+   wire n_6270;
+   wire n_6271;
+   wire n_6272;
+   wire n_6273;
+   wire n_6274;
+   wire n_6275;
+   wire n_6276;
+   wire n_6277;
+   wire n_6278;
+   wire n_6279;
+   wire n_6280;
+   wire n_6281;
+   wire n_6282;
+   wire n_6283;
+   wire n_6284;
+   wire n_6285;
+   wire n_6286;
+   wire n_6287;
+   wire n_6288;
+   wire n_6289;
+   wire n_6290;
+   wire n_6291;
+   wire n_6292;
+   wire n_6293;
+   wire n_6294;
+   wire n_6295;
+   wire n_6296;
+   wire n_6297;
+   wire n_6298;
+   wire n_6299;
+   wire n_6300;
+   wire n_6301;
+   wire n_6302;
+   wire n_6303;
+   wire n_6304;
+   wire n_6305;
+   wire n_6306;
+   wire n_6307;
+   wire n_6308;
+   wire n_6309;
+   wire n_6310;
+   wire n_6311;
+   wire n_6312;
+   wire n_6313;
+   wire n_6314;
+   wire n_6315;
+   wire n_6316;
+   wire n_6317;
+   wire n_6318;
+   wire n_6319;
+   wire n_6320;
+   wire n_6321;
+   wire n_6322;
+   wire n_6323;
+   wire n_6324;
+   wire n_6325;
+   wire n_6330;
+   wire n_6331;
+   wire n_6458;
+   wire n_6460;
+   wire n_6461;
+   wire n_6462;
+   wire n_6463;
+   wire n_6464;
+   wire n_6465;
+   wire n_6466;
+   wire n_6467;
+   wire n_6468;
+   wire n_6469;
+   wire n_6471;
+   wire n_6472;
+   wire n_6473;
+   wire n_6474;
+   wire n_6475;
+   wire n_6476;
+   wire n_6477;
+   wire n_6478;
+   wire n_6479;
+   wire n_6480;
+   wire n_6481;
+   wire n_6482;
+   wire n_6483;
+   wire n_6484;
+   wire n_6485;
+   wire n_6486;
+   wire n_6487;
+   wire n_6488;
+   wire n_6489;
+   wire n_6490;
+   wire n_6491;
+   wire n_6492;
+   wire n_6493;
+   wire n_6494;
+   wire n_6495;
+   wire n_6496;
+   wire n_6497;
+   wire n_6498;
+   wire n_6499;
+   wire n_6500;
+   wire n_6501;
+   wire n_6502;
+   wire n_6503;
+   wire n_6504;
+   wire n_6505;
+   wire n_6506;
+   wire n_6507;
+   wire n_6508;
+   wire n_6512;
+   wire n_6513;
+   wire n_6514;
+   wire n_6515;
+   wire n_6516;
+   wire n_6517;
+   wire n_6518;
+   wire n_6519;
+   wire n_6520;
+   wire n_6521;
+   wire n_6522;
+   wire n_6523;
+   wire n_6524;
+   wire n_6525;
+   wire n_6526;
+   wire n_6527;
+   wire n_6528;
+   wire n_6529;
+   wire n_6530;
+   wire n_6531;
+   wire n_6532;
+   wire n_6533;
+   wire n_6534;
+   wire n_6535;
+   wire n_6536;
+   wire n_6537;
+   wire n_6538;
+   wire n_6539;
+   wire n_6540;
+   wire n_6541;
+   wire n_6542;
+   wire n_6543;
+   wire n_6544;
+   wire n_6545;
+   wire n_6546;
+   wire n_6547;
+   wire n_6548;
+   wire n_6549;
+   wire n_6550;
+   wire n_6551;
+   wire n_6552;
+   wire n_6553;
+   wire n_6554;
+   wire n_6555;
+   wire n_6556;
+   wire n_6557;
+   wire n_6558;
+   wire n_6559;
+   wire n_6560;
+   wire n_6561;
+   wire n_6562;
+   wire n_6563;
+   wire n_6564;
+   wire n_6565;
+   wire n_6566;
+   wire n_6567;
+   wire n_6568;
+   wire n_6569;
+   wire n_6570;
+   wire n_6571;
+   wire n_6572;
+   wire n_6573;
+   wire n_6574;
+   wire n_6575;
+   wire n_6576;
+   wire n_6577;
+   wire n_6578;
+   wire n_6579;
+   wire n_6580;
+   wire n_6581;
+   wire n_6582;
+   wire n_6583;
+   wire n_6584;
+   wire n_6585;
+   wire n_6586;
+   wire n_6587;
+   wire n_6588;
+   wire n_6589;
+   wire n_6590;
+   wire n_6593;
+   wire n_6594;
+   wire n_6595;
+   wire n_6596;
+   wire n_6597;
+   wire n_6598;
+   wire n_6599;
+   wire n_6600;
+   wire n_6601;
+   wire n_6602;
+   wire n_6603;
+   wire n_6604;
+   wire n_6605;
+   wire n_6606;
+   wire n_6607;
+   wire n_6608;
+   wire n_6609;
+   wire n_6610;
+   wire n_6611;
+   wire n_6612;
+   wire n_6613;
+   wire n_6614;
+   wire n_6615;
+   wire n_6616;
+   wire n_6617;
+   wire n_6618;
+   wire n_6619;
+   wire n_6620;
+   wire n_6621;
+   wire n_6622;
+   wire n_6623;
+   wire n_6624;
+   wire n_6625;
+   wire n_6626;
+   wire n_6627;
+   wire n_6628;
+   wire n_6629;
+   wire n_6630;
+   wire n_6631;
+   wire n_6632;
+   wire n_6633;
+   wire n_6634;
+   wire n_6635;
+   wire n_6636;
+   wire n_6637;
+   wire n_6638;
+   wire n_6639;
+   wire n_6640;
+   wire n_6641;
+   wire n_6642;
+   wire n_6643;
+   wire n_6644;
+   wire n_6645;
+   wire n_6646;
+   wire n_6647;
+   wire n_6648;
+   wire n_6649;
+   wire n_6650;
+   wire n_6651;
+   wire n_6652;
+   wire n_6653;
+   wire n_6654;
+   wire n_6655;
+   wire n_6656;
+   wire n_6657;
+   wire n_6658;
+   wire n_6659;
+   wire n_6660;
+   wire n_6661;
+   wire n_6662;
+   wire n_6663;
+   wire n_6664;
+   wire n_6665;
+   wire n_6666;
+   wire n_6667;
+   wire n_6668;
+   wire n_6669;
+   wire n_6670;
+   wire n_6671;
+   wire n_6672;
+   wire n_6673;
+   wire n_6674;
+   wire n_6675;
+   wire n_6676;
+   wire n_6677;
+   wire n_6678;
+   wire n_6679;
+   wire n_6680;
+   wire n_6681;
+   wire n_6682;
+   wire n_6683;
+   wire n_6684;
+   wire n_6685;
+   wire n_6686;
+   wire n_6687;
+   wire n_6688;
+   wire n_6689;
+   wire n_6690;
+   wire n_6691;
+   wire n_6692;
+   wire n_6693;
+   wire n_6694;
+   wire n_6695;
+   wire n_6696;
+   wire n_6697;
+   wire n_6698;
+   wire n_6699;
+   wire n_6700;
+   wire n_6701;
+   wire n_6702;
+   wire n_6703;
+   wire n_6704;
+   wire n_6705;
+   wire n_6706;
+   wire n_6707;
+   wire n_6708;
+   wire n_6709;
+   wire n_6710;
+   wire n_6711;
+   wire n_6712;
+   wire n_6713;
+   wire n_6714;
+   wire n_6715;
+   wire n_6716;
+   wire n_6717;
+   wire n_6718;
+   wire n_6719;
+   wire n_6720;
+   wire n_6721;
+   wire n_6722;
+   wire n_6723;
+   wire n_6724;
+   wire n_6725;
+   wire n_6726;
+   wire n_6727;
+   wire n_6728;
+   wire n_6729;
+   wire n_6730;
+   wire n_6731;
+   wire n_6732;
+   wire n_6733;
+   wire n_6735;
+   wire n_6736;
+   wire n_6737;
+   wire n_6738;
+   wire n_6739;
+   wire n_6740;
+   wire n_6741;
+   wire n_6742;
+   wire n_6743;
+   wire n_6744;
+   wire n_6745;
+   wire n_6746;
+   wire n_6748;
+   wire n_6749;
+   wire n_6750;
+   wire n_6751;
+   wire n_6752;
+   wire n_6753;
+   wire n_6754;
+   wire n_6755;
+   wire n_6756;
+   wire n_6757;
+   wire n_6758;
+   wire n_6759;
+   wire n_6760;
+   wire n_6761;
+   wire n_6762;
+   wire n_6763;
+   wire n_6764;
+   wire n_6765;
+   wire n_6766;
+   wire n_6767;
+   wire n_6768;
+   wire n_6769;
+   wire n_6770;
+   wire n_6771;
+   wire n_6772;
+   wire n_6773;
+   wire n_6774;
+   wire n_6775;
+   wire n_6776;
+   wire n_6777;
+   wire n_6778;
+   wire n_6779;
+   wire n_6780;
+   wire n_6781;
+   wire n_6782;
+   wire n_6783;
+   wire n_6784;
+   wire n_6785;
+   wire n_6786;
+   wire n_6787;
+   wire n_6792;
+   wire n_6793;
+   wire n_6794;
+   wire n_6795;
+   wire n_6796;
+   wire n_6797;
+   wire n_6798;
+   wire n_6799;
+   wire n_6800;
+   wire n_6801;
+   wire n_6802;
+   wire n_6803;
+   wire n_6804;
+   wire n_6805;
+   wire n_6806;
+   wire n_6807;
+   wire n_6808;
+   wire n_6809;
+   wire n_6810;
+   wire n_6811;
+   wire n_6812;
+   wire n_6813;
+   wire n_6814;
+   wire n_6815;
+   wire n_6816;
+   wire n_6817;
+   wire n_6818;
+   wire n_6819;
+   wire n_6820;
+   wire n_6821;
+   wire n_6823;
+   wire n_6824;
+   wire n_6825;
+   wire n_6826;
+   wire n_6827;
+   wire n_6828;
+   wire n_6829;
+   wire n_6830;
+   wire n_6831;
+   wire n_6832;
+   wire n_6833;
+   wire n_6834;
+   wire n_6835;
+   wire n_6836;
+   wire n_6837;
+   wire n_6838;
+   wire n_6839;
+   wire n_6840;
+   wire n_6841;
+   wire n_6842;
+   wire n_6843;
+   wire n_6844;
+   wire n_6845;
+   wire n_6846;
+   wire n_6847;
+   wire n_6848;
+   wire n_6849;
+   wire n_6850;
+   wire n_6851;
+   wire n_6852;
+   wire n_6853;
+   wire n_6854;
+   wire n_6855;
+   wire n_6856;
+   wire n_6857;
+   wire n_6858;
+   wire n_6859;
+   wire n_6860;
+   wire n_6861;
+   wire n_6862;
+   wire n_6863;
+   wire n_6864;
+   wire n_6865;
+   wire n_6866;
+   wire n_6867;
+   wire n_6868;
+   wire n_6869;
+   wire n_6870;
+   wire n_6871;
+   wire n_6872;
+   wire n_6873;
+   wire n_6874;
+   wire n_6875;
+   wire n_6876;
+   wire n_6877;
+   wire n_6878;
+   wire n_6879;
+   wire n_6880;
+   wire n_6881;
+   wire n_6882;
+   wire n_6883;
+   wire n_6884;
+   wire n_6885;
+   wire n_6886;
+   wire n_6887;
+   wire n_6888;
+   wire n_6889;
+   wire n_6890;
+   wire n_6891;
+   wire n_6892;
+   wire n_6893;
+   wire n_6894;
+   wire n_6895;
+   wire n_6896;
+   wire n_6897;
+   wire n_6898;
+   wire n_6899;
+   wire n_6900;
+   wire n_6901;
+   wire n_6902;
+   wire n_6903;
+   wire n_6904;
+   wire n_6905;
+   wire n_6906;
+   wire n_6907;
+   wire n_6908;
+   wire n_6909;
+   wire n_6910;
+   wire n_6911;
+   wire n_6912;
+   wire n_6913;
+   wire n_6914;
+   wire n_6915;
+   wire n_6916;
+   wire n_6917;
+   wire n_6918;
+   wire n_6919;
+   wire n_6920;
+   wire n_6921;
+   wire n_6922;
+   wire n_6923;
+   wire n_6924;
+   wire n_6925;
+   wire n_6926;
+   wire n_6927;
+   wire n_6928;
+   wire n_6929;
+   wire n_6930;
+   wire n_6931;
+   wire n_6932;
+   wire n_6933;
+   wire n_6934;
+   wire n_6935;
+   wire n_6936;
+   wire n_6937;
+   wire n_6938;
+   wire n_6939;
+   wire n_6940;
+   wire n_6941;
+   wire n_6942;
+   wire n_6943;
+   wire n_6944;
+   wire n_6945;
+   wire n_6946;
+   wire n_6947;
+   wire n_6948;
+   wire n_6949;
+   wire n_6950;
+   wire n_6951;
+   wire n_6952;
+   wire n_6953;
+   wire n_6954;
+   wire n_6955;
+   wire n_6956;
+   wire n_6957;
+   wire n_6959;
+   wire n_6961;
+   wire n_6962;
+   wire n_6963;
+   wire n_6964;
+   wire n_6965;
+   wire n_6966;
+   wire n_6967;
+   wire n_6968;
+   wire n_6969;
+   wire n_6970;
+   wire n_6971;
+   wire n_6972;
+   wire n_6973;
+   wire n_6974;
+   wire n_6975;
+   wire n_6976;
+   wire n_6977;
+   wire n_6978;
+   wire n_6979;
+   wire n_6980;
+   wire n_6981;
+   wire n_6982;
+   wire n_6983;
+   wire n_6984;
+   wire n_6985;
+   wire n_6986;
+   wire n_6987;
+   wire n_6988;
+   wire n_6990;
+   wire n_6991;
+   wire n_6994;
+   wire n_6995;
+   wire n_6996;
+   wire n_6997;
+   wire n_6998;
+   wire n_7007;
+   wire n_7009;
+   wire n_7010;
+   wire n_7011;
+   wire n_7012;
+   wire n_7013;
+   wire n_7014;
+   wire n_7015;
+   wire n_7016;
+   wire n_7017;
+   wire n_7018;
+   wire n_7019;
+   wire n_7020;
+   wire n_7021;
+   wire n_7022;
+   wire n_7023;
+   wire n_7024;
+   wire n_7025;
+   wire n_7026;
+   wire n_7027;
+   wire n_7028;
+   wire n_7029;
+   wire n_7030;
+   wire n_7031;
+   wire n_7032;
+   wire n_7033;
+   wire n_7034;
+   wire n_7035;
+   wire n_7036;
+   wire n_7037;
+   wire n_7038;
+   wire n_7039;
+   wire n_7040;
+   wire n_7041;
+   wire n_7042;
+   wire n_7043;
+   wire n_7044;
+   wire n_7045;
+   wire n_7046;
+   wire n_7047;
+   wire n_7048;
+   wire n_7049;
+   wire n_7050;
+   wire n_7051;
+   wire n_7052;
+   wire n_7053;
+   wire n_7054;
+   wire n_7055;
+   wire n_7056;
+   wire n_7057;
+   wire n_7058;
+   wire n_7059;
+   wire n_7061;
+   wire n_7062;
+   wire n_7064;
+   wire n_7065;
+   wire n_7066;
+   wire n_7069;
+   wire n_7070;
+   wire n_7071;
+   wire n_7072;
+   wire n_7077;
+   wire n_7078;
+   wire n_7079;
+   wire n_7080;
+   wire n_7081;
+   wire n_7082;
+   wire n_7083;
+   wire n_7084;
+   wire n_7085;
+   wire n_7086;
+   wire n_7087;
+   wire n_7088;
+   wire n_7089;
+   wire n_7090;
+   wire n_7091;
+   wire n_7092;
+   wire n_7093;
+   wire n_7098;
+   wire n_7099;
+   wire n_7100;
+   wire n_7101;
+   wire n_7102;
+   wire n_7103;
+   wire n_7104;
+   wire n_7105;
+   wire n_7106;
+   wire n_7107;
+   wire n_7108;
+   wire n_7109;
+   wire n_7110;
+   wire n_7111;
+   wire n_7112;
+   wire n_7113;
+   wire n_7114;
+   wire n_7115;
+   wire n_7116;
+   wire n_7117;
+   wire n_7118;
+   wire n_7119;
+   wire n_7120;
+   wire n_7121;
+   wire n_7122;
+   wire n_7123;
+   wire n_7124;
+   wire n_7129;
+   wire n_7130;
+   wire n_7131;
+   wire n_7132;
+   wire n_7133;
+   wire n_7134;
+   wire n_7135;
+   wire n_7136;
+   wire n_7137;
+   wire n_7138;
+   wire n_7139;
+   wire n_7140;
+   wire n_7141;
+   wire n_7142;
+   wire n_7143;
+   wire n_7144;
+   wire n_7145;
+   wire n_7146;
+   wire n_7147;
+   wire n_7148;
+   wire n_7149;
+   wire n_7150;
+   wire n_7151;
+   wire n_7152;
+   wire n_7153;
+   wire n_7154;
+   wire n_7155;
+   wire n_7156;
+   wire n_7157;
+   wire n_7158;
+   wire n_7159;
+   wire n_7160;
+   wire n_7161;
+   wire n_7162;
+   wire n_7163;
+   wire n_7164;
+   wire n_7165;
+   wire n_7166;
+   wire n_7167;
+   wire n_7168;
+   wire n_7169;
+   wire n_7170;
+   wire n_7171;
+   wire n_7172;
+   wire n_7173;
+   wire n_7174;
+   wire n_7175;
+   wire n_7176;
+   wire n_7177;
+   wire n_7178;
+   wire n_7179;
+   wire n_7180;
+   wire n_7181;
+   wire n_7182;
+   wire n_7183;
+   wire n_7184;
+   wire n_7185;
+   wire n_7186;
+   wire n_7187;
+   wire n_7188;
+   wire n_7189;
+   wire n_7190;
+   wire n_7191;
+   wire n_7192;
+   wire n_7193;
+   wire n_7194;
+   wire n_7195;
+   wire n_7196;
+   wire n_7197;
+   wire n_7198;
+   wire n_7199;
+   wire n_7200;
+   wire n_7201;
+   wire n_7202;
+   wire n_7203;
+   wire n_7204;
+   wire n_7205;
+   wire n_7206;
+   wire n_7207;
+   wire n_7208;
+   wire n_7209;
+   wire n_7210;
+   wire n_7211;
+   wire n_7212;
+   wire n_7213;
+   wire n_7214;
+   wire n_7215;
+   wire n_7216;
+   wire n_7217;
+   wire n_7218;
+   wire n_7219;
+   wire n_7220;
+   wire n_7221;
+   wire n_7222;
+   wire n_7223;
+   wire n_7224;
+   wire n_7225;
+   wire n_7226;
+   wire n_7227;
+   wire n_7228;
+   wire n_7229;
+   wire n_7230;
+   wire n_7231;
+   wire n_7232;
+   wire n_7233;
+   wire n_7234;
+   wire n_7235;
+   wire n_7236;
+   wire n_7237;
+   wire n_7238;
+   wire n_7239;
+   wire n_7240;
+   wire n_7241;
+   wire n_7242;
+   wire n_7243;
+   wire n_7244;
+   wire n_7245;
+   wire n_7246;
+   wire n_7247;
+   wire n_7248;
+   wire n_7249;
+   wire n_7250;
+   wire n_7251;
+   wire n_7252;
+   wire n_7253;
+   wire n_7254;
+   wire n_7255;
+   wire n_7256;
+   wire n_7257;
+   wire n_7258;
+   wire n_7259;
+   wire n_7260;
+   wire n_7261;
+   wire n_7262;
+   wire n_7263;
+   wire n_7264;
+   wire n_7265;
+   wire n_7266;
+   wire n_7267;
+   wire n_7268;
+   wire n_7269;
+   wire n_7270;
+   wire n_7271;
+   wire n_7272;
+   wire n_7273;
+   wire n_7274;
+   wire n_7275;
+   wire n_7276;
+   wire n_7277;
+   wire n_7278;
+   wire n_7279;
+   wire n_7280;
+   wire n_7281;
+   wire n_7282;
+   wire n_7283;
+   wire n_7284;
+   wire n_7285;
+   wire n_7286;
+   wire n_7287;
+   wire n_7288;
+   wire n_7289;
+   wire n_7290;
+   wire n_7291;
+   wire n_7292;
+   wire n_7293;
+   wire n_7294;
+   wire n_7295;
+   wire n_7296;
+   wire n_7297;
+   wire n_7298;
+   wire n_7299;
+   wire n_7300;
+   wire n_7301;
+   wire n_7302;
+   wire n_7303;
+   wire n_7304;
+   wire n_7305;
+   wire n_7306;
+   wire n_7307;
+   wire n_7308;
+   wire n_7309;
+   wire n_7310;
+   wire n_7311;
+   wire n_7312;
+   wire n_7313;
+   wire n_7314;
+   wire n_7315;
+   wire n_7316;
+   wire n_7317;
+   wire n_7318;
+   wire n_7319;
+   wire n_7320;
+   wire n_7321;
+   wire n_7322;
+   wire n_7323;
+   wire n_7324;
+   wire n_7325;
+   wire n_7326;
+   wire n_7327;
+   wire n_7328;
+   wire n_7329;
+   wire n_7330;
+   wire n_7331;
+   wire n_7333;
+   wire n_7334;
+   wire n_7335;
+   wire n_7337;
+   wire n_7339;
+   wire n_7341;
+   wire n_7343;
+   wire n_7345;
+   wire n_7347;
+   wire n_7348;
+   wire n_7349;
+   wire n_7350;
+   wire n_7351;
+   wire n_7352;
+   wire n_7353;
+   wire n_7354;
+   wire n_7355;
+   wire n_7356;
+   wire n_7357;
+   wire n_7358;
+   wire n_7359;
+   wire n_7360;
+   wire n_7361;
+   wire n_7362;
+   wire n_7363;
+   wire n_7364;
+   wire n_7365;
+   wire n_7366;
+   wire n_7367;
+   wire n_7368;
+   wire n_7369;
+   wire n_7370;
+   wire n_7371;
+   wire n_7372;
+   wire n_7373;
+   wire n_7374;
+   wire n_7375;
+   wire n_7376;
+   wire n_7377;
+   wire n_7378;
+   wire n_7379;
+   wire n_7380;
+   wire n_7381;
+   wire n_7382;
+   wire n_7383;
+   wire n_7384;
+   wire n_7385;
+   wire n_7386;
+   wire n_7387;
+   wire n_7388;
+   wire n_7389;
+   wire n_7390;
+   wire n_7391;
+   wire n_7392;
+   wire n_7393;
+   wire n_7394;
+   wire n_7395;
+   wire n_7396;
+   wire n_7397;
+   wire n_7398;
+   wire n_7399;
+   wire n_7400;
+   wire n_7401;
+   wire n_7402;
+   wire n_7403;
+   wire n_7404;
+   wire n_7405;
+   wire n_7406;
+   wire n_7407;
+   wire n_7408;
+   wire n_7409;
+   wire n_7410;
+   wire n_7411;
+   wire n_7412;
+   wire n_7413;
+   wire n_7414;
+   wire n_7415;
+   wire n_7416;
+   wire n_7417;
+   wire n_7418;
+   wire n_7419;
+   wire n_7420;
+   wire n_7421;
+   wire n_7422;
+   wire n_7423;
+   wire n_7424;
+   wire n_7425;
+   wire n_7426;
+   wire n_7427;
+   wire n_7428;
+   wire n_7429;
+   wire n_7430;
+   wire n_7431;
+   wire n_7432;
+   wire n_7433;
+   wire n_7434;
+   wire n_7435;
+   wire n_7436;
+   wire n_7437;
+   wire n_7438;
+   wire n_7439;
+   wire n_7440;
+   wire n_7441;
+   wire n_7442;
+   wire n_7443;
+   wire n_7444;
+   wire n_7445;
+   wire n_7446;
+   wire n_7447;
+   wire n_7448;
+   wire n_7449;
+   wire n_7450;
+   wire n_7451;
+   wire n_7452;
+   wire n_7453;
+   wire n_7454;
+   wire n_7455;
+   wire n_7456;
+   wire n_7457;
+   wire n_7458;
+   wire n_7459;
+   wire n_7460;
+   wire n_7461;
+   wire n_7462;
+   wire n_7463;
+   wire n_7464;
+   wire n_7465;
+   wire n_7466;
+   wire n_7467;
+   wire n_7468;
+   wire n_7469;
+   wire n_7470;
+   wire n_7471;
+   wire n_7472;
+   wire n_7473;
+   wire n_7474;
+   wire n_7475;
+   wire n_7476;
+   wire n_7477;
+   wire n_7478;
+   wire n_7479;
+   wire n_7480;
+   wire n_7481;
+   wire n_7482;
+   wire n_7483;
+   wire n_7484;
+   wire n_7485;
+   wire n_7486;
+   wire n_7487;
+   wire n_7488;
+   wire n_7489;
+   wire n_7490;
+   wire n_7491;
+   wire n_7492;
+   wire n_7493;
+   wire n_7494;
+   wire n_7495;
+   wire n_7496;
+   wire n_7497;
+   wire n_7498;
+   wire n_7499;
+   wire n_7500;
+   wire n_7501;
+   wire n_7502;
+   wire n_7503;
+   wire n_7504;
+   wire n_7505;
+   wire n_7506;
+   wire n_7507;
+   wire n_7508;
+   wire n_7509;
+   wire n_7510;
+   wire n_7511;
+   wire n_7512;
+   wire n_7513;
+   wire n_7514;
+   wire n_7515;
+   wire n_7516;
+   wire n_7517;
+   wire n_7518;
+   wire n_7519;
+   wire n_7520;
+   wire n_7521;
+   wire n_7522;
+   wire n_7523;
+   wire n_7524;
+   wire n_7525;
+   wire n_7526;
+   wire n_7527;
+   wire n_7528;
+   wire n_7529;
+   wire n_7530;
+   wire n_7531;
+   wire n_7532;
+   wire n_7533;
+   wire n_7534;
+   wire n_7535;
+   wire n_7536;
+   wire n_7537;
+   wire n_7538;
+   wire n_7539;
+   wire n_7540;
+   wire n_7541;
+   wire n_7542;
+   wire n_7543;
+   wire n_7544;
+   wire n_7545;
+   wire n_7546;
+   wire n_7547;
+   wire n_7548;
+   wire n_7549;
+   wire n_7550;
+   wire n_7551;
+   wire n_7552;
+   wire n_7553;
+   wire n_7554;
+   wire n_7555;
+   wire n_7556;
+   wire n_7557;
+   wire n_7558;
+   wire n_7559;
+   wire n_7560;
+   wire n_7561;
+   wire n_7562;
+   wire n_7563;
+   wire n_7564;
+   wire n_7565;
+   wire n_7566;
+   wire n_7567;
+   wire n_7568;
+   wire n_7569;
+   wire n_7570;
+   wire n_7571;
+   wire n_7572;
+   wire n_7573;
+   wire n_7574;
+   wire n_7575;
+   wire n_7576;
+   wire n_7577;
+   wire n_7578;
+   wire n_7579;
+   wire n_7580;
+   wire n_7581;
+   wire n_7582;
+   wire n_7583;
+   wire n_7584;
+   wire n_7585;
+   wire n_7586;
+   wire n_7587;
+   wire n_7588;
+   wire n_7589;
+   wire n_7590;
+   wire n_7591;
+   wire n_7592;
+   wire n_7593;
+   wire n_7594;
+   wire n_7595;
+   wire n_7596;
+   wire n_7597;
+   wire n_7598;
+   wire n_7599;
+   wire n_7600;
+   wire n_7601;
+   wire n_7602;
+   wire n_7603;
+   wire n_7604;
+   wire n_7605;
+   wire n_7606;
+   wire n_7607;
+   wire n_7608;
+   wire n_7609;
+   wire n_7610;
+   wire n_7611;
+   wire n_7612;
+   wire n_7614;
+   wire n_7615;
+   wire n_7616;
+   wire n_7617;
+   wire n_7618;
+   wire n_7619;
+   wire n_7620;
+   wire n_7621;
+   wire n_7622;
+   wire n_7623;
+   wire n_7624;
+   wire n_7625;
+   wire n_7626;
+   wire n_7627;
+   wire n_7628;
+   wire n_7629;
+   wire n_7630;
+   wire n_7631;
+   wire n_7632;
+   wire n_7633;
+   wire n_7634;
+   wire n_7635;
+   wire n_7636;
+   wire n_7637;
+   wire n_7638;
+   wire n_7639;
+   wire n_7640;
+   wire n_7641;
+   wire n_7642;
+   wire n_7643;
+   wire n_7644;
+   wire n_7645;
+   wire n_7646;
+   wire n_7647;
+   wire n_7648;
+   wire n_7649;
+   wire n_7650;
+   wire n_7651;
+   wire n_7652;
+   wire n_7653;
+   wire n_7654;
+   wire n_7655;
+   wire n_7656;
+   wire n_7657;
+   wire n_7658;
+   wire n_7659;
+   wire n_7660;
+   wire n_7661;
+   wire n_7662;
+   wire n_7663;
+   wire n_7664;
+   wire n_7665;
+   wire n_7666;
+   wire n_7667;
+   wire n_7668;
+   wire n_7669;
+   wire n_7670;
+   wire n_7671;
+   wire n_7672;
+   wire n_7673;
+   wire n_7674;
+   wire n_7675;
+   wire n_7676;
+   wire n_7677;
+   wire n_7678;
+   wire n_7679;
+   wire n_7680;
+   wire n_7681;
+   wire n_7682;
+   wire n_7683;
+   wire n_7684;
+   wire n_7685;
+   wire n_7686;
+   wire n_7687;
+   wire n_7688;
+   wire n_7689;
+   wire n_7690;
+   wire n_7691;
+   wire n_7692;
+   wire n_7693;
+   wire n_7694;
+   wire n_7695;
+   wire n_7696;
+   wire n_7697;
+   wire n_7698;
+   wire n_7699;
+   wire n_7700;
+   wire n_7701;
+   wire n_7702;
+   wire n_7703;
+   wire n_7704;
+   wire n_7705;
+   wire n_7706;
+   wire n_7707;
+   wire n_7708;
+   wire n_7709;
+   wire n_7710;
+   wire n_7711;
+   wire n_7712;
+   wire n_7713;
+   wire n_7714;
+   wire n_7715;
+   wire n_7716;
+   wire n_7717;
+   wire n_7718;
+   wire n_7719;
+   wire n_7720;
+   wire n_7721;
+   wire n_7722;
+   wire n_7723;
+   wire n_7724;
+   wire n_7725;
+   wire n_7726;
+   wire n_7728;
+   wire n_7729;
+   wire n_7730;
+   wire n_7731;
+   wire n_7732;
+   wire n_7733;
+   wire n_7734;
+   wire n_7735;
+   wire n_7736;
+   wire n_7737;
+   wire n_7738;
+   wire n_7739;
+   wire n_7740;
+   wire n_7741;
+   wire n_7742;
+   wire n_7743;
+   wire n_7744;
+   wire n_7745;
+   wire n_7746;
+   wire n_7747;
+   wire n_7748;
+   wire n_7749;
+   wire n_7750;
+   wire n_7751;
+   wire n_7752;
+   wire n_7753;
+   wire n_7754;
+   wire n_7755;
+   wire n_7756;
+   wire n_7757;
+   wire n_7758;
+   wire n_7759;
+   wire n_7760;
+   wire n_7761;
+   wire n_7762;
+   wire n_7763;
+   wire n_7764;
+   wire n_7765;
+   wire n_7766;
+   wire n_7767;
+   wire n_7768;
+   wire n_7769;
+   wire n_7770;
+   wire n_7771;
+   wire n_7772;
+   wire n_7773;
+   wire n_7774;
+   wire n_7775;
+   wire n_7776;
+   wire n_7777;
+   wire n_7778;
+   wire n_7779;
+   wire n_7780;
+   wire n_7781;
+   wire n_7782;
+   wire n_7783;
+   wire n_7784;
+   wire n_7785;
+   wire n_7786;
+   wire n_7787;
+   wire n_7788;
+   wire n_7789;
+   wire n_7790;
+   wire n_7791;
+   wire n_7792;
+   wire n_7793;
+   wire n_7794;
+   wire n_7795;
+   wire n_7796;
+   wire n_7797;
+   wire n_7798;
+   wire n_7799;
+   wire n_7800;
+   wire n_7801;
+   wire n_7802;
+   wire n_7803;
+   wire n_7804;
+   wire n_7805;
+   wire n_7806;
+   wire n_7807;
+   wire n_7808;
+   wire n_7809;
+   wire n_7810;
+   wire n_7811;
+   wire n_7812;
+   wire n_7813;
+   wire n_7814;
+   wire n_7815;
+   wire n_7816;
+   wire n_7817;
+   wire n_7818;
+   wire n_7819;
+   wire n_7820;
+   wire n_7821;
+   wire n_7822;
+   wire n_7823;
+   wire n_7824;
+   wire n_7825;
+   wire n_7826;
+   wire n_7827;
+   wire n_7828;
+   wire n_7829;
+   wire n_7830;
+   wire n_7831;
+   wire n_7832;
+   wire n_7833;
+   wire n_7834;
+   wire n_7835;
+   wire n_7836;
+   wire n_7837;
+   wire n_7838;
+   wire n_7839;
+   wire n_7840;
+   wire n_7841;
+   wire n_7842;
+   wire n_7843;
+   wire n_7844;
+   wire n_7845;
+   wire n_7846;
+   wire n_7847;
+   wire n_7848;
+   wire n_7849;
+   wire n_7850;
+   wire n_7851;
+   wire n_7852;
+   wire n_7853;
+   wire n_7854;
+   wire n_7855;
+   wire n_7856;
+   wire n_7857;
+   wire n_7858;
+   wire n_7859;
+   wire n_7860;
+   wire n_7861;
+   wire n_7862;
+   wire n_7863;
+   wire n_7864;
+   wire n_7865;
+   wire n_7866;
+   wire n_7867;
+   wire n_7868;
+   wire n_7869;
+   wire n_7870;
+   wire n_7871;
+   wire n_7872;
+   wire n_7873;
+   wire n_7874;
+   wire n_7875;
+   wire n_7876;
+   wire n_7877;
+   wire n_7878;
+   wire n_7879;
+   wire n_7880;
+   wire n_7881;
+   wire n_7882;
+   wire n_7883;
+   wire n_7884;
+   wire n_7885;
+   wire n_7886;
+   wire n_7887;
+   wire n_7888;
+   wire n_7889;
+   wire n_7890;
+   wire n_7891;
+   wire n_7892;
+   wire n_7893;
+   wire n_7894;
+   wire n_7895;
+   wire n_7896;
+   wire n_7897;
+   wire n_7898;
+   wire n_7899;
+   wire n_7900;
+   wire n_7901;
+   wire n_7902;
+   wire n_7903;
+   wire n_7904;
+   wire n_7905;
+   wire n_7906;
+   wire n_7907;
+   wire n_7908;
+   wire n_7909;
+   wire n_7910;
+   wire n_7911;
+   wire n_7912;
+   wire n_7913;
+   wire n_7914;
+   wire n_7915;
+   wire n_7916;
+   wire n_7917;
+   wire n_7918;
+   wire n_7919;
+   wire n_7920;
+   wire n_7921;
+   wire n_7922;
+   wire n_7923;
+   wire n_7924;
+   wire n_7925;
+   wire n_7926;
+   wire n_7927;
+   wire n_7928;
+   wire n_7929;
+   wire n_7930;
+   wire n_7931;
+   wire n_7932;
+   wire n_7933;
+   wire n_7934;
+   wire n_7935;
+   wire n_7936;
+   wire n_7937;
+   wire n_7938;
+   wire n_7939;
+   wire n_7940;
+   wire n_7941;
+   wire n_7942;
+   wire n_7943;
+   wire n_7944;
+   wire n_7945;
+   wire n_7946;
+   wire n_7947;
+   wire n_7948;
+   wire n_7949;
+   wire n_7950;
+   wire n_7951;
+   wire n_7952;
+   wire n_7953;
+   wire n_7954;
+   wire n_7955;
+   wire n_7956;
+   wire n_7957;
+   wire n_7958;
+   wire n_7959;
+   wire n_7960;
+   wire n_7961;
+   wire n_7962;
+   wire n_7963;
+   wire n_7964;
+   wire n_7965;
+   wire n_7966;
+   wire n_7967;
+   wire n_7968;
+   wire n_7969;
+   wire n_7970;
+   wire n_7971;
+   wire n_7972;
+   wire n_7973;
+   wire n_7974;
+   wire n_7975;
+   wire n_7976;
+   wire n_7977;
+   wire n_7978;
+   wire n_7979;
+   wire n_7980;
+   wire n_7981;
+   wire n_7982;
+   wire n_7983;
+   wire n_7984;
+   wire n_7985;
+   wire n_7986;
+   wire n_7987;
+   wire n_7988;
+   wire n_7989;
+   wire n_7990;
+   wire n_7991;
+   wire n_7992;
+   wire n_7993;
+   wire n_7994;
+   wire n_7995;
+   wire n_7996;
+   wire n_7997;
+   wire n_7998;
+   wire n_7999;
+   wire n_8000;
+   wire n_8001;
+   wire n_8002;
+   wire n_8003;
+   wire n_8004;
+   wire n_8005;
+   wire n_8006;
+   wire n_8007;
+   wire n_8008;
+   wire n_8009;
+   wire n_8010;
+   wire n_8011;
+   wire n_8012;
+   wire n_8013;
+   wire n_8014;
+   wire n_8015;
+   wire n_8016;
+   wire n_8017;
+   wire n_8018;
+   wire n_8019;
+   wire n_8020;
+   wire n_8021;
+   wire n_8022;
+   wire n_8023;
+   wire n_8024;
+   wire n_8025;
+   wire n_8026;
+   wire n_8027;
+   wire n_8028;
+   wire n_8029;
+   wire n_8030;
+   wire n_8031;
+   wire n_8032;
+   wire n_8033;
+   wire n_8034;
+   wire n_8035;
+   wire n_8036;
+   wire n_8037;
+   wire n_8038;
+   wire n_8041;
+   wire n_8042;
+   wire n_8043;
+   wire n_8046;
+   wire n_8048;
+   wire n_8049;
+   wire n_8050;
+   wire n_8051;
+   wire n_8052;
+   wire n_8053;
+   wire n_8054;
+   wire n_8055;
+   wire n_8056;
+   wire n_8057;
+   wire n_8058;
+   wire n_8059;
+   wire n_8060;
+   wire n_8061;
+   wire n_8062;
+   wire n_8063;
+   wire n_8064;
+   wire n_8065;
+   wire n_8066;
+   wire n_8067;
+   wire n_8069;
+   wire n_8071;
+   wire n_8072;
+   wire n_8073;
+   wire n_8074;
+   wire n_8075;
+   wire n_8076;
+   wire n_8077;
+   wire n_8078;
+   wire n_8079;
+   wire n_8081;
+   wire n_8082;
+   wire n_8083;
+   wire n_8084;
+   wire n_8085;
+   wire n_8086;
+   wire n_8087;
+   wire n_8088;
+   wire n_8089;
+   wire n_8090;
+   wire n_8091;
+   wire n_8092;
+   wire n_8093;
+   wire n_8094;
+   wire n_8095;
+   wire n_8096;
+   wire n_8097;
+   wire n_8098;
+   wire n_8099;
+   wire n_8100;
+   wire n_8101;
+   wire n_8102;
+   wire n_8103;
+   wire n_8104;
+   wire n_8105;
+   wire n_8106;
+   wire n_8110;
+   wire n_8111;
+   wire n_8112;
+   wire n_8113;
+   wire n_8114;
+   wire n_8116;
+   wire n_8117;
+   wire n_8118;
+   wire n_8119;
+   wire n_8120;
+   wire n_8121;
+   wire n_8122;
+   wire n_8123;
+   wire n_8126;
+   wire n_8127;
+   wire n_8129;
+   wire n_8130;
+   wire n_8131;
+   wire n_8132;
+   wire n_8133;
+   wire n_8134;
+   wire n_8135;
+   wire n_8136;
+   wire n_8138;
+   wire n_8139;
+   wire n_8140;
+   wire n_8141;
+   wire n_8145;
+   wire n_8147;
+   wire n_8148;
+   wire n_8151;
+   wire n_8160;
+   wire n_8164;
+   wire n_8167;
+   wire n_8168;
+   wire n_8171;
+   wire n_8172;
+   wire n_8173;
+   wire n_8174;
+   wire n_8175;
+   wire n_8176;
+   wire n_8177;
+   wire n_8178;
+   wire n_8179;
+   wire n_8180;
+   wire n_8182;
+   wire n_8183;
+   wire n_8184;
+   wire n_8185;
+   wire n_8187;
+   wire n_8188;
+   wire n_8189;
+   wire n_8190;
+   wire n_8196;
+   wire n_8199;
+   wire n_8201;
+   wire n_8204;
+   wire n_8205;
+   wire n_8206;
+   wire n_8207;
+   wire n_8208;
+   wire n_8210;
+   wire n_8213;
+   wire n_8220;
+   wire n_8222;
+   wire n_8223;
+   wire n_8224;
+   wire n_8226;
+   wire n_8228;
+   wire n_8229;
+   wire n_8230;
+   wire n_8232;
+   wire n_8233;
+   wire n_8234;
+   wire n_8235;
+   wire n_8236;
+   wire n_8238;
+   wire n_8239;
+   wire n_8240;
+   wire n_8242;
+   wire n_8243;
+   wire n_8247;
+   wire n_8248;
+   wire n_8252;
+   wire n_8253;
+   wire n_8256;
+   wire n_8260;
+   wire n_8262;
+   wire n_8265;
+   wire n_8266;
+   wire n_8267;
+   wire n_8268;
+   wire n_8269;
+   wire n_8271;
+   wire n_8272;
+   wire n_8273;
+   wire n_8274;
+   wire n_8275;
+   wire n_8276;
+   wire n_8277;
+   wire n_8278;
+   wire n_8279;
+   wire n_8280;
+   wire n_8281;
+   wire n_8282;
+   wire n_8283;
+   wire n_8284;
+   wire n_8286;
+   wire n_8287;
+   wire n_8288;
+   wire n_8290;
+   wire n_8293;
+   wire n_8295;
+   wire n_8296;
+   wire n_8297;
+   wire n_8300;
+   wire n_8303;
+   wire n_8304;
+   wire n_8305;
+   wire n_8306;
+   wire n_8307;
+   wire n_8308;
+   wire n_8309;
+   wire n_8311;
+   wire n_8314;
+   wire n_8315;
+   wire n_8316;
+   wire n_8317;
+   wire n_8318;
+   wire n_8321;
+   wire n_8323;
+   wire n_8324;
+   wire n_8325;
+   wire n_8326;
+   wire n_8327;
+   wire n_8328;
+   wire n_8329;
+   wire n_8330;
+   wire n_8331;
+   wire n_8332;
+   wire n_8334;
+   wire n_8335;
+   wire n_8336;
+   wire n_8338;
+   wire n_8340;
+   wire n_8342;
+   wire n_8343;
+   wire n_8344;
+   wire n_8345;
+   wire n_8346;
+   wire n_8347;
+   wire n_8348;
+   wire n_8349;
+   wire n_8350;
+   wire n_8351;
+   wire n_8352;
+   wire n_8353;
+   wire n_8354;
+   wire n_8355;
+   wire n_8356;
+   wire n_8357;
+   wire n_8358;
+   wire n_8362;
+   wire n_8363;
+   wire n_8364;
+   wire n_8365;
+   wire n_8366;
+   wire n_8367;
+   wire n_8368;
+   wire n_8369;
+   wire n_8370;
+   wire n_8371;
+   wire n_8372;
+   wire n_8373;
+   wire n_8374;
+   wire n_8375;
+   wire n_8376;
+   wire n_8378;
+   wire n_8379;
+   wire n_8380;
+   wire n_8381;
+   wire n_8382;
+   wire n_8383;
+   wire n_8385;
+   wire n_8386;
+   wire n_8387;
+   wire n_8388;
+   wire n_8389;
+   wire n_8390;
+   wire n_8391;
+   wire n_8392;
+   wire n_8393;
+   wire n_8394;
+   wire n_8395;
+   wire n_8396;
+   wire n_8397;
+   wire n_8398;
+   wire n_8399;
+   wire n_8400;
+   wire n_8401;
+   wire n_8402;
+   wire n_8403;
+   wire n_8404;
+   wire n_8405;
+   wire n_8406;
+   wire n_8408;
+   wire n_8409;
+   wire n_8410;
+   wire n_8411;
+   wire n_8412;
+   wire n_8413;
+   wire n_8414;
+   wire n_8415;
+   wire n_8416;
+   wire n_8417;
+   wire n_8418;
+   wire n_8419;
+   wire n_8420;
+   wire n_8421;
+   wire n_8423;
+   wire n_8424;
+   wire n_8425;
+   wire n_8426;
+   wire n_8427;
+   wire n_8428;
+   wire n_8429;
+   wire n_8430;
+   wire n_8431;
+   wire n_8432;
+   wire n_8433;
+   wire n_8434;
+   wire n_8435;
+   wire n_8436;
+   wire n_8437;
+   wire n_8438;
+   wire n_8439;
+   wire n_8440;
+   wire n_8441;
+   wire n_8442;
+   wire n_8443;
+   wire n_8444;
+   wire n_8445;
+   wire n_8446;
+   wire n_8447;
+   wire n_8448;
+   wire n_8449;
+   wire n_8450;
+   wire n_8451;
+   wire n_8452;
+   wire n_8453;
+   wire n_8454;
+   wire n_8455;
+   wire n_8456;
+   wire n_8457;
+   wire n_8458;
+   wire n_8459;
+   wire n_8460;
+   wire n_8461;
+   wire n_8462;
+   wire n_8463;
+   wire n_8464;
+   wire n_8465;
+   wire n_8466;
+   wire n_8467;
+   wire n_8468;
+   wire n_8469;
+   wire n_8470;
+   wire n_8471;
+   wire n_8472;
+   wire n_8473;
+   wire n_8474;
+   wire n_8475;
+   wire n_8476;
+   wire n_8477;
+   wire n_8478;
+   wire n_8479;
+   wire n_8480;
+   wire n_8481;
+   wire n_8482;
+   wire n_8483;
+   wire n_8484;
+   wire n_8485;
+   wire n_8486;
+   wire n_8487;
+   wire n_8488;
+   wire n_8489;
+   wire n_8490;
+   wire n_8491;
+   wire n_8492;
+   wire n_8493;
+   wire n_8494;
+   wire n_8495;
+   wire n_8496;
+   wire n_8497;
+   wire n_8498;
+   wire n_8499;
+   wire n_8500;
+   wire n_8501;
+   wire n_8502;
+   wire n_8503;
+   wire n_8504;
+   wire n_8505;
+   wire n_8506;
+   wire n_8507;
+   wire n_8508;
+   wire n_8509;
+   wire n_8510;
+   wire n_8511;
+   wire n_8512;
+   wire n_8513;
+   wire n_8514;
+   wire n_8515;
+   wire n_8516;
+   wire n_8517;
+   wire n_8518;
+   wire n_8519;
+   wire n_8520;
+   wire n_8521;
+   wire n_8522;
+   wire n_8523;
+   wire n_8524;
+   wire n_8525;
+   wire n_8526;
+   wire n_8527;
+   wire n_8528;
+   wire n_8529;
+   wire n_8530;
+   wire n_8531;
+   wire n_8532;
+   wire n_8533;
+   wire n_8534;
+   wire n_8535;
+   wire n_8536;
+   wire n_8537;
+   wire n_8538;
+   wire n_8539;
+   wire n_8540;
+   wire n_8541;
+   wire n_8542;
+   wire n_8543;
+   wire n_8544;
+   wire n_8545;
+   wire n_8546;
+   wire n_8547;
+   wire n_8548;
+   wire n_8549;
+   wire n_8550;
+   wire n_8551;
+   wire n_8552;
+   wire n_8553;
+   wire n_8554;
+   wire n_8555;
+   wire n_8556;
+   wire n_8557;
+   wire n_8558;
+   wire n_8559;
+   wire n_8560;
+   wire n_8561;
+   wire n_8562;
+   wire n_8563;
+   wire n_8564;
+   wire n_8565;
+   wire n_8566;
+   wire n_8567;
+   wire n_8568;
+   wire n_8569;
+   wire n_8570;
+   wire n_8571;
+   wire n_8572;
+   wire n_8573;
+   wire n_8574;
+   wire n_8575;
+   wire n_8576;
+   wire n_8577;
+   wire n_8578;
+   wire n_8579;
+   wire n_8580;
+   wire n_8581;
+   wire n_8582;
+   wire n_8583;
+   wire n_8584;
+   wire n_8585;
+   wire n_8586;
+   wire n_8587;
+   wire n_8588;
+   wire n_8589;
+   wire n_8590;
+   wire n_8591;
+   wire n_8592;
+   wire n_8593;
+   wire n_8594;
+   wire n_8595;
+   wire n_8596;
+   wire n_8597;
+   wire n_8598;
+   wire n_8599;
+   wire n_8600;
+   wire n_8601;
+   wire n_8602;
+   wire n_8603;
+   wire n_8604;
+   wire n_8605;
+   wire n_8606;
+   wire n_8607;
+   wire n_8608;
+   wire n_8609;
+   wire n_8610;
+   wire n_8611;
+   wire n_8612;
+   wire n_8613;
+   wire n_8614;
+   wire n_8615;
+   wire n_8616;
+   wire n_8617;
+   wire n_8618;
+   wire n_8619;
+   wire n_8620;
+   wire n_8621;
+   wire n_8622;
+   wire n_8623;
+   wire n_8624;
+   wire n_8625;
+   wire n_8626;
+   wire n_8627;
+   wire n_8628;
+   wire n_8629;
+   wire n_8630;
+   wire n_8631;
+   wire n_8632;
+   wire n_8633;
+   wire n_8634;
+   wire n_8635;
+   wire n_8636;
+   wire n_8637;
+   wire n_8638;
+   wire n_8639;
+   wire n_8640;
+   wire n_8641;
+   wire n_8642;
+   wire n_8643;
+   wire n_8644;
+   wire n_8645;
+   wire n_8646;
+   wire n_8647;
+   wire n_8648;
+   wire n_8649;
+   wire n_8650;
+   wire n_8651;
+   wire n_8652;
+   wire n_8653;
+   wire n_8654;
+   wire n_8655;
+   wire n_8656;
+   wire n_8657;
+   wire n_8658;
+   wire n_8659;
+   wire n_8660;
+   wire n_8661;
+   wire n_8662;
+   wire n_8663;
+   wire n_8664;
+   wire n_8665;
+   wire n_8666;
+   wire n_8667;
+   wire n_8668;
+   wire n_8669;
+   wire n_8670;
+   wire n_8671;
+   wire n_8672;
+   wire n_8673;
+   wire n_8674;
+   wire n_8675;
+   wire n_8676;
+   wire n_8677;
+   wire n_8678;
+   wire n_8679;
+   wire n_8680;
+   wire n_8681;
+   wire n_8682;
+   wire n_8683;
+   wire n_8684;
+   wire n_8685;
+   wire n_8686;
+   wire n_8687;
+   wire n_8688;
+   wire n_8689;
+   wire n_8690;
+   wire n_8691;
+   wire n_8692;
+   wire n_8693;
+   wire n_8694;
+   wire n_8695;
+   wire n_8696;
+   wire n_8697;
+   wire n_8698;
+   wire n_8699;
+   wire n_8700;
+   wire n_8701;
+   wire n_8702;
+   wire n_8703;
+   wire n_8704;
+   wire n_8705;
+   wire n_8706;
+   wire n_8707;
+   wire n_8708;
+   wire n_8709;
+   wire n_8710;
+   wire n_8711;
+   wire n_8712;
+   wire n_8713;
+   wire n_8714;
+   wire n_8715;
+   wire n_8716;
+   wire n_8717;
+   wire n_8718;
+   wire n_8719;
+   wire n_8720;
+   wire n_8721;
+   wire n_8722;
+   wire n_8723;
+   wire n_8724;
+   wire n_8725;
+   wire n_8726;
+   wire n_8727;
+   wire n_8728;
+   wire n_8729;
+   wire n_8730;
+   wire n_8731;
+   wire n_8732;
+   wire n_8733;
+   wire n_8734;
+   wire n_8735;
+   wire n_8736;
+   wire n_8737;
+   wire n_8738;
+   wire n_8739;
+   wire n_8740;
+   wire n_8741;
+   wire n_8742;
+   wire n_8743;
+   wire n_8744;
+   wire n_8745;
+   wire n_8746;
+   wire n_8747;
+   wire n_8748;
+   wire n_8749;
+   wire n_8750;
+   wire n_8751;
+   wire n_8752;
+   wire n_8753;
+   wire n_8754;
+   wire n_8755;
+   wire n_8756;
+   wire n_8757;
+   wire n_8758;
+   wire n_8759;
+   wire n_8760;
+   wire n_8761;
+   wire n_8762;
+   wire n_8763;
+   wire n_8764;
+   wire n_8765;
+   wire n_8766;
+   wire n_8767;
+   wire n_8768;
+   wire n_8769;
+   wire n_8770;
+   wire n_8771;
+   wire n_8772;
+   wire n_8773;
+   wire n_8774;
+   wire n_8775;
+   wire n_8776;
+   wire n_8777;
+   wire n_8778;
+   wire n_8779;
+   wire n_8780;
+   wire n_8781;
+   wire n_8782;
+   wire n_8783;
+   wire n_8784;
+   wire n_8785;
+   wire n_8786;
+   wire n_8787;
+   wire n_8788;
+   wire n_8789;
+   wire n_8790;
+   wire n_8791;
+   wire n_8792;
+   wire n_8793;
+   wire n_8794;
+   wire n_8795;
+   wire n_8796;
+   wire n_8797;
+   wire n_8798;
+   wire n_8799;
+   wire n_8800;
+   wire n_8801;
+   wire n_8802;
+   wire n_8803;
+   wire n_8804;
+   wire n_8805;
+   wire n_8806;
+   wire n_8807;
+   wire n_8808;
+   wire n_8809;
+   wire n_8810;
+   wire n_8811;
+   wire n_8812;
+   wire n_8813;
+   wire n_8814;
+   wire n_8815;
+   wire n_8817;
+   wire n_8818;
+   wire n_8819;
+   wire n_8820;
+   wire n_8821;
+   wire n_8822;
+   wire n_8823;
+   wire n_8824;
+   wire n_8825;
+   wire n_8826;
+   wire n_8827;
+   wire n_8828;
+   wire n_8829;
+   wire n_8830;
+   wire n_8831;
+   wire n_8832;
+   wire n_8833;
+   wire n_8834;
+   wire n_8835;
+   wire n_8836;
+   wire n_8837;
+   wire n_8838;
+   wire n_8839;
+   wire n_8840;
+   wire n_8841;
+   wire n_8842;
+   wire n_8843;
+   wire n_8844;
+   wire n_8845;
+   wire n_8846;
+   wire n_8847;
+   wire n_8848;
+   wire n_8849;
+   wire n_8850;
+   wire n_8851;
+   wire n_8852;
+   wire n_8853;
+   wire n_8854;
+   wire n_8855;
+   wire n_8856;
+   wire n_8857;
+   wire n_8858;
+   wire n_8859;
+   wire n_8860;
+   wire n_8861;
+   wire n_8862;
+   wire n_8863;
+   wire n_8864;
+   wire n_8865;
+   wire n_8866;
+   wire n_8867;
+   wire n_8868;
+   wire n_8869;
+   wire n_8870;
+   wire n_8871;
+   wire n_8872;
+   wire n_8873;
+   wire n_8874;
+   wire n_8875;
+   wire n_8876;
+   wire n_8877;
+   wire n_8878;
+   wire n_8879;
+   wire n_8880;
+   wire n_8881;
+   wire n_8882;
+   wire n_8883;
+   wire n_8884;
+   wire n_8885;
+   wire n_8886;
+   wire n_8887;
+   wire n_8888;
+   wire n_8889;
+   wire n_8890;
+   wire n_8891;
+   wire n_8892;
+   wire n_8893;
+   wire n_8894;
+   wire n_8895;
+   wire n_8896;
+   wire n_8897;
+   wire n_8898;
+   wire n_8899;
+   wire n_8900;
+   wire n_8901;
+   wire n_8902;
+   wire n_8903;
+   wire n_8904;
+   wire n_8905;
+   wire n_8906;
+   wire n_8907;
+   wire n_8908;
+   wire n_8909;
+   wire n_8910;
+   wire n_8911;
+   wire n_8912;
+   wire n_8913;
+   wire n_8914;
+   wire n_8915;
+   wire n_8916;
+   wire n_8917;
+   wire n_8918;
+   wire n_8919;
+   wire n_8920;
+   wire n_8921;
+   wire n_8922;
+   wire n_8923;
+   wire n_8924;
+   wire n_8925;
+   wire n_8926;
+   wire n_8927;
+   wire n_8928;
+   wire n_8929;
+   wire n_8930;
+   wire n_8931;
+   wire n_8932;
+   wire n_8933;
+   wire n_8934;
+   wire n_8935;
+   wire n_8936;
+   wire n_8937;
+   wire n_8938;
+   wire n_8939;
+   wire n_8940;
+   wire n_8941;
+   wire n_8942;
+   wire n_8943;
+   wire n_8944;
+   wire n_8945;
+   wire n_8946;
+   wire n_8947;
+   wire n_8948;
+   wire n_8949;
+   wire n_8950;
+   wire n_8951;
+   wire n_8952;
+   wire n_8953;
+   wire n_8954;
+   wire n_8955;
+   wire n_8956;
+   wire n_8957;
+   wire n_8958;
+   wire n_8959;
+   wire n_8960;
+   wire n_8961;
+   wire n_8962;
+   wire n_8963;
+   wire n_8964;
+   wire n_8966;
+   wire n_8967;
+   wire n_8969;
+   wire n_8970;
+   wire n_8971;
+   wire n_8972;
+   wire n_8973;
+   wire n_8974;
+   wire n_8975;
+   wire n_8976;
+   wire n_8977;
+   wire n_8979;
+   wire n_8980;
+   wire n_8981;
+   wire n_8982;
+   wire n_8983;
+   wire n_8984;
+   wire n_8985;
+   wire n_8986;
+   wire n_8987;
+   wire n_8988;
+   wire n_8989;
+   wire n_8990;
+   wire n_8991;
+   wire n_8992;
+   wire n_8993;
+   wire n_8994;
+   wire n_8995;
+   wire n_8996;
+   wire n_8997;
+   wire n_8998;
+   wire n_8999;
+   wire n_9000;
+   wire n_9001;
+   wire n_9002;
+   wire n_9003;
+   wire n_9004;
+   wire n_9005;
+   wire n_9006;
+   wire n_9007;
+   wire n_9008;
+   wire n_9009;
+   wire n_9010;
+   wire n_9011;
+   wire n_9012;
+   wire n_9013;
+   wire n_9014;
+   wire n_9015;
+   wire n_9016;
+   wire n_9017;
+   wire n_9018;
+   wire n_9019;
+   wire n_9020;
+   wire n_9021;
+   wire n_9022;
+   wire n_9023;
+   wire n_9024;
+   wire n_9025;
+   wire n_9026;
+   wire n_9027;
+   wire n_9029;
+   wire n_9030;
+   wire n_9036;
+   wire n_9037;
+   wire n_9041;
+   wire n_9042;
+   wire n_9043;
+   wire n_9044;
+   wire n_9045;
+   wire n_9046;
+   wire n_9047;
+   wire n_9048;
+   wire n_9049;
+   wire n_9050;
+   wire n_9051;
+   wire n_9052;
+   wire n_9053;
+   wire n_9054;
+   wire n_9055;
+   wire n_9056;
+   wire n_9057;
+   wire n_9058;
+   wire n_9059;
+   wire n_9060;
+   wire n_9062;
+   wire n_9063;
+   wire n_9064;
+   wire n_9065;
+   wire n_9066;
+   wire n_9067;
+   wire n_9068;
+   wire n_9069;
+   wire n_9070;
+   wire n_9071;
+   wire n_9072;
+   wire n_9073;
+   wire n_9074;
+   wire n_9075;
+   wire n_9076;
+   wire n_9077;
+   wire n_9078;
+   wire n_9079;
+   wire n_9080;
+   wire n_9081;
+   wire n_9082;
+   wire n_9083;
+   wire n_9084;
+   wire n_9085;
+   wire n_9086;
+   wire n_9087;
+   wire n_9088;
+   wire n_9089;
+   wire n_9090;
+   wire n_9091;
+   wire n_9092;
+   wire n_9093;
+   wire n_9094;
+   wire n_9095;
+   wire n_9096;
+   wire n_9097;
+   wire n_9098;
+   wire n_9099;
+   wire n_9100;
+   wire n_9101;
+   wire n_9102;
+   wire n_9103;
+   wire n_9104;
+   wire n_9105;
+   wire n_9106;
+   wire n_9107;
+   wire n_9108;
+   wire n_9109;
+   wire n_9110;
+   wire n_9111;
+   wire n_9112;
+   wire n_9113;
+   wire n_9114;
+   wire n_9115;
+   wire n_9116;
+   wire n_9117;
+   wire n_9118;
+   wire n_9119;
+   wire n_9120;
+   wire n_9121;
+   wire n_9122;
+   wire n_9123;
+   wire n_9124;
+   wire n_9125;
+   wire n_9126;
+   wire n_9127;
+   wire n_9128;
+   wire n_9129;
+   wire n_9130;
+   wire n_9131;
+   wire n_9132;
+   wire n_9133;
+   wire n_9134;
+   wire n_9135;
+   wire n_9136;
+   wire n_9137;
+   wire n_9138;
+   wire n_9139;
+   wire n_9140;
+   wire n_9141;
+   wire n_9142;
+   wire n_9143;
+   wire n_9145;
+   wire n_9146;
+   wire n_9147;
+   wire n_9148;
+   wire n_9149;
+   wire n_9150;
+   wire n_9151;
+   wire n_9152;
+   wire n_9153;
+   wire n_9154;
+   wire n_9155;
+   wire n_9156;
+   wire n_9157;
+   wire n_9158;
+   wire n_9159;
+   wire n_9160;
+   wire n_9161;
+   wire n_9162;
+   wire n_9163;
+   wire n_9164;
+   wire n_9165;
+   wire n_9166;
+   wire n_9167;
+   wire n_9168;
+   wire n_9169;
+   wire n_9170;
+   wire n_9171;
+   wire n_9172;
+   wire n_9173;
+   wire n_9174;
+   wire n_9175;
+   wire n_9176;
+   wire n_9177;
+   wire n_9178;
+   wire n_9180;
+   wire n_9181;
+   wire n_9182;
+   wire n_9183;
+   wire n_9184;
+   wire n_9185;
+   wire n_9186;
+   wire n_9187;
+   wire n_9188;
+   wire n_9189;
+   wire n_9190;
+   wire n_9191;
+   wire n_9192;
+   wire n_9193;
+   wire n_9194;
+   wire n_9195;
+   wire n_9196;
+   wire n_9197;
+   wire n_9198;
+   wire n_9199;
+   wire n_9200;
+   wire n_9201;
+   wire n_9202;
+   wire n_9203;
+   wire n_9204;
+   wire n_9205;
+   wire n_9206;
+   wire n_9207;
+   wire n_9208;
+   wire n_9209;
+   wire n_9210;
+   wire n_9211;
+   wire n_9212;
+   wire n_9213;
+   wire n_9214;
+   wire n_9215;
+   wire n_9216;
+   wire n_9217;
+   wire n_9218;
+   wire n_9219;
+   wire n_9220;
+   wire n_9221;
+   wire n_9222;
+   wire n_9223;
+   wire n_9224;
+   wire n_9225;
+   wire n_9226;
+   wire n_9227;
+   wire n_9228;
+   wire n_9229;
+   wire n_9230;
+   wire n_9231;
+   wire n_9232;
+   wire n_9233;
+   wire n_9234;
+   wire n_9235;
+   wire n_9236;
+   wire n_9237;
+   wire n_9238;
+   wire n_9239;
+   wire n_9240;
+   wire n_9241;
+   wire n_9242;
+   wire n_9243;
+   wire n_9244;
+   wire n_9245;
+   wire n_9246;
+   wire n_9247;
+   wire n_9248;
+   wire n_9249;
+   wire n_9250;
+   wire n_9251;
+   wire n_9252;
+   wire n_9253;
+   wire n_9254;
+   wire n_9255;
+   wire n_9257;
+   wire n_9258;
+   wire n_9259;
+   wire n_9260;
+   wire n_9261;
+   wire n_9262;
+   wire n_9263;
+   wire n_9264;
+   wire n_9265;
+   wire n_9266;
+   wire n_9267;
+   wire n_9268;
+   wire n_9269;
+   wire n_9270;
+   wire n_9271;
+   wire n_9272;
+   wire n_9273;
+   wire n_9274;
+   wire n_9275;
+   wire n_9276;
+   wire n_9277;
+   wire n_9278;
+   wire n_9279;
+   wire n_9280;
+   wire n_9281;
+   wire n_9282;
+   wire n_9283;
+   wire n_9284;
+   wire n_9285;
+   wire n_9286;
+   wire n_9287;
+   wire n_9288;
+   wire n_9289;
+   wire n_9290;
+   wire n_9291;
+   wire n_9292;
+   wire n_9293;
+   wire n_9294;
+   wire n_9295;
+   wire n_9296;
+   wire n_9297;
+   wire n_9298;
+   wire n_9299;
+   wire n_9300;
+   wire n_9301;
+   wire n_9302;
+   wire n_9303;
+   wire n_9304;
+   wire n_9305;
+   wire n_9306;
+   wire n_9307;
+   wire n_9308;
+   wire n_9309;
+   wire n_9310;
+   wire n_9311;
+   wire n_9312;
+   wire n_9313;
+   wire n_9314;
+   wire n_9315;
+   wire n_9316;
+   wire n_9317;
+   wire n_9318;
+   wire n_9319;
+   wire n_9320;
+   wire n_9321;
+   wire n_9322;
+   wire n_9323;
+   wire n_9324;
+   wire n_9325;
+   wire n_9326;
+   wire n_9327;
+   wire n_9328;
+   wire n_9329;
+   wire n_9330;
+   wire n_9331;
+   wire n_9332;
+   wire n_9333;
+   wire n_9334;
+   wire n_9335;
+   wire n_9336;
+   wire n_9337;
+   wire n_9338;
+   wire n_9339;
+   wire n_9340;
+   wire n_9341;
+   wire n_9342;
+   wire n_9343;
+   wire n_9344;
+   wire n_9345;
+   wire n_9346;
+   wire n_9347;
+   wire n_9348;
+   wire n_9349;
+   wire n_9350;
+   wire n_9351;
+   wire n_9352;
+   wire n_9353;
+   wire n_9354;
+   wire n_9355;
+   wire n_9356;
+   wire n_9357;
+   wire n_9358;
+   wire n_9359;
+   wire n_9360;
+   wire n_9361;
+   wire n_9362;
+   wire n_9363;
+   wire n_9364;
+   wire n_9365;
+   wire n_9366;
+   wire n_9367;
+   wire n_9368;
+   wire n_9369;
+   wire n_9370;
+   wire n_9371;
+   wire n_9372;
+   wire n_9373;
+   wire n_9374;
+   wire n_9375;
+   wire n_9376;
+   wire n_9377;
+   wire n_9378;
+   wire n_9379;
+   wire n_9380;
+   wire n_9381;
+   wire n_9382;
+   wire n_9383;
+   wire n_9384;
+   wire n_9385;
+   wire n_9386;
+   wire n_9387;
+   wire n_9388;
+   wire n_9389;
+   wire n_9390;
+   wire n_9391;
+   wire n_9392;
+   wire n_9393;
+   wire n_9394;
+   wire n_9395;
+   wire n_9396;
+   wire n_9397;
+   wire n_9398;
+   wire n_9399;
+   wire n_9400;
+   wire n_9401;
+   wire n_9402;
+   wire n_9403;
+   wire n_9404;
+   wire n_9405;
+   wire n_9406;
+   wire n_9407;
+   wire n_9408;
+   wire n_9409;
+   wire n_9410;
+   wire n_9411;
+   wire n_9412;
+   wire n_9413;
+   wire n_9414;
+   wire n_9415;
+   wire n_9416;
+   wire n_9417;
+   wire n_9418;
+   wire n_9419;
+   wire n_9420;
+   wire n_9421;
+   wire n_9422;
+   wire n_9423;
+   wire n_9424;
+   wire n_9425;
+   wire n_9426;
+   wire n_9427;
+   wire n_9428;
+   wire n_9429;
+   wire n_9430;
+   wire n_9431;
+   wire n_9435;
+   wire n_9438;
+   wire n_9439;
+   wire n_9440;
+   wire n_9441;
+   wire n_9442;
+   wire n_9443;
+   wire n_9444;
+   wire n_9445;
+   wire n_9446;
+   wire n_9447;
+   wire n_9448;
+   wire n_9449;
+   wire n_9450;
+   wire n_9451;
+   wire n_9452;
+   wire n_9453;
+   wire n_9454;
+   wire n_9455;
+   wire n_9456;
+   wire n_9457;
+   wire n_9458;
+   wire n_9459;
+   wire n_9460;
+   wire n_9461;
+   wire n_9462;
+   wire n_9463;
+   wire n_9464;
+   wire n_9465;
+   wire n_9466;
+   wire n_9467;
+   wire n_9468;
+   wire n_9469;
+   wire n_9470;
+   wire n_9471;
+   wire n_9472;
+   wire n_9473;
+   wire n_9474;
+   wire n_9475;
+   wire n_9476;
+   wire n_9477;
+   wire n_9478;
+   wire n_9479;
+   wire n_9480;
+   wire n_9481;
+   wire n_9482;
+   wire n_9483;
+   wire n_9484;
+   wire n_9485;
+   wire n_9486;
+   wire n_9487;
+   wire n_9488;
+   wire n_9489;
+   wire n_9490;
+   wire n_9491;
+   wire n_9492;
+   wire n_9493;
+   wire n_9494;
+   wire n_9495;
+   wire n_9496;
+   wire n_9497;
+   wire n_9498;
+   wire n_9499;
+   wire n_9500;
+   wire n_9501;
+   wire n_9502;
+   wire n_9503;
+   wire n_9504;
+   wire n_9505;
+   wire n_9506;
+   wire n_9507;
+   wire n_9508;
+   wire n_9509;
+   wire n_9510;
+   wire n_9511;
+   wire n_9512;
+   wire n_9513;
+   wire n_9514;
+   wire n_9515;
+   wire n_9516;
+   wire n_9517;
+   wire n_9518;
+   wire n_9519;
+   wire n_9520;
+   wire n_9521;
+   wire n_9522;
+   wire n_9523;
+   wire n_9524;
+   wire n_9525;
+   wire n_9526;
+   wire n_9527;
+   wire n_9528;
+   wire n_9529;
+   wire n_9530;
+   wire n_9531;
+   wire n_9532;
+   wire n_9533;
+   wire n_9534;
+   wire n_9535;
+   wire n_9536;
+   wire n_9537;
+   wire n_9538;
+   wire n_9539;
+   wire n_9540;
+   wire n_9541;
+   wire n_9542;
+   wire n_9543;
+   wire n_9544;
+   wire n_9545;
+   wire n_9546;
+   wire n_9547;
+   wire n_9548;
+   wire n_9549;
+   wire n_9550;
+   wire n_9551;
+   wire n_9552;
+   wire n_9553;
+   wire n_9554;
+   wire n_9555;
+   wire n_9556;
+   wire n_9557;
+   wire n_9558;
+   wire n_9559;
+   wire n_9560;
+   wire n_9561;
+   wire n_9562;
+   wire n_9563;
+   wire n_9564;
+   wire n_9565;
+   wire n_9566;
+   wire n_9567;
+   wire n_9568;
+   wire n_9569;
+   wire n_9570;
+   wire n_9571;
+   wire n_9572;
+   wire n_9573;
+   wire n_9574;
+   wire n_9575;
+   wire n_9576;
+   wire n_9577;
+   wire n_9578;
+   wire n_9579;
+   wire n_9580;
+   wire n_9585;
+   wire n_9591;
+   wire n_9592;
+   wire n_9593;
+   wire n_9594;
+   wire n_9595;
+   wire n_9596;
+   wire n_9597;
+   wire n_9598;
+   wire n_9599;
+   wire n_9600;
+   wire n_9601;
+   wire n_9602;
+   wire n_9603;
+   wire n_9604;
+   wire n_9605;
+   wire n_9606;
+   wire n_9607;
+   wire n_9608;
+   wire n_9609;
+   wire n_9610;
+   wire n_9611;
+   wire n_9612;
+   wire n_9613;
+   wire n_9614;
+   wire n_9615;
+   wire n_9616;
+   wire n_9617;
+   wire n_9618;
+   wire n_9619;
+   wire n_9620;
+   wire n_9621;
+   wire n_9622;
+   wire n_9623;
+   wire n_9624;
+   wire n_9625;
+   wire n_9626;
+   wire n_9627;
+   wire n_9628;
+   wire n_9629;
+   wire n_9630;
+   wire n_9631;
+   wire n_9632;
+   wire n_9633;
+   wire n_9634;
+   wire n_9635;
+   wire n_9636;
+   wire n_9637;
+   wire n_9638;
+   wire n_9639;
+   wire n_9640;
+   wire n_9641;
+   wire n_9642;
+   wire n_9643;
+   wire n_9644;
+   wire n_9645;
+   wire n_9646;
+   wire n_9647;
+   wire n_9648;
+   wire n_9649;
+   wire n_9650;
+   wire n_9651;
+   wire n_9652;
+   wire n_9653;
+   wire n_9654;
+   wire n_9655;
+   wire n_9656;
+   wire n_9657;
+   wire n_9658;
+   wire n_9659;
+   wire n_9660;
+   wire n_9661;
+   wire n_9662;
+   wire n_9663;
+   wire n_9664;
+   wire n_9665;
+   wire n_9666;
+   wire n_9667;
+   wire n_9668;
+   wire n_9669;
+   wire n_9670;
+   wire n_9671;
+   wire n_9672;
+   wire n_9673;
+   wire n_9674;
+   wire n_9675;
+   wire n_9676;
+   wire n_9677;
+   wire n_9678;
+   wire n_9679;
+   wire n_9680;
+   wire n_9682;
+   wire n_9683;
+   wire n_9684;
+   wire n_9685;
+   wire n_9686;
+   wire n_9687;
+   wire n_9689;
+   wire n_9690;
+   wire n_9691;
+   wire n_9692;
+   wire n_9693;
+   wire n_9694;
+   wire n_9695;
+   wire n_9696;
+   wire n_9697;
+   wire n_9698;
+   wire n_9699;
+   wire n_9700;
+   wire n_9701;
+   wire n_9702;
+   wire n_9703;
+   wire n_9704;
+   wire n_9705;
+   wire n_9706;
+   wire n_9707;
+   wire n_9708;
+   wire n_9709;
+   wire n_9710;
+   wire n_9711;
+   wire n_9712;
+   wire n_9713;
+   wire n_9714;
+   wire n_9715;
+   wire n_9716;
+   wire n_9717;
+   wire n_9718;
+   wire n_9719;
+   wire n_9720;
+   wire n_9721;
+   wire n_9722;
+   wire n_9723;
+   wire n_9724;
+   wire n_9725;
+   wire n_9726;
+   wire n_9727;
+   wire n_9728;
+   wire n_9729;
+   wire n_9730;
+   wire n_9731;
+   wire n_9732;
+   wire n_9733;
+   wire n_9734;
+   wire n_9735;
+   wire n_9736;
+   wire n_9737;
+   wire n_9738;
+   wire n_9739;
+   wire n_9740;
+   wire n_9741;
+   wire n_9742;
+   wire n_9743;
+   wire n_9744;
+   wire n_9745;
+   wire n_9746;
+   wire n_9747;
+   wire n_9748;
+   wire n_9749;
+   wire n_9750;
+   wire n_9751;
+   wire n_9752;
+   wire n_9753;
+   wire n_9754;
+   wire n_9755;
+   wire n_9756;
+   wire n_9757;
+   wire n_9758;
+   wire n_9759;
+   wire n_9760;
+   wire n_9761;
+   wire n_9762;
+   wire n_9763;
+   wire n_9764;
+   wire n_9765;
+   wire n_9766;
+   wire n_9767;
+   wire n_9768;
+   wire n_9769;
+   wire n_9770;
+   wire n_9771;
+   wire n_9772;
+   wire n_9773;
+   wire n_9774;
+   wire n_9776;
+   wire n_9777;
+   wire n_9778;
+   wire n_9779;
+   wire n_9780;
+   wire n_9781;
+   wire n_9782;
+   wire n_9783;
+   wire n_9784;
+   wire n_9785;
+   wire n_9786;
+   wire n_9787;
+   wire n_9788;
+   wire n_9789;
+   wire n_9790;
+   wire n_9792;
+   wire n_9793;
+   wire n_9794;
+   wire n_9795;
+   wire n_9796;
+   wire n_9797;
+   wire n_9798;
+   wire n_9799;
+   wire n_9800;
+   wire n_9801;
+   wire n_9802;
+   wire n_9803;
+   wire n_9804;
+   wire n_9805;
+   wire n_9806;
+   wire n_9807;
+   wire n_9808;
+   wire n_9809;
+   wire n_9810;
+   wire n_9811;
+   wire n_9812;
+   wire n_9813;
+   wire n_9814;
+   wire n_9815;
+   wire n_9816;
+   wire n_9817;
+   wire n_9818;
+   wire n_9819;
+   wire n_9820;
+   wire n_9821;
+   wire n_9822;
+   wire n_9823;
+   wire n_9824;
+   wire n_9825;
+   wire n_9826;
+   wire n_9827;
+   wire n_9828;
+   wire n_9829;
+   wire n_9830;
+   wire n_9831;
+   wire n_9832;
+   wire n_9833;
+   wire n_9834;
+   wire n_9835;
+   wire n_9836;
+   wire n_9837;
+   wire n_9838;
+   wire n_9839;
+   wire n_9840;
+   wire n_9841;
+   wire n_9842;
+   wire n_9843;
+   wire n_9844;
+   wire n_9845;
+   wire n_9846;
+   wire n_9847;
+   wire n_9848;
+   wire n_9849;
+   wire n_9850;
+   wire n_9852;
+   wire n_9853;
+   wire n_9854;
+   wire n_9855;
+   wire n_9856;
+   wire n_9858;
+   wire n_9859;
+   wire n_9860;
+   wire n_9861;
+   wire n_9862;
+   wire n_9863;
+   wire n_9864;
+   wire n_9865;
+   wire n_9866;
+   wire n_9867;
+   wire n_9868;
+   wire n_9869;
+   wire n_9870;
+   wire n_9871;
+   wire n_9872;
+   wire n_9873;
+   wire n_9874;
+   wire n_9875;
+   wire n_9876;
+   wire n_9877;
+   wire n_9878;
+   wire n_9879;
+   wire n_9880;
+   wire n_9881;
+   wire n_9882;
+   wire n_9883;
+   wire n_9884;
+   wire n_9886;
+   wire n_9887;
+   wire n_9888;
+   wire n_9889;
+   wire n_9890;
+   wire n_9891;
+   wire n_9892;
+   wire n_9893;
+   wire n_9894;
+   wire n_9895;
+   wire n_9896;
+   wire n_9897;
+   wire n_9898;
+   wire n_9899;
+   wire n_9900;
+   wire n_9901;
+   wire n_9902;
+   wire n_9903;
+   wire n_9904;
+   wire n_9905;
+   wire n_9906;
+   wire n_9907;
+   wire n_9908;
+   wire n_9909;
+   wire n_9910;
+   wire n_9911;
+   wire n_9912;
+   wire n_9913;
+   wire n_9914;
+   wire n_9915;
+   wire n_9916;
+   wire n_9917;
+   wire n_9918;
+   wire n_9919;
+   wire n_9920;
+   wire n_9921;
+   wire n_9922;
+   wire n_9923;
+   wire n_9924;
+   wire n_9925;
+   wire n_9926;
+   wire n_9927;
+   wire n_9928;
+   wire n_9929;
+   wire n_9930;
+   wire n_9931;
+   wire n_9932;
+   wire n_9933;
+   wire n_9934;
+   wire n_9935;
+   wire n_9936;
+   wire n_9937;
+   wire n_9938;
+   wire n_9939;
+   wire n_9940;
+   wire n_9941;
+   wire n_9942;
+   wire n_9943;
+   wire n_9944;
+   wire n_9945;
+   wire n_9946;
+   wire n_9947;
+   wire n_9948;
+   wire n_9949;
+   wire n_9950;
+   wire n_9951;
+   wire n_9952;
+   wire n_9953;
+   wire n_9954;
+   wire n_9955;
+   wire n_9956;
+   wire n_9957;
+   wire n_9958;
+   wire n_9959;
+   wire n_9960;
+   wire n_9961;
+   wire n_9962;
+   wire n_9963;
+   wire n_9964;
+   wire n_9965;
+   wire n_9966;
+   wire n_9967;
+   wire n_9968;
+   wire n_9969;
+   wire n_9970;
+   wire n_9971;
+   wire n_9972;
+   wire n_9973;
+   wire n_9974;
+   wire n_9975;
+   wire n_9976;
+   wire n_9977;
+   wire n_9978;
+   wire n_9979;
+   wire n_9980;
+   wire n_9981;
+   wire n_9982;
+   wire n_9983;
+   wire n_9984;
+   wire n_9985;
+   wire n_9987;
+   wire n_9988;
+   wire n_9989;
+   wire n_9990;
+   wire n_9991;
+   wire n_9992;
+   wire n_9993;
+   wire n_9994;
+   wire n_9995;
+   wire n_9996;
+   wire n_9997;
+   wire n_9998;
+   wire n_9999;
+   wire n_10000;
+   wire n_10001;
+   wire n_10002;
+   wire n_10003;
+   wire n_10004;
+   wire n_10005;
+   wire n_10006;
+   wire n_10007;
+   wire n_10008;
+   wire n_10009;
+   wire n_10010;
+   wire n_10011;
+   wire n_10012;
+   wire n_10013;
+   wire n_10014;
+   wire n_10015;
+   wire n_10016;
+   wire n_10017;
+   wire n_10018;
+   wire n_10019;
+   wire n_10020;
+   wire n_10021;
+   wire n_10022;
+   wire n_10023;
+   wire n_10024;
+   wire n_10025;
+   wire n_10026;
+   wire n_10027;
+   wire n_10028;
+   wire n_10029;
+   wire n_10030;
+   wire n_10031;
+   wire n_10032;
+   wire n_10033;
+   wire n_10034;
+   wire n_10035;
+   wire n_10036;
+   wire n_10037;
+   wire n_10038;
+   wire n_10039;
+   wire n_10040;
+   wire n_10041;
+   wire n_10042;
+   wire n_10043;
+   wire n_10044;
+   wire n_10045;
+   wire n_10046;
+   wire n_10047;
+   wire n_10048;
+   wire n_10049;
+   wire n_10050;
+   wire n_10051;
+   wire n_10052;
+   wire n_10053;
+   wire n_10054;
+   wire n_10055;
+   wire n_10056;
+   wire n_10057;
+   wire n_10058;
+   wire n_10059;
+   wire n_10060;
+   wire n_10061;
+   wire n_10062;
+   wire n_10063;
+   wire n_10064;
+   wire n_10065;
+   wire n_10066;
+   wire n_10067;
+   wire n_10068;
+   wire n_10069;
+   wire n_10070;
+   wire n_10071;
+   wire n_10072;
+   wire n_10073;
+   wire n_10074;
+   wire n_10075;
+   wire n_10076;
+   wire n_10077;
+   wire n_10078;
+   wire n_10079;
+   wire n_10080;
+   wire n_10082;
+   wire n_10083;
+   wire n_10084;
+   wire n_10085;
+   wire n_10086;
+   wire n_10087;
+   wire n_10088;
+   wire n_10089;
+   wire n_10090;
+   wire n_10091;
+   wire n_10092;
+   wire n_10093;
+   wire n_10094;
+   wire n_10095;
+   wire n_10096;
+   wire n_10097;
+   wire n_10098;
+   wire n_10099;
+   wire n_10100;
+   wire n_10101;
+   wire n_10102;
+   wire n_10103;
+   wire n_10104;
+   wire n_10105;
+   wire n_10106;
+   wire n_10107;
+   wire n_10108;
+   wire n_10109;
+   wire n_10111;
+   wire n_10112;
+   wire n_10113;
+   wire n_10114;
+   wire n_10116;
+   wire n_10117;
+   wire n_10118;
+   wire n_10119;
+   wire n_10120;
+   wire n_10121;
+   wire n_10122;
+   wire n_10123;
+   wire n_10124;
+   wire n_10125;
+   wire n_10126;
+   wire n_10127;
+   wire n_10128;
+   wire n_10129;
+   wire n_10130;
+   wire n_10131;
+   wire n_10132;
+   wire n_10133;
+   wire n_10134;
+   wire n_10135;
+   wire n_10136;
+   wire n_10137;
+   wire n_10138;
+   wire n_10139;
+   wire n_10140;
+   wire n_10141;
+   wire n_10142;
+   wire n_10143;
+   wire n_10144;
+   wire n_10145;
+   wire n_10146;
+   wire n_10147;
+   wire n_10148;
+   wire n_10149;
+   wire n_10150;
+   wire n_10151;
+   wire n_10152;
+   wire n_10153;
+   wire n_10154;
+   wire n_10155;
+   wire n_10156;
+   wire n_10157;
+   wire n_10158;
+   wire n_10159;
+   wire n_10160;
+   wire n_10161;
+   wire n_10162;
+   wire n_10163;
+   wire n_10164;
+   wire n_10165;
+   wire n_10166;
+   wire n_10167;
+   wire n_10168;
+   wire n_10169;
+   wire n_10170;
+   wire n_10171;
+   wire n_10172;
+   wire n_10173;
+   wire n_10174;
+   wire n_10175;
+   wire n_10176;
+   wire n_10178;
+   wire n_10179;
+   wire n_10180;
+   wire n_10181;
+   wire n_10182;
+   wire n_10183;
+   wire n_10184;
+   wire n_10185;
+   wire n_10186;
+   wire n_10187;
+   wire n_10188;
+   wire n_10189;
+   wire n_10190;
+   wire n_10191;
+   wire n_10192;
+   wire n_10193;
+   wire n_10194;
+   wire n_10195;
+   wire n_10196;
+   wire n_10197;
+   wire n_10198;
+   wire n_10199;
+   wire n_10200;
+   wire n_10201;
+   wire n_10202;
+   wire n_10203;
+   wire n_10204;
+   wire n_10205;
+   wire n_10206;
+   wire n_10207;
+   wire n_10208;
+   wire n_10209;
+   wire n_10210;
+   wire n_10211;
+   wire n_10212;
+   wire n_10213;
+   wire n_10214;
+   wire n_10215;
+   wire n_10216;
+   wire n_10217;
+   wire n_10219;
+   wire n_10220;
+   wire n_10221;
+   wire n_10223;
+   wire n_10224;
+   wire n_10226;
+   wire n_10227;
+   wire n_10228;
+   wire n_10229;
+   wire n_10230;
+   wire n_10231;
+   wire n_10232;
+   wire n_10233;
+   wire n_10234;
+   wire n_10235;
+   wire n_10236;
+   wire n_10237;
+   wire n_10238;
+   wire n_10239;
+   wire n_10240;
+   wire n_10241;
+   wire n_10242;
+   wire n_10243;
+   wire n_10244;
+   wire n_10245;
+   wire n_10246;
+   wire n_10247;
+   wire n_10248;
+   wire n_10249;
+   wire n_10250;
+   wire n_10251;
+   wire n_10252;
+   wire n_10253;
+   wire n_10254;
+   wire n_10255;
+   wire n_10256;
+   wire n_10257;
+   wire n_10259;
+   wire n_10261;
+   wire n_10262;
+   wire n_10263;
+   wire n_10264;
+   wire n_10265;
+   wire n_10266;
+   wire n_10267;
+   wire n_10268;
+   wire n_10272;
+   wire n_10273;
+   wire n_10275;
+   wire n_10276;
+   wire n_10277;
+   wire n_10278;
+   wire n_10279;
+   wire n_10280;
+   wire n_10281;
+   wire n_10282;
+   wire n_10283;
+   wire n_10284;
+   wire n_10286;
+   wire n_10287;
+   wire n_10288;
+   wire n_10289;
+   wire n_10290;
+   wire n_10291;
+   wire n_10292;
+   wire n_10293;
+   wire n_10295;
+   wire n_10296;
+   wire n_10297;
+   wire n_10298;
+   wire n_10299;
+   wire n_10300;
+   wire n_10301;
+   wire n_10302;
+   wire n_10303;
+   wire n_10304;
+   wire n_10305;
+   wire n_10306;
+   wire n_10307;
+   wire n_10308;
+   wire n_10310;
+   wire n_10312;
+   wire n_10313;
+   wire n_10314;
+   wire n_10315;
+   wire n_10316;
+   wire n_10317;
+   wire n_10318;
+   wire n_10319;
+   wire n_10320;
+   wire n_10321;
+   wire n_10322;
+   wire n_10323;
+   wire n_10324;
+   wire n_10325;
+   wire n_10326;
+   wire n_10327;
+   wire n_10328;
+   wire n_10329;
+   wire n_10330;
+   wire n_10331;
+   wire n_10332;
+   wire n_10333;
+   wire n_10334;
+   wire n_10335;
+   wire n_10336;
+   wire n_10337;
+   wire n_10338;
+   wire n_10339;
+   wire n_10340;
+   wire n_10341;
+   wire n_10342;
+   wire n_10343;
+   wire n_10344;
+   wire n_10345;
+   wire n_10346;
+   wire n_10347;
+   wire n_10348;
+   wire n_10349;
+   wire n_10350;
+   wire n_10351;
+   wire n_10353;
+   wire n_10354;
+   wire n_10355;
+   wire n_10356;
+   wire n_10357;
+   wire n_10358;
+   wire n_10359;
+   wire n_10360;
+   wire n_10361;
+   wire n_10362;
+   wire n_10363;
+   wire n_10364;
+   wire n_10365;
+   wire n_10366;
+   wire n_10367;
+   wire n_10368;
+   wire n_10369;
+   wire n_10370;
+   wire n_10371;
+   wire n_10372;
+   wire n_10373;
+   wire n_10374;
+   wire n_10375;
+   wire n_10376;
+   wire n_10377;
+   wire n_10378;
+   wire n_10379;
+   wire n_10380;
+   wire n_10381;
+   wire n_10382;
+   wire n_10383;
+   wire n_10390;
+   wire n_10391;
+   wire n_10392;
+   wire n_10402;
+   wire n_10403;
+   wire n_10404;
+   wire n_10405;
+   wire n_10407;
+   wire n_10408;
+   wire n_10409;
+   wire n_10410;
+   wire n_10411;
+   wire n_10412;
+   wire n_10413;
+   wire n_10414;
+   wire n_10415;
+   wire n_10416;
+   wire n_10417;
+   wire n_10418;
+   wire n_10419;
+   wire n_10420;
+   wire n_10421;
+   wire n_10422;
+   wire n_10423;
+   wire n_10424;
+   wire n_10425;
+   wire n_10426;
+   wire n_10427;
+   wire n_10428;
+   wire n_10429;
+   wire n_10430;
+   wire n_10431;
+   wire n_10432;
+   wire n_10433;
+   wire n_10434;
+   wire n_10435;
+   wire n_10436;
+   wire n_10437;
+   wire n_10438;
+   wire n_10439;
+   wire n_10440;
+   wire n_10441;
+   wire n_10442;
+   wire n_10443;
+   wire n_10444;
+   wire n_10445;
+   wire n_10446;
+   wire n_10447;
+   wire n_10448;
+   wire n_10449;
+   wire n_10450;
+   wire n_10451;
+   wire n_10452;
+   wire n_10453;
+   wire n_10454;
+   wire n_10455;
+   wire n_10456;
+   wire n_10457;
+   wire n_10458;
+   wire n_10459;
+   wire n_10460;
+   wire n_10461;
+   wire n_10462;
+   wire n_10463;
+   wire n_10464;
+   wire n_10465;
+   wire n_10466;
+   wire n_10467;
+   wire n_10468;
+   wire n_10469;
+   wire n_10470;
+   wire n_10471;
+   wire n_10472;
+   wire n_10473;
+   wire n_10474;
+   wire n_10475;
+   wire n_10476;
+   wire n_10477;
+   wire n_10478;
+   wire n_10479;
+   wire n_10480;
+   wire n_10481;
+   wire n_10482;
+   wire n_10485;
+   wire n_10488;
+   wire n_10491;
+   wire n_10493;
+   wire n_10496;
+   wire n_10497;
+   wire n_10499;
+   wire n_10500;
+   wire n_10502;
+   wire n_10503;
+   wire n_10504;
+   wire n_10505;
+   wire n_10507;
+   wire n_10508;
+   wire n_10509;
+   wire n_10515;
+   wire n_10516;
+   wire n_10517;
+   wire n_10519;
+   wire n_10524;
+   wire n_10525;
+   wire n_10526;
+   wire n_10527;
+   wire n_10528;
+   wire n_10529;
+   wire n_10530;
+   wire n_10531;
+   wire n_10532;
+   wire n_10533;
+   wire n_10534;
+   wire n_10535;
+   wire n_10536;
+   wire n_10537;
+   wire n_10538;
+   wire n_10539;
+   wire n_10541;
+   wire n_10542;
+   wire n_10543;
+   wire n_10544;
+   wire n_10545;
+   wire n_10546;
+   wire n_10547;
+   wire n_10548;
+   wire n_10549;
+   wire n_10550;
+   wire n_10551;
+   wire n_10552;
+   wire n_10553;
+   wire n_10554;
+   wire n_10556;
+   wire n_10557;
+   wire n_10559;
+   wire n_10561;
+   wire n_10562;
+   wire n_10563;
+   wire n_10564;
+   wire n_10566;
+   wire n_10567;
+   wire n_10568;
+   wire n_10569;
+   wire n_10570;
+   wire n_10572;
+   wire n_10573;
+   wire n_10574;
+   wire n_10575;
+   wire n_10576;
+   wire n_10578;
+   wire n_10579;
+   wire n_10580;
+   wire n_10581;
+   wire n_10582;
+   wire n_10584;
+   wire n_10585;
+   wire n_10586;
+   wire n_10587;
+   wire n_10588;
+   wire n_10589;
+   wire n_10590;
+   wire n_10591;
+   wire n_10592;
+   wire n_10593;
+   wire n_10594;
+   wire n_10595;
+   wire n_10596;
+   wire n_10598;
+   wire n_10601;
+   wire n_10602;
+   wire n_10603;
+   wire n_10604;
+   wire n_10605;
+   wire n_10606;
+   wire n_10609;
+   wire n_10610;
+   wire n_10611;
+   wire n_10612;
+   wire n_10613;
+   wire n_10614;
+   wire n_10615;
+   wire n_10616;
+   wire n_10617;
+   wire n_10618;
+   wire n_10619;
+   wire n_10620;
+   wire n_10621;
+   wire n_10623;
+   wire n_10624;
+   wire n_10625;
+   wire n_10626;
+   wire n_10627;
+   wire n_10628;
+   wire n_10629;
+   wire n_10630;
+   wire n_10632;
+   wire n_10633;
+   wire n_10634;
+   wire n_10636;
+   wire n_10639;
+   wire n_10640;
+   wire n_10641;
+   wire n_10645;
+   wire n_10646;
+   wire n_10648;
+   wire n_10649;
+   wire n_10650;
+   wire n_10651;
+   wire n_10652;
+   wire n_10653;
+   wire n_10654;
+   wire n_10655;
+   wire n_10656;
+   wire n_10657;
+   wire n_10658;
+   wire n_10659;
+   wire n_10660;
+   wire n_10661;
+   wire n_10662;
+   wire n_10663;
+   wire n_10664;
+   wire n_10665;
+   wire n_10666;
+   wire n_10667;
+   wire n_10668;
+   wire n_10669;
+   wire n_10670;
+   wire n_10671;
+   wire n_10672;
+   wire n_10673;
+   wire n_10674;
+   wire n_10675;
+   wire n_10676;
+   wire n_10677;
+   wire n_10678;
+   wire n_10679;
+   wire n_10680;
+   wire n_10681;
+   wire n_10682;
+   wire n_10683;
+   wire n_10684;
+   wire n_10685;
+   wire n_10686;
+   wire n_10687;
+   wire n_10688;
+   wire n_10689;
+   wire n_10690;
+   wire n_10691;
+   wire n_10692;
+   wire n_10693;
+   wire n_10694;
+   wire n_10695;
+   wire n_10696;
+   wire n_10697;
+   wire n_10698;
+   wire n_10699;
+   wire n_10700;
+   wire n_10701;
+   wire n_10702;
+   wire n_10703;
+   wire n_10704;
+   wire n_10705;
+   wire n_10706;
+   wire n_10707;
+   wire n_10708;
+   wire n_10709;
+   wire n_10710;
+   wire n_10711;
+   wire n_10712;
+   wire n_10713;
+   wire n_10714;
+   wire n_10715;
+   wire n_10716;
+   wire n_10717;
+   wire n_10718;
+   wire n_10719;
+   wire n_10720;
+   wire n_10721;
+   wire n_10722;
+   wire n_10723;
+   wire n_10724;
+   wire n_10725;
+   wire n_10726;
+   wire n_10727;
+   wire n_10728;
+   wire n_10729;
+   wire n_10730;
+   wire n_10731;
+   wire n_10732;
+   wire n_10733;
+   wire n_10734;
+   wire n_10735;
+   wire n_10736;
+   wire n_10737;
+   wire n_10738;
+   wire n_10739;
+   wire n_10740;
+   wire n_10741;
+   wire n_10742;
+   wire n_10743;
+   wire n_10744;
+   wire n_10745;
+   wire n_10746;
+   wire n_10747;
+   wire n_10748;
+   wire n_10749;
+   wire n_10750;
+   wire n_10751;
+   wire n_10752;
+   wire n_10753;
+   wire n_10754;
+   wire n_10755;
+   wire n_10756;
+   wire n_10757;
+   wire n_10758;
+   wire n_10759;
+   wire n_10760;
+   wire n_10761;
+   wire n_10762;
+   wire n_10763;
+   wire n_10764;
+   wire n_10765;
+   wire n_10766;
+   wire n_10767;
+   wire n_10768;
+   wire n_10769;
+   wire n_10770;
+   wire n_10771;
+   wire n_10772;
+   wire n_10773;
+   wire n_10774;
+   wire n_10775;
+   wire n_10776;
+   wire n_10777;
+   wire n_10778;
+   wire n_10779;
+   wire n_10780;
+   wire n_10781;
+   wire n_10782;
+   wire n_10783;
+   wire n_10784;
+   wire n_10785;
+   wire n_10786;
+   wire n_10787;
+   wire n_10788;
+   wire n_10789;
+   wire n_10790;
+   wire n_10791;
+   wire n_10792;
+   wire n_10793;
+   wire n_10794;
+   wire n_10795;
+   wire n_10796;
+   wire n_10797;
+   wire n_10798;
+   wire n_10799;
+   wire n_10800;
+   wire n_10801;
+   wire n_10802;
+   wire n_10803;
+   wire n_10804;
+   wire n_10805;
+   wire n_10806;
+   wire n_10807;
+   wire n_10808;
+   wire n_10809;
+   wire n_10810;
+   wire n_10811;
+   wire n_10812;
+   wire n_10813;
+   wire n_10814;
+   wire n_10815;
+   wire n_10816;
+   wire n_10817;
+   wire n_10818;
+   wire n_10819;
+   wire n_10820;
+   wire n_10821;
+   wire n_10822;
+   wire n_10823;
+   wire n_10824;
+   wire n_10825;
+   wire n_10826;
+   wire n_10827;
+   wire n_10828;
+   wire n_10829;
+   wire n_10830;
+   wire n_10831;
+   wire n_10832;
+   wire n_10833;
+   wire n_10834;
+   wire n_10835;
+   wire n_10836;
+   wire n_10837;
+   wire n_10838;
+   wire n_10839;
+   wire n_10840;
+   wire n_10841;
+   wire n_10842;
+   wire n_10843;
+   wire n_10844;
+   wire n_10845;
+   wire n_10846;
+   wire n_10847;
+   wire n_10848;
+   wire n_10849;
+   wire n_10850;
+   wire n_10851;
+   wire n_10852;
+   wire n_10853;
+   wire n_10854;
+   wire n_10855;
+   wire n_10856;
+   wire n_10857;
+   wire n_10858;
+   wire n_10859;
+   wire n_10860;
+   wire n_10861;
+   wire n_10862;
+   wire n_10863;
+   wire n_10864;
+   wire n_10865;
+   wire n_10866;
+   wire n_10867;
+   wire n_10868;
+   wire n_10869;
+   wire n_10870;
+   wire n_10871;
+   wire n_10872;
+   wire n_10873;
+   wire n_10874;
+   wire n_10875;
+   wire n_10876;
+   wire n_10877;
+   wire n_10878;
+   wire n_10879;
+   wire n_10880;
+   wire n_10881;
+   wire n_10882;
+   wire n_10883;
+   wire n_10884;
+   wire n_10885;
+   wire n_10886;
+   wire n_10887;
+   wire n_10888;
+   wire n_10889;
+   wire n_10890;
+   wire n_10891;
+   wire n_10892;
+   wire n_10893;
+   wire n_10894;
+   wire n_10895;
+   wire n_10896;
+   wire n_10897;
+   wire n_10898;
+   wire n_10899;
+   wire n_10900;
+   wire n_10901;
+   wire n_10902;
+   wire n_10903;
+   wire n_10904;
+   wire n_10905;
+   wire n_10906;
+   wire n_10907;
+   wire n_10908;
+   wire n_10909;
+   wire n_10910;
+   wire n_10911;
+   wire n_10912;
+   wire n_10913;
+   wire n_10914;
+   wire n_10918;
+   wire n_10919;
+   wire n_10920;
+   wire n_10921;
+   wire n_10923;
+   wire n_10925;
+   wire n_10926;
+   wire n_10927;
+   wire n_10928;
+   wire n_10929;
+   wire n_10930;
+   wire n_10931;
+   wire n_10932;
+   wire n_10933;
+   wire n_10944;
+   wire n_10948;
+   wire n_10950;
+   wire n_10951;
+   wire n_10952;
+   wire n_10953;
+   wire n_10954;
+   wire n_10955;
+   wire n_10956;
+   wire n_10957;
+   wire n_10958;
+   wire n_10959;
+   wire n_10960;
+   wire n_10961;
+   wire n_10962;
+   wire n_10963;
+   wire n_10964;
+   wire n_10965;
+   wire n_10966;
+   wire n_10967;
+   wire n_10968;
+   wire n_10969;
+   wire n_10970;
+   wire n_10971;
+   wire n_10972;
+   wire n_10973;
+   wire n_10974;
+   wire n_10975;
+   wire n_10976;
+   wire n_10977;
+   wire n_10978;
+   wire n_10979;
+   wire n_10981;
+   wire n_10989;
+   wire n_10993;
+   wire n_10994;
+   wire n_10997;
+   wire n_10998;
+   wire n_11000;
+   wire n_11001;
+   wire n_11002;
+   wire n_11003;
+   wire n_11004;
+   wire n_11005;
+   wire n_11006;
+   wire n_11007;
+   wire n_11008;
+   wire n_11009;
+   wire n_11010;
+   wire n_11011;
+   wire n_11012;
+   wire n_11013;
+   wire n_11014;
+   wire n_11015;
+   wire n_11016;
+   wire n_11017;
+   wire n_11018;
+   wire n_11019;
+   wire n_11020;
+   wire n_11021;
+   wire n_11022;
+   wire n_11023;
+   wire n_11024;
+   wire n_11025;
+   wire n_11026;
+   wire n_11027;
+   wire n_11028;
+   wire n_11029;
+   wire n_11030;
+   wire n_11031;
+   wire n_11032;
+   wire n_11033;
+   wire n_11034;
+   wire n_11035;
+   wire n_11036;
+   wire n_11037;
+   wire n_11038;
+   wire n_11039;
+   wire n_11040;
+   wire n_11041;
+   wire n_11042;
+   wire n_11043;
+   wire n_11044;
+   wire n_11045;
+   wire n_11046;
+   wire n_11047;
+   wire n_11048;
+   wire n_11049;
+   wire n_11050;
+   wire n_11051;
+   wire n_11052;
+   wire n_11053;
+   wire n_11054;
+   wire n_11055;
+   wire n_11056;
+   wire n_11057;
+   wire n_11058;
+   wire n_11059;
+   wire n_11060;
+   wire n_11061;
+   wire n_11062;
+   wire n_11063;
+   wire n_11064;
+   wire n_11065;
+   wire n_11066;
+   wire n_11067;
+   wire n_11068;
+   wire n_11069;
+   wire n_11070;
+   wire n_11071;
+   wire n_11072;
+   wire n_11073;
+   wire n_11074;
+   wire n_11075;
+   wire n_11076;
+   wire n_11077;
+   wire n_11078;
+   wire n_11079;
+   wire n_11080;
+   wire n_11081;
+   wire n_11082;
+   wire n_11083;
+   wire n_11084;
+   wire n_11085;
+   wire n_11086;
+   wire n_11087;
+   wire n_11088;
+   wire n_11089;
+   wire n_11090;
+   wire n_11091;
+   wire n_11092;
+   wire n_11093;
+   wire n_11094;
+   wire n_11095;
+   wire n_11096;
+   wire n_11097;
+   wire n_11098;
+   wire n_11099;
+   wire n_11100;
+   wire n_11101;
+   wire n_11102;
+   wire n_11103;
+   wire n_11104;
+   wire n_11105;
+   wire n_11106;
+   wire n_11107;
+   wire n_11108;
+   wire n_11109;
+   wire n_11110;
+   wire n_11111;
+   wire n_11112;
+   wire n_11113;
+   wire n_11114;
+   wire n_11115;
+   wire n_11116;
+   wire n_11117;
+   wire n_11118;
+   wire n_11119;
+   wire n_11120;
+   wire n_11121;
+   wire n_11122;
+   wire n_11123;
+   wire n_11124;
+   wire n_11125;
+   wire n_11126;
+   wire n_11127;
+   wire n_11128;
+   wire n_11129;
+   wire n_11130;
+   wire n_11131;
+   wire n_11132;
+   wire n_11133;
+   wire n_11134;
+   wire n_11135;
+   wire n_11136;
+   wire n_11137;
+   wire n_11138;
+   wire n_11139;
+   wire n_11140;
+   wire n_11141;
+   wire n_11142;
+   wire n_11143;
+   wire n_11144;
+   wire n_11145;
+   wire n_11146;
+   wire n_11147;
+   wire n_11148;
+   wire n_11149;
+   wire n_11150;
+   wire n_11151;
+   wire n_11152;
+   wire n_11153;
+   wire n_11154;
+   wire n_11155;
+   wire n_11156;
+   wire n_11157;
+   wire n_11158;
+   wire n_11159;
+   wire n_11160;
+   wire n_11161;
+   wire n_11162;
+   wire n_11163;
+   wire n_11164;
+   wire n_11165;
+   wire n_11166;
+   wire n_11167;
+   wire n_11168;
+   wire n_11169;
+   wire n_11170;
+   wire n_11171;
+   wire n_11172;
+   wire n_11173;
+   wire n_11174;
+   wire n_11175;
+   wire n_11176;
+   wire n_11177;
+   wire n_11178;
+   wire n_11179;
+   wire n_11180;
+   wire n_11181;
+   wire n_11182;
+   wire n_11183;
+   wire n_11184;
+   wire n_11185;
+   wire n_11186;
+   wire n_11187;
+   wire n_11188;
+   wire n_11189;
+   wire n_11190;
+   wire n_11191;
+   wire n_11192;
+   wire n_11193;
+   wire n_11194;
+   wire n_11195;
+   wire n_11196;
+   wire n_11197;
+   wire n_11198;
+   wire n_11199;
+   wire n_11200;
+   wire n_11201;
+   wire n_11202;
+   wire n_11203;
+   wire n_11204;
+   wire n_11205;
+   wire n_11206;
+   wire n_11207;
+   wire n_11208;
+   wire n_11209;
+   wire n_11210;
+   wire n_11211;
+   wire n_11212;
+   wire n_11213;
+   wire n_11214;
+   wire n_11215;
+   wire n_11216;
+   wire n_11217;
+   wire n_11218;
+   wire n_11219;
+   wire n_11220;
+   wire n_11221;
+   wire n_11222;
+   wire n_11223;
+   wire n_11224;
+   wire n_11225;
+   wire n_11226;
+   wire n_11227;
+   wire n_11228;
+   wire n_11229;
+   wire n_11230;
+   wire n_11231;
+   wire n_11232;
+   wire n_11233;
+   wire n_11234;
+   wire n_11235;
+   wire n_11236;
+   wire n_11237;
+   wire n_11238;
+   wire n_11239;
+   wire n_11240;
+   wire n_11241;
+   wire n_11242;
+   wire n_11243;
+   wire n_11244;
+   wire n_11245;
+   wire n_11246;
+   wire n_11247;
+   wire n_11248;
+   wire n_11249;
+   wire n_11250;
+   wire n_11251;
+   wire n_11252;
+   wire n_11253;
+   wire n_11254;
+   wire n_11255;
+   wire n_11256;
+   wire n_11257;
+   wire n_11258;
+   wire n_11259;
+   wire n_11260;
+   wire n_11261;
+   wire n_11262;
+   wire n_11263;
+   wire n_11264;
+   wire n_11265;
+   wire n_11266;
+   wire n_11267;
+   wire n_11268;
+   wire n_11269;
+   wire n_11270;
+   wire n_11271;
+   wire n_11272;
+   wire n_11273;
+   wire n_11274;
+   wire n_11275;
+   wire n_11276;
+   wire n_11277;
+   wire n_11278;
+   wire n_11279;
+   wire n_11280;
+   wire n_11281;
+   wire n_11282;
+   wire n_11283;
+   wire n_11284;
+   wire n_11285;
+   wire n_11286;
+   wire n_11287;
+   wire n_11288;
+   wire n_11289;
+   wire n_11290;
+   wire n_11291;
+   wire n_11292;
+   wire n_11293;
+   wire n_11294;
+   wire n_11295;
+   wire n_11296;
+   wire n_11297;
+   wire n_11298;
+   wire n_11299;
+   wire n_11300;
+   wire n_11301;
+   wire n_11302;
+   wire n_11303;
+   wire n_11304;
+   wire n_11305;
+   wire n_11306;
+   wire n_11307;
+   wire n_11308;
+   wire n_11309;
+   wire n_11310;
+   wire n_11311;
+   wire n_11312;
+   wire n_11313;
+   wire n_11314;
+   wire n_11315;
+   wire n_11316;
+   wire n_11317;
+   wire n_11318;
+   wire n_11319;
+   wire n_11320;
+   wire n_11321;
+   wire n_11322;
+   wire n_11323;
+   wire n_11324;
+   wire n_11325;
+   wire n_11326;
+   wire n_11327;
+   wire n_11329;
+   wire n_11330;
+   wire n_11331;
+   wire n_11332;
+   wire n_11333;
+   wire n_11334;
+   wire n_11335;
+   wire n_11336;
+   wire n_11337;
+   wire n_11338;
+   wire n_11339;
+   wire n_11340;
+   wire n_11341;
+   wire n_11342;
+   wire n_11343;
+   wire n_11344;
+   wire n_11345;
+   wire n_11346;
+   wire n_11347;
+   wire n_11348;
+   wire n_11349;
+   wire n_11350;
+   wire n_11351;
+   wire n_11352;
+   wire n_11353;
+   wire n_11354;
+   wire n_11355;
+   wire n_11356;
+   wire n_11357;
+   wire n_11358;
+   wire n_11359;
+   wire n_11360;
+   wire n_11361;
+   wire n_11362;
+   wire n_11363;
+   wire n_11364;
+   wire n_11365;
+   wire n_11366;
+   wire n_11367;
+   wire n_11368;
+   wire n_11369;
+   wire n_11370;
+   wire n_11371;
+   wire n_11372;
+   wire n_11373;
+   wire n_11374;
+   wire n_11375;
+   wire n_11376;
+   wire n_11377;
+   wire n_11378;
+   wire n_11379;
+   wire n_11380;
+   wire n_11381;
+   wire n_11383;
+   wire n_11384;
+   wire n_11385;
+   wire n_11386;
+   wire n_11387;
+   wire n_11388;
+   wire n_11389;
+   wire n_11390;
+   wire n_11391;
+   wire n_11392;
+   wire n_11393;
+   wire n_11394;
+   wire n_11396;
+   wire n_11397;
+   wire n_11398;
+   wire n_11399;
+   wire n_11400;
+   wire n_11401;
+   wire n_11402;
+   wire n_11403;
+   wire n_11404;
+   wire n_11405;
+   wire n_11407;
+   wire n_11408;
+   wire n_11409;
+   wire n_11410;
+   wire n_11411;
+   wire n_11412;
+   wire n_11413;
+   wire n_11414;
+   wire n_11416;
+   wire n_11417;
+   wire n_11418;
+   wire n_11419;
+   wire n_11420;
+   wire n_11421;
+   wire n_11422;
+   wire n_11423;
+   wire n_11424;
+   wire n_11425;
+   wire n_11426;
+   wire n_11428;
+   wire n_11429;
+   wire n_11430;
+   wire n_11431;
+   wire n_11432;
+   wire n_11434;
+   wire n_11436;
+   wire n_11437;
+   wire n_11438;
+   wire n_11439;
+   wire n_11440;
+   wire n_11441;
+   wire n_11442;
+   wire n_11443;
+   wire n_11445;
+   wire n_11446;
+   wire n_11447;
+   wire n_11448;
+   wire n_11449;
+   wire n_11451;
+   wire n_11452;
+   wire n_11453;
+   wire n_11454;
+   wire n_11455;
+   wire n_11456;
+   wire n_11458;
+   wire n_11459;
+   wire n_11460;
+   wire n_11461;
+   wire n_11462;
+   wire n_11463;
+   wire n_11464;
+   wire n_11465;
+   wire n_11467;
+   wire n_11468;
+   wire n_11469;
+   wire n_11470;
+   wire n_11471;
+   wire n_11472;
+   wire n_11473;
+   wire n_11474;
+   wire n_11475;
+   wire n_11476;
+   wire n_11477;
+   wire n_11478;
+   wire n_11479;
+   wire n_11480;
+   wire n_11481;
+   wire n_11482;
+   wire n_11483;
+   wire n_11484;
+   wire n_11485;
+   wire n_11486;
+   wire n_11487;
+   wire n_11488;
+   wire n_11489;
+   wire n_11490;
+   wire n_11491;
+   wire n_11492;
+   wire n_11493;
+   wire n_11494;
+   wire n_11495;
+   wire n_11496;
+   wire n_11497;
+   wire n_11498;
+   wire n_11499;
+   wire n_11500;
+   wire n_11501;
+   wire n_11502;
+   wire n_11503;
+   wire n_11504;
+   wire n_11505;
+   wire n_11506;
+   wire n_11507;
+   wire n_11508;
+   wire n_11509;
+   wire n_11510;
+   wire n_11511;
+   wire n_11512;
+   wire n_11517;
+   wire n_11521;
+   wire n_11523;
+   wire n_11526;
+   wire n_11527;
+   wire n_11528;
+   wire n_11529;
+   wire n_11530;
+   wire n_11531;
+   wire n_11532;
+   wire n_11533;
+   wire n_11534;
+   wire n_11535;
+   wire n_11536;
+   wire n_11537;
+   wire n_11538;
+   wire n_11539;
+   wire n_11540;
+   wire n_11541;
+   wire n_11542;
+   wire n_11543;
+   wire n_11544;
+   wire n_11545;
+   wire n_11546;
+   wire n_11547;
+   wire n_11549;
+   wire n_11550;
+   wire n_11551;
+   wire n_11552;
+   wire n_11554;
+   wire n_11556;
+   wire n_11558;
+   wire n_11560;
+   wire n_11561;
+   wire n_11562;
+   wire n_11566;
+   wire n_11572;
+   wire n_11573;
+   wire n_11574;
+   wire n_11578;
+   wire n_11580;
+   wire n_11581;
+   wire n_11582;
+   wire n_11583;
+   wire n_11586;
+   wire n_11587;
+   wire n_11588;
+   wire n_11589;
+   wire n_11590;
+   wire n_11591;
+   wire n_11592;
+   wire n_11593;
+   wire n_11594;
+   wire n_11595;
+   wire n_11596;
+   wire n_11597;
+   wire n_11598;
+   wire n_11599;
+   wire n_11600;
+   wire n_11601;
+   wire n_11602;
+   wire n_11603;
+   wire n_11604;
+   wire n_11605;
+   wire n_11606;
+   wire n_11607;
+   wire n_11608;
+   wire n_11609;
+   wire n_11610;
+   wire n_11611;
+   wire n_11612;
+   wire n_11613;
+   wire n_11614;
+   wire n_11615;
+   wire n_11616;
+   wire n_11617;
+   wire n_11618;
+   wire n_11619;
+   wire n_11620;
+   wire n_11621;
+   wire n_11622;
+   wire n_11623;
+   wire n_11624;
+   wire n_11625;
+   wire n_11626;
+   wire n_11627;
+   wire n_11628;
+   wire n_11629;
+   wire n_11630;
+   wire n_11631;
+   wire n_11632;
+   wire n_11633;
+   wire n_11634;
+   wire n_11635;
+   wire n_11636;
+   wire n_11637;
+   wire n_11638;
+   wire n_11639;
+   wire n_11640;
+   wire n_11641;
+   wire n_11642;
+   wire n_11643;
+   wire n_11644;
+   wire n_11645;
+   wire n_11646;
+   wire n_11647;
+   wire n_11648;
+   wire n_11649;
+   wire n_11650;
+   wire n_11651;
+   wire n_11652;
+   wire n_11653;
+   wire n_11654;
+   wire n_11655;
+   wire n_11656;
+   wire n_11657;
+   wire n_11658;
+   wire n_11659;
+   wire n_11660;
+   wire n_11661;
+   wire n_11662;
+   wire n_11663;
+   wire n_11665;
+   wire n_11666;
+   wire n_11667;
+   wire n_11668;
+   wire n_11669;
+   wire n_11670;
+   wire n_11671;
+   wire n_11672;
+   wire n_11673;
+   wire n_11674;
+   wire n_11675;
+   wire n_11676;
+   wire n_11677;
+   wire n_11678;
+   wire n_11679;
+   wire n_11680;
+   wire n_11681;
+   wire n_11682;
+   wire n_11683;
+   wire n_11684;
+   wire n_11685;
+   wire n_11686;
+   wire n_11687;
+   wire n_11688;
+   wire n_11689;
+   wire n_11690;
+   wire n_11691;
+   wire n_11692;
+   wire n_11693;
+   wire n_11694;
+   wire n_11695;
+   wire n_11696;
+   wire n_11697;
+   wire n_11698;
+   wire n_11699;
+   wire n_11700;
+   wire n_11701;
+   wire n_11702;
+   wire n_11703;
+   wire n_11704;
+   wire n_11705;
+   wire n_11706;
+   wire n_11707;
+   wire n_11708;
+   wire n_11710;
+   wire n_11711;
+   wire n_11712;
+   wire n_11713;
+   wire n_11714;
+   wire n_11716;
+   wire n_11717;
+   wire n_11718;
+   wire n_11719;
+   wire n_11720;
+   wire n_11721;
+   wire n_11722;
+   wire n_11723;
+   wire n_11724;
+   wire n_11725;
+   wire n_11726;
+   wire n_11727;
+   wire n_11728;
+   wire n_11729;
+   wire n_11730;
+   wire n_11731;
+   wire n_11732;
+   wire n_11733;
+   wire n_11734;
+   wire n_11735;
+   wire n_11736;
+   wire n_11738;
+   wire n_11739;
+   wire n_11740;
+   wire n_11741;
+   wire n_11742;
+   wire n_11743;
+   wire n_11744;
+   wire n_11745;
+   wire n_11746;
+   wire n_11747;
+   wire n_11748;
+   wire n_11750;
+   wire n_11751;
+   wire n_11752;
+   wire n_11753;
+   wire n_11754;
+   wire n_11755;
+   wire n_11756;
+   wire n_11757;
+   wire n_11758;
+   wire n_11759;
+   wire n_11760;
+   wire n_11761;
+   wire n_11762;
+   wire n_11763;
+   wire n_11764;
+   wire n_11765;
+   wire n_11766;
+   wire n_11767;
+   wire n_11768;
+   wire n_11769;
+   wire n_11770;
+   wire n_11771;
+   wire n_11772;
+   wire n_11774;
+   wire n_11775;
+   wire n_11776;
+   wire n_11777;
+   wire n_11778;
+   wire n_11779;
+   wire n_11780;
+   wire n_11781;
+   wire n_11782;
+   wire n_11783;
+   wire n_11784;
+   wire n_11785;
+   wire n_11786;
+   wire n_11787;
+   wire n_11788;
+   wire n_11790;
+   wire n_11791;
+   wire n_11792;
+   wire n_11793;
+   wire n_11794;
+   wire n_11796;
+   wire n_11797;
+   wire n_11798;
+   wire n_11799;
+   wire n_11800;
+   wire n_11802;
+   wire n_11803;
+   wire n_11804;
+   wire n_11805;
+   wire n_11806;
+   wire n_11807;
+   wire n_11808;
+   wire n_11809;
+   wire n_11810;
+   wire n_11811;
+   wire n_11813;
+   wire n_11814;
+   wire n_11815;
+   wire n_11816;
+   wire n_11817;
+   wire n_11818;
+   wire n_11819;
+   wire n_11820;
+   wire n_11821;
+   wire n_11822;
+   wire n_11823;
+   wire n_11824;
+   wire n_11825;
+   wire n_11826;
+   wire n_11827;
+   wire n_11828;
+   wire n_11829;
+   wire n_11830;
+   wire n_11831;
+   wire n_11832;
+   wire n_11833;
+   wire n_11834;
+   wire n_11835;
+   wire n_11836;
+   wire n_11837;
+   wire n_11838;
+   wire n_11839;
+   wire n_11840;
+   wire n_11841;
+   wire n_11842;
+   wire n_11843;
+   wire n_11844;
+   wire n_11845;
+   wire n_11846;
+   wire n_11847;
+   wire n_11848;
+   wire n_11849;
+   wire n_11850;
+   wire n_11851;
+   wire n_11852;
+   wire n_11853;
+   wire n_11854;
+   wire n_11855;
+   wire n_11856;
+   wire n_11857;
+   wire n_11858;
+   wire n_11859;
+   wire n_11860;
+   wire n_11861;
+   wire n_11862;
+   wire n_11863;
+   wire n_11864;
+   wire n_11865;
+   wire n_11866;
+   wire n_11867;
+   wire n_11868;
+   wire n_11869;
+   wire n_11870;
+   wire n_11871;
+   wire n_11872;
+   wire n_11873;
+   wire n_11874;
+   wire n_11875;
+   wire n_11876;
+   wire n_11877;
+   wire n_11878;
+   wire n_11879;
+   wire n_11880;
+   wire n_11881;
+   wire n_11882;
+   wire n_11883;
+   wire n_11884;
+   wire n_11885;
+   wire n_11886;
+   wire n_11887;
+   wire n_11888;
+   wire n_11889;
+   wire n_11890;
+   wire n_11891;
+   wire n_11892;
+   wire n_11893;
+   wire n_11894;
+   wire n_11895;
+   wire n_11896;
+   wire n_11897;
+   wire n_11898;
+   wire n_11899;
+   wire n_11900;
+   wire n_11901;
+   wire n_11902;
+   wire n_11903;
+   wire n_11904;
+   wire n_11905;
+   wire n_11906;
+   wire n_11907;
+   wire n_11908;
+   wire n_11909;
+   wire n_11910;
+   wire n_11911;
+   wire n_11912;
+   wire n_11913;
+   wire n_11914;
+   wire n_11915;
+   wire n_11916;
+   wire n_11917;
+   wire n_11918;
+   wire n_11919;
+   wire n_11920;
+   wire n_11921;
+   wire n_11922;
+   wire n_11923;
+   wire n_11924;
+   wire n_11925;
+   wire n_11926;
+   wire n_11927;
+   wire n_11928;
+   wire n_11929;
+   wire n_11930;
+   wire n_11931;
+   wire n_11932;
+   wire n_11933;
+   wire n_11934;
+   wire n_11935;
+   wire n_11936;
+   wire n_11937;
+   wire n_11938;
+   wire n_11939;
+   wire n_11940;
+   wire n_11941;
+   wire n_11942;
+   wire n_11943;
+   wire n_11944;
+   wire n_11945;
+   wire n_11946;
+   wire n_11947;
+   wire n_11948;
+   wire n_11949;
+   wire n_11950;
+   wire n_11951;
+   wire n_11952;
+   wire n_11953;
+   wire n_11954;
+   wire n_11955;
+   wire n_11956;
+   wire n_11957;
+   wire n_11958;
+   wire n_11959;
+   wire n_11960;
+   wire n_11964;
+   wire n_11965;
+   wire n_11966;
+   wire n_11969;
+   wire n_11973;
+   wire n_11974;
+   wire n_11975;
+   wire n_11976;
+   wire n_11977;
+   wire n_11978;
+   wire n_11979;
+   wire n_11980;
+   wire n_11981;
+   wire n_11982;
+   wire n_11983;
+   wire n_11984;
+   wire n_11985;
+   wire n_11986;
+   wire n_11987;
+   wire n_11988;
+   wire n_11989;
+   wire n_11990;
+   wire n_11991;
+   wire n_11992;
+   wire n_11993;
+   wire n_11994;
+   wire n_11995;
+   wire n_11996;
+   wire n_11997;
+   wire n_11998;
+   wire n_11999;
+   wire n_12000;
+   wire n_12001;
+   wire n_12002;
+   wire n_12003;
+   wire n_12004;
+   wire n_12005;
+   wire n_12006;
+   wire n_12007;
+   wire n_12008;
+   wire n_12009;
+   wire n_12010;
+   wire n_12011;
+   wire n_12012;
+   wire n_12013;
+   wire n_12014;
+   wire n_12015;
+   wire n_12016;
+   wire n_12017;
+   wire n_12018;
+   wire n_12019;
+   wire n_12030;
+   wire n_12032;
+   wire n_12034;
+   wire n_12036;
+   wire n_12037;
+   wire n_12038;
+   wire n_12042;
+   wire n_12043;
+   wire n_12045;
+   wire n_12046;
+   wire n_12047;
+   wire n_12048;
+   wire n_12049;
+   wire n_12050;
+   wire n_12053;
+   wire n_12059;
+   wire n_12060;
+   wire n_12062;
+   wire n_12063;
+   wire n_12064;
+   wire n_12065;
+   wire n_12066;
+   wire n_12067;
+   wire n_12068;
+   wire n_12079;
+   wire n_12080;
+   wire n_12081;
+   wire n_12082;
+   wire n_12083;
+   wire n_12084;
+   wire n_12085;
+   wire n_12086;
+   wire n_12087;
+   wire n_12088;
+   wire n_12089;
+   wire n_12090;
+   wire n_12091;
+   wire n_12092;
+   wire n_12094;
+   wire n_12095;
+   wire n_12096;
+   wire n_12097;
+   wire n_12098;
+   wire n_12099;
+   wire n_12102;
+   wire n_12103;
+   wire n_12104;
+   wire n_12105;
+   wire n_12106;
+   wire n_12107;
+   wire n_12108;
+   wire n_12109;
+   wire n_12110;
+   wire n_12111;
+   wire n_12112;
+   wire n_12113;
+   wire n_12114;
+   wire n_12115;
+   wire n_12116;
+   wire n_12117;
+   wire n_12118;
+   wire n_12119;
+   wire n_12120;
+   wire n_12121;
+   wire n_12122;
+   wire n_12123;
+   wire n_12124;
+   wire n_12125;
+   wire n_12126;
+   wire n_12127;
+   wire n_12128;
+   wire n_12129;
+   wire n_12130;
+   wire n_12131;
+   wire n_12132;
+   wire n_12133;
+   wire n_12134;
+   wire n_12135;
+   wire n_12136;
+   wire n_12137;
+   wire n_12138;
+   wire n_12139;
+   wire n_12140;
+   wire n_12141;
+   wire n_12142;
+   wire n_12143;
+   wire n_12144;
+   wire n_12145;
+   wire n_12146;
+   wire n_12147;
+   wire n_12153;
+   wire n_12154;
+   wire n_12155;
+   wire n_12156;
+   wire n_12157;
+   wire n_12158;
+   wire n_12159;
+   wire n_12160;
+   wire n_12161;
+   wire n_12162;
+   wire n_12164;
+   wire n_12165;
+   wire n_12166;
+   wire n_12167;
+   wire n_12168;
+   wire n_12169;
+   wire n_12170;
+   wire n_12172;
+   wire n_12173;
+   wire n_12174;
+   wire n_12175;
+   wire n_12176;
+   wire n_12177;
+   wire n_12178;
+   wire n_12179;
+   wire n_12180;
+   wire n_12181;
+   wire n_12182;
+   wire n_12183;
+   wire n_12184;
+   wire n_12185;
+   wire n_12186;
+   wire n_12187;
+   wire n_12188;
+   wire n_12189;
+   wire n_12190;
+   wire n_12191;
+   wire n_12192;
+   wire n_12193;
+   wire n_12194;
+   wire n_12195;
+   wire n_12196;
+   wire n_12197;
+   wire n_12198;
+   wire n_12199;
+   wire n_12200;
+   wire n_12201;
+   wire n_12202;
+   wire n_12203;
+   wire n_12204;
+   wire n_12205;
+   wire n_12206;
+   wire n_12207;
+   wire n_12208;
+   wire n_12209;
+   wire n_12210;
+   wire n_12211;
+   wire n_12212;
+   wire n_12213;
+   wire n_12214;
+   wire n_12216;
+   wire n_12217;
+   wire n_12218;
+   wire n_12219;
+   wire n_12220;
+   wire n_12221;
+   wire n_12222;
+   wire n_12223;
+   wire n_12224;
+   wire n_12225;
+   wire n_12226;
+   wire n_12227;
+   wire n_12228;
+   wire n_12229;
+   wire n_12230;
+   wire n_12231;
+   wire n_12232;
+   wire n_12233;
+   wire n_12234;
+   wire n_12235;
+   wire n_12236;
+   wire n_12237;
+   wire n_12238;
+   wire n_12239;
+   wire n_12240;
+   wire n_12241;
+   wire n_12242;
+   wire n_12243;
+   wire n_12244;
+   wire n_12245;
+   wire n_12246;
+   wire n_12247;
+   wire n_12248;
+   wire n_12249;
+   wire n_12250;
+   wire n_12251;
+   wire n_12252;
+   wire n_12253;
+   wire n_12254;
+   wire n_12255;
+   wire n_12256;
+   wire n_12257;
+   wire n_12258;
+   wire n_12259;
+   wire n_12260;
+   wire n_12261;
+   wire n_12262;
+   wire n_12263;
+   wire n_12264;
+   wire n_12265;
+   wire n_12266;
+   wire n_12267;
+   wire n_12268;
+   wire n_12269;
+   wire n_12270;
+   wire n_12271;
+   wire n_12272;
+   wire n_12273;
+   wire n_12274;
+   wire n_12275;
+   wire n_12276;
+   wire n_12277;
+   wire n_12278;
+   wire n_12279;
+   wire n_12280;
+   wire n_12281;
+   wire n_12282;
+   wire n_12283;
+   wire n_12284;
+   wire n_12285;
+   wire n_12286;
+   wire n_12287;
+   wire n_12288;
+   wire n_12289;
+   wire n_12290;
+   wire n_12291;
+   wire n_12292;
+   wire n_12293;
+   wire n_12294;
+   wire n_12295;
+   wire n_12296;
+   wire n_12297;
+   wire n_12298;
+   wire n_12299;
+   wire n_12300;
+   wire n_12301;
+   wire n_12302;
+   wire n_12303;
+   wire n_12304;
+   wire n_12305;
+   wire n_12306;
+   wire n_12307;
+   wire n_12308;
+   wire n_12309;
+   wire n_12310;
+   wire n_12311;
+   wire n_12312;
+   wire n_12313;
+   wire n_12314;
+   wire n_12315;
+   wire n_12316;
+   wire n_12317;
+   wire n_12318;
+   wire n_12319;
+   wire n_12320;
+   wire n_12321;
+   wire n_12322;
+   wire n_12323;
+   wire n_12324;
+   wire n_12325;
+   wire n_12326;
+   wire n_12327;
+   wire n_12328;
+   wire n_12329;
+   wire n_12330;
+   wire n_12331;
+   wire n_12332;
+   wire n_12333;
+   wire n_12334;
+   wire n_12335;
+   wire n_12336;
+   wire n_12337;
+   wire n_12338;
+   wire n_12339;
+   wire n_12340;
+   wire n_12341;
+   wire n_12342;
+   wire n_12343;
+   wire n_12344;
+   wire n_12345;
+   wire n_12346;
+   wire n_12347;
+   wire n_12348;
+   wire n_12349;
+   wire n_12350;
+   wire n_12351;
+   wire n_12352;
+   wire n_12353;
+   wire n_12354;
+   wire n_12355;
+   wire n_12356;
+   wire n_12357;
+   wire n_12358;
+   wire n_12359;
+   wire n_12360;
+   wire n_12361;
+   wire n_12362;
+   wire n_12363;
+   wire n_12364;
+   wire n_12365;
+   wire n_12366;
+   wire n_12367;
+   wire n_12368;
+   wire n_12369;
+   wire n_12370;
+   wire n_12371;
+   wire n_12372;
+   wire n_12373;
+   wire n_12374;
+   wire n_12375;
+   wire n_12376;
+   wire n_12377;
+   wire n_12378;
+   wire n_12379;
+   wire n_12380;
+   wire n_12381;
+   wire n_12382;
+   wire n_12383;
+   wire n_12384;
+   wire n_12385;
+   wire n_12386;
+   wire n_12387;
+   wire n_12388;
+   wire n_12389;
+   wire n_12390;
+   wire n_12391;
+   wire n_12392;
+   wire n_12393;
+   wire n_12394;
+   wire n_12395;
+   wire n_12396;
+   wire n_12397;
+   wire n_12398;
+   wire n_12399;
+   wire n_12400;
+   wire n_12401;
+   wire n_12402;
+   wire n_12403;
+   wire n_12404;
+   wire n_12405;
+   wire n_12406;
+   wire n_12407;
+   wire n_12408;
+   wire n_12409;
+   wire n_12410;
+   wire n_12411;
+   wire n_12412;
+   wire n_12413;
+   wire n_12414;
+   wire n_12415;
+   wire n_12416;
+   wire n_12417;
+   wire n_12418;
+   wire n_12419;
+   wire n_12420;
+   wire n_12421;
+   wire n_12422;
+   wire n_12423;
+   wire n_12424;
+   wire n_12425;
+   wire n_12426;
+   wire n_12427;
+   wire n_12428;
+   wire n_12429;
+   wire n_12430;
+   wire n_12431;
+   wire n_12432;
+   wire n_12433;
+   wire n_12434;
+   wire n_12435;
+   wire n_12436;
+   wire n_12437;
+   wire n_12438;
+   wire n_12439;
+   wire n_12440;
+   wire n_12441;
+   wire n_12442;
+   wire n_12443;
+   wire n_12444;
+   wire n_12445;
+   wire n_12446;
+   wire n_12447;
+   wire n_12448;
+   wire n_12449;
+   wire n_12450;
+   wire n_12451;
+   wire n_12452;
+   wire n_12453;
+   wire n_12454;
+   wire n_12455;
+   wire n_12456;
+   wire n_12457;
+   wire n_12458;
+   wire n_12459;
+   wire n_12460;
+   wire n_12461;
+   wire n_12462;
+   wire n_12463;
+   wire n_12464;
+   wire n_12465;
+   wire n_12466;
+   wire n_12467;
+   wire n_12468;
+   wire n_12469;
+   wire n_12470;
+   wire n_12471;
+   wire n_12472;
+   wire n_12473;
+   wire n_12474;
+   wire n_12475;
+   wire n_12476;
+   wire n_12477;
+   wire n_12478;
+   wire n_12479;
+   wire n_12480;
+   wire n_12481;
+   wire n_12482;
+   wire n_12483;
+   wire n_12484;
+   wire n_12485;
+   wire n_12486;
+   wire n_12487;
+   wire n_12488;
+   wire n_12489;
+   wire n_12490;
+   wire n_12491;
+   wire n_12492;
+   wire n_12493;
+   wire n_12496;
+   wire n_12497;
+   wire n_12498;
+   wire n_12499;
+   wire n_12500;
+   wire n_12501;
+   wire n_12502;
+   wire n_12503;
+   wire n_12504;
+   wire n_12505;
+   wire n_12506;
+   wire n_12507;
+   wire n_12508;
+   wire n_12509;
+   wire n_12510;
+   wire n_12511;
+   wire n_12512;
+   wire n_12513;
+   wire n_12514;
+   wire n_12515;
+   wire n_12516;
+   wire n_12517;
+   wire n_12518;
+   wire n_12519;
+   wire n_12520;
+   wire n_12521;
+   wire n_12522;
+   wire n_12523;
+   wire n_12524;
+   wire n_12525;
+   wire n_12526;
+   wire n_12527;
+   wire n_12528;
+   wire n_12529;
+   wire n_12530;
+   wire n_12531;
+   wire n_12532;
+   wire n_12533;
+   wire n_12534;
+   wire n_12535;
+   wire n_12536;
+   wire n_12537;
+   wire n_12538;
+   wire n_12539;
+   wire n_12540;
+   wire n_12541;
+   wire n_12542;
+   wire n_12543;
+   wire n_12544;
+   wire n_12545;
+   wire n_12546;
+   wire n_12547;
+   wire n_12548;
+   wire n_12549;
+   wire n_12550;
+   wire n_12551;
+   wire n_12552;
+   wire n_12553;
+   wire n_12554;
+   wire n_12555;
+   wire n_12556;
+   wire n_12557;
+   wire n_12558;
+   wire n_12559;
+   wire n_12560;
+   wire n_12561;
+   wire n_12562;
+   wire n_12563;
+   wire n_12564;
+   wire n_12565;
+   wire n_12566;
+   wire n_12567;
+   wire n_12568;
+   wire n_12569;
+   wire n_12570;
+   wire n_12571;
+   wire n_12572;
+   wire n_12573;
+   wire n_12574;
+   wire n_12575;
+   wire n_12576;
+   wire n_12577;
+   wire n_12578;
+   wire n_12579;
+   wire n_12580;
+   wire n_12581;
+   wire n_12582;
+   wire n_12583;
+   wire n_12584;
+   wire n_12585;
+   wire n_12586;
+   wire n_12587;
+   wire n_12588;
+   wire n_12589;
+   wire n_12590;
+   wire n_12591;
+   wire n_12592;
+   wire n_12593;
+   wire n_12594;
+   wire n_12595;
+   wire n_12596;
+   wire n_12597;
+   wire n_12598;
+   wire n_12599;
+   wire n_12600;
+   wire n_12601;
+   wire n_12602;
+   wire n_12603;
+   wire n_12604;
+   wire n_12605;
+   wire n_12606;
+   wire n_12607;
+   wire n_12608;
+   wire n_12609;
+   wire n_12610;
+   wire n_12611;
+   wire n_12612;
+   wire n_12613;
+   wire n_12614;
+   wire n_12615;
+   wire n_12616;
+   wire n_12617;
+   wire n_12618;
+   wire n_12619;
+   wire n_12620;
+   wire n_12621;
+   wire n_12622;
+   wire n_12623;
+   wire n_12624;
+   wire n_12625;
+   wire n_12626;
+   wire n_12627;
+   wire n_12628;
+   wire n_12629;
+   wire n_12630;
+   wire n_12631;
+   wire n_12632;
+   wire n_12633;
+   wire n_12634;
+   wire n_12635;
+   wire n_12636;
+   wire n_12637;
+   wire n_12638;
+   wire n_12639;
+   wire n_12640;
+   wire n_12641;
+   wire n_12642;
+   wire n_12643;
+   wire n_12644;
+   wire n_12645;
+   wire n_12646;
+   wire n_12647;
+   wire n_12648;
+   wire n_12649;
+   wire n_12650;
+   wire n_12651;
+   wire n_12652;
+   wire n_12653;
+   wire n_12654;
+   wire n_12655;
+   wire n_12656;
+   wire n_12657;
+   wire n_12658;
+   wire n_12659;
+   wire n_12660;
+   wire n_12661;
+   wire n_12662;
+   wire n_12663;
+   wire n_12664;
+   wire n_12665;
+   wire n_12666;
+   wire n_12667;
+   wire n_12668;
+   wire n_12669;
+   wire n_12670;
+   wire n_12671;
+   wire n_12672;
+   wire n_12673;
+   wire n_12674;
+   wire n_12675;
+   wire n_12676;
+   wire n_12677;
+   wire n_12678;
+   wire n_12679;
+   wire n_12680;
+   wire n_12681;
+   wire n_12682;
+   wire n_12683;
+   wire n_12684;
+   wire n_12685;
+   wire n_12686;
+   wire n_12687;
+   wire n_12688;
+   wire n_12689;
+   wire n_12690;
+   wire n_12691;
+   wire n_12692;
+   wire n_12693;
+   wire n_12695;
+   wire n_12696;
+   wire n_12697;
+   wire n_12698;
+   wire n_12699;
+   wire n_12700;
+   wire n_12701;
+   wire n_12702;
+   wire n_12703;
+   wire n_12704;
+   wire n_12705;
+   wire n_12706;
+   wire n_12707;
+   wire n_12708;
+   wire n_12709;
+   wire n_12710;
+   wire n_12711;
+   wire n_12712;
+   wire n_12713;
+   wire n_12714;
+   wire n_12715;
+   wire n_12716;
+   wire n_12717;
+   wire n_12718;
+   wire n_12719;
+   wire n_12720;
+   wire n_12721;
+   wire n_12722;
+   wire n_12723;
+   wire n_12724;
+   wire n_12725;
+   wire n_12726;
+   wire n_12727;
+   wire n_12728;
+   wire n_12729;
+   wire n_12730;
+   wire n_12731;
+   wire n_12732;
+   wire n_12733;
+   wire n_12734;
+   wire n_12735;
+   wire n_12736;
+   wire n_12737;
+   wire n_12738;
+   wire n_12739;
+   wire n_12740;
+   wire n_12741;
+   wire n_12742;
+   wire n_12743;
+   wire n_12744;
+   wire n_12745;
+   wire n_12746;
+   wire n_12747;
+   wire n_12748;
+   wire n_12749;
+   wire n_12750;
+   wire n_12751;
+   wire n_12752;
+   wire n_12753;
+   wire n_12754;
+   wire n_12755;
+   wire n_12756;
+   wire n_12757;
+   wire n_12758;
+   wire n_12759;
+   wire n_12760;
+   wire n_12761;
+   wire n_12762;
+   wire n_12763;
+   wire n_12764;
+   wire n_12765;
+   wire n_12766;
+   wire n_12767;
+   wire n_12768;
+   wire n_12769;
+   wire n_12770;
+   wire n_12771;
+   wire n_12772;
+   wire n_12773;
+   wire n_12774;
+   wire n_12775;
+   wire n_12776;
+   wire n_12777;
+   wire n_12778;
+   wire n_12779;
+   wire n_12780;
+   wire n_12781;
+   wire n_12782;
+   wire n_12783;
+   wire n_12784;
+   wire n_12785;
+   wire n_12786;
+   wire n_12787;
+   wire n_12788;
+   wire n_12789;
+   wire n_12790;
+   wire n_12791;
+   wire n_12792;
+   wire n_12793;
+   wire n_12794;
+   wire n_12795;
+   wire n_12796;
+   wire n_12797;
+   wire n_12798;
+   wire n_12799;
+   wire n_12800;
+   wire n_12801;
+   wire n_12802;
+   wire n_12803;
+   wire n_12804;
+   wire n_12805;
+   wire n_12806;
+   wire n_12807;
+   wire n_12808;
+   wire n_12809;
+   wire n_12810;
+   wire n_12811;
+   wire n_12812;
+   wire n_12813;
+   wire n_12814;
+   wire n_12815;
+   wire n_12816;
+   wire n_12817;
+   wire n_12818;
+   wire n_12819;
+   wire n_12820;
+   wire n_12821;
+   wire n_12822;
+   wire n_12823;
+   wire n_12824;
+   wire n_12825;
+   wire n_12826;
+   wire n_12827;
+   wire n_12828;
+   wire n_12829;
+   wire n_12830;
+   wire n_12831;
+   wire n_12832;
+   wire n_12833;
+   wire n_12834;
+   wire n_12835;
+   wire n_12836;
+   wire n_12837;
+   wire n_12838;
+   wire n_12839;
+   wire n_12840;
+   wire n_12841;
+   wire n_12842;
+   wire n_12843;
+   wire n_12844;
+   wire n_12845;
+   wire n_12846;
+   wire n_12847;
+   wire n_12848;
+   wire n_12849;
+   wire n_12850;
+   wire n_12851;
+   wire n_12852;
+   wire n_12853;
+   wire n_12854;
+   wire n_12855;
+   wire n_12856;
+   wire n_12857;
+   wire n_12858;
+   wire n_12859;
+   wire n_12860;
+   wire n_12861;
+   wire n_12862;
+   wire n_12863;
+   wire n_12864;
+   wire n_12865;
+   wire n_12866;
+   wire n_12867;
+   wire n_12868;
+   wire n_12869;
+   wire n_12870;
+   wire n_12871;
+   wire n_12873;
+   wire n_12874;
+   wire n_12875;
+   wire n_12876;
+   wire n_12877;
+   wire n_12878;
+   wire n_12879;
+   wire n_12880;
+   wire n_12881;
+   wire n_12882;
+   wire n_12883;
+   wire n_12884;
+   wire n_12885;
+   wire n_12886;
+   wire n_12887;
+   wire n_12888;
+   wire n_12889;
+   wire n_12890;
+   wire n_12891;
+   wire n_12892;
+   wire n_12893;
+   wire n_12894;
+   wire n_12895;
+   wire n_12896;
+   wire n_12897;
+   wire n_12898;
+   wire n_12899;
+   wire n_12900;
+   wire n_12901;
+   wire n_12902;
+   wire n_12903;
+   wire n_12904;
+   wire n_12905;
+   wire n_12906;
+   wire n_12907;
+   wire n_12908;
+   wire n_12909;
+   wire n_12910;
+   wire n_12911;
+   wire n_12912;
+   wire n_12913;
+   wire n_12914;
+   wire n_12915;
+   wire n_12916;
+   wire n_12917;
+   wire n_12918;
+   wire n_12919;
+   wire n_12920;
+   wire n_12921;
+   wire n_12922;
+   wire n_12923;
+   wire n_12924;
+   wire n_12925;
+   wire n_12926;
+   wire n_12927;
+   wire n_12928;
+   wire n_12929;
+   wire n_12930;
+   wire n_12931;
+   wire n_12932;
+   wire n_12933;
+   wire n_12934;
+   wire n_12935;
+   wire n_12936;
+   wire n_12937;
+   wire n_12938;
+   wire n_12939;
+   wire n_12940;
+   wire n_12941;
+   wire n_12942;
+   wire n_12943;
+   wire n_12944;
+   wire n_12945;
+   wire n_12946;
+   wire n_12947;
+   wire n_12948;
+   wire n_12949;
+   wire n_12950;
+   wire n_12951;
+   wire n_12952;
+   wire n_12953;
+   wire n_12954;
+   wire n_12955;
+   wire n_12956;
+   wire n_12957;
+   wire n_12958;
+   wire n_12959;
+   wire n_12960;
+   wire n_12961;
+   wire n_12962;
+   wire n_12963;
+   wire n_12964;
+   wire n_12965;
+   wire n_12966;
+   wire n_12967;
+   wire n_12968;
+   wire n_12969;
+   wire n_12970;
+   wire n_12971;
+   wire n_12972;
+   wire n_12973;
+   wire n_12974;
+   wire n_12975;
+   wire n_12976;
+   wire n_12977;
+   wire n_12978;
+   wire n_12979;
+   wire n_12980;
+   wire n_12981;
+   wire n_12982;
+   wire n_12983;
+   wire n_12984;
+   wire n_12985;
+   wire n_12986;
+   wire n_12987;
+   wire n_12988;
+   wire n_12989;
+   wire n_12990;
+   wire n_12992;
+   wire n_12993;
+   wire n_12994;
+   wire n_12995;
+   wire n_12996;
+   wire n_12997;
+   wire n_12998;
+   wire n_12999;
+   wire n_13000;
+   wire n_13001;
+   wire n_13002;
+   wire n_13003;
+   wire n_13004;
+   wire n_13005;
+   wire n_13006;
+   wire n_13007;
+   wire n_13008;
+   wire n_13009;
+   wire n_13010;
+   wire n_13011;
+   wire n_13012;
+   wire n_13013;
+   wire n_13014;
+   wire n_13015;
+   wire n_13016;
+   wire n_13017;
+   wire n_13018;
+   wire n_13019;
+   wire n_13020;
+   wire n_13021;
+   wire n_13022;
+   wire n_13023;
+   wire n_13024;
+   wire n_13025;
+   wire n_13026;
+   wire n_13027;
+   wire n_13028;
+   wire n_13029;
+   wire n_13030;
+   wire n_13031;
+   wire n_13032;
+   wire n_13033;
+   wire n_13034;
+   wire n_13035;
+   wire n_13036;
+   wire n_13037;
+   wire n_13038;
+   wire n_13039;
+   wire n_13040;
+   wire n_13041;
+   wire n_13042;
+   wire n_13043;
+   wire n_13044;
+   wire n_13045;
+   wire n_13046;
+   wire n_13047;
+   wire n_13048;
+   wire n_13049;
+   wire n_13050;
+   wire n_13051;
+   wire n_13052;
+   wire n_13053;
+   wire n_13054;
+   wire n_13055;
+   wire n_13056;
+   wire n_13057;
+   wire n_13058;
+   wire n_13059;
+   wire n_13060;
+   wire n_13061;
+   wire n_13062;
+   wire n_13063;
+   wire n_13064;
+   wire n_13065;
+   wire n_13066;
+   wire n_13067;
+   wire n_13068;
+   wire n_13069;
+   wire n_13070;
+   wire n_13071;
+   wire n_13072;
+   wire n_13073;
+   wire n_13074;
+   wire n_13075;
+   wire n_13076;
+   wire n_13077;
+   wire n_13078;
+   wire n_13079;
+   wire n_13080;
+   wire n_13081;
+   wire n_13082;
+   wire n_13083;
+   wire n_13084;
+   wire n_13085;
+   wire n_13086;
+   wire n_13087;
+   wire n_13088;
+   wire n_13089;
+   wire n_13090;
+   wire n_13091;
+   wire n_13092;
+   wire n_13093;
+   wire n_13094;
+   wire n_13095;
+   wire n_13096;
+   wire n_13097;
+   wire n_13098;
+   wire n_13099;
+   wire n_13100;
+   wire n_13101;
+   wire n_13102;
+   wire n_13103;
+   wire n_13104;
+   wire n_13105;
+   wire n_13106;
+   wire n_13107;
+   wire n_13108;
+   wire n_13109;
+   wire n_13110;
+   wire n_13111;
+   wire n_13113;
+   wire n_13115;
+   wire n_13116;
+   wire n_13117;
+   wire n_13118;
+   wire n_13119;
+   wire n_13120;
+   wire n_13121;
+   wire n_13122;
+   wire n_13123;
+   wire n_13124;
+   wire n_13125;
+   wire n_13126;
+   wire n_13127;
+   wire n_13128;
+   wire n_13129;
+   wire n_13130;
+   wire n_13131;
+   wire n_13132;
+   wire n_13133;
+   wire n_13134;
+   wire n_13135;
+   wire n_13136;
+   wire n_13137;
+   wire n_13138;
+   wire n_13139;
+   wire n_13140;
+   wire n_13141;
+   wire n_13142;
+   wire n_13143;
+   wire n_13144;
+   wire n_13145;
+   wire n_13146;
+   wire n_13147;
+   wire n_13148;
+   wire n_13149;
+   wire n_13150;
+   wire n_13151;
+   wire n_13152;
+   wire n_13153;
+   wire n_13154;
+   wire n_13155;
+   wire n_13156;
+   wire n_13157;
+   wire n_13158;
+   wire n_13159;
+   wire n_13160;
+   wire n_13161;
+   wire n_13162;
+   wire n_13163;
+   wire n_13164;
+   wire n_13165;
+   wire n_13166;
+   wire n_13167;
+   wire n_13168;
+   wire n_13169;
+   wire n_13170;
+   wire n_13171;
+   wire n_13172;
+   wire n_13173;
+   wire n_13174;
+   wire n_13175;
+   wire n_13176;
+   wire n_13179;
+   wire n_13180;
+   wire n_13181;
+   wire n_13182;
+   wire n_13183;
+   wire n_13184;
+   wire n_13185;
+   wire n_13186;
+   wire n_13187;
+   wire n_13188;
+   wire n_13189;
+   wire n_13190;
+   wire n_13191;
+   wire n_13192;
+   wire n_13193;
+   wire n_13194;
+   wire n_13195;
+   wire n_13196;
+   wire n_13197;
+   wire n_13198;
+   wire n_13199;
+   wire n_13200;
+   wire n_13201;
+   wire n_13202;
+   wire n_13203;
+   wire n_13204;
+   wire n_13205;
+   wire n_13206;
+   wire n_13207;
+   wire n_13208;
+   wire n_13209;
+   wire n_13210;
+   wire n_13211;
+   wire n_13212;
+   wire n_13213;
+   wire n_13214;
+   wire n_13215;
+   wire n_13216;
+   wire n_13217;
+   wire n_13218;
+   wire n_13219;
+   wire n_13220;
+   wire n_13221;
+   wire n_13224;
+   wire n_13225;
+   wire n_13226;
+   wire n_13227;
+   wire n_13228;
+   wire n_13229;
+   wire n_13230;
+   wire n_13231;
+   wire n_13232;
+   wire n_13233;
+   wire n_13234;
+   wire n_13235;
+   wire n_13236;
+   wire n_13237;
+   wire n_13238;
+   wire n_13239;
+   wire n_13240;
+   wire n_13241;
+   wire n_13242;
+   wire n_13243;
+   wire n_13244;
+   wire n_13245;
+   wire n_13246;
+   wire n_13247;
+   wire n_13256;
+   wire n_13257;
+   wire n_13258;
+   wire n_13259;
+   wire n_13260;
+   wire n_13261;
+   wire n_13262;
+   wire n_13263;
+   wire n_13264;
+   wire n_13265;
+   wire n_13266;
+   wire n_13267;
+   wire n_13268;
+   wire n_13269;
+   wire n_13270;
+   wire n_13271;
+   wire n_13272;
+   wire n_13273;
+   wire n_13275;
+   wire n_13276;
+   wire n_13277;
+   wire n_13278;
+   wire n_13279;
+   wire n_13280;
+   wire n_13281;
+   wire n_13282;
+   wire n_13283;
+   wire n_13284;
+   wire n_13285;
+   wire n_13286;
+   wire n_13287;
+   wire n_13288;
+   wire n_13289;
+   wire n_13290;
+   wire n_13291;
+   wire n_13292;
+   wire n_13293;
+   wire n_13294;
+   wire n_13295;
+   wire n_13303;
+   wire n_13304;
+   wire n_13305;
+   wire n_13306;
+   wire n_13307;
+   wire n_13308;
+   wire n_13310;
+   wire n_13311;
+   wire n_13312;
+   wire n_13313;
+   wire n_13314;
+   wire n_13315;
+   wire n_13316;
+   wire n_13317;
+   wire n_13318;
+   wire n_13319;
+   wire n_13320;
+   wire n_13321;
+   wire n_13322;
+   wire n_13323;
+   wire n_13324;
+   wire n_13325;
+   wire n_13326;
+   wire n_13327;
+   wire n_13328;
+   wire n_13329;
+   wire n_13330;
+   wire n_13331;
+   wire n_13332;
+   wire n_13333;
+   wire n_13334;
+   wire n_13335;
+   wire n_13336;
+   wire n_13337;
+   wire n_13338;
+   wire n_13339;
+   wire n_13340;
+   wire n_13341;
+   wire n_13342;
+   wire n_13343;
+   wire n_13344;
+   wire n_13345;
+   wire n_13346;
+   wire n_13347;
+   wire n_13348;
+   wire n_13349;
+   wire n_13350;
+   wire n_13351;
+   wire n_13352;
+   wire n_13353;
+   wire n_13354;
+   wire n_13355;
+   wire n_13356;
+   wire n_13357;
+   wire n_13358;
+   wire n_13359;
+   wire n_13360;
+   wire n_13361;
+   wire n_13362;
+   wire n_13363;
+   wire n_13364;
+   wire n_13365;
+   wire n_13366;
+   wire n_13367;
+   wire n_13368;
+   wire n_13369;
+   wire n_13370;
+   wire n_13371;
+   wire n_13372;
+   wire n_13373;
+   wire n_13374;
+   wire n_13375;
+   wire n_13376;
+   wire n_13377;
+   wire n_13378;
+   wire n_13379;
+   wire n_13380;
+   wire n_13381;
+   wire n_13382;
+   wire n_13383;
+   wire n_13384;
+   wire n_13385;
+   wire n_13386;
+   wire n_13387;
+   wire n_13388;
+   wire n_13389;
+   wire n_13390;
+   wire n_13391;
+   wire n_13392;
+   wire n_13393;
+   wire n_13394;
+   wire n_13395;
+   wire n_13396;
+   wire n_13397;
+   wire n_13398;
+   wire n_13399;
+   wire n_13400;
+   wire n_13401;
+   wire n_13402;
+   wire n_13403;
+   wire n_13404;
+   wire n_13405;
+   wire n_13406;
+   wire n_13407;
+   wire n_13408;
+   wire n_13409;
+   wire n_13410;
+   wire n_13411;
+   wire n_13412;
+   wire n_13413;
+   wire n_13414;
+   wire n_13415;
+   wire n_13416;
+   wire n_13417;
+   wire n_13418;
+   wire n_13419;
+   wire n_13420;
+   wire n_13421;
+   wire n_13422;
+   wire n_13423;
+   wire n_13424;
+   wire n_13425;
+   wire n_13426;
+   wire n_13427;
+   wire n_13428;
+   wire n_13429;
+   wire n_13430;
+   wire n_13431;
+   wire n_13432;
+   wire n_13433;
+   wire n_13434;
+   wire n_13435;
+   wire n_13436;
+   wire n_13437;
+   wire n_13438;
+   wire n_13439;
+   wire n_13440;
+   wire n_13441;
+   wire n_13442;
+   wire n_13443;
+   wire n_13444;
+   wire n_13445;
+   wire n_13447;
+   wire n_13448;
+   wire n_13449;
+   wire n_13451;
+   wire n_13452;
+   wire n_13453;
+   wire n_13454;
+   wire n_13455;
+   wire n_13456;
+   wire n_13457;
+   wire n_13458;
+   wire n_13459;
+   wire n_13460;
+   wire n_13461;
+   wire n_13462;
+   wire n_13463;
+   wire n_13464;
+   wire n_13465;
+   wire n_13466;
+   wire n_13467;
+   wire n_13468;
+   wire n_13469;
+   wire n_13470;
+   wire n_13471;
+   wire n_13472;
+   wire n_13473;
+   wire n_13474;
+   wire n_13475;
+   wire n_13476;
+   wire n_13477;
+   wire n_13478;
+   wire n_13479;
+   wire n_13480;
+   wire n_13481;
+   wire n_13482;
+   wire n_13483;
+   wire n_13484;
+   wire n_13485;
+   wire n_13486;
+   wire n_13487;
+   wire n_13488;
+   wire n_13489;
+   wire n_13490;
+   wire n_13491;
+   wire n_13492;
+   wire n_13493;
+   wire n_13494;
+   wire n_13495;
+   wire n_13496;
+   wire n_13497;
+   wire n_13498;
+   wire n_13499;
+   wire n_13500;
+   wire n_13501;
+   wire n_13502;
+   wire n_13503;
+   wire n_13504;
+   wire n_13505;
+   wire n_13506;
+   wire n_13507;
+   wire n_13508;
+   wire n_13509;
+   wire n_13510;
+   wire n_13511;
+   wire n_13512;
+   wire n_13513;
+   wire n_13514;
+   wire n_13515;
+   wire n_13516;
+   wire n_13517;
+   wire n_13518;
+   wire n_13519;
+   wire n_13520;
+   wire n_13521;
+   wire n_13522;
+   wire n_13523;
+   wire n_13524;
+   wire n_13525;
+   wire n_13526;
+   wire n_13527;
+   wire n_13528;
+   wire n_13529;
+   wire n_13530;
+   wire n_13531;
+   wire n_13532;
+   wire n_13533;
+   wire n_13534;
+   wire n_13535;
+   wire n_13536;
+   wire n_13537;
+   wire n_13538;
+   wire n_13539;
+   wire n_13540;
+   wire n_13541;
+   wire n_13542;
+   wire n_13543;
+   wire n_13544;
+   wire n_13545;
+   wire n_13546;
+   wire n_13547;
+   wire n_13548;
+   wire n_13549;
+   wire n_13550;
+   wire n_13551;
+   wire n_13552;
+   wire n_13553;
+   wire n_13554;
+   wire n_13555;
+   wire n_13556;
+   wire n_13557;
+   wire n_13558;
+   wire n_13559;
+   wire n_13560;
+   wire n_13561;
+   wire n_13562;
+   wire n_13563;
+   wire n_13564;
+   wire n_13565;
+   wire n_13566;
+   wire n_13567;
+   wire n_13568;
+   wire n_13569;
+   wire n_13570;
+   wire n_13571;
+   wire n_13572;
+   wire n_13573;
+   wire n_13574;
+   wire n_13575;
+   wire n_13576;
+   wire n_13577;
+   wire n_13578;
+   wire n_13579;
+   wire n_13580;
+   wire n_13581;
+   wire n_13582;
+   wire n_13583;
+   wire n_13584;
+   wire n_13585;
+   wire n_13586;
+   wire n_13587;
+   wire n_13588;
+   wire n_13589;
+   wire n_13590;
+   wire n_13591;
+   wire n_13592;
+   wire n_13593;
+   wire n_13594;
+   wire n_13595;
+   wire n_13596;
+   wire n_13597;
+   wire n_13598;
+   wire n_13600;
+   wire n_13601;
+   wire n_13602;
+   wire n_13603;
+   wire n_13604;
+   wire n_13605;
+   wire n_13606;
+   wire n_13607;
+   wire n_13608;
+   wire n_13609;
+   wire n_13610;
+   wire n_13611;
+   wire n_13612;
+   wire n_13613;
+   wire n_13614;
+   wire n_13615;
+   wire n_13616;
+   wire n_13617;
+   wire n_13618;
+   wire n_13619;
+   wire n_13620;
+   wire n_13621;
+   wire n_13622;
+   wire n_13623;
+   wire n_13625;
+   wire n_13626;
+   wire n_13627;
+   wire n_13628;
+   wire n_13629;
+   wire n_13630;
+   wire n_13631;
+   wire n_13632;
+   wire n_13633;
+   wire n_13634;
+   wire n_13635;
+   wire n_13636;
+   wire n_13637;
+   wire n_13638;
+   wire n_13639;
+   wire n_13640;
+   wire n_13641;
+   wire n_13642;
+   wire n_13643;
+   wire n_13644;
+   wire n_13645;
+   wire n_13646;
+   wire n_13647;
+   wire n_13648;
+   wire n_13649;
+   wire n_13650;
+   wire n_13651;
+   wire n_13652;
+   wire n_13653;
+   wire n_13654;
+   wire n_13655;
+   wire n_13656;
+   wire n_13657;
+   wire n_13658;
+   wire n_13659;
+   wire n_13660;
+   wire n_13663;
+   wire n_13664;
+   wire n_13665;
+   wire n_13666;
+   wire n_13667;
+   wire n_13668;
+   wire n_13669;
+   wire n_13670;
+   wire n_13671;
+   wire n_13672;
+   wire n_13673;
+   wire n_13674;
+   wire n_13675;
+   wire n_13676;
+   wire n_13677;
+   wire n_13678;
+   wire n_13679;
+   wire n_13680;
+   wire n_13681;
+   wire n_13682;
+   wire n_13683;
+   wire n_13684;
+   wire n_13685;
+   wire n_13686;
+   wire n_13687;
+   wire n_13688;
+   wire n_13689;
+   wire n_13690;
+   wire n_13691;
+   wire n_13692;
+   wire n_13693;
+   wire n_13694;
+   wire n_13695;
+   wire n_13696;
+   wire n_13697;
+   wire n_13698;
+   wire n_13699;
+   wire n_13700;
+   wire n_13701;
+   wire n_13702;
+   wire n_13703;
+   wire n_13704;
+   wire n_13705;
+   wire n_13706;
+   wire n_13707;
+   wire n_13708;
+   wire n_13709;
+   wire n_13710;
+   wire n_13711;
+   wire n_13712;
+   wire n_13713;
+   wire n_13714;
+   wire n_13715;
+   wire n_13716;
+   wire n_13717;
+   wire n_13718;
+   wire n_13719;
+   wire n_13720;
+   wire n_13721;
+   wire n_13722;
+   wire n_13723;
+   wire n_13724;
+   wire n_13725;
+   wire n_13726;
+   wire n_13727;
+   wire n_13728;
+   wire n_13729;
+   wire n_13730;
+   wire n_13731;
+   wire n_13732;
+   wire n_13733;
+   wire n_13734;
+   wire n_13735;
+   wire n_13736;
+   wire n_13737;
+   wire n_13738;
+   wire n_13739;
+   wire n_13740;
+   wire n_13742;
+   wire n_13744;
+   wire n_13745;
+   wire n_13746;
+   wire n_13747;
+   wire n_13748;
+   wire n_13749;
+   wire n_13750;
+   wire n_13751;
+   wire n_13752;
+   wire n_13753;
+   wire n_13754;
+   wire n_13755;
+   wire n_13756;
+   wire n_13757;
+   wire n_13758;
+   wire n_13759;
+   wire n_13760;
+   wire n_13761;
+   wire n_13762;
+   wire n_13763;
+   wire n_13764;
+   wire n_13765;
+   wire n_13766;
+   wire n_13767;
+   wire n_13768;
+   wire n_13769;
+   wire n_13770;
+   wire n_13771;
+   wire n_13772;
+   wire n_13773;
+   wire n_13774;
+   wire n_13775;
+   wire n_13776;
+   wire n_13777;
+   wire n_13778;
+   wire n_13779;
+   wire n_13780;
+   wire n_13781;
+   wire n_13782;
+   wire n_13783;
+   wire n_13784;
+   wire n_13785;
+   wire n_13786;
+   wire n_13787;
+   wire n_13788;
+   wire n_13789;
+   wire n_13790;
+   wire n_13791;
+   wire n_13792;
+   wire n_13793;
+   wire n_13794;
+   wire n_13795;
+   wire n_13796;
+   wire n_13797;
+   wire n_13798;
+   wire n_13799;
+   wire n_13800;
+   wire n_13801;
+   wire n_13802;
+   wire n_13803;
+   wire n_13804;
+   wire n_13805;
+   wire n_13806;
+   wire n_13807;
+   wire n_13808;
+   wire n_13809;
+   wire n_13810;
+   wire n_13811;
+   wire n_13812;
+   wire n_13813;
+   wire n_13814;
+   wire n_13815;
+   wire n_13816;
+   wire n_13817;
+   wire n_13818;
+   wire n_13819;
+   wire n_13820;
+   wire n_13821;
+   wire n_13822;
+   wire n_13823;
+   wire n_13824;
+   wire n_13825;
+   wire n_13826;
+   wire n_13827;
+   wire n_13828;
+   wire n_13829;
+   wire n_13830;
+   wire n_13831;
+   wire n_13832;
+   wire n_13833;
+   wire n_13834;
+   wire n_13835;
+   wire n_13836;
+   wire n_13837;
+   wire n_13838;
+   wire n_13839;
+   wire n_13840;
+   wire n_13841;
+   wire n_13842;
+   wire n_13843;
+   wire n_13844;
+   wire n_13845;
+   wire n_13846;
+   wire n_13847;
+   wire n_13848;
+   wire n_13849;
+   wire n_13850;
+   wire n_13851;
+   wire n_13852;
+   wire n_13853;
+   wire n_13854;
+   wire n_13855;
+   wire n_13856;
+   wire n_13857;
+   wire n_13858;
+   wire n_13859;
+   wire n_13860;
+   wire n_13861;
+   wire n_13862;
+   wire n_13863;
+   wire n_13864;
+   wire n_13865;
+   wire n_13866;
+   wire n_13867;
+   wire n_13868;
+   wire n_13869;
+   wire n_13870;
+   wire n_13871;
+   wire n_13872;
+   wire n_13873;
+   wire n_13874;
+   wire n_13875;
+   wire n_13876;
+   wire n_13877;
+   wire n_13878;
+   wire n_13879;
+   wire n_13880;
+   wire n_13881;
+   wire n_13882;
+   wire n_13883;
+   wire n_13884;
+   wire n_13885;
+   wire n_13886;
+   wire n_13887;
+   wire n_13888;
+   wire n_13889;
+   wire n_13890;
+   wire n_13891;
+   wire n_13892;
+   wire n_13893;
+   wire n_13894;
+   wire n_13895;
+   wire n_13896;
+   wire n_13897;
+   wire n_13898;
+   wire n_13899;
+   wire n_13900;
+   wire n_13901;
+   wire n_13902;
+   wire n_13903;
+   wire n_13904;
+   wire n_13905;
+   wire n_13906;
+   wire n_13907;
+   wire n_13908;
+   wire n_13909;
+   wire n_13910;
+   wire n_13911;
+   wire n_13912;
+   wire n_13913;
+   wire n_13914;
+   wire n_13915;
+   wire n_13916;
+   wire n_13918;
+   wire n_13919;
+   wire n_13920;
+   wire n_13921;
+   wire n_13922;
+   wire n_13923;
+   wire n_13924;
+   wire n_13925;
+   wire n_13926;
+   wire n_13927;
+   wire n_13928;
+   wire n_13930;
+   wire n_13931;
+   wire n_13932;
+   wire n_13934;
+   wire n_13936;
+   wire n_13937;
+   wire n_13938;
+   wire n_13939;
+   wire n_13940;
+   wire n_13941;
+   wire n_13942;
+   wire n_13943;
+   wire n_13944;
+   wire n_13945;
+   wire n_13946;
+   wire n_13947;
+   wire n_13948;
+   wire n_13949;
+   wire n_13950;
+   wire n_13951;
+   wire n_13952;
+   wire n_13953;
+   wire n_13954;
+   wire n_13955;
+   wire n_13956;
+   wire n_13957;
+   wire n_13958;
+   wire n_13959;
+   wire n_13960;
+   wire n_13961;
+   wire n_13962;
+   wire n_13963;
+   wire n_13964;
+   wire n_13965;
+   wire n_13966;
+   wire n_13967;
+   wire n_13968;
+   wire n_13969;
+   wire n_13970;
+   wire n_13971;
+   wire n_13972;
+   wire n_13973;
+   wire n_13974;
+   wire n_13975;
+   wire n_13976;
+   wire n_13977;
+   wire n_13978;
+   wire n_13979;
+   wire n_13980;
+   wire n_13981;
+   wire n_13982;
+   wire n_13983;
+   wire n_13984;
+   wire n_13985;
+   wire n_13986;
+   wire n_13987;
+   wire n_13988;
+   wire n_13989;
+   wire n_13990;
+   wire n_13991;
+   wire n_13992;
+   wire n_13993;
+   wire n_13994;
+   wire n_13995;
+   wire n_13996;
+   wire n_13997;
+   wire n_13998;
+   wire n_13999;
+   wire n_14000;
+   wire n_14001;
+   wire n_14002;
+   wire n_14003;
+   wire n_14004;
+   wire n_14005;
+   wire n_14006;
+   wire n_14007;
+   wire n_14008;
+   wire n_14009;
+   wire n_14010;
+   wire n_14011;
+   wire n_14012;
+   wire n_14013;
+   wire n_14014;
+   wire n_14015;
+   wire n_14016;
+   wire n_14017;
+   wire n_14018;
+   wire n_14019;
+   wire n_14020;
+   wire n_14021;
+   wire n_14022;
+   wire n_14023;
+   wire n_14024;
+   wire n_14025;
+   wire n_14026;
+   wire n_14027;
+   wire n_14028;
+   wire n_14029;
+   wire n_14030;
+   wire n_14031;
+   wire n_14032;
+   wire n_14033;
+   wire n_14034;
+   wire n_14035;
+   wire n_14036;
+   wire n_14037;
+   wire n_14038;
+   wire n_14039;
+   wire n_14040;
+   wire n_14041;
+   wire n_14042;
+   wire n_14043;
+   wire n_14044;
+   wire n_14045;
+   wire n_14046;
+   wire n_14047;
+   wire n_14048;
+   wire n_14049;
+   wire n_14050;
+   wire n_14051;
+   wire n_14052;
+   wire n_14053;
+   wire n_14054;
+   wire n_14055;
+   wire n_14056;
+   wire n_14057;
+   wire n_14058;
+   wire n_14059;
+   wire n_14060;
+   wire n_14061;
+   wire n_14062;
+   wire n_14063;
+   wire n_14064;
+   wire n_14065;
+   wire n_14066;
+   wire n_14067;
+   wire n_14068;
+   wire n_14069;
+   wire n_14070;
+   wire n_14071;
+   wire n_14072;
+   wire n_14073;
+   wire n_14074;
+   wire n_14075;
+   wire n_14076;
+   wire n_14077;
+   wire n_14078;
+   wire n_14079;
+   wire n_14080;
+   wire n_14081;
+   wire n_14082;
+   wire n_14083;
+   wire n_14084;
+   wire n_14085;
+   wire n_14086;
+   wire n_14087;
+   wire n_14088;
+   wire n_14089;
+   wire n_14090;
+   wire n_14091;
+   wire n_14092;
+   wire n_14093;
+   wire n_14094;
+   wire n_14095;
+   wire n_14096;
+   wire n_14097;
+   wire n_14098;
+   wire n_14099;
+   wire n_14100;
+   wire n_14101;
+   wire n_14102;
+   wire n_14103;
+   wire n_14104;
+   wire n_14105;
+   wire n_14106;
+   wire n_14107;
+   wire n_14108;
+   wire n_14109;
+   wire n_14110;
+   wire n_14111;
+   wire n_14112;
+   wire n_14113;
+   wire n_14114;
+   wire n_14115;
+   wire n_14116;
+   wire n_14117;
+   wire n_14118;
+   wire n_14119;
+   wire n_14120;
+   wire n_14121;
+   wire n_14122;
+   wire n_14123;
+   wire n_14124;
+   wire n_14125;
+   wire n_14126;
+   wire n_14127;
+   wire n_14128;
+   wire n_14129;
+   wire n_14130;
+   wire n_14131;
+   wire n_14132;
+   wire n_14133;
+   wire n_14134;
+   wire n_14135;
+   wire n_14136;
+   wire n_14137;
+   wire n_14138;
+   wire n_14139;
+   wire n_14140;
+   wire n_14141;
+   wire n_14142;
+   wire n_14143;
+   wire n_14144;
+   wire n_14145;
+   wire n_14146;
+   wire n_14147;
+   wire n_14148;
+   wire n_14149;
+   wire n_14150;
+   wire n_14151;
+   wire n_14152;
+   wire n_14153;
+   wire n_14154;
+   wire n_14155;
+   wire n_14157;
+   wire n_14158;
+   wire n_14159;
+   wire n_14160;
+   wire n_14161;
+   wire n_14162;
+   wire n_14163;
+   wire n_14164;
+   wire n_14165;
+   wire n_14166;
+   wire n_14167;
+   wire n_14168;
+   wire n_14169;
+   wire n_14170;
+   wire n_14171;
+   wire n_14172;
+   wire n_14173;
+   wire n_14174;
+   wire n_14175;
+   wire n_14176;
+   wire n_14177;
+   wire n_14178;
+   wire n_14179;
+   wire n_14180;
+   wire n_14181;
+   wire n_14182;
+   wire n_14183;
+   wire n_14184;
+   wire n_14185;
+   wire n_14186;
+   wire n_14187;
+   wire n_14188;
+   wire n_14189;
+   wire n_14190;
+   wire n_14191;
+   wire n_14192;
+   wire n_14193;
+   wire n_14194;
+   wire n_14195;
+   wire n_14196;
+   wire n_14197;
+   wire n_14198;
+   wire n_14199;
+   wire n_14200;
+   wire n_14201;
+   wire n_14202;
+   wire n_14203;
+   wire n_14204;
+   wire n_14205;
+   wire n_14206;
+   wire n_14207;
+   wire n_14208;
+   wire n_14209;
+   wire n_14210;
+   wire n_14211;
+   wire n_14212;
+   wire n_14213;
+   wire n_14214;
+   wire n_14215;
+   wire n_14216;
+   wire n_14217;
+   wire n_14218;
+   wire n_14219;
+   wire n_14220;
+   wire n_14221;
+   wire n_14222;
+   wire n_14223;
+   wire n_14224;
+   wire n_14225;
+   wire n_14226;
+   wire n_14227;
+   wire n_14228;
+   wire n_14229;
+   wire n_14230;
+   wire n_14231;
+   wire n_14232;
+   wire n_14233;
+   wire n_14234;
+   wire n_14235;
+   wire n_14236;
+   wire n_14237;
+   wire n_14238;
+   wire n_14239;
+   wire n_14240;
+   wire n_14241;
+   wire n_14242;
+   wire n_14243;
+   wire n_14244;
+   wire n_14245;
+   wire n_14246;
+   wire n_14247;
+   wire n_14248;
+   wire n_14249;
+   wire n_14250;
+   wire n_14251;
+   wire n_14252;
+   wire n_14253;
+   wire n_14254;
+   wire n_14255;
+   wire n_14256;
+   wire n_14257;
+   wire n_14258;
+   wire n_14259;
+   wire n_14260;
+   wire n_14261;
+   wire n_14262;
+   wire n_14263;
+   wire n_14264;
+   wire n_14265;
+   wire n_14266;
+   wire n_14267;
+   wire n_14268;
+   wire n_14269;
+   wire n_14270;
+   wire n_14271;
+   wire n_14272;
+   wire n_14273;
+   wire n_14274;
+   wire n_14275;
+   wire n_14276;
+   wire n_14277;
+   wire n_14278;
+   wire n_14279;
+   wire n_14280;
+   wire n_14281;
+   wire n_14282;
+   wire n_14283;
+   wire n_14284;
+   wire n_14285;
+   wire n_14286;
+   wire n_14287;
+   wire n_14288;
+   wire n_14289;
+   wire n_14290;
+   wire n_14291;
+   wire n_14292;
+   wire n_14293;
+   wire n_14294;
+   wire n_14295;
+   wire n_14296;
+   wire n_14297;
+   wire n_14298;
+   wire n_14299;
+   wire n_14300;
+   wire n_14301;
+   wire n_14302;
+   wire n_14303;
+   wire n_14304;
+   wire n_14305;
+   wire n_14306;
+   wire n_14307;
+   wire n_14308;
+   wire n_14309;
+   wire n_14310;
+   wire n_14311;
+   wire n_14312;
+   wire n_14313;
+   wire n_14314;
+   wire n_14315;
+   wire n_14316;
+   wire n_14317;
+   wire n_14318;
+   wire n_14319;
+   wire n_14320;
+   wire n_14321;
+   wire n_14322;
+   wire n_14323;
+   wire n_14324;
+   wire n_14325;
+   wire n_14326;
+   wire n_14327;
+   wire n_14328;
+   wire n_14329;
+   wire n_14330;
+   wire n_14331;
+   wire n_14332;
+   wire n_14333;
+   wire n_14334;
+   wire n_14335;
+   wire n_14336;
+   wire n_14337;
+   wire n_14338;
+   wire n_14339;
+   wire n_14340;
+   wire n_14341;
+   wire n_14342;
+   wire n_14343;
+   wire n_14344;
+   wire n_14345;
+   wire n_14346;
+   wire n_14347;
+   wire n_14348;
+   wire n_14349;
+   wire n_14350;
+   wire n_14351;
+   wire n_14352;
+   wire n_14353;
+   wire n_14354;
+   wire n_14355;
+   wire n_14356;
+   wire n_14357;
+   wire n_14358;
+   wire n_14359;
+   wire n_14360;
+   wire n_14361;
+   wire n_14362;
+   wire n_14363;
+   wire n_14364;
+   wire n_14365;
+   wire n_14366;
+   wire n_14367;
+   wire n_14368;
+   wire n_14369;
+   wire n_14370;
+   wire n_14371;
+   wire n_14372;
+   wire n_14373;
+   wire n_14374;
+   wire n_14375;
+   wire n_14376;
+   wire n_14377;
+   wire n_14378;
+   wire n_14379;
+   wire n_14380;
+   wire n_14381;
+   wire n_14382;
+   wire n_14383;
+   wire n_14384;
+   wire n_14385;
+   wire n_14386;
+   wire n_14387;
+   wire n_14388;
+   wire n_14389;
+   wire n_14390;
+   wire n_14391;
+   wire n_14392;
+   wire n_14393;
+   wire n_14394;
+   wire n_14395;
+   wire n_14396;
+   wire n_14397;
+   wire n_14398;
+   wire n_14399;
+   wire n_14400;
+   wire n_14401;
+   wire n_14402;
+   wire n_14403;
+   wire n_14404;
+   wire n_14405;
+   wire n_14406;
+   wire n_14407;
+   wire n_14408;
+   wire n_14409;
+   wire n_14410;
+   wire n_14411;
+   wire n_14412;
+   wire n_14413;
+   wire n_14414;
+   wire n_14415;
+   wire n_14416;
+   wire n_14417;
+   wire n_14418;
+   wire n_14419;
+   wire n_14420;
+   wire n_14421;
+   wire n_14422;
+   wire n_14423;
+   wire n_14424;
+   wire n_14425;
+   wire n_14426;
+   wire n_14427;
+   wire n_14428;
+   wire n_14429;
+   wire n_14430;
+   wire n_14431;
+   wire n_14432;
+   wire n_14433;
+   wire n_14434;
+   wire n_14435;
+   wire n_14436;
+   wire n_14437;
+   wire n_14438;
+   wire n_14439;
+   wire n_14440;
+   wire n_14441;
+   wire n_14442;
+   wire n_14443;
+   wire n_14444;
+   wire n_14445;
+   wire n_14447;
+   wire n_14448;
+   wire n_14449;
+   wire n_14450;
+   wire n_14451;
+   wire n_14452;
+   wire n_14453;
+   wire n_14454;
+   wire n_14455;
+   wire n_14456;
+   wire n_14457;
+   wire n_14458;
+   wire n_14459;
+   wire n_14460;
+   wire n_14461;
+   wire n_14462;
+   wire n_14463;
+   wire n_14464;
+   wire n_14465;
+   wire n_14466;
+   wire n_14467;
+   wire n_14468;
+   wire n_14469;
+   wire n_14470;
+   wire n_14471;
+   wire n_14472;
+   wire n_14473;
+   wire n_14474;
+   wire n_14475;
+   wire n_14476;
+   wire n_14477;
+   wire n_14478;
+   wire n_14479;
+   wire n_14480;
+   wire n_14481;
+   wire n_14482;
+   wire n_14483;
+   wire n_14484;
+   wire n_14485;
+   wire n_14486;
+   wire n_14487;
+   wire n_14488;
+   wire n_14489;
+   wire n_14490;
+   wire n_14491;
+   wire n_14492;
+   wire n_14493;
+   wire n_14494;
+   wire n_14495;
+   wire n_14496;
+   wire n_14497;
+   wire n_14498;
+   wire n_14499;
+   wire n_14500;
+   wire n_14501;
+   wire n_14502;
+   wire n_14503;
+   wire n_14504;
+   wire n_14505;
+   wire n_14506;
+   wire n_14507;
+   wire n_14508;
+   wire n_14509;
+   wire n_14510;
+   wire n_14511;
+   wire n_14512;
+   wire n_14513;
+   wire n_14514;
+   wire n_14515;
+   wire n_14516;
+   wire n_14517;
+   wire n_14518;
+   wire n_14519;
+   wire n_14520;
+   wire n_14521;
+   wire n_14522;
+   wire n_14523;
+   wire n_14524;
+   wire n_14525;
+   wire n_14526;
+   wire n_14527;
+   wire n_14528;
+   wire n_14529;
+   wire n_14530;
+   wire n_14531;
+   wire n_14532;
+   wire n_14533;
+   wire n_14534;
+   wire n_14535;
+   wire n_14536;
+   wire n_14537;
+   wire n_14538;
+   wire n_14539;
+   wire n_14540;
+   wire n_14541;
+   wire n_14542;
+   wire n_14543;
+   wire n_14544;
+   wire n_14545;
+   wire n_14546;
+   wire n_14547;
+   wire n_14548;
+   wire n_14549;
+   wire n_14550;
+   wire n_14551;
+   wire n_14552;
+   wire n_14553;
+   wire n_14554;
+   wire n_14555;
+   wire n_14556;
+   wire n_14557;
+   wire n_14558;
+   wire n_14559;
+   wire n_14560;
+   wire n_14561;
+   wire n_14562;
+   wire n_14563;
+   wire n_14564;
+   wire n_14565;
+   wire n_14566;
+   wire n_14567;
+   wire n_14568;
+   wire n_14569;
+   wire n_14570;
+   wire n_14571;
+   wire n_14572;
+   wire n_14573;
+   wire n_14574;
+   wire n_14575;
+   wire n_14576;
+   wire n_14577;
+   wire n_14578;
+   wire n_14579;
+   wire n_14580;
+   wire n_14581;
+   wire n_14582;
+   wire n_14583;
+   wire n_14584;
+   wire n_14585;
+   wire n_14586;
+   wire n_14587;
+   wire n_14588;
+   wire n_14589;
+   wire n_14590;
+   wire n_14591;
+   wire n_14592;
+   wire n_14593;
+   wire n_14594;
+   wire n_14595;
+   wire n_14596;
+   wire n_14597;
+   wire n_14598;
+   wire n_14599;
+   wire n_14600;
+   wire n_14601;
+   wire n_14602;
+   wire n_14603;
+   wire n_14604;
+   wire n_14605;
+   wire n_14606;
+   wire n_14607;
+   wire n_14608;
+   wire n_14609;
+   wire n_14610;
+   wire n_14611;
+   wire n_14612;
+   wire n_14613;
+   wire n_14614;
+   wire n_14615;
+   wire n_14616;
+   wire n_14617;
+   wire n_14618;
+   wire n_14619;
+   wire n_14620;
+   wire n_14621;
+   wire n_14622;
+   wire n_14623;
+   wire n_14624;
+   wire n_14625;
+   wire n_14626;
+   wire n_14627;
+   wire n_14628;
+   wire n_14629;
+   wire n_14630;
+   wire n_14631;
+   wire n_14632;
+   wire n_14633;
+   wire n_14634;
+   wire n_14635;
+   wire n_14636;
+   wire n_14637;
+   wire n_14638;
+   wire n_14639;
+   wire n_14640;
+   wire n_14641;
+   wire n_14642;
+   wire n_14643;
+   wire n_14644;
+   wire n_14645;
+   wire n_14646;
+   wire n_14647;
+   wire n_14648;
+   wire n_14649;
+   wire n_14650;
+   wire n_14651;
+   wire n_14652;
+   wire n_14653;
+   wire n_14654;
+   wire n_14655;
+   wire n_14656;
+   wire n_14657;
+   wire n_14658;
+   wire n_14659;
+   wire n_14660;
+   wire n_14661;
+   wire n_14662;
+   wire n_14663;
+   wire n_14664;
+   wire n_14665;
+   wire n_14666;
+   wire n_14667;
+   wire n_14668;
+   wire n_14669;
+   wire n_14670;
+   wire n_14671;
+   wire n_14672;
+   wire n_14673;
+   wire n_14674;
+   wire n_14675;
+   wire n_14676;
+   wire n_14677;
+   wire n_14678;
+   wire n_14679;
+   wire n_14680;
+   wire n_14681;
+   wire n_14682;
+   wire n_14683;
+   wire n_14684;
+   wire n_14685;
+   wire n_14686;
+   wire n_14687;
+   wire n_14688;
+   wire n_14689;
+   wire n_14690;
+   wire n_14691;
+   wire n_14692;
+   wire n_14693;
+   wire n_14694;
+   wire n_14695;
+   wire n_14696;
+   wire n_14697;
+   wire n_14698;
+   wire n_14699;
+   wire n_14700;
+   wire n_14701;
+   wire n_14702;
+   wire n_14703;
+   wire n_14704;
+   wire n_14705;
+   wire n_14706;
+   wire n_14707;
+   wire n_14708;
+   wire n_14709;
+   wire n_14710;
+   wire n_14711;
+   wire n_14712;
+   wire n_14713;
+   wire n_14714;
+   wire n_14715;
+   wire n_14716;
+   wire n_14717;
+   wire n_14718;
+   wire n_14719;
+   wire n_14720;
+   wire n_14721;
+   wire n_14722;
+   wire n_14723;
+   wire n_14724;
+   wire n_14725;
+   wire n_14726;
+   wire n_14727;
+   wire n_14728;
+   wire n_14729;
+   wire n_14730;
+   wire n_14731;
+   wire n_14732;
+   wire n_14733;
+   wire n_14734;
+   wire n_14735;
+   wire n_14736;
+   wire n_14737;
+   wire n_14738;
+   wire n_14739;
+   wire n_14740;
+   wire n_14741;
+   wire n_14742;
+   wire n_14743;
+   wire n_14744;
+   wire n_14745;
+   wire n_14746;
+   wire n_14747;
+   wire n_14748;
+   wire n_14749;
+   wire n_14750;
+   wire n_14751;
+   wire n_14752;
+   wire n_14753;
+   wire n_14754;
+   wire n_14755;
+   wire n_14756;
+   wire n_14757;
+   wire n_14758;
+   wire n_14759;
+   wire n_14760;
+   wire n_14761;
+   wire n_14762;
+   wire n_14763;
+   wire n_14764;
+   wire n_14765;
+   wire n_14766;
+   wire n_14767;
+   wire n_14768;
+   wire n_14769;
+   wire n_14770;
+   wire n_14771;
+   wire n_14772;
+   wire n_14773;
+   wire n_14774;
+   wire n_14775;
+   wire n_14776;
+   wire n_14777;
+   wire n_14778;
+   wire n_14779;
+   wire n_14780;
+   wire n_14781;
+   wire n_14782;
+   wire n_14783;
+   wire n_14784;
+   wire n_14785;
+   wire n_14786;
+   wire n_14787;
+   wire n_14788;
+   wire n_14789;
+   wire n_14790;
+   wire n_14791;
+   wire n_14792;
+   wire n_14793;
+   wire n_14794;
+   wire n_14795;
+   wire n_14796;
+   wire n_14797;
+   wire n_14798;
+   wire n_14799;
+   wire n_14800;
+   wire n_14801;
+   wire n_14802;
+   wire n_14803;
+   wire n_14804;
+   wire n_14805;
+   wire n_14806;
+   wire n_14807;
+   wire n_14808;
+   wire n_14809;
+   wire n_14810;
+   wire n_14811;
+   wire n_14812;
+   wire n_14813;
+   wire n_14814;
+   wire n_14815;
+   wire n_14816;
+   wire n_14817;
+   wire n_14818;
+   wire n_14819;
+   wire n_14820;
+   wire n_14821;
+   wire n_14822;
+   wire n_14823;
+   wire n_14824;
+   wire n_14825;
+   wire n_14826;
+   wire n_14827;
+   wire n_14828;
+   wire n_14830;
+   wire n_14832;
+   wire n_14833;
+   wire n_14834;
+   wire n_14835;
+   wire n_14836;
+   wire n_14837;
+   wire n_14838;
+   wire n_14839;
+   wire n_14840;
+   wire n_14841;
+   wire n_14842;
+   wire n_14843;
+   wire n_14844;
+   wire n_14845;
+   wire n_14846;
+   wire n_14847;
+   wire n_14848;
+   wire n_14849;
+   wire n_14850;
+   wire n_14851;
+   wire n_14852;
+   wire n_14853;
+   wire n_14854;
+   wire n_14855;
+   wire n_14856;
+   wire n_14857;
+   wire n_14858;
+   wire n_14859;
+   wire n_14860;
+   wire n_14861;
+   wire n_14862;
+   wire n_14863;
+   wire n_14864;
+   wire n_14865;
+   wire n_14866;
+   wire n_14867;
+   wire n_14868;
+   wire n_14869;
+   wire n_14870;
+   wire n_14871;
+   wire n_14872;
+   wire n_14873;
+   wire n_14874;
+   wire n_14875;
+   wire n_14876;
+   wire n_14877;
+   wire n_14878;
+   wire n_14879;
+   wire n_14880;
+   wire n_14881;
+   wire n_14882;
+   wire n_14883;
+   wire n_14884;
+   wire n_14885;
+   wire n_14886;
+   wire n_14887;
+   wire n_14888;
+   wire n_14889;
+   wire n_14890;
+   wire n_14891;
+   wire n_14892;
+   wire n_14893;
+   wire n_14894;
+   wire n_14895;
+   wire n_14896;
+   wire n_14897;
+   wire n_14898;
+   wire n_14899;
+   wire n_14900;
+   wire n_14901;
+   wire n_14902;
+   wire n_14903;
+   wire n_14904;
+   wire n_14905;
+   wire n_14906;
+   wire n_14907;
+   wire n_14908;
+   wire n_14909;
+   wire n_14910;
+   wire n_14911;
+   wire n_14912;
+   wire n_14913;
+   wire n_14914;
+   wire n_14915;
+   wire n_14916;
+   wire n_14917;
+   wire n_14918;
+   wire n_14919;
+   wire n_14920;
+   wire n_14921;
+   wire n_14922;
+   wire n_14923;
+   wire n_14924;
+   wire n_14925;
+   wire n_14926;
+   wire n_14927;
+   wire n_14928;
+   wire n_14929;
+   wire n_14930;
+   wire n_14931;
+   wire n_14932;
+   wire n_14933;
+   wire n_14934;
+   wire n_14935;
+   wire n_14936;
+   wire n_14937;
+   wire n_14938;
+   wire n_14939;
+   wire n_14940;
+   wire n_14941;
+   wire n_14942;
+   wire n_14943;
+   wire n_14944;
+   wire n_14945;
+   wire n_14946;
+   wire n_14947;
+   wire n_14948;
+   wire n_14949;
+   wire n_14950;
+   wire n_14951;
+   wire n_14952;
+   wire n_14953;
+   wire n_14954;
+   wire n_14955;
+   wire n_14956;
+   wire n_14957;
+   wire n_14958;
+   wire n_14959;
+   wire n_14960;
+   wire n_14961;
+   wire n_14962;
+   wire n_14963;
+   wire n_14964;
+   wire n_14965;
+   wire n_14966;
+   wire n_14967;
+   wire n_14968;
+   wire n_14969;
+   wire n_14970;
+   wire n_14971;
+   wire n_14972;
+   wire n_14973;
+   wire n_14974;
+   wire n_14975;
+   wire n_14976;
+   wire n_14977;
+   wire n_14978;
+   wire n_14979;
+   wire n_14980;
+   wire n_14981;
+   wire n_14982;
+   wire n_14983;
+   wire n_14984;
+   wire n_14985;
+   wire n_14986;
+   wire n_14987;
+   wire n_14988;
+   wire n_14989;
+   wire n_14990;
+   wire n_14991;
+   wire n_14992;
+   wire n_14993;
+   wire n_14994;
+   wire n_14995;
+   wire n_14996;
+   wire n_14997;
+   wire n_14998;
+   wire n_14999;
+   wire n_15000;
+   wire n_15001;
+   wire n_15002;
+   wire n_15003;
+   wire n_15004;
+   wire n_15005;
+   wire n_15006;
+   wire n_15007;
+   wire n_15008;
+   wire n_15009;
+   wire n_15010;
+   wire n_15011;
+   wire n_15012;
+   wire n_15013;
+   wire n_15014;
+   wire n_15015;
+   wire n_15016;
+   wire n_15017;
+   wire n_15018;
+   wire n_15019;
+   wire n_15020;
+   wire n_15021;
+   wire n_15022;
+   wire n_15023;
+   wire n_15024;
+   wire n_15025;
+   wire n_15026;
+   wire n_15027;
+   wire n_15028;
+   wire n_15029;
+   wire n_15030;
+   wire n_15031;
+   wire n_15032;
+   wire n_15033;
+   wire n_15034;
+   wire n_15035;
+   wire n_15036;
+   wire n_15037;
+   wire n_15038;
+   wire n_15039;
+   wire n_15040;
+   wire n_15041;
+   wire n_15042;
+   wire n_15043;
+   wire n_15044;
+   wire n_15045;
+   wire n_15046;
+   wire n_15047;
+   wire n_15048;
+   wire n_15049;
+   wire n_15050;
+   wire n_15051;
+   wire n_15052;
+   wire n_15053;
+   wire n_15054;
+   wire n_15055;
+   wire n_15056;
+   wire n_15057;
+   wire n_15058;
+   wire n_15059;
+   wire n_15060;
+   wire n_15061;
+   wire n_15062;
+   wire n_15063;
+   wire n_15064;
+   wire n_15065;
+   wire n_15066;
+   wire n_15067;
+   wire n_15068;
+   wire n_15069;
+   wire n_15070;
+   wire n_15071;
+   wire n_15072;
+   wire n_15073;
+   wire n_15074;
+   wire n_15075;
+   wire n_15076;
+   wire n_15077;
+   wire n_15078;
+   wire n_15079;
+   wire n_15080;
+   wire n_15081;
+   wire n_15082;
+   wire n_15083;
+   wire n_15084;
+   wire n_15085;
+   wire n_15086;
+   wire n_15087;
+   wire n_15088;
+   wire n_15089;
+   wire n_15090;
+   wire n_15091;
+   wire n_15092;
+   wire n_15093;
+   wire n_15094;
+   wire n_15095;
+   wire n_15096;
+   wire n_15097;
+   wire n_15098;
+   wire n_15099;
+   wire n_15100;
+   wire n_15101;
+   wire n_15102;
+   wire n_15103;
+   wire n_15104;
+   wire n_15105;
+   wire n_15106;
+   wire n_15107;
+   wire n_15108;
+   wire n_15109;
+   wire n_15110;
+   wire n_15111;
+   wire n_15112;
+   wire n_15113;
+   wire n_15114;
+   wire n_15115;
+   wire n_15116;
+   wire n_15117;
+   wire n_15118;
+   wire n_15119;
+   wire n_15120;
+   wire n_15121;
+   wire n_15122;
+   wire n_15123;
+   wire n_15124;
+   wire n_15125;
+   wire n_15126;
+   wire n_15127;
+   wire n_15128;
+   wire n_15129;
+   wire n_15130;
+   wire n_15131;
+   wire n_15132;
+   wire n_15133;
+   wire n_15134;
+   wire n_15135;
+   wire n_15136;
+   wire n_15137;
+   wire n_15138;
+   wire n_15139;
+   wire n_15140;
+   wire n_15141;
+   wire n_15142;
+   wire n_15143;
+   wire n_15144;
+   wire n_15145;
+   wire n_15146;
+   wire n_15147;
+   wire n_15148;
+   wire n_15149;
+   wire n_15150;
+   wire n_15151;
+   wire n_15152;
+   wire n_15153;
+   wire n_15154;
+   wire n_15155;
+   wire n_15156;
+   wire n_15157;
+   wire n_15158;
+   wire n_15159;
+   wire n_15160;
+   wire n_15161;
+   wire n_15162;
+   wire n_15163;
+   wire n_15164;
+   wire n_15165;
+   wire n_15166;
+   wire n_15167;
+   wire n_15168;
+   wire n_15169;
+   wire n_15170;
+   wire n_15171;
+   wire n_15172;
+   wire n_15173;
+   wire n_15174;
+   wire n_15175;
+   wire n_15176;
+   wire n_15177;
+   wire n_15178;
+   wire n_15179;
+   wire n_15180;
+   wire n_15181;
+   wire n_15182;
+   wire n_15183;
+   wire n_15184;
+   wire n_15185;
+   wire n_15186;
+   wire n_15187;
+   wire n_15188;
+   wire n_15189;
+   wire n_15190;
+   wire n_15191;
+   wire n_15192;
+   wire n_15193;
+   wire n_15194;
+   wire n_15195;
+   wire n_15196;
+   wire n_15197;
+   wire n_15198;
+   wire n_15199;
+   wire n_15200;
+   wire n_15201;
+   wire n_15202;
+   wire n_15203;
+   wire n_15204;
+   wire n_15205;
+   wire n_15206;
+   wire n_15207;
+   wire n_15208;
+   wire n_15209;
+   wire n_15210;
+   wire n_15211;
+   wire n_15212;
+   wire n_15213;
+   wire n_15214;
+   wire n_15215;
+   wire n_15216;
+   wire n_15217;
+   wire n_15218;
+   wire n_15219;
+   wire n_15220;
+   wire n_15221;
+   wire n_15222;
+   wire n_15223;
+   wire n_15224;
+   wire n_15225;
+   wire n_15226;
+   wire n_15227;
+   wire n_15228;
+   wire n_15229;
+   wire n_15230;
+   wire n_15231;
+   wire n_15232;
+   wire n_15233;
+   wire n_15234;
+   wire n_15235;
+   wire n_15236;
+   wire n_15237;
+   wire n_15238;
+   wire n_15239;
+   wire n_15240;
+   wire n_15241;
+   wire n_15242;
+   wire n_15243;
+   wire n_15244;
+   wire n_15245;
+   wire n_15246;
+   wire n_15247;
+   wire n_15248;
+   wire n_15249;
+   wire n_15250;
+   wire n_15251;
+   wire n_15252;
+   wire n_15253;
+   wire n_15254;
+   wire n_15255;
+   wire n_15256;
+   wire n_15257;
+   wire n_15258;
+   wire n_15259;
+   wire n_15260;
+   wire n_15261;
+   wire n_15262;
+   wire n_15263;
+   wire n_15264;
+   wire n_15265;
+   wire n_15266;
+   wire n_15267;
+   wire n_15268;
+   wire n_15269;
+   wire n_15270;
+   wire n_15271;
+   wire n_15272;
+   wire n_15273;
+   wire n_15274;
+   wire n_15275;
+   wire n_15276;
+   wire n_15277;
+   wire n_15278;
+   wire n_15279;
+   wire n_15280;
+   wire n_15281;
+   wire n_15282;
+   wire n_15283;
+   wire n_15284;
+   wire n_15285;
+   wire n_15286;
+   wire n_15287;
+   wire n_15288;
+   wire n_15289;
+   wire n_15290;
+   wire n_15291;
+   wire n_15292;
+   wire n_15293;
+   wire n_15294;
+   wire n_15295;
+   wire n_15296;
+   wire n_15297;
+   wire n_15298;
+   wire n_15299;
+   wire n_15300;
+   wire n_15301;
+   wire n_15302;
+   wire n_15303;
+   wire n_15304;
+   wire n_15305;
+   wire n_15306;
+   wire n_15307;
+   wire n_15308;
+   wire n_15309;
+   wire n_15310;
+   wire n_15311;
+   wire n_15312;
+   wire n_15313;
+   wire n_15314;
+   wire n_15315;
+   wire n_15316;
+   wire n_15317;
+   wire n_15318;
+   wire n_15319;
+   wire n_15320;
+   wire n_15321;
+   wire n_15322;
+   wire n_15323;
+   wire n_15324;
+   wire n_15325;
+   wire n_15326;
+   wire n_15327;
+   wire n_15328;
+   wire n_15329;
+   wire n_15330;
+   wire n_15331;
+   wire n_15332;
+   wire n_15333;
+   wire n_15334;
+   wire n_15335;
+   wire n_15336;
+   wire n_15337;
+   wire n_15338;
+   wire n_15339;
+   wire n_15340;
+   wire n_15341;
+   wire n_15342;
+   wire n_15343;
+   wire n_15344;
+   wire n_15345;
+   wire n_15346;
+   wire n_15347;
+   wire n_15348;
+   wire n_15349;
+   wire n_15350;
+   wire n_15351;
+   wire n_15352;
+   wire n_15353;
+   wire n_15354;
+   wire n_15355;
+   wire n_15356;
+   wire n_15357;
+   wire n_15358;
+   wire n_15359;
+   wire n_15360;
+   wire n_15361;
+   wire n_15362;
+   wire n_15363;
+   wire n_15364;
+   wire n_15365;
+   wire n_15366;
+   wire n_15367;
+   wire n_15368;
+   wire n_15369;
+   wire n_15370;
+   wire n_15371;
+   wire n_15372;
+   wire n_15373;
+   wire n_15374;
+   wire n_15375;
+   wire n_15376;
+   wire n_15377;
+   wire n_15378;
+   wire n_15379;
+   wire n_15380;
+   wire n_15381;
+   wire n_15382;
+   wire n_15383;
+   wire n_15384;
+   wire n_15385;
+   wire n_15386;
+   wire n_15387;
+   wire n_15388;
+   wire n_15389;
+   wire n_15390;
+   wire n_15391;
+   wire n_15392;
+   wire n_15393;
+   wire n_15394;
+   wire n_15395;
+   wire n_15396;
+   wire n_15397;
+   wire n_15398;
+   wire n_15399;
+   wire n_15400;
+   wire n_15401;
+   wire n_15402;
+   wire n_15403;
+   wire n_15404;
+   wire n_15405;
+   wire n_15406;
+   wire n_15407;
+   wire n_15408;
+   wire n_15409;
+   wire n_15410;
+   wire n_15411;
+   wire n_15412;
+   wire n_15413;
+   wire n_15414;
+   wire n_15415;
+   wire n_15416;
+   wire n_15417;
+   wire n_15418;
+   wire n_15419;
+   wire n_15420;
+   wire n_15421;
+   wire n_15422;
+   wire n_15423;
+   wire n_15424;
+   wire n_15425;
+   wire n_15426;
+   wire n_15427;
+   wire n_15428;
+   wire n_15429;
+   wire n_15430;
+   wire n_15431;
+   wire n_15432;
+   wire n_15433;
+   wire n_15434;
+   wire n_15435;
+   wire n_15436;
+   wire n_15437;
+   wire n_15438;
+   wire n_15439;
+   wire n_15440;
+   wire n_15441;
+   wire n_15442;
+   wire n_15443;
+   wire n_15444;
+   wire n_15445;
+   wire n_15446;
+   wire n_15447;
+   wire n_15448;
+   wire n_15449;
+   wire n_15450;
+   wire n_15451;
+   wire n_15452;
+   wire n_15453;
+   wire n_15454;
+   wire n_15455;
+   wire n_15456;
+   wire n_15457;
+   wire n_15458;
+   wire n_15459;
+   wire n_15460;
+   wire n_15461;
+   wire n_15462;
+   wire n_15463;
+   wire n_15464;
+   wire n_15465;
+   wire n_15466;
+   wire n_15467;
+   wire n_15468;
+   wire n_15469;
+   wire n_15470;
+   wire n_15471;
+   wire n_15472;
+   wire n_15473;
+   wire n_15474;
+   wire n_15475;
+   wire n_15476;
+   wire n_15477;
+   wire n_15478;
+   wire n_15479;
+   wire n_15480;
+   wire n_15481;
+   wire n_15482;
+   wire n_15483;
+   wire n_15484;
+   wire n_15485;
+   wire n_15486;
+   wire n_15487;
+   wire n_15488;
+   wire n_15489;
+   wire n_15490;
+   wire n_15491;
+   wire n_15492;
+   wire n_15493;
+   wire n_15494;
+   wire n_15495;
+   wire n_15496;
+   wire n_15497;
+   wire n_15498;
+   wire n_15499;
+   wire n_15500;
+   wire n_15501;
+   wire n_15502;
+   wire n_15503;
+   wire n_15504;
+   wire n_15505;
+   wire n_15506;
+   wire n_15507;
+   wire n_15508;
+   wire n_15509;
+   wire n_15510;
+   wire n_15511;
+   wire n_15512;
+   wire n_15513;
+   wire n_15514;
+   wire n_15515;
+   wire n_15516;
+   wire n_15517;
+   wire n_15518;
+   wire n_15519;
+   wire n_15520;
+   wire n_15521;
+   wire n_15522;
+   wire n_15523;
+   wire n_15524;
+   wire n_15525;
+   wire n_15526;
+   wire n_15527;
+   wire n_15528;
+   wire n_15529;
+   wire n_15530;
+   wire n_15531;
+   wire n_15532;
+   wire n_15533;
+   wire n_15534;
+   wire n_15535;
+   wire n_15536;
+   wire n_15537;
+   wire n_15538;
+   wire n_15539;
+   wire n_15540;
+   wire n_15541;
+   wire n_15542;
+   wire n_15543;
+   wire n_15544;
+   wire n_15545;
+   wire n_15546;
+   wire n_15547;
+   wire n_15548;
+   wire n_15549;
+   wire n_15550;
+   wire n_15551;
+   wire n_15552;
+   wire n_15553;
+   wire n_15554;
+   wire n_15555;
+   wire n_15556;
+   wire n_15557;
+   wire n_15558;
+   wire n_15559;
+   wire n_15560;
+   wire n_15561;
+   wire n_15562;
+   wire n_15563;
+   wire n_15564;
+   wire n_15565;
+   wire n_15566;
+   wire n_15567;
+   wire n_15568;
+   wire n_15569;
+   wire n_15570;
+   wire n_15571;
+   wire n_15572;
+   wire n_15573;
+   wire n_15574;
+   wire n_15575;
+   wire n_15576;
+   wire n_15577;
+   wire n_15578;
+   wire n_15579;
+   wire n_15580;
+   wire n_15581;
+   wire n_15582;
+   wire n_15583;
+   wire n_15584;
+   wire n_15585;
+   wire n_15586;
+   wire n_15587;
+   wire n_15588;
+   wire n_15589;
+   wire n_15590;
+   wire n_15591;
+   wire n_15592;
+   wire n_15593;
+   wire n_15594;
+   wire n_15595;
+   wire n_15596;
+   wire n_15597;
+   wire n_15598;
+   wire n_15599;
+   wire n_15600;
+   wire n_15601;
+   wire n_15602;
+   wire n_15603;
+   wire n_15604;
+   wire n_15605;
+   wire n_15606;
+   wire n_15607;
+   wire n_15608;
+   wire n_15609;
+   wire n_15610;
+   wire n_15611;
+   wire n_15612;
+   wire n_15613;
+   wire n_15614;
+   wire n_15615;
+   wire n_15616;
+   wire n_15617;
+   wire n_15618;
+   wire n_15619;
+   wire n_15620;
+   wire n_15621;
+   wire n_15622;
+   wire n_15623;
+   wire n_15624;
+   wire n_15625;
+   wire n_15626;
+   wire n_15627;
+   wire n_15628;
+   wire n_15629;
+   wire n_15630;
+   wire n_15631;
+   wire n_15632;
+   wire n_15633;
+   wire n_15634;
+   wire n_15635;
+   wire n_15636;
+   wire n_15637;
+   wire n_15638;
+   wire n_15639;
+   wire n_15640;
+   wire n_15641;
+   wire n_15642;
+   wire n_15643;
+   wire n_15644;
+   wire n_15645;
+   wire n_15646;
+   wire n_15647;
+   wire n_15648;
+   wire n_15649;
+   wire n_15650;
+   wire n_15651;
+   wire n_15652;
+   wire n_15653;
+   wire n_15654;
+   wire n_15655;
+   wire n_15656;
+   wire n_15657;
+   wire n_15658;
+   wire n_15659;
+   wire n_15660;
+   wire n_15661;
+   wire n_15662;
+   wire n_15663;
+   wire n_15664;
+   wire n_15665;
+   wire n_15666;
+   wire n_15667;
+   wire n_15668;
+   wire n_15669;
+   wire n_15670;
+   wire n_15671;
+   wire n_15672;
+   wire n_15673;
+   wire n_15674;
+   wire n_15675;
+   wire n_15676;
+   wire n_15677;
+   wire n_15678;
+   wire n_15679;
+   wire n_15680;
+   wire n_15681;
+   wire n_15682;
+   wire n_15683;
+   wire n_15684;
+   wire n_15685;
+   wire n_15686;
+   wire n_15687;
+   wire n_15688;
+   wire n_15689;
+   wire n_15690;
+   wire n_15691;
+   wire n_15692;
+   wire n_15693;
+   wire n_15694;
+   wire n_15695;
+   wire n_15696;
+   wire n_15697;
+   wire n_15698;
+   wire n_15699;
+   wire n_15700;
+   wire n_15701;
+   wire n_15702;
+   wire n_15703;
+   wire n_15704;
+   wire n_15705;
+   wire n_15706;
+   wire n_15707;
+   wire n_15708;
+   wire n_15709;
+   wire n_15710;
+   wire n_15711;
+   wire n_15712;
+   wire n_15713;
+   wire n_15714;
+   wire n_15715;
+   wire n_15716;
+   wire n_15717;
+   wire n_15718;
+   wire n_15719;
+   wire n_15720;
+   wire n_15721;
+   wire n_15722;
+   wire n_15723;
+   wire n_15724;
+   wire n_15725;
+   wire n_15726;
+   wire n_15727;
+   wire n_15728;
+   wire n_15729;
+   wire n_15730;
+   wire n_15731;
+   wire n_15732;
+   wire n_15733;
+   wire n_15734;
+   wire n_15735;
+   wire n_15736;
+   wire n_15737;
+   wire n_15738;
+   wire n_15739;
+   wire n_15740;
+   wire n_15741;
+   wire n_15742;
+   wire n_15743;
+   wire n_15744;
+   wire n_15745;
+   wire n_15746;
+   wire n_15747;
+   wire n_15748;
+   wire n_15749;
+   wire n_15750;
+   wire n_15751;
+   wire n_15752;
+   wire n_15753;
+   wire n_15754;
+   wire n_15755;
+   wire n_15756;
+   wire n_15757;
+   wire n_15758;
+   wire n_15759;
+   wire n_15760;
+   wire n_15761;
+   wire n_15762;
+   wire n_15763;
+   wire n_15764;
+   wire n_15765;
+   wire n_15766;
+   wire n_15767;
+   wire n_15768;
+   wire n_15769;
+   wire n_15770;
+   wire n_15771;
+   wire n_15772;
+   wire n_15773;
+   wire n_15774;
+   wire n_15775;
+   wire n_15776;
+   wire n_15777;
+   wire n_15778;
+   wire n_15779;
+   wire n_15780;
+   wire n_15781;
+   wire n_15782;
+   wire n_15783;
+   wire n_15784;
+   wire n_15785;
+   wire n_15786;
+   wire n_15787;
+   wire n_15788;
+   wire n_15789;
+   wire n_15790;
+   wire n_15791;
+   wire n_15792;
+   wire n_15793;
+   wire n_15794;
+   wire n_15795;
+   wire n_15796;
+   wire n_15797;
+   wire n_15798;
+   wire n_15799;
+   wire n_15800;
+   wire n_15801;
+   wire n_15802;
+   wire n_15803;
+   wire n_15804;
+   wire n_15805;
+   wire n_15806;
+   wire n_15807;
+   wire n_15808;
+   wire n_15809;
+   wire n_15810;
+   wire n_15811;
+   wire n_15812;
+   wire n_15813;
+   wire n_15814;
+   wire n_15815;
+   wire n_15816;
+   wire n_15817;
+   wire n_15818;
+   wire n_15819;
+   wire n_15820;
+   wire n_15821;
+   wire n_15822;
+   wire n_15823;
+   wire n_15824;
+   wire n_15825;
+   wire n_15826;
+   wire n_15827;
+   wire n_15828;
+   wire n_15829;
+   wire n_15830;
+   wire n_15831;
+   wire n_15832;
+   wire n_15833;
+   wire n_15834;
+   wire n_15835;
+   wire n_15836;
+   wire n_15837;
+   wire n_15838;
+   wire n_15839;
+   wire n_15840;
+   wire n_15841;
+   wire n_15842;
+   wire n_15843;
+   wire n_15844;
+   wire n_15845;
+   wire n_15846;
+   wire n_15847;
+   wire n_15848;
+   wire n_15849;
+   wire n_15850;
+   wire n_15851;
+   wire n_15852;
+   wire n_15853;
+   wire n_15854;
+   wire n_15855;
+   wire n_15856;
+   wire n_15857;
+   wire n_15858;
+   wire n_15859;
+   wire n_15860;
+   wire n_15861;
+   wire n_15862;
+   wire n_15863;
+   wire n_15864;
+   wire n_15865;
+   wire n_15866;
+   wire n_15867;
+   wire n_15868;
+   wire n_15869;
+   wire n_15870;
+   wire n_15871;
+   wire n_15872;
+   wire n_15873;
+   wire n_15874;
+   wire n_15875;
+   wire n_15876;
+   wire n_15877;
+   wire n_15878;
+   wire n_15879;
+   wire n_15880;
+   wire n_15881;
+   wire n_15882;
+   wire n_15883;
+   wire n_15884;
+   wire n_15885;
+   wire n_15886;
+   wire n_15887;
+   wire n_15888;
+   wire n_15889;
+   wire n_15890;
+   wire n_15891;
+   wire n_15892;
+   wire n_15893;
+   wire n_15894;
+   wire n_15895;
+   wire n_15896;
+   wire n_15897;
+   wire n_15898;
+   wire n_15899;
+   wire n_15900;
+   wire n_15901;
+   wire n_15902;
+   wire n_15903;
+   wire n_15904;
+   wire n_15905;
+   wire n_15906;
+   wire n_15907;
+   wire n_15908;
+   wire n_15909;
+   wire n_15910;
+   wire n_15911;
+   wire n_15912;
+   wire n_15913;
+   wire n_15914;
+   wire n_15915;
+   wire n_15916;
+   wire n_15917;
+   wire n_15918;
+   wire n_15919;
+   wire n_15920;
+   wire n_15921;
+   wire n_15922;
+   wire n_15923;
+   wire n_15924;
+   wire n_15925;
+   wire n_15926;
+   wire n_15927;
+   wire n_15928;
+   wire n_15929;
+   wire n_15930;
+   wire n_15931;
+   wire n_15932;
+   wire n_15933;
+   wire n_15934;
+   wire n_15935;
+   wire n_15936;
+   wire n_15937;
+   wire n_15938;
+   wire n_15939;
+   wire n_15940;
+   wire n_15941;
+   wire n_15942;
+   wire n_15943;
+   wire n_15944;
+   wire n_15945;
+   wire n_15946;
+   wire n_15947;
+   wire n_15948;
+   wire n_15949;
+   wire n_15950;
+   wire n_15951;
+   wire n_15952;
+   wire n_15953;
+   wire n_15954;
+   wire n_15955;
+   wire n_15956;
+   wire n_15957;
+   wire n_15958;
+   wire n_15959;
+   wire n_15960;
+   wire n_15961;
+   wire n_15962;
+   wire n_15963;
+   wire n_15964;
+   wire n_15965;
+   wire n_15966;
+   wire n_15967;
+   wire n_15968;
+   wire n_15969;
+   wire n_15970;
+   wire n_15971;
+   wire n_15972;
+   wire n_15973;
+   wire n_15974;
+   wire n_15975;
+   wire n_15976;
+   wire n_15977;
+   wire n_15978;
+   wire n_15979;
+   wire n_15980;
+   wire n_15981;
+   wire n_15982;
+   wire n_15983;
+   wire n_15984;
+   wire n_15985;
+   wire n_15986;
+   wire n_15987;
+   wire n_15988;
+   wire n_15989;
+   wire n_15990;
+   wire n_15991;
+   wire n_15992;
+   wire n_15993;
+   wire n_15994;
+   wire n_15995;
+   wire n_15996;
+   wire n_15997;
+   wire n_15998;
+   wire n_15999;
+   wire n_16000;
+   wire n_16001;
+   wire n_16002;
+   wire n_16003;
+   wire n_16004;
+   wire n_16005;
+   wire n_16006;
+   wire n_16007;
+   wire n_16008;
+   wire n_16009;
+   wire n_16010;
+   wire n_16011;
+   wire n_16012;
+   wire n_16013;
+   wire n_16014;
+   wire n_16015;
+   wire n_16016;
+   wire n_16017;
+   wire n_16018;
+   wire n_16019;
+   wire n_16020;
+   wire n_16021;
+   wire n_16022;
+   wire n_16023;
+   wire n_16024;
+   wire n_16025;
+   wire n_16026;
+   wire n_16027;
+   wire n_16028;
+   wire n_16029;
+   wire n_16030;
+   wire n_16031;
+   wire n_16032;
+   wire n_16033;
+   wire n_16034;
+   wire n_16035;
+   wire n_16036;
+   wire n_16037;
+   wire n_16038;
+   wire n_16039;
+   wire n_16040;
+   wire n_16041;
+   wire n_16042;
+   wire n_16043;
+   wire n_16044;
+   wire n_16045;
+   wire n_16046;
+   wire n_16047;
+   wire n_16048;
+   wire n_16049;
+   wire n_16050;
+   wire n_16051;
+   wire n_16052;
+   wire n_16053;
+   wire n_16054;
+   wire n_16055;
+   wire n_16056;
+   wire n_16057;
+   wire n_16058;
+   wire n_16059;
+   wire n_16060;
+   wire n_16061;
+   wire n_16062;
+   wire n_16063;
+   wire n_16064;
+   wire n_16065;
+   wire n_16066;
+   wire n_16067;
+   wire n_16068;
+   wire n_16069;
+   wire n_16070;
+   wire n_16071;
+   wire n_16072;
+   wire n_16073;
+   wire n_16074;
+   wire n_16075;
+   wire n_16076;
+   wire n_16077;
+   wire n_16078;
+   wire n_16079;
+   wire n_16080;
+   wire n_16081;
+   wire n_16082;
+   wire n_16083;
+   wire n_16084;
+   wire n_16085;
+   wire n_16086;
+   wire n_16087;
+   wire n_16088;
+   wire n_16089;
+   wire n_16090;
+   wire n_16091;
+   wire n_16092;
+   wire n_16093;
+   wire n_16094;
+   wire n_16095;
+   wire n_16096;
+   wire n_16097;
+   wire n_16098;
+   wire n_16099;
+   wire n_16100;
+   wire n_16101;
+   wire n_16102;
+   wire n_16103;
+   wire n_16104;
+   wire n_16105;
+   wire n_16106;
+   wire n_16107;
+   wire n_16108;
+   wire n_16109;
+   wire n_16110;
+   wire n_16111;
+   wire n_16112;
+   wire n_16113;
+   wire n_16114;
+   wire n_16115;
+   wire n_16116;
+   wire n_16117;
+   wire n_16118;
+   wire n_16119;
+   wire n_16120;
+   wire n_16121;
+   wire n_16122;
+   wire n_16123;
+   wire n_16124;
+   wire n_16125;
+   wire n_16126;
+   wire n_16127;
+   wire n_16128;
+   wire n_16129;
+   wire n_16130;
+   wire n_16131;
+   wire n_16132;
+   wire n_16133;
+   wire n_16134;
+   wire n_16135;
+   wire n_16136;
+   wire n_16137;
+   wire n_16138;
+   wire n_16139;
+   wire n_16140;
+   wire n_16141;
+   wire n_16142;
+   wire n_16143;
+   wire n_16144;
+   wire n_16145;
+   wire n_16146;
+   wire n_16147;
+   wire n_16148;
+   wire n_16149;
+   wire n_16150;
+   wire n_16151;
+   wire n_16152;
+   wire n_16153;
+   wire n_16154;
+   wire n_16155;
+   wire n_16156;
+   wire n_16157;
+   wire n_16158;
+   wire n_16159;
+   wire n_16160;
+   wire n_16161;
+   wire n_16162;
+   wire n_16163;
+   wire n_16164;
+   wire n_16165;
+   wire n_16166;
+   wire n_16167;
+   wire n_16168;
+   wire n_16169;
+   wire n_16170;
+   wire n_16171;
+   wire n_16172;
+   wire n_16173;
+   wire n_16174;
+   wire n_16175;
+   wire n_16176;
+   wire n_16177;
+   wire n_16178;
+   wire n_16179;
+   wire n_16180;
+   wire n_16181;
+   wire n_16182;
+   wire n_16183;
+   wire n_16184;
+   wire n_16185;
+   wire n_16186;
+   wire n_16187;
+   wire n_16188;
+   wire n_16189;
+   wire n_16190;
+   wire n_16191;
+   wire n_16192;
+   wire n_16193;
+   wire n_16194;
+   wire n_16195;
+   wire n_16196;
+   wire n_16197;
+   wire n_16198;
+   wire n_16199;
+   wire n_16200;
+   wire n_16201;
+   wire n_16202;
+   wire n_16203;
+   wire n_16204;
+   wire n_16205;
+   wire n_16206;
+   wire n_16207;
+   wire n_16208;
+   wire n_16209;
+   wire n_16210;
+   wire n_16211;
+   wire n_16212;
+   wire n_16213;
+   wire n_16214;
+   wire n_16215;
+   wire n_16216;
+   wire n_16217;
+   wire n_16218;
+   wire n_16219;
+   wire n_16220;
+   wire n_16221;
+   wire n_16222;
+   wire n_16223;
+   wire n_16224;
+   wire n_16225;
+   wire n_16226;
+   wire n_16227;
+   wire n_16228;
+   wire n_16229;
+   wire n_16230;
+   wire n_16231;
+   wire n_16232;
+   wire n_16233;
+   wire n_16234;
+   wire n_16235;
+   wire n_16236;
+   wire n_16237;
+   wire n_16238;
+   wire n_16239;
+   wire n_16240;
+   wire n_16241;
+   wire n_16242;
+   wire n_16243;
+   wire n_16244;
+   wire n_16245;
+   wire n_16246;
+   wire n_16247;
+   wire n_16248;
+   wire n_16249;
+   wire n_16250;
+   wire n_16251;
+   wire n_16252;
+   wire n_16253;
+   wire n_16254;
+   wire n_16255;
+   wire n_16256;
+   wire n_16257;
+   wire n_16258;
+   wire n_16259;
+   wire n_16260;
+   wire n_16261;
+   wire n_16262;
+   wire n_16263;
+   wire n_16264;
+   wire n_16265;
+   wire n_16266;
+   wire n_16267;
+   wire n_16268;
+   wire n_16269;
+   wire n_16270;
+   wire n_16271;
+   wire n_16272;
+   wire n_16273;
+   wire n_16274;
+   wire n_16275;
+   wire n_16276;
+   wire n_16277;
+   wire n_16278;
+   wire n_16279;
+   wire n_16280;
+   wire n_16281;
+   wire n_16282;
+   wire n_16283;
+   wire n_16284;
+   wire n_16285;
+   wire n_16286;
+   wire n_16287;
+   wire n_16288;
+   wire n_16289;
+   wire n_16290;
+   wire n_16291;
+   wire n_16292;
+   wire n_16293;
+   wire n_16294;
+   wire n_16295;
+   wire n_16296;
+   wire n_16297;
+   wire n_16298;
+   wire n_16299;
+   wire n_16300;
+   wire n_16301;
+   wire n_16302;
+   wire n_16303;
+   wire n_16304;
+   wire n_16306;
+   wire n_16307;
+   wire n_16308;
+   wire n_16309;
+   wire n_16310;
+   wire n_16311;
+   wire n_16312;
+   wire n_16313;
+   wire n_16314;
+   wire n_16315;
+   wire n_16316;
+   wire n_16317;
+   wire n_16318;
+   wire n_16319;
+   wire n_16320;
+   wire n_16321;
+   wire n_16322;
+   wire n_16323;
+   wire n_16324;
+   wire n_16325;
+   wire n_16326;
+   wire n_16327;
+   wire n_16328;
+   wire n_16329;
+   wire n_16330;
+   wire n_16331;
+   wire n_16332;
+   wire n_16333;
+   wire n_16334;
+   wire n_16335;
+   wire n_16336;
+   wire n_16337;
+   wire n_16338;
+   wire n_16339;
+   wire n_16340;
+   wire n_16341;
+   wire n_16342;
+   wire n_16343;
+   wire n_16344;
+   wire n_16345;
+   wire n_16346;
+   wire n_16347;
+   wire n_16348;
+   wire n_16349;
+   wire n_16350;
+   wire n_16351;
+   wire n_16352;
+   wire n_16353;
+   wire n_16354;
+   wire n_16355;
+   wire n_16356;
+   wire n_16357;
+   wire n_16358;
+   wire n_16359;
+   wire n_16360;
+   wire n_16361;
+   wire n_16362;
+   wire n_16363;
+   wire n_16364;
+   wire n_16365;
+   wire n_16366;
+   wire n_16367;
+   wire n_16368;
+   wire n_16369;
+   wire n_16370;
+   wire n_16371;
+   wire n_16372;
+   wire n_16373;
+   wire n_16374;
+   wire n_16375;
+   wire n_16376;
+   wire n_16377;
+   wire n_16378;
+   wire n_16379;
+   wire n_16380;
+   wire n_16381;
+   wire n_16382;
+   wire n_16383;
+   wire n_16384;
+   wire n_16385;
+   wire n_16386;
+   wire n_16387;
+   wire n_16388;
+   wire n_16389;
+   wire n_16390;
+   wire n_16391;
+   wire n_16392;
+   wire n_16393;
+   wire n_16394;
+   wire n_16395;
+   wire n_16396;
+   wire n_16397;
+   wire n_16398;
+   wire n_16399;
+   wire n_16400;
+   wire n_16401;
+   wire n_16402;
+   wire n_16403;
+   wire n_16404;
+   wire n_16405;
+   wire n_16406;
+   wire n_16407;
+   wire n_16408;
+   wire n_16409;
+   wire n_16410;
+   wire n_16411;
+   wire n_16412;
+   wire n_16413;
+   wire n_16414;
+   wire n_16415;
+   wire n_16416;
+   wire n_16417;
+   wire n_16418;
+   wire n_16419;
+   wire n_16420;
+   wire n_16421;
+   wire n_16422;
+   wire n_16423;
+   wire n_16424;
+   wire n_16425;
+   wire n_16426;
+   wire n_16427;
+   wire n_16428;
+   wire n_16429;
+   wire n_16430;
+   wire n_16431;
+   wire n_16432;
+   wire n_16433;
+   wire n_16434;
+   wire n_16435;
+   wire n_16436;
+   wire n_16437;
+   wire n_16438;
+   wire n_16439;
+   wire n_16440;
+   wire n_16441;
+   wire n_16442;
+   wire n_16443;
+   wire n_16444;
+   wire n_16445;
+   wire n_16446;
+   wire n_16447;
+   wire n_16448;
+   wire n_16449;
+   wire n_16450;
+   wire n_16451;
+   wire n_16452;
+   wire n_16453;
+   wire n_16454;
+   wire n_16455;
+   wire n_16456;
+   wire n_16457;
+   wire n_16458;
+   wire n_16459;
+   wire n_16460;
+   wire n_16461;
+   wire n_16462;
+   wire n_16463;
+   wire n_16464;
+   wire n_16465;
+   wire n_16466;
+   wire n_16467;
+   wire n_16468;
+   wire n_16469;
+   wire n_16470;
+   wire n_16471;
+   wire n_16472;
+   wire n_16473;
+   wire n_16474;
+   wire n_16475;
+   wire n_16476;
+   wire n_16477;
+   wire n_16478;
+   wire n_16479;
+   wire n_16480;
+   wire n_16481;
+   wire n_16482;
+   wire n_16483;
+   wire n_16484;
+   wire n_16485;
+   wire n_16486;
+   wire n_16487;
+   wire n_16488;
+   wire n_16489;
+   wire n_16490;
+   wire n_16491;
+   wire n_16492;
+   wire n_16493;
+   wire n_16494;
+   wire n_16495;
+   wire n_16496;
+   wire n_16497;
+   wire n_16498;
+   wire n_16499;
+   wire n_16500;
+   wire n_16501;
+   wire n_16502;
+   wire n_16503;
+   wire n_16504;
+   wire n_16505;
+   wire n_16506;
+   wire n_16507;
+   wire n_16508;
+   wire n_16509;
+   wire n_16510;
+   wire n_16511;
+   wire n_16512;
+   wire n_16513;
+   wire n_16514;
+   wire n_16515;
+   wire n_16516;
+   wire n_16517;
+   wire n_16518;
+   wire n_16519;
+   wire n_16520;
+   wire n_16521;
+   wire n_16522;
+   wire n_16523;
+   wire n_16524;
+   wire n_16525;
+   wire n_16526;
+   wire n_16527;
+   wire n_16528;
+   wire n_16529;
+   wire n_16530;
+   wire n_16531;
+   wire n_16532;
+   wire n_16533;
+   wire n_16534;
+   wire n_16535;
+   wire n_16536;
+   wire n_16537;
+   wire n_16538;
+   wire n_16539;
+   wire n_16540;
+   wire n_16541;
+   wire n_16542;
+   wire n_16543;
+   wire n_16544;
+   wire n_16545;
+   wire n_16546;
+   wire n_16547;
+   wire n_16548;
+   wire n_16549;
+   wire n_16550;
+   wire n_16551;
+   wire n_16552;
+   wire n_16553;
+   wire n_16554;
+   wire n_16555;
+   wire n_16556;
+   wire n_16557;
+   wire n_16558;
+   wire n_16559;
+   wire n_16560;
+   wire n_16561;
+   wire n_16562;
+   wire n_16563;
+   wire n_16564;
+   wire n_16565;
+   wire n_16566;
+   wire n_16567;
+   wire n_16568;
+   wire n_16569;
+   wire n_16570;
+   wire n_16571;
+   wire n_16572;
+   wire n_16573;
+   wire n_16574;
+   wire n_16575;
+   wire n_16576;
+   wire n_16577;
+   wire n_16578;
+   wire n_16579;
+   wire n_16580;
+   wire n_16581;
+   wire n_16582;
+   wire n_16583;
+   wire n_16584;
+   wire n_16588;
+   wire n_16589;
+   wire n_16590;
+   wire n_16592;
+   wire n_16593;
+   wire n_16594;
+   wire n_16595;
+   wire n_16596;
+   wire n_16597;
+   wire n_16598;
+   wire n_16599;
+   wire n_16600;
+   wire n_16602;
+   wire n_16603;
+   wire n_16604;
+   wire n_16605;
+   wire n_16606;
+   wire n_16607;
+   wire n_16608;
+   wire n_16609;
+   wire n_16610;
+   wire n_16611;
+   wire n_16612;
+   wire n_16613;
+   wire n_16614;
+   wire n_16615;
+   wire n_16617;
+   wire n_16618;
+   wire n_16619;
+   wire n_16620;
+   wire n_16621;
+   wire n_16622;
+   wire n_16623;
+   wire n_16624;
+   wire n_16625;
+   wire n_16626;
+   wire n_16627;
+   wire n_16628;
+   wire n_16629;
+   wire n_16630;
+   wire n_16631;
+   wire n_16632;
+   wire n_16633;
+   wire n_16634;
+   wire n_16635;
+   wire n_16636;
+   wire n_16637;
+   wire n_16638;
+   wire n_16639;
+   wire n_16640;
+   wire n_16641;
+   wire n_16642;
+   wire n_16643;
+   wire n_16644;
+   wire n_16645;
+   wire n_16646;
+   wire n_16647;
+   wire n_16648;
+   wire n_16649;
+   wire n_16650;
+   wire n_16651;
+   wire n_16652;
+   wire n_16653;
+   wire n_16654;
+   wire n_16655;
+   wire n_16656;
+   wire n_16657;
+   wire n_16658;
+   wire n_16659;
+   wire n_16660;
+   wire n_16661;
+   wire n_16662;
+   wire n_16663;
+   wire n_16664;
+   wire n_16665;
+   wire n_16666;
+   wire n_16667;
+   wire n_16668;
+   wire n_16669;
+   wire n_16670;
+   wire n_16671;
+   wire n_16672;
+   wire n_16673;
+   wire n_16674;
+   wire n_16675;
+   wire n_16676;
+   wire n_16677;
+   wire n_16678;
+   wire n_16679;
+   wire n_16680;
+   wire n_16681;
+   wire n_16682;
+   wire n_16683;
+   wire n_16684;
+   wire n_16685;
+   wire n_16686;
+   wire n_16687;
+   wire n_16688;
+   wire n_16689;
+   wire n_16690;
+   wire n_16691;
+   wire n_16692;
+   wire n_16693;
+   wire n_16694;
+   wire n_16695;
+   wire n_16696;
+   wire n_16697;
+   wire n_16698;
+   wire n_16699;
+   wire n_16700;
+   wire n_16701;
+   wire n_16702;
+   wire n_16703;
+   wire n_16704;
+   wire n_16705;
+   wire n_16706;
+   wire n_16707;
+   wire n_16708;
+   wire n_16709;
+   wire n_16710;
+   wire n_16711;
+   wire n_16712;
+   wire n_16713;
+   wire n_16714;
+   wire n_16715;
+   wire n_16716;
+   wire n_16717;
+   wire n_16718;
+   wire n_16719;
+   wire n_16720;
+   wire n_16721;
+   wire n_16722;
+   wire n_16723;
+   wire n_16724;
+   wire n_16725;
+   wire n_16726;
+   wire n_16727;
+   wire n_16728;
+   wire n_16729;
+   wire n_16730;
+   wire n_16731;
+   wire n_16732;
+   wire n_16733;
+   wire n_16734;
+   wire n_16735;
+   wire n_16736;
+   wire n_16737;
+   wire n_16738;
+   wire n_16739;
+   wire n_16740;
+   wire n_16741;
+   wire n_16742;
+   wire n_16743;
+   wire n_16744;
+   wire n_16745;
+   wire n_16746;
+   wire n_16747;
+   wire n_16748;
+   wire n_16749;
+   wire n_16750;
+   wire n_16751;
+   wire n_16752;
+   wire n_16753;
+   wire n_16754;
+   wire n_16755;
+   wire n_16756;
+   wire n_16757;
+   wire n_16758;
+   wire n_16759;
+   wire n_16760;
+   wire n_16761;
+   wire n_16762;
+   wire n_16763;
+   wire n_16764;
+   wire n_16765;
+   wire n_16766;
+   wire n_16767;
+   wire n_16768;
+   wire n_16769;
+   wire n_16770;
+   wire n_16771;
+   wire n_16772;
+   wire n_16773;
+   wire n_16774;
+   wire n_16775;
+   wire n_16776;
+   wire n_16777;
+   wire n_16778;
+   wire n_16779;
+   wire n_16780;
+   wire n_16781;
+   wire n_16782;
+   wire n_16783;
+   wire n_16784;
+   wire n_16785;
+   wire n_16786;
+   wire n_16787;
+   wire n_16788;
+   wire n_16789;
+   wire n_16790;
+   wire n_16791;
+   wire n_16792;
+   wire n_16793;
+   wire n_16794;
+   wire n_16795;
+   wire n_16796;
+   wire n_16797;
+   wire n_16798;
+   wire n_16799;
+   wire n_16800;
+   wire n_16801;
+   wire n_16802;
+   wire n_16803;
+   wire n_16804;
+   wire n_16805;
+   wire n_16806;
+   wire n_16807;
+   wire n_16808;
+   wire n_16809;
+   wire n_16810;
+   wire n_16811;
+   wire n_16812;
+   wire n_16813;
+   wire n_16814;
+   wire n_16815;
+   wire n_16816;
+   wire n_16817;
+   wire n_16818;
+   wire n_16819;
+   wire n_16820;
+   wire n_16821;
+   wire n_16822;
+   wire n_16823;
+   wire n_16824;
+   wire n_16825;
+   wire n_16826;
+   wire n_16827;
+   wire n_16828;
+   wire n_16829;
+   wire n_16830;
+   wire n_16831;
+   wire n_16832;
+   wire n_16833;
+   wire n_16834;
+   wire n_16835;
+   wire n_16836;
+   wire n_16837;
+   wire n_16838;
+   wire n_16839;
+   wire n_16841;
+   wire n_16842;
+   wire n_16843;
+   wire n_16845;
+   wire n_16846;
+   wire n_16847;
+   wire n_16848;
+   wire n_16849;
+   wire n_16850;
+   wire n_16851;
+   wire n_16852;
+   wire n_16853;
+   wire n_16854;
+   wire n_16855;
+   wire n_16856;
+   wire n_16857;
+   wire n_16858;
+   wire n_16859;
+   wire n_16860;
+   wire n_16861;
+   wire n_16862;
+   wire n_16863;
+   wire n_16864;
+   wire n_16865;
+   wire n_16866;
+   wire n_16867;
+   wire n_16868;
+   wire n_16869;
+   wire n_16870;
+   wire n_16871;
+   wire n_16872;
+   wire n_16873;
+   wire n_16874;
+   wire n_16875;
+   wire n_16876;
+   wire n_16877;
+   wire n_16878;
+   wire n_16879;
+   wire n_16880;
+   wire n_16881;
+   wire n_16882;
+   wire n_16883;
+   wire n_16884;
+   wire n_16885;
+   wire n_16886;
+   wire n_16887;
+   wire n_16888;
+   wire n_16889;
+   wire n_16890;
+   wire n_16891;
+   wire n_16892;
+   wire n_16893;
+   wire n_16894;
+   wire n_16895;
+   wire n_16896;
+   wire n_16897;
+   wire n_16898;
+   wire n_16899;
+   wire n_16900;
+   wire n_16901;
+   wire n_16902;
+   wire n_16903;
+   wire n_16904;
+   wire n_16905;
+   wire n_16906;
+   wire n_16907;
+   wire n_16908;
+   wire n_16909;
+   wire n_16910;
+   wire n_16911;
+   wire n_16912;
+   wire n_16913;
+   wire n_16914;
+   wire n_16915;
+   wire n_16916;
+   wire n_16917;
+   wire n_16918;
+   wire n_16919;
+   wire n_16920;
+   wire n_16921;
+   wire n_16922;
+   wire n_16923;
+   wire n_16924;
+   wire n_16925;
+   wire n_16926;
+   wire n_16927;
+   wire n_16928;
+   wire n_16929;
+   wire n_16931;
+   wire n_16932;
+   wire n_16933;
+   wire n_16934;
+   wire n_16935;
+   wire n_16936;
+   wire n_16937;
+   wire n_16938;
+   wire n_16939;
+   wire n_16940;
+   wire n_16941;
+   wire n_16943;
+   wire n_16946;
+   wire n_16947;
+   wire n_16948;
+   wire n_16949;
+   wire n_16950;
+   wire n_16951;
+   wire n_16952;
+   wire n_16953;
+   wire n_16955;
+   wire n_16956;
+   wire n_16957;
+   wire n_16959;
+   wire n_16960;
+   wire n_16961;
+   wire n_16969;
+   wire n_16970;
+   wire n_16971;
+   wire n_16975;
+   wire n_16977;
+   wire n_16978;
+   wire n_16979;
+   wire n_16980;
+   wire n_16981;
+   wire n_16982;
+   wire n_16983;
+   wire n_16984;
+   wire n_16985;
+   wire n_16986;
+   wire n_16987;
+   wire n_16988;
+   wire n_16989;
+   wire n_16990;
+   wire n_16991;
+   wire n_16992;
+   wire n_16993;
+   wire n_16994;
+   wire n_16995;
+   wire n_16996;
+   wire n_16997;
+   wire n_16998;
+   wire n_16999;
+   wire n_17000;
+   wire n_17001;
+   wire n_17002;
+   wire n_17003;
+   wire n_17004;
+   wire n_17005;
+   wire n_17008;
+   wire n_17009;
+   wire n_17010;
+   wire n_17012;
+   wire n_17013;
+   wire n_17014;
+   wire n_17015;
+   wire n_17017;
+   wire n_17018;
+   wire n_17019;
+   wire n_17020;
+   wire n_17021;
+   wire n_17022;
+   wire n_17024;
+   wire n_17025;
+   wire n_17026;
+   wire n_17027;
+   wire n_17029;
+   wire n_17030;
+   wire n_17031;
+   wire n_17032;
+   wire n_17033;
+   wire n_17034;
+   wire n_17035;
+   wire n_17036;
+   wire n_17037;
+   wire n_17038;
+   wire n_17039;
+   wire n_17040;
+   wire n_17041;
+   wire n_17042;
+   wire n_17043;
+   wire n_17044;
+   wire n_17045;
+   wire n_17046;
+   wire n_17047;
+   wire n_17048;
+   wire n_17049;
+   wire n_17051;
+   wire n_17052;
+   wire n_17053;
+   wire n_17054;
+   wire n_17055;
+   wire n_17056;
+   wire n_17057;
+   wire n_17058;
+   wire n_17061;
+   wire n_17062;
+   wire n_17063;
+   wire n_17064;
+   wire n_17065;
+   wire n_17066;
+   wire n_17067;
+   wire n_17068;
+   wire n_17069;
+   wire n_17070;
+   wire n_17072;
+   wire n_17073;
+   wire n_17074;
+   wire n_17075;
+   wire n_17076;
+   wire n_17077;
+   wire n_17079;
+   wire n_17080;
+   wire n_17081;
+   wire n_17082;
+   wire n_17086;
+   wire n_17087;
+   wire n_17088;
+   wire n_17089;
+   wire n_17090;
+   wire n_17091;
+   wire n_17092;
+   wire n_17093;
+   wire n_17094;
+   wire n_17095;
+   wire n_17096;
+   wire n_17097;
+   wire n_17098;
+   wire n_17099;
+   wire n_17100;
+   wire n_17101;
+   wire n_17102;
+   wire n_17103;
+   wire n_17104;
+   wire n_17105;
+   wire n_17106;
+   wire n_17107;
+   wire n_17108;
+   wire n_17110;
+   wire n_17111;
+   wire n_17112;
+   wire n_17113;
+   wire n_17114;
+   wire n_17115;
+   wire n_17116;
+   wire n_17117;
+   wire n_17118;
+   wire n_17119;
+   wire n_17120;
+   wire n_17121;
+   wire n_17122;
+   wire n_17123;
+   wire n_17124;
+   wire n_17125;
+   wire n_17126;
+   wire n_17127;
+   wire n_17128;
+   wire n_17129;
+   wire n_17130;
+   wire n_17131;
+   wire n_17132;
+   wire n_17133;
+   wire n_17134;
+   wire n_17135;
+   wire n_17136;
+   wire n_17137;
+   wire n_17138;
+   wire n_17139;
+   wire n_17140;
+   wire n_17141;
+   wire n_17142;
+   wire n_17143;
+   wire n_17144;
+   wire n_17145;
+   wire n_17146;
+   wire n_17147;
+   wire n_17148;
+   wire n_17149;
+   wire n_17150;
+   wire n_17151;
+   wire n_17152;
+   wire n_17153;
+   wire n_17154;
+   wire n_17155;
+   wire n_17156;
+   wire n_17157;
+   wire n_17158;
+   wire n_17159;
+   wire n_17160;
+   wire n_17161;
+   wire n_17162;
+   wire n_17163;
+   wire n_17164;
+   wire n_17165;
+   wire n_17166;
+   wire n_17167;
+   wire n_17168;
+   wire n_17169;
+   wire n_17170;
+   wire n_17171;
+   wire n_17172;
+   wire n_17174;
+   wire n_17175;
+   wire n_17176;
+   wire n_17177;
+   wire n_17178;
+   wire n_17179;
+   wire n_17180;
+   wire n_17181;
+   wire n_17182;
+   wire n_17183;
+   wire n_17184;
+   wire n_17185;
+   wire n_17186;
+   wire n_17187;
+   wire n_17188;
+   wire n_17189;
+   wire n_17190;
+   wire n_17191;
+   wire n_17192;
+   wire n_17193;
+   wire n_17194;
+   wire n_17195;
+   wire n_17196;
+   wire n_17197;
+   wire n_17198;
+   wire n_17199;
+   wire n_17200;
+   wire n_17201;
+   wire n_17202;
+   wire n_17203;
+   wire n_17204;
+   wire n_17205;
+   wire n_17206;
+   wire n_17207;
+   wire n_17208;
+   wire n_17209;
+   wire n_17210;
+   wire n_17211;
+   wire n_17212;
+   wire n_17213;
+   wire n_17214;
+   wire n_17215;
+   wire n_17216;
+   wire n_17217;
+   wire n_17218;
+   wire n_17219;
+   wire n_17220;
+   wire n_17221;
+   wire n_17222;
+   wire n_17223;
+   wire n_17224;
+   wire n_17225;
+   wire n_17226;
+   wire n_17227;
+   wire n_17228;
+   wire n_17229;
+   wire n_17230;
+   wire n_17231;
+   wire n_17232;
+   wire n_17233;
+   wire n_17234;
+   wire n_17235;
+   wire n_17236;
+   wire n_17237;
+   wire n_17238;
+   wire n_17239;
+   wire n_17240;
+   wire n_17241;
+   wire n_17242;
+   wire n_17243;
+   wire n_17244;
+   wire n_17245;
+   wire n_17246;
+   wire n_17247;
+   wire n_17248;
+   wire n_17249;
+   wire n_17250;
+   wire n_17251;
+   wire n_17252;
+   wire n_17253;
+   wire n_17254;
+   wire n_17255;
+   wire n_17256;
+   wire n_17257;
+   wire n_17258;
+   wire n_17259;
+   wire n_17260;
+   wire n_17261;
+   wire n_17262;
+   wire n_17263;
+   wire n_17264;
+   wire n_17265;
+   wire n_17266;
+   wire n_17267;
+   wire n_17268;
+   wire n_17269;
+   wire n_17270;
+   wire n_17271;
+   wire n_17272;
+   wire n_17273;
+   wire n_17274;
+   wire n_17275;
+   wire n_17276;
+   wire n_17277;
+   wire n_17278;
+   wire n_17279;
+   wire n_17280;
+   wire n_17281;
+   wire n_17282;
+   wire n_17283;
+   wire n_17284;
+   wire n_17285;
+   wire n_17286;
+   wire n_17287;
+   wire n_17288;
+   wire n_17289;
+   wire n_17290;
+   wire n_17291;
+   wire n_17292;
+   wire n_17293;
+   wire n_17294;
+   wire n_17295;
+   wire n_17296;
+   wire n_17297;
+   wire n_17298;
+   wire n_17299;
+   wire n_17300;
+   wire n_17301;
+   wire n_17302;
+   wire n_17303;
+   wire n_17304;
+   wire n_17305;
+   wire n_17306;
+   wire n_17307;
+   wire n_17308;
+   wire n_17309;
+   wire n_17310;
+   wire n_17311;
+   wire n_17312;
+   wire n_17313;
+   wire n_17314;
+   wire n_17315;
+   wire n_17316;
+   wire n_17317;
+   wire n_17318;
+   wire n_17319;
+   wire n_17320;
+   wire n_17321;
+   wire n_17322;
+   wire n_17323;
+   wire n_17324;
+   wire n_17325;
+   wire n_17326;
+   wire n_17327;
+   wire n_17328;
+   wire n_17329;
+   wire n_17330;
+   wire n_17331;
+   wire n_17332;
+   wire n_17333;
+   wire n_17334;
+   wire n_17335;
+   wire n_17336;
+   wire n_17337;
+   wire n_17338;
+   wire n_17339;
+   wire n_17340;
+   wire n_17341;
+   wire n_17342;
+   wire n_17343;
+   wire n_17344;
+   wire n_17345;
+   wire n_17346;
+   wire n_17347;
+   wire n_17348;
+   wire n_17349;
+   wire n_17350;
+   wire n_17351;
+   wire n_17352;
+   wire n_17353;
+   wire n_17354;
+   wire n_17355;
+   wire n_17358;
+   wire n_17361;
+   wire n_17362;
+   wire n_17363;
+   wire n_17374;
+   wire n_17376;
+   wire n_17378;
+   wire n_17381;
+   wire n_17382;
+   wire n_17383;
+   wire n_17387;
+   wire n_17388;
+   wire n_17389;
+   wire n_17390;
+   wire n_17391;
+   wire n_17393;
+   wire n_17403;
+   wire n_17404;
+   wire n_17406;
+   wire n_17408;
+   wire n_17411;
+   wire n_17414;
+   wire n_17415;
+   wire n_17417;
+   wire n_17420;
+   wire n_17421;
+   wire n_17425;
+   wire n_17426;
+   wire n_17428;
+   wire n_17429;
+   wire n_17431;
+   wire n_17433;
+   wire n_17435;
+   wire n_17438;
+   wire n_17439;
+   wire n_17444;
+   wire n_17448;
+   wire n_17449;
+   wire n_17450;
+   wire n_17451;
+   wire n_17452;
+   wire n_17453;
+   wire n_17454;
+   wire n_17455;
+   wire n_17456;
+   wire n_17457;
+   wire n_17458;
+   wire n_17459;
+   wire n_17460;
+   wire n_17461;
+   wire n_17464;
+   wire n_17465;
+   wire n_17466;
+   wire n_17467;
+   wire n_17468;
+   wire n_17469;
+   wire n_17475;
+   wire n_17476;
+   wire n_17477;
+   wire n_17482;
+   wire n_17484;
+   wire n_17490;
+   wire n_17492;
+   wire n_17493;
+   wire n_17495;
+   wire n_17498;
+   wire n_17499;
+   wire n_17500;
+   wire n_17501;
+   wire n_17502;
+   wire n_17503;
+   wire n_17504;
+   wire n_17506;
+   wire n_17507;
+   wire n_17508;
+   wire n_17512;
+   wire n_17514;
+   wire n_17515;
+   wire n_17516;
+   wire n_17517;
+   wire n_17518;
+   wire n_17519;
+   wire n_17520;
+   wire n_17521;
+   wire n_17522;
+   wire n_17523;
+   wire n_17525;
+   wire n_17527;
+   wire n_17529;
+   wire n_17533;
+   wire n_17534;
+   wire n_17536;
+   wire n_17537;
+   wire n_17538;
+   wire n_17539;
+   wire n_17540;
+   wire n_17541;
+   wire n_17542;
+   wire n_17543;
+   wire n_17544;
+   wire n_17545;
+   wire n_17546;
+   wire n_17547;
+   wire n_17548;
+   wire n_17549;
+   wire n_17550;
+   wire n_17551;
+   wire n_17553;
+   wire n_17554;
+   wire n_17555;
+   wire n_17556;
+   wire n_17557;
+   wire n_17558;
+   wire n_17559;
+   wire n_17561;
+   wire n_17562;
+   wire n_17564;
+   wire n_17565;
+   wire n_17566;
+   wire n_17567;
+   wire n_17568;
+   wire n_17569;
+   wire n_17570;
+   wire n_17571;
+   wire n_17572;
+   wire n_17573;
+   wire n_17574;
+   wire n_17575;
+   wire n_17576;
+   wire n_17577;
+   wire n_17578;
+   wire n_17580;
+   wire n_17582;
+   wire n_17583;
+   wire n_17584;
+   wire n_17585;
+   wire n_17586;
+   wire n_17587;
+   wire n_17588;
+   wire n_17589;
+   wire n_17590;
+   wire n_17591;
+   wire n_17592;
+   wire n_17593;
+   wire n_17594;
+   wire n_17595;
+   wire n_17596;
+   wire n_17597;
+   wire n_17598;
+   wire n_17599;
+   wire n_17600;
+   wire n_17601;
+   wire n_17602;
+   wire n_17603;
+   wire n_17604;
+   wire n_17605;
+   wire n_17606;
+   wire n_17607;
+   wire n_17613;
+   wire n_17614;
+   wire n_17615;
+   wire n_17616;
+   wire n_17617;
+   wire n_17618;
+   wire n_17619;
+   wire n_17620;
+   wire n_17621;
+   wire n_17622;
+   wire n_17623;
+   wire n_17624;
+   wire n_17625;
+   wire n_17627;
+   wire n_17628;
+   wire n_17629;
+   wire n_17630;
+   wire n_17631;
+   wire n_17632;
+   wire n_17633;
+   wire n_17634;
+   wire n_17635;
+   wire n_17636;
+   wire n_17637;
+   wire n_17638;
+   wire n_17639;
+   wire n_17641;
+   wire n_17642;
+   wire n_17643;
+   wire n_17644;
+   wire n_17646;
+   wire n_17647;
+   wire n_17648;
+   wire n_17649;
+   wire n_17650;
+   wire n_17651;
+   wire n_17652;
+   wire n_17654;
+   wire n_17655;
+   wire n_17656;
+   wire n_17657;
+   wire n_17659;
+   wire n_17660;
+   wire n_17661;
+   wire n_17662;
+   wire n_17663;
+   wire n_17664;
+   wire n_17665;
+   wire n_17666;
+   wire n_17668;
+   wire n_17669;
+   wire n_17670;
+   wire n_17671;
+   wire n_17672;
+   wire n_17673;
+   wire n_17674;
+   wire n_17675;
+   wire n_17676;
+   wire n_17677;
+   wire n_17678;
+   wire n_17679;
+   wire n_17680;
+   wire n_17681;
+   wire n_17682;
+   wire n_17683;
+   wire n_17685;
+   wire n_17686;
+   wire n_17687;
+   wire n_17688;
+   wire n_17689;
+   wire n_17690;
+   wire n_17691;
+   wire n_17692;
+   wire n_17693;
+   wire n_17694;
+   wire n_17695;
+   wire n_17697;
+   wire n_17698;
+   wire n_17699;
+   wire n_17700;
+   wire n_17701;
+   wire n_17702;
+   wire n_17704;
+   wire n_17705;
+   wire n_17706;
+   wire n_17707;
+   wire n_17708;
+   wire n_17710;
+   wire n_17711;
+   wire n_17712;
+   wire n_17714;
+   wire n_17715;
+   wire n_17716;
+   wire n_17717;
+   wire n_17718;
+   wire n_17719;
+   wire n_17720;
+   wire n_17721;
+   wire n_17722;
+   wire n_17723;
+   wire n_17724;
+   wire n_17725;
+   wire n_17726;
+   wire n_17728;
+   wire n_17729;
+   wire n_17730;
+   wire n_17731;
+   wire n_17732;
+   wire n_17733;
+   wire n_17734;
+   wire n_17735;
+   wire n_17736;
+   wire n_17737;
+   wire n_17738;
+   wire n_17739;
+   wire n_17740;
+   wire n_17741;
+   wire n_17742;
+   wire n_17743;
+   wire n_17744;
+   wire n_17745;
+   wire n_17746;
+   wire n_17747;
+   wire n_17748;
+   wire n_17749;
+   wire n_17750;
+   wire n_17751;
+   wire n_17752;
+   wire n_17753;
+   wire n_17754;
+   wire n_17755;
+   wire n_17756;
+   wire n_17757;
+   wire n_17758;
+   wire n_17759;
+   wire n_17760;
+   wire n_17764;
+   wire n_17765;
+   wire n_17768;
+   wire n_17769;
+   wire n_17771;
+   wire n_17773;
+   wire n_17774;
+   wire n_17777;
+   wire n_17778;
+   wire n_17779;
+   wire n_17780;
+   wire n_17782;
+   wire n_17783;
+   wire n_17785;
+   wire n_17786;
+   wire n_17787;
+   wire n_17788;
+   wire n_17789;
+   wire n_17790;
+   wire n_17791;
+   wire n_17792;
+   wire n_17793;
+   wire n_17794;
+   wire n_17795;
+   wire n_17796;
+   wire n_17797;
+   wire n_17798;
+   wire n_17799;
+   wire n_17800;
+   wire n_17801;
+   wire n_17802;
+   wire n_17803;
+   wire n_17804;
+   wire n_17805;
+   wire n_17806;
+   wire n_17807;
+   wire n_17808;
+   wire n_17809;
+   wire n_17810;
+   wire n_17811;
+   wire n_17812;
+   wire n_17813;
+   wire n_17814;
+   wire n_17815;
+   wire n_17816;
+   wire n_17817;
+   wire n_17818;
+   wire n_17819;
+   wire n_17820;
+   wire n_17821;
+   wire n_17822;
+   wire n_17823;
+   wire n_17824;
+   wire n_17825;
+   wire n_17826;
+   wire n_17827;
+   wire n_17828;
+   wire n_17829;
+   wire n_17830;
+   wire n_17831;
+   wire n_17832;
+   wire n_17833;
+   wire n_17834;
+   wire n_17835;
+   wire n_17836;
+   wire n_17837;
+   wire n_17838;
+   wire n_17839;
+   wire n_17840;
+   wire n_17841;
+   wire n_17842;
+   wire n_17843;
+   wire n_17844;
+   wire n_17845;
+   wire n_17846;
+   wire n_17847;
+   wire n_17848;
+   wire n_17849;
+   wire n_17850;
+   wire n_17851;
+   wire n_17852;
+   wire n_17853;
+   wire n_17854;
+   wire n_17855;
+   wire n_17856;
+   wire n_17857;
+   wire n_17858;
+   wire n_17859;
+   wire n_17860;
+   wire n_17861;
+   wire n_17862;
+   wire n_17863;
+   wire n_17864;
+   wire n_17865;
+   wire n_17866;
+   wire n_17867;
+   wire n_17868;
+   wire n_17869;
+   wire n_17870;
+   wire n_17871;
+   wire n_17872;
+   wire n_17873;
+   wire n_17875;
+   wire n_17876;
+   wire n_17877;
+   wire n_17878;
+   wire n_17879;
+   wire n_17880;
+   wire n_17881;
+   wire n_17882;
+   wire n_17883;
+   wire n_17884;
+   wire n_17885;
+   wire n_17886;
+   wire n_17887;
+   wire n_17888;
+   wire n_17889;
+   wire n_17890;
+   wire n_17891;
+   wire n_17892;
+   wire n_17893;
+   wire n_17894;
+   wire n_17895;
+   wire n_17896;
+   wire n_17897;
+   wire n_17898;
+   wire n_17899;
+   wire n_17900;
+   wire n_17901;
+   wire n_17902;
+   wire n_17903;
+   wire n_17904;
+   wire n_17905;
+   wire n_17906;
+   wire n_17908;
+   wire n_17909;
+   wire n_17910;
+   wire n_17911;
+   wire n_17912;
+   wire n_17913;
+   wire n_17915;
+   wire n_17916;
+   wire n_17917;
+   wire n_17918;
+   wire n_17919;
+   wire n_17920;
+   wire n_17922;
+   wire n_17923;
+   wire n_17924;
+   wire n_17925;
+   wire n_17926;
+   wire n_17927;
+   wire n_17928;
+   wire n_17929;
+   wire n_17930;
+   wire n_17931;
+   wire n_17932;
+   wire n_17933;
+   wire n_17934;
+   wire n_17935;
+   wire n_17936;
+   wire n_17937;
+   wire n_17938;
+   wire n_17940;
+   wire n_17941;
+   wire n_17942;
+   wire n_17943;
+   wire n_17944;
+   wire n_17945;
+   wire n_17946;
+   wire n_17947;
+   wire n_17948;
+   wire n_17949;
+   wire n_17950;
+   wire n_17951;
+   wire n_17952;
+   wire n_17953;
+   wire n_17954;
+   wire n_17955;
+   wire n_17956;
+   wire n_17957;
+   wire n_17958;
+   wire n_17959;
+   wire n_17960;
+   wire n_17961;
+   wire n_17962;
+   wire n_17963;
+   wire n_17964;
+   wire n_17965;
+   wire n_17966;
+   wire n_17967;
+   wire n_17968;
+   wire n_17969;
+   wire n_17970;
+   wire n_17971;
+   wire n_17972;
+   wire n_17973;
+   wire n_17974;
+   wire n_17975;
+   wire n_17976;
+   wire n_17977;
+   wire n_17978;
+   wire n_17979;
+   wire n_17980;
+   wire n_17981;
+   wire n_17982;
+   wire n_17983;
+   wire n_17984;
+   wire n_17985;
+   wire n_17986;
+   wire n_17987;
+   wire n_17988;
+   wire n_17989;
+   wire n_17990;
+   wire n_17991;
+   wire n_17992;
+   wire n_17993;
+   wire n_17994;
+   wire n_17996;
+   wire n_17997;
+   wire n_17998;
+   wire n_17999;
+   wire n_18000;
+   wire n_18001;
+   wire n_18002;
+   wire n_18004;
+   wire n_18005;
+   wire n_18006;
+   wire n_18008;
+   wire n_18009;
+   wire n_18010;
+   wire n_18012;
+   wire n_18013;
+   wire n_18014;
+   wire n_18015;
+   wire n_18016;
+   wire n_18017;
+   wire n_18018;
+   wire n_18019;
+   wire n_18020;
+   wire n_18021;
+   wire n_18022;
+   wire n_18023;
+   wire n_18024;
+   wire n_18025;
+   wire n_18026;
+   wire n_18027;
+   wire n_18028;
+   wire n_18029;
+   wire n_18030;
+   wire n_18031;
+   wire n_18032;
+   wire n_18033;
+   wire n_18034;
+   wire n_18035;
+   wire n_18036;
+   wire n_18037;
+   wire n_18038;
+   wire n_18039;
+   wire n_18040;
+   wire n_18041;
+   wire n_18042;
+   wire n_18043;
+   wire n_18044;
+   wire n_18045;
+   wire n_18046;
+   wire n_18047;
+   wire n_18048;
+   wire n_18049;
+   wire n_18050;
+   wire n_18051;
+   wire n_18052;
+   wire n_18053;
+   wire n_18054;
+   wire n_18055;
+   wire n_18056;
+   wire n_18057;
+   wire n_18058;
+   wire n_18059;
+   wire n_18060;
+   wire n_18061;
+   wire n_18062;
+   wire n_18063;
+   wire n_18064;
+   wire n_18065;
+   wire n_18066;
+   wire n_18067;
+   wire n_18068;
+   wire n_18069;
+   wire n_18070;
+   wire n_18071;
+   wire n_18072;
+   wire n_18073;
+   wire n_18074;
+   wire n_18075;
+   wire n_18076;
+   wire n_18077;
+   wire n_18078;
+   wire n_18079;
+   wire n_18080;
+   wire n_18081;
+   wire n_18082;
+   wire n_18083;
+   wire n_18084;
+   wire n_18085;
+   wire n_18086;
+   wire n_18087;
+   wire n_18088;
+   wire n_18089;
+   wire n_18090;
+   wire n_18091;
+   wire n_18092;
+   wire n_18093;
+   wire n_18094;
+   wire n_18095;
+   wire n_18096;
+   wire n_18097;
+   wire n_18098;
+   wire n_18099;
+   wire n_18100;
+   wire n_18101;
+   wire n_18102;
+   wire n_18103;
+   wire n_18104;
+   wire n_18105;
+   wire n_18106;
+   wire n_18107;
+   wire n_18108;
+   wire n_18109;
+   wire n_18110;
+   wire n_18111;
+   wire n_18112;
+   wire n_18113;
+   wire n_18114;
+   wire n_18115;
+   wire n_18116;
+   wire n_18117;
+   wire n_18118;
+   wire n_18119;
+   wire n_18120;
+   wire n_18121;
+   wire n_18122;
+   wire n_18123;
+   wire n_18124;
+   wire n_18125;
+   wire n_18126;
+   wire n_18127;
+   wire n_18128;
+   wire n_18129;
+   wire n_18130;
+   wire n_18131;
+   wire n_18132;
+   wire n_18133;
+   wire n_18134;
+   wire n_18135;
+   wire n_18136;
+   wire n_18137;
+   wire n_18138;
+   wire n_18139;
+   wire n_18140;
+   wire n_18141;
+   wire n_18143;
+   wire n_18145;
+   wire n_18146;
+   wire n_18147;
+   wire n_18148;
+   wire n_18149;
+   wire n_18150;
+   wire n_18151;
+   wire n_18152;
+   wire n_18153;
+   wire n_18154;
+   wire n_18156;
+   wire n_18157;
+   wire n_18158;
+   wire n_18159;
+   wire n_18160;
+   wire n_18161;
+   wire n_18162;
+   wire n_18163;
+   wire n_18164;
+   wire n_18165;
+   wire n_18166;
+   wire n_18167;
+   wire n_18168;
+   wire n_18169;
+   wire n_18170;
+   wire n_18171;
+   wire n_18172;
+   wire n_18173;
+   wire n_18174;
+   wire n_18175;
+   wire n_18176;
+   wire n_18177;
+   wire n_18179;
+   wire n_18180;
+   wire n_18181;
+   wire n_18182;
+   wire n_18183;
+   wire n_18184;
+   wire n_18186;
+   wire n_18188;
+   wire n_18189;
+   wire n_18190;
+   wire n_18191;
+   wire n_18193;
+   wire n_18194;
+   wire n_18198;
+   wire n_18200;
+   wire n_18201;
+   wire n_18202;
+   wire n_18203;
+   wire n_18204;
+   wire n_18205;
+   wire n_18206;
+   wire n_18207;
+   wire n_18208;
+   wire n_18209;
+   wire n_18210;
+   wire n_18211;
+   wire n_18212;
+   wire n_18213;
+   wire n_18214;
+   wire n_18215;
+   wire n_18216;
+   wire n_18217;
+   wire n_18218;
+   wire n_18219;
+   wire n_18220;
+   wire n_18221;
+   wire n_18222;
+   wire n_18223;
+   wire n_18224;
+   wire n_18225;
+   wire n_18226;
+   wire n_18227;
+   wire n_18228;
+   wire n_18229;
+   wire n_18230;
+   wire n_18231;
+   wire n_18232;
+   wire n_18233;
+   wire n_18234;
+   wire n_18235;
+   wire n_18236;
+   wire n_18237;
+   wire n_18238;
+   wire n_18239;
+   wire n_18240;
+   wire n_18241;
+   wire n_18242;
+   wire n_18243;
+   wire n_18244;
+   wire n_18245;
+   wire n_18246;
+   wire n_18247;
+   wire n_18248;
+   wire n_18249;
+   wire n_18250;
+   wire n_18251;
+   wire n_18252;
+   wire n_18253;
+   wire n_18254;
+   wire n_18255;
+   wire n_18256;
+   wire n_18257;
+   wire n_18258;
+   wire n_18259;
+   wire n_18260;
+   wire n_18261;
+   wire n_18262;
+   wire n_18266;
+   wire n_18267;
+   wire n_18268;
+   wire n_18269;
+   wire n_18270;
+   wire n_18271;
+   wire n_18272;
+   wire n_18273;
+   wire n_18274;
+   wire n_18275;
+   wire n_18276;
+   wire n_18277;
+   wire n_18278;
+   wire n_18279;
+   wire n_18280;
+   wire n_18281;
+   wire n_18282;
+   wire n_18283;
+   wire n_18284;
+   wire n_18285;
+   wire n_18286;
+   wire n_18287;
+   wire n_18288;
+   wire n_18289;
+   wire n_18290;
+   wire n_18291;
+   wire n_18292;
+   wire n_18293;
+   wire n_18294;
+   wire n_18295;
+   wire n_18296;
+   wire n_18297;
+   wire n_18298;
+   wire n_18299;
+   wire n_18300;
+   wire n_18301;
+   wire n_18302;
+   wire n_18303;
+   wire n_18304;
+   wire n_18305;
+   wire n_18306;
+   wire n_18307;
+   wire n_18308;
+   wire n_18309;
+   wire n_18310;
+   wire n_18311;
+   wire n_18312;
+   wire n_18313;
+   wire n_18314;
+   wire n_18315;
+   wire n_18316;
+   wire n_18317;
+   wire n_18318;
+   wire n_18319;
+   wire n_18320;
+   wire n_18321;
+   wire n_18322;
+   wire n_18323;
+   wire n_18324;
+   wire n_18325;
+   wire n_18326;
+   wire n_18327;
+   wire n_18328;
+   wire n_18329;
+   wire n_18330;
+   wire n_18331;
+   wire n_18332;
+   wire n_18333;
+   wire n_18334;
+   wire n_18335;
+   wire n_18336;
+   wire n_18337;
+   wire n_18338;
+   wire n_18339;
+   wire n_18340;
+   wire n_18341;
+   wire n_18342;
+   wire n_18343;
+   wire n_18344;
+   wire n_18345;
+   wire n_18346;
+   wire n_18347;
+   wire n_18348;
+   wire n_18349;
+   wire n_18350;
+   wire n_18351;
+   wire n_18352;
+   wire n_18353;
+   wire n_18354;
+   wire n_18355;
+   wire n_18356;
+   wire n_18357;
+   wire n_18358;
+   wire n_18359;
+   wire n_18360;
+   wire n_18361;
+   wire n_18362;
+   wire n_18363;
+   wire n_18364;
+   wire n_18365;
+   wire n_18366;
+   wire n_18367;
+   wire n_18368;
+   wire n_18369;
+   wire n_18370;
+   wire n_18371;
+   wire n_18372;
+   wire n_18373;
+   wire n_18374;
+   wire n_18375;
+   wire n_18376;
+   wire n_18377;
+   wire n_18378;
+   wire n_18379;
+   wire n_18380;
+   wire n_18381;
+   wire n_18382;
+   wire n_18383;
+   wire n_18384;
+   wire n_18385;
+   wire n_18386;
+   wire n_18387;
+   wire n_18388;
+   wire n_18389;
+   wire n_18390;
+   wire n_18391;
+   wire n_18392;
+   wire n_18393;
+   wire n_18394;
+   wire n_18395;
+   wire n_18396;
+   wire n_18397;
+   wire n_18398;
+   wire n_18399;
+   wire n_18400;
+   wire n_18401;
+   wire n_18402;
+   wire n_18403;
+   wire n_18404;
+   wire n_18405;
+   wire n_18406;
+   wire n_18407;
+   wire n_18408;
+   wire n_18409;
+   wire n_18410;
+   wire n_18411;
+   wire n_18412;
+   wire n_18413;
+   wire n_18414;
+   wire n_18415;
+   wire n_18416;
+   wire n_18417;
+   wire n_18418;
+   wire n_18419;
+   wire n_18420;
+   wire n_18421;
+   wire n_18422;
+   wire n_18423;
+   wire n_18424;
+   wire n_18425;
+   wire n_18426;
+   wire n_18427;
+   wire n_18428;
+   wire n_18429;
+   wire n_18430;
+   wire n_18431;
+   wire n_18432;
+   wire n_18433;
+   wire n_18434;
+   wire n_18435;
+   wire n_18436;
+   wire n_18437;
+   wire n_18438;
+   wire n_18439;
+   wire n_18440;
+   wire n_18441;
+   wire n_18442;
+   wire n_18443;
+   wire n_18444;
+   wire n_18446;
+   wire n_18447;
+   wire n_18448;
+   wire n_18449;
+   wire n_18450;
+   wire n_18451;
+   wire n_18452;
+   wire n_18453;
+   wire n_18455;
+   wire n_18456;
+   wire n_18458;
+   wire n_18459;
+   wire n_18460;
+   wire n_18461;
+   wire n_18462;
+   wire n_18463;
+   wire n_18464;
+   wire n_18465;
+   wire n_18466;
+   wire n_18467;
+   wire n_18468;
+   wire n_18469;
+   wire n_18470;
+   wire n_18471;
+   wire n_18472;
+   wire n_18473;
+   wire n_18474;
+   wire n_18475;
+   wire n_18476;
+   wire n_18477;
+   wire n_18478;
+   wire n_18479;
+   wire n_18480;
+   wire n_18481;
+   wire n_18482;
+   wire n_18483;
+   wire n_18484;
+   wire n_18485;
+   wire n_18486;
+   wire n_18487;
+   wire n_18488;
+   wire n_18489;
+   wire n_18490;
+   wire n_18491;
+   wire n_18492;
+   wire n_18493;
+   wire n_18497;
+   wire n_18498;
+   wire n_18499;
+   wire n_18500;
+   wire n_18501;
+   wire n_18502;
+   wire n_18503;
+   wire n_18504;
+   wire n_18505;
+   wire n_18506;
+   wire n_18507;
+   wire n_18508;
+   wire n_18509;
+   wire n_18510;
+   wire n_18511;
+   wire n_18512;
+   wire n_18513;
+   wire n_18514;
+   wire n_18515;
+   wire n_18516;
+   wire n_18517;
+   wire n_18518;
+   wire n_18519;
+   wire n_18520;
+   wire n_18521;
+   wire n_18522;
+   wire n_18523;
+   wire n_18524;
+   wire n_18525;
+   wire n_18526;
+   wire n_18528;
+   wire n_18531;
+   wire n_18532;
+   wire n_18533;
+   wire n_18534;
+   wire n_18535;
+   wire n_18537;
+   wire n_18538;
+   wire n_18539;
+   wire n_18540;
+   wire n_18541;
+   wire n_18542;
+   wire n_18543;
+   wire n_18544;
+   wire n_18545;
+   wire n_18546;
+   wire n_18547;
+   wire n_18548;
+   wire n_18549;
+   wire n_18550;
+   wire n_18551;
+   wire n_18553;
+   wire n_18554;
+   wire n_18555;
+   wire n_18556;
+   wire n_18557;
+   wire n_18558;
+   wire n_18559;
+   wire n_18560;
+   wire n_18561;
+   wire n_18562;
+   wire n_18563;
+   wire n_18564;
+   wire n_18565;
+   wire n_18566;
+   wire n_18567;
+   wire n_18568;
+   wire n_18569;
+   wire n_18570;
+   wire n_18571;
+   wire n_18572;
+   wire n_18573;
+   wire n_18583;
+   wire n_18584;
+   wire n_18585;
+   wire n_18586;
+   wire n_18587;
+   wire n_18588;
+   wire n_18589;
+   wire n_18590;
+   wire n_18613;
+   wire n_18614;
+   wire n_18615;
+   wire n_18616;
+   wire n_18617;
+   wire n_18618;
+   wire n_18619;
+   wire n_18620;
+   wire n_18621;
+   wire n_18622;
+   wire n_18623;
+   wire n_18624;
+   wire n_18625;
+   wire n_18626;
+   wire n_18627;
+   wire n_18628;
+   wire n_18629;
+   wire n_18630;
+   wire n_18631;
+   wire n_18632;
+   wire n_18633;
+   wire n_18634;
+   wire n_18635;
+   wire n_18636;
+   wire n_18637;
+   wire n_18638;
+   wire n_18639;
+   wire n_18640;
+   wire n_18641;
+   wire n_18642;
+   wire n_18643;
+   wire n_18644;
+   wire n_18645;
+   wire n_18646;
+   wire n_18647;
+   wire n_18648;
+   wire n_18649;
+   wire n_18650;
+   wire n_18651;
+   wire n_18652;
+   wire n_18653;
+   wire n_18654;
+   wire n_18655;
+   wire n_18656;
+   wire n_18657;
+   wire n_18658;
+   wire n_18659;
+   wire n_18660;
+   wire n_18661;
+   wire n_18662;
+   wire n_18663;
+   wire n_18664;
+   wire n_18665;
+   wire n_18666;
+   wire n_18667;
+   wire n_18668;
+   wire n_18669;
+   wire n_18670;
+   wire n_18671;
+   wire n_18672;
+   wire n_18673;
+   wire n_18674;
+   wire n_18675;
+   wire n_18676;
+   wire n_18677;
+   wire n_18678;
+   wire n_18679;
+   wire n_18680;
+   wire n_18681;
+   wire n_18682;
+   wire n_18683;
+   wire n_18684;
+   wire n_18685;
+   wire n_18686;
+   wire n_18687;
+   wire n_18688;
+   wire n_18689;
+   wire n_18690;
+   wire n_18691;
+   wire n_18692;
+   wire n_18693;
+   wire n_18694;
+   wire n_18695;
+   wire n_18696;
+   wire n_18697;
+   wire n_18698;
+   wire n_18699;
+   wire n_18700;
+   wire n_18701;
+   wire n_18702;
+   wire n_18703;
+   wire n_18704;
+   wire n_18705;
+   wire n_18706;
+   wire n_18707;
+   wire n_18708;
+   wire n_18709;
+   wire n_18710;
+   wire n_18711;
+   wire n_18712;
+   wire n_18713;
+   wire n_18714;
+   wire n_18715;
+   wire n_18716;
+   wire n_18717;
+   wire n_18718;
+   wire n_18719;
+   wire n_18720;
+   wire n_18721;
+   wire n_18722;
+   wire n_18723;
+   wire n_18724;
+   wire n_18725;
+   wire n_18726;
+   wire n_18727;
+   wire n_18728;
+   wire n_18729;
+   wire n_18730;
+   wire n_18731;
+   wire n_18732;
+   wire n_18733;
+   wire n_18734;
+   wire n_18735;
+   wire n_18736;
+   wire n_18737;
+   wire n_18738;
+   wire n_18739;
+   wire n_18740;
+   wire n_18741;
+   wire n_18742;
+   wire n_18743;
+   wire n_18744;
+   wire n_18745;
+   wire n_18746;
+   wire n_18747;
+   wire n_18748;
+   wire n_18749;
+   wire n_18750;
+   wire n_18751;
+   wire n_18752;
+   wire n_18753;
+   wire n_18754;
+   wire n_18755;
+   wire n_18756;
+   wire n_18757;
+   wire n_18758;
+   wire n_18759;
+   wire n_18760;
+   wire n_18761;
+   wire n_18762;
+   wire n_18763;
+   wire n_18764;
+   wire n_18765;
+   wire n_18766;
+   wire n_18767;
+   wire n_18768;
+   wire n_18769;
+   wire n_18770;
+   wire n_18771;
+   wire n_18772;
+   wire n_18773;
+   wire n_18774;
+   wire n_18775;
+   wire n_18776;
+   wire n_18777;
+   wire n_18778;
+   wire n_18779;
+   wire n_18780;
+   wire n_18781;
+   wire n_18782;
+   wire n_18783;
+   wire n_18784;
+   wire n_18785;
+   wire n_18786;
+   wire n_18787;
+   wire n_18788;
+   wire n_18789;
+   wire n_18790;
+   wire n_18791;
+   wire n_18792;
+   wire n_18793;
+   wire n_18794;
+   wire n_18795;
+   wire n_18796;
+   wire n_18797;
+   wire n_18798;
+   wire n_18799;
+   wire n_18800;
+   wire n_18801;
+   wire n_18802;
+   wire n_18803;
+   wire n_18804;
+   wire n_18805;
+   wire n_18806;
+   wire n_18807;
+   wire n_18808;
+   wire n_18809;
+   wire n_18810;
+   wire n_18811;
+   wire n_18812;
+   wire n_18813;
+   wire n_18814;
+   wire n_18815;
+   wire n_18816;
+   wire n_18817;
+   wire n_18818;
+   wire n_18819;
+   wire n_18820;
+   wire n_18821;
+   wire n_18822;
+   wire n_18823;
+   wire n_18824;
+   wire n_18825;
+   wire n_18826;
+   wire n_18827;
+   wire n_18828;
+   wire n_18829;
+   wire n_18830;
+   wire n_18831;
+   wire n_18832;
+   wire n_18833;
+   wire n_18834;
+   wire n_18835;
+   wire n_18836;
+   wire n_18837;
+   wire n_18838;
+   wire n_18839;
+   wire n_18840;
+   wire n_18841;
+   wire n_18842;
+   wire n_18843;
+   wire n_18844;
+   wire n_18845;
+   wire n_18846;
+   wire n_18847;
+   wire n_18848;
+   wire n_18849;
+   wire n_18850;
+   wire n_18851;
+   wire n_18852;
+   wire n_18853;
+   wire n_18854;
+   wire n_18855;
+   wire n_18856;
+   wire n_18857;
+   wire n_18858;
+   wire n_18859;
+   wire n_18860;
+   wire n_18861;
+   wire n_18862;
+   wire n_18863;
+   wire n_18864;
+   wire n_18865;
+   wire n_18866;
+   wire n_18867;
+   wire n_18868;
+   wire n_18869;
+   wire n_18870;
+   wire n_18871;
+   wire n_18872;
+   wire n_18873;
+   wire n_18874;
+   wire n_18875;
+   wire n_18876;
+   wire n_18877;
+   wire n_18878;
+   wire n_18879;
+   wire n_18880;
+   wire n_18881;
+   wire n_18882;
+   wire n_18883;
+   wire n_18884;
+   wire n_18885;
+   wire n_18886;
+   wire n_18887;
+   wire n_18888;
+   wire n_18889;
+   wire n_18890;
+   wire n_18891;
+   wire n_18892;
+   wire n_18895;
+   wire n_18896;
+   wire n_18897;
+   wire n_18898;
+   wire n_18899;
+   wire n_18900;
+   wire n_18901;
+   wire n_18902;
+   wire n_18903;
+   wire n_18904;
+   wire n_18905;
+   wire n_18906;
+   wire n_18907;
+   wire n_18908;
+   wire n_18909;
+   wire n_18910;
+   wire n_18911;
+   wire n_18912;
+   wire n_18913;
+   wire n_18914;
+   wire n_18915;
+   wire n_18916;
+   wire n_18917;
+   wire n_18918;
+   wire n_18919;
+   wire n_18920;
+   wire n_18921;
+   wire n_18922;
+   wire n_18923;
+   wire n_18924;
+   wire n_18925;
+   wire n_18926;
+   wire n_18927;
+   wire n_18928;
+   wire n_18929;
+   wire n_18930;
+   wire n_18931;
+   wire n_18932;
+   wire n_18933;
+   wire n_18934;
+   wire n_18935;
+   wire n_18936;
+   wire n_18937;
+   wire n_18938;
+   wire n_18939;
+   wire n_18940;
+   wire n_18941;
+   wire n_18942;
+   wire n_18943;
+   wire n_18944;
+   wire n_18945;
+   wire n_18946;
+   wire n_18947;
+   wire n_18948;
+   wire n_18949;
+   wire n_18950;
+   wire n_18951;
+   wire n_18952;
+   wire n_18953;
+   wire n_18954;
+   wire n_18955;
+   wire n_18956;
+   wire n_18957;
+   wire n_18958;
+   wire n_18959;
+   wire n_18960;
+   wire n_18961;
+   wire n_18962;
+   wire n_18963;
+   wire n_18964;
+   wire n_18965;
+   wire n_18966;
+   wire n_18967;
+   wire n_18968;
+   wire n_18969;
+   wire n_18970;
+   wire n_18971;
+   wire n_18972;
+   wire n_18973;
+   wire n_18974;
+   wire n_18975;
+   wire n_18976;
+   wire n_18977;
+   wire n_18978;
+   wire n_18979;
+   wire n_18980;
+   wire n_18981;
+   wire n_18982;
+   wire n_18983;
+   wire n_18984;
+   wire n_18985;
+   wire n_18986;
+   wire n_18987;
+   wire n_18988;
+   wire n_18989;
+   wire n_18990;
+   wire n_18991;
+   wire n_18992;
+   wire n_18993;
+   wire n_18994;
+   wire n_18995;
+   wire n_18996;
+   wire n_18997;
+   wire n_18998;
+   wire n_18999;
+   wire n_19000;
+   wire n_19001;
+   wire n_19002;
+   wire n_19003;
+   wire n_19004;
+   wire n_19005;
+   wire n_19006;
+   wire n_19007;
+   wire n_19008;
+   wire n_19009;
+   wire n_19010;
+   wire n_19011;
+   wire n_19012;
+   wire n_19013;
+   wire n_19014;
+   wire n_19015;
+   wire n_19016;
+   wire n_19017;
+   wire n_19018;
+   wire n_19019;
+   wire n_19020;
+   wire n_19021;
+   wire n_19022;
+   wire n_19023;
+   wire n_19024;
+   wire n_19025;
+   wire n_19026;
+   wire n_19027;
+   wire n_19028;
+   wire n_19029;
+   wire n_19030;
+   wire n_19031;
+   wire n_19032;
+   wire n_19033;
+   wire n_19034;
+   wire n_19035;
+   wire n_19036;
+   wire n_19037;
+   wire n_19038;
+   wire n_19039;
+   wire n_19040;
+   wire n_19041;
+   wire n_19042;
+   wire n_19043;
+   wire n_19044;
+   wire n_19045;
+   wire n_19046;
+   wire n_19047;
+   wire n_19048;
+   wire n_19049;
+   wire n_19050;
+   wire n_19051;
+   wire n_19052;
+   wire n_19053;
+   wire n_19054;
+   wire n_19055;
+   wire n_19056;
+   wire n_19057;
+   wire n_19058;
+   wire n_19059;
+   wire n_19060;
+   wire n_19061;
+   wire n_19062;
+   wire n_19063;
+   wire n_19064;
+   wire n_19065;
+   wire n_19066;
+   wire n_19067;
+   wire n_19068;
+   wire n_19069;
+   wire n_19070;
+   wire n_19071;
+   wire n_19072;
+   wire n_19073;
+   wire n_19074;
+   wire n_19075;
+   wire n_19076;
+   wire n_19077;
+   wire n_19078;
+   wire n_19079;
+   wire n_19080;
+   wire n_19081;
+   wire n_19082;
+   wire n_19083;
+   wire n_19084;
+   wire n_19085;
+   wire n_19086;
+   wire n_19087;
+   wire n_19088;
+   wire n_19089;
+   wire n_19090;
+   wire n_19091;
+   wire n_19092;
+   wire n_19093;
+   wire n_19094;
+   wire n_19095;
+   wire n_19096;
+   wire n_19097;
+   wire n_19098;
+   wire n_19099;
+   wire n_19100;
+   wire n_19101;
+   wire n_19102;
+   wire n_19103;
+   wire n_19104;
+   wire n_19105;
+   wire n_19106;
+   wire n_19107;
+   wire n_19108;
+   wire n_19109;
+   wire n_19110;
+   wire n_19111;
+   wire n_19112;
+   wire n_19113;
+   wire n_19114;
+   wire n_19115;
+   wire n_19116;
+   wire n_19117;
+   wire n_19118;
+   wire n_19119;
+   wire n_19120;
+   wire n_19121;
+   wire n_19122;
+   wire n_19123;
+   wire n_19124;
+   wire n_19125;
+   wire n_19126;
+   wire n_19127;
+   wire n_19128;
+   wire n_19129;
+   wire n_19130;
+   wire n_19131;
+   wire n_19132;
+   wire n_19133;
+   wire n_19134;
+   wire n_19135;
+   wire n_19136;
+   wire n_19137;
+   wire n_19138;
+   wire n_19139;
+   wire n_19140;
+   wire n_19141;
+   wire n_19142;
+   wire n_19143;
+   wire n_19144;
+   wire n_19145;
+   wire n_19146;
+   wire n_19147;
+   wire n_19148;
+   wire n_19149;
+   wire n_19150;
+   wire n_19151;
+   wire n_19152;
+   wire n_19153;
+   wire n_19154;
+   wire n_19155;
+   wire n_19156;
+   wire n_19157;
+   wire n_19158;
+   wire n_19159;
+   wire n_19160;
+   wire n_19161;
+   wire n_19162;
+   wire n_19163;
+   wire n_19164;
+   wire n_19165;
+   wire n_19166;
+   wire n_19167;
+   wire n_19168;
+   wire n_19169;
+   wire n_19170;
+   wire n_19171;
+   wire n_19172;
+   wire n_19173;
+   wire n_19174;
+   wire n_19175;
+   wire n_19176;
+   wire n_19177;
+   wire n_19178;
+   wire n_19179;
+   wire n_19180;
+   wire n_19181;
+   wire n_19182;
+   wire n_19183;
+   wire n_19184;
+   wire n_19185;
+   wire n_19186;
+   wire n_19187;
+   wire n_19188;
+   wire n_19189;
+   wire n_19190;
+   wire n_19191;
+   wire n_19192;
+   wire n_19193;
+   wire n_19194;
+   wire n_19195;
+   wire n_19196;
+   wire n_19197;
+   wire n_19198;
+   wire n_19199;
+   wire n_19200;
+   wire n_19201;
+   wire n_19202;
+   wire n_19203;
+   wire n_19204;
+   wire n_19205;
+   wire n_19206;
+   wire n_19207;
+   wire n_19208;
+   wire n_19209;
+   wire n_19210;
+   wire n_19211;
+   wire n_19212;
+   wire n_19213;
+   wire n_19214;
+   wire n_19215;
+   wire n_19216;
+   wire n_19217;
+   wire n_19218;
+   wire n_19219;
+   wire n_19220;
+   wire n_19221;
+   wire n_19222;
+   wire n_19223;
+   wire n_19224;
+   wire n_19225;
+   wire n_19226;
+   wire n_19227;
+   wire n_19228;
+   wire n_19229;
+   wire n_19230;
+   wire n_19231;
+   wire n_19232;
+   wire n_19233;
+   wire n_19234;
+   wire n_19235;
+   wire n_19236;
+   wire n_19237;
+   wire n_19238;
+   wire n_19239;
+   wire n_19240;
+   wire n_19241;
+   wire n_19242;
+   wire n_19243;
+   wire n_19244;
+   wire n_19245;
+   wire n_19246;
+   wire n_19247;
+   wire n_19248;
+   wire n_19249;
+   wire n_19250;
+   wire n_19251;
+   wire n_19252;
+   wire n_19253;
+   wire n_19254;
+   wire n_19255;
+   wire n_19256;
+   wire n_19257;
+   wire n_19258;
+   wire n_19259;
+   wire n_19260;
+   wire n_19261;
+   wire n_19262;
+   wire n_19263;
+   wire n_19264;
+   wire n_19265;
+   wire n_19266;
+   wire n_19267;
+   wire n_19268;
+   wire n_19269;
+   wire n_19270;
+   wire n_19271;
+   wire n_19272;
+   wire n_19273;
+   wire n_19274;
+   wire n_19275;
+   wire n_19276;
+   wire n_19277;
+   wire n_19278;
+   wire n_19279;
+   wire n_19280;
+   wire n_19281;
+   wire n_19282;
+   wire n_19283;
+   wire n_19284;
+   wire n_19285;
+   wire n_19286;
+   wire n_19287;
+   wire n_19288;
+   wire n_19289;
+   wire n_19290;
+   wire n_19291;
+   wire n_19292;
+   wire n_19293;
+   wire n_19294;
+   wire n_19295;
+   wire n_19296;
+   wire n_19297;
+   wire n_19298;
+   wire n_19299;
+   wire n_19300;
+   wire n_19301;
+   wire n_19302;
+   wire n_19303;
+   wire n_19304;
+   wire n_19305;
+   wire n_19306;
+   wire n_19307;
+   wire n_19308;
+   wire n_19309;
+   wire n_19310;
+   wire n_19311;
+   wire n_19312;
+   wire n_19313;
+   wire n_19314;
+   wire n_19315;
+   wire n_19316;
+   wire n_19317;
+   wire n_19318;
+   wire n_19319;
+   wire n_19320;
+   wire n_19321;
+   wire n_19322;
+   wire n_19323;
+   wire n_19324;
+   wire n_19325;
+   wire n_19326;
+   wire n_19327;
+   wire n_19328;
+   wire n_19329;
+   wire n_19330;
+   wire n_19331;
+   wire n_19332;
+   wire n_19333;
+   wire n_19334;
+   wire n_19335;
+   wire n_19336;
+   wire n_19337;
+   wire n_19338;
+   wire n_19339;
+   wire n_19340;
+   wire n_19341;
+   wire n_19342;
+   wire n_19343;
+   wire n_19344;
+   wire n_19345;
+   wire n_19346;
+   wire n_19347;
+   wire n_19348;
+   wire n_19349;
+   wire n_19350;
+   wire n_19351;
+   wire n_19352;
+   wire n_19353;
+   wire n_19354;
+   wire n_19355;
+   wire n_19356;
+   wire n_19357;
+   wire n_19358;
+   wire n_19359;
+   wire n_19360;
+   wire n_19361;
+   wire n_19362;
+   wire n_19363;
+   wire n_19364;
+   wire n_19365;
+   wire n_19366;
+   wire n_19367;
+   wire n_19368;
+   wire n_19369;
+   wire n_19370;
+   wire n_19371;
+   wire n_19372;
+   wire n_19373;
+   wire n_19374;
+   wire n_19375;
+   wire n_19376;
+   wire n_19377;
+   wire n_19378;
+   wire n_19379;
+   wire n_19380;
+   wire n_19381;
+   wire n_19382;
+   wire n_19383;
+   wire n_19384;
+   wire n_19385;
+   wire n_19386;
+   wire n_19387;
+   wire n_19388;
+   wire n_19389;
+   wire n_19391;
+   wire n_19393;
+   wire n_19394;
+   wire n_19395;
+   wire n_19396;
+   wire n_19397;
+   wire n_19398;
+   wire n_19400;
+   wire n_19401;
+   wire n_19402;
+   wire n_19403;
+   wire n_19404;
+   wire n_19405;
+   wire n_19406;
+   wire n_19407;
+   wire n_19408;
+   wire n_19410;
+   wire n_19411;
+   wire n_19412;
+   wire n_19413;
+   wire n_19414;
+   wire n_19415;
+   wire n_19416;
+   wire n_19417;
+   wire n_19418;
+   wire n_19419;
+   wire n_19420;
+   wire n_19421;
+   wire n_19422;
+   wire n_19423;
+   wire n_19424;
+   wire n_19425;
+   wire n_19426;
+   wire n_19428;
+   wire n_19429;
+   wire n_19430;
+   wire n_19431;
+   wire n_19432;
+   wire n_19433;
+   wire n_19434;
+   wire n_19435;
+   wire n_19436;
+   wire n_19437;
+   wire n_19438;
+   wire n_19439;
+   wire n_19440;
+   wire n_19441;
+   wire n_19442;
+   wire n_19445;
+   wire n_19446;
+   wire n_19448;
+   wire n_19449;
+   wire n_19451;
+   wire n_19452;
+   wire n_19453;
+   wire n_19454;
+   wire n_19455;
+   wire n_19456;
+   wire n_19457;
+   wire n_19458;
+   wire n_19460;
+   wire n_19462;
+   wire n_19464;
+   wire n_19466;
+   wire n_19467;
+   wire n_19468;
+   wire n_19469;
+   wire n_19472;
+   wire n_19473;
+   wire n_19475;
+   wire n_19477;
+   wire n_19479;
+   wire n_19480;
+   wire n_19482;
+   wire n_19483;
+   wire n_19484;
+   wire n_19485;
+   wire n_19487;
+   wire n_19489;
+   wire n_19490;
+   wire n_19491;
+   wire n_19492;
+   wire n_19493;
+   wire n_19494;
+   wire n_19495;
+   wire n_19496;
+   wire n_19498;
+   wire n_19499;
+   wire n_19500;
+   wire n_19501;
+   wire n_19502;
+   wire n_19503;
+   wire n_19504;
+   wire n_19505;
+   wire n_19506;
+   wire n_19507;
+   wire n_19509;
+   wire n_19510;
+   wire n_19511;
+   wire n_19512;
+   wire n_19515;
+   wire n_19516;
+   wire n_19517;
+   wire n_19520;
+   wire n_19521;
+   wire n_19523;
+   wire n_19525;
+   wire n_19527;
+   wire n_19528;
+   wire n_19529;
+   wire n_19531;
+   wire n_19532;
+   wire n_19533;
+   wire n_19534;
+   wire n_19535;
+   wire n_19536;
+   wire n_19537;
+   wire n_19538;
+   wire n_19539;
+   wire n_19540;
+   wire n_19541;
+   wire n_19542;
+   wire n_19544;
+   wire n_19545;
+   wire n_19546;
+   wire n_19547;
+   wire n_19548;
+   wire n_19549;
+   wire n_19550;
+   wire n_19551;
+   wire n_19552;
+   wire n_19553;
+   wire n_19554;
+   wire n_19555;
+   wire n_19556;
+   wire n_19557;
+   wire n_19558;
+   wire n_19559;
+   wire n_19561;
+   wire n_19562;
+   wire n_19563;
+   wire n_19564;
+   wire n_19565;
+   wire n_19566;
+   wire n_19567;
+   wire n_19568;
+   wire n_19569;
+   wire n_19570;
+   wire n_19571;
+   wire n_19572;
+   wire n_19573;
+   wire n_19574;
+   wire n_19575;
+   wire n_19576;
+   wire n_19577;
+   wire n_19578;
+   wire n_19579;
+   wire n_19582;
+   wire n_19583;
+   wire n_19584;
+   wire n_19586;
+   wire n_19587;
+   wire n_19588;
+   wire n_19589;
+   wire n_19590;
+   wire n_19592;
+   wire n_19593;
+   wire n_19594;
+   wire n_19595;
+   wire n_19596;
+   wire n_19597;
+   wire n_19598;
+   wire n_19599;
+   wire n_19600;
+   wire n_19601;
+   wire n_19602;
+   wire n_19603;
+   wire n_19604;
+   wire n_19605;
+   wire n_19606;
+   wire n_19607;
+   wire n_19608;
+   wire n_19609;
+   wire n_19610;
+   wire n_19611;
+   wire n_19612;
+   wire n_19613;
+   wire n_19614;
+   wire n_19615;
+   wire n_19616;
+   wire n_19617;
+   wire n_19618;
+   wire n_19619;
+   wire n_19620;
+   wire n_19621;
+   wire n_19622;
+   wire n_19623;
+   wire n_19624;
+   wire n_19625;
+   wire n_19626;
+   wire n_19627;
+   wire n_19628;
+   wire n_19629;
+   wire n_19630;
+   wire n_19631;
+   wire n_19632;
+   wire n_19633;
+   wire n_19634;
+   wire n_19635;
+   wire n_19637;
+   wire n_19638;
+   wire n_19639;
+   wire n_19640;
+   wire n_19641;
+   wire n_19642;
+   wire n_19643;
+   wire n_19644;
+   wire n_19645;
+   wire n_19646;
+   wire n_19647;
+   wire n_19648;
+   wire n_19649;
+   wire n_19650;
+   wire n_19651;
+   wire n_19652;
+   wire n_19653;
+   wire n_19654;
+   wire n_19655;
+   wire n_19656;
+   wire n_19657;
+   wire n_19658;
+   wire n_19659;
+   wire n_19660;
+   wire n_19661;
+   wire n_19662;
+   wire n_19663;
+   wire n_19664;
+   wire n_19665;
+   wire n_19666;
+   wire n_19667;
+   wire n_19668;
+   wire n_19669;
+   wire n_19670;
+   wire n_19671;
+   wire n_19672;
+   wire n_19674;
+   wire n_19675;
+   wire n_19676;
+   wire n_19678;
+   wire n_19679;
+   wire n_19680;
+   wire n_19681;
+   wire n_19682;
+   wire n_19684;
+   wire n_19686;
+   wire n_19687;
+   wire n_19688;
+   wire n_19689;
+   wire n_19690;
+   wire n_19691;
+   wire n_19692;
+   wire n_19693;
+   wire n_19694;
+   wire n_19696;
+   wire n_19697;
+   wire n_19699;
+   wire n_19700;
+   wire n_19701;
+   wire n_19702;
+   wire n_19703;
+   wire n_19705;
+   wire n_19707;
+   wire n_19708;
+   wire n_19709;
+   wire n_19710;
+   wire n_19711;
+   wire n_19712;
+   wire n_19713;
+   wire n_19714;
+   wire n_19716;
+   wire n_19718;
+   wire n_19719;
+   wire n_19721;
+   wire n_19722;
+   wire n_19723;
+   wire n_19726;
+   wire n_19729;
+   wire n_19730;
+   wire n_19732;
+   wire n_19733;
+   wire n_19734;
+   wire n_19735;
+   wire n_19736;
+   wire n_19737;
+   wire n_19738;
+   wire n_19739;
+   wire n_19740;
+   wire n_19741;
+   wire n_19742;
+   wire n_19743;
+   wire n_19744;
+   wire n_19745;
+   wire n_19746;
+   wire n_19747;
+   wire n_19748;
+   wire n_19750;
+   wire n_19751;
+   wire n_19752;
+   wire n_19753;
+   wire n_19754;
+   wire n_19755;
+   wire n_19756;
+   wire n_19757;
+   wire n_19758;
+   wire n_19759;
+   wire n_19760;
+   wire n_19761;
+   wire n_19763;
+   wire n_19766;
+   wire n_19768;
+   wire n_19769;
+   wire n_19770;
+   wire n_19772;
+   wire n_19773;
+   wire n_19774;
+   wire n_19775;
+   wire n_19776;
+   wire n_19777;
+   wire n_19778;
+   wire n_19779;
+   wire n_19780;
+   wire n_19781;
+   wire n_19782;
+   wire n_19783;
+   wire n_19784;
+   wire n_19785;
+   wire n_19786;
+   wire n_19787;
+   wire n_19788;
+   wire n_19789;
+   wire n_19790;
+   wire n_19792;
+   wire n_19793;
+   wire n_19794;
+   wire n_19795;
+   wire n_19796;
+   wire n_19799;
+   wire n_19800;
+   wire n_19801;
+   wire n_19802;
+   wire n_19803;
+   wire n_19804;
+   wire n_19805;
+   wire n_19806;
+   wire n_19807;
+   wire n_19808;
+   wire n_19809;
+   wire n_19810;
+   wire n_19811;
+   wire n_19812;
+   wire n_19813;
+   wire n_19814;
+   wire n_19815;
+   wire n_19816;
+   wire n_19817;
+   wire n_19818;
+   wire n_19819;
+   wire n_19820;
+   wire n_19821;
+   wire n_19823;
+   wire n_19824;
+   wire n_19825;
+   wire n_19826;
+   wire n_19827;
+   wire n_19828;
+   wire n_19829;
+   wire n_19830;
+   wire n_19831;
+   wire n_19832;
+   wire n_19833;
+   wire n_19834;
+   wire n_19838;
+   wire n_19839;
+   wire n_19840;
+   wire n_19841;
+   wire n_19842;
+   wire n_19843;
+   wire n_19844;
+   wire n_19845;
+   wire n_19846;
+   wire n_19847;
+   wire n_19848;
+   wire n_19851;
+   wire n_19852;
+   wire n_19853;
+   wire n_19854;
+   wire n_19855;
+   wire n_19856;
+   wire n_19858;
+   wire n_19859;
+   wire n_19860;
+   wire n_19861;
+   wire n_19862;
+   wire n_19863;
+   wire n_19864;
+   wire n_19865;
+   wire n_19866;
+   wire n_19867;
+   wire n_19868;
+   wire n_19869;
+   wire n_19870;
+   wire n_19871;
+   wire n_19872;
+   wire n_19873;
+   wire n_19874;
+   wire n_19875;
+   wire n_19876;
+   wire n_19877;
+   wire n_19878;
+   wire n_19879;
+   wire n_19880;
+   wire n_19881;
+   wire n_19882;
+   wire n_19883;
+   wire n_19884;
+   wire n_19885;
+   wire n_19886;
+   wire n_19887;
+   wire n_19888;
+   wire n_19889;
+   wire n_19890;
+   wire n_19891;
+   wire n_19892;
+   wire n_19893;
+   wire n_19894;
+   wire n_19895;
+   wire n_19896;
+   wire n_19897;
+   wire n_19898;
+   wire n_19899;
+   wire n_19900;
+   wire n_19901;
+   wire n_19902;
+   wire n_19903;
+   wire n_19904;
+   wire n_19905;
+   wire n_19906;
+   wire n_19907;
+   wire n_19908;
+   wire n_19909;
+   wire n_19910;
+   wire n_19911;
+   wire n_19912;
+   wire n_19914;
+   wire n_19915;
+   wire n_19916;
+   wire n_19917;
+   wire n_19918;
+   wire n_19920;
+   wire n_19921;
+   wire n_19922;
+   wire n_19923;
+   wire n_19924;
+   wire n_19925;
+   wire n_19926;
+   wire n_19927;
+   wire n_19928;
+   wire n_19929;
+   wire n_19930;
+   wire n_19931;
+   wire n_19932;
+   wire n_19933;
+   wire n_19934;
+   wire n_19935;
+   wire n_19936;
+   wire n_19937;
+   wire n_19938;
+   wire n_19939;
+   wire n_19940;
+   wire n_19941;
+   wire n_19942;
+   wire n_19943;
+   wire n_19944;
+   wire n_19945;
+   wire n_19946;
+   wire n_19947;
+   wire n_19948;
+   wire n_19949;
+   wire n_19950;
+   wire n_19951;
+   wire n_19952;
+   wire n_19953;
+   wire n_19958;
+   wire n_19959;
+   wire n_19960;
+   wire n_19961;
+   wire n_19967;
+   wire n_19972;
+   wire n_19976;
+   wire n_19977;
+   wire n_19978;
+   wire n_19979;
+   wire n_19980;
+   wire n_19981;
+   wire n_19982;
+   wire n_19983;
+   wire n_19984;
+   wire n_19985;
+   wire n_19986;
+   wire n_19987;
+   wire n_19988;
+   wire n_19989;
+   wire n_19990;
+   wire n_19991;
+   wire n_19992;
+   wire n_19993;
+   wire n_19994;
+   wire n_19995;
+   wire n_19996;
+   wire n_19997;
+   wire n_19998;
+   wire n_19999;
+   wire n_20000;
+   wire n_20001;
+   wire n_20002;
+   wire n_20003;
+   wire n_20004;
+   wire n_20005;
+   wire n_20006;
+   wire n_20007;
+   wire n_20008;
+   wire n_20009;
+   wire n_20010;
+   wire n_20011;
+   wire n_20012;
+   wire n_20013;
+   wire n_20014;
+   wire n_20015;
+   wire n_20016;
+   wire n_20017;
+   wire n_20018;
+   wire n_20019;
+   wire n_20020;
+   wire n_20021;
+   wire n_20022;
+   wire n_20023;
+   wire n_20024;
+   wire n_20025;
+   wire n_20026;
+   wire n_20027;
+   wire n_20028;
+   wire n_20029;
+   wire n_20030;
+   wire n_20031;
+   wire n_20032;
+   wire n_20033;
+   wire n_20034;
+   wire n_20035;
+   wire n_20036;
+   wire n_20037;
+   wire n_20038;
+   wire n_20039;
+   wire n_20040;
+   wire n_20041;
+   wire n_20042;
+   wire n_20043;
+   wire n_20044;
+   wire n_20045;
+   wire n_20046;
+   wire n_20047;
+   wire n_20048;
+   wire n_20049;
+   wire n_20050;
+   wire n_20051;
+   wire n_20052;
+   wire n_20053;
+   wire n_20054;
+   wire n_20055;
+   wire n_20056;
+   wire n_20057;
+   wire n_20058;
+   wire n_20059;
+   wire n_20060;
+   wire n_20061;
+   wire n_20062;
+   wire n_20063;
+   wire n_20064;
+   wire n_20065;
+   wire n_20066;
+   wire n_20067;
+   wire n_20068;
+   wire n_20069;
+   wire n_20070;
+   wire n_20071;
+   wire n_20072;
+   wire n_20073;
+   wire n_20074;
+   wire n_20075;
+   wire n_20076;
+   wire n_20077;
+   wire n_20078;
+   wire n_20079;
+   wire n_20080;
+   wire n_20081;
+   wire n_20082;
+   wire n_20083;
+   wire n_20084;
+   wire n_20085;
+   wire n_20086;
+   wire n_20087;
+   wire n_20088;
+   wire n_20089;
+   wire n_20090;
+   wire n_20091;
+   wire n_20092;
+   wire n_20093;
+   wire n_20094;
+   wire n_20095;
+   wire n_20096;
+   wire n_20097;
+   wire n_20098;
+   wire n_20099;
+   wire n_20100;
+   wire n_20101;
+   wire n_20102;
+   wire n_20103;
+   wire n_20104;
+   wire n_20105;
+   wire n_20106;
+   wire n_20107;
+   wire n_20108;
+   wire n_20109;
+   wire n_20110;
+   wire n_20111;
+   wire n_20112;
+   wire n_20113;
+   wire n_20114;
+   wire n_20115;
+   wire n_20116;
+   wire n_20117;
+   wire n_20118;
+   wire n_20119;
+   wire n_20120;
+   wire n_20121;
+   wire n_20122;
+   wire n_20123;
+   wire n_20124;
+   wire n_20125;
+   wire n_20126;
+   wire n_20127;
+   wire n_20128;
+   wire n_20129;
+   wire n_20130;
+   wire n_20131;
+   wire n_20132;
+   wire n_20133;
+   wire n_20134;
+   wire n_20135;
+   wire n_20136;
+   wire n_20137;
+   wire n_20138;
+   wire n_20139;
+   wire n_20140;
+   wire n_20141;
+   wire n_20142;
+   wire n_20143;
+   wire n_20144;
+   wire n_20145;
+   wire n_20146;
+   wire n_20147;
+   wire n_20148;
+   wire n_20149;
+   wire n_20150;
+   wire n_20151;
+   wire n_20152;
+   wire n_20153;
+   wire n_20154;
+   wire n_20155;
+   wire n_20156;
+   wire n_20157;
+   wire n_20158;
+   wire n_20159;
+   wire n_20160;
+   wire n_20161;
+   wire n_20162;
+   wire n_20163;
+   wire n_20164;
+   wire n_20165;
+   wire n_20166;
+   wire n_20167;
+   wire n_20168;
+   wire n_20169;
+   wire n_20170;
+   wire n_20171;
+   wire n_20172;
+   wire n_20173;
+   wire n_20174;
+   wire n_20175;
+   wire n_20176;
+   wire n_20177;
+   wire n_20178;
+   wire n_20179;
+   wire n_20180;
+   wire n_20181;
+   wire n_20182;
+   wire n_20183;
+   wire n_20184;
+   wire n_20185;
+   wire n_20186;
+   wire n_20187;
+   wire n_20188;
+   wire n_20189;
+   wire n_20190;
+   wire n_20191;
+   wire n_20192;
+   wire n_20193;
+   wire n_20194;
+   wire n_20195;
+   wire n_20196;
+   wire n_20197;
+   wire n_20198;
+   wire n_20199;
+   wire n_20200;
+   wire n_20201;
+   wire n_20202;
+   wire n_20203;
+   wire n_20204;
+   wire n_20205;
+   wire n_20206;
+   wire n_20207;
+   wire n_20208;
+   wire n_20209;
+   wire n_20210;
+   wire n_20211;
+   wire n_20212;
+   wire n_20213;
+   wire n_20214;
+   wire n_20215;
+   wire n_20216;
+   wire n_20217;
+   wire n_20218;
+   wire n_20219;
+   wire n_20220;
+   wire n_20221;
+   wire n_20222;
+   wire n_20223;
+   wire n_20224;
+   wire n_20225;
+   wire n_20226;
+   wire n_20227;
+   wire n_20228;
+   wire n_20229;
+   wire n_20230;
+   wire n_20231;
+   wire n_20232;
+   wire n_20233;
+   wire n_20234;
+   wire n_20235;
+   wire n_20236;
+   wire n_20237;
+   wire n_20238;
+   wire n_20239;
+   wire n_20240;
+   wire n_20241;
+   wire n_20242;
+   wire n_20243;
+   wire n_20244;
+   wire n_20245;
+   wire n_20246;
+   wire n_20247;
+   wire n_20248;
+   wire n_20249;
+   wire n_20250;
+   wire n_20251;
+   wire n_20252;
+   wire n_20253;
+   wire n_20254;
+   wire n_20255;
+   wire n_20256;
+   wire n_20257;
+   wire n_20258;
+   wire n_20259;
+   wire n_20260;
+   wire n_20261;
+   wire n_20262;
+   wire n_20263;
+   wire n_20264;
+   wire n_20265;
+   wire n_20266;
+   wire n_20267;
+   wire n_20268;
+   wire n_20269;
+   wire n_20270;
+   wire n_20271;
+   wire n_20272;
+   wire n_20273;
+   wire n_20274;
+   wire n_20275;
+   wire n_20276;
+   wire n_20277;
+   wire n_20278;
+   wire n_20279;
+   wire n_20280;
+   wire n_20281;
+   wire n_20282;
+   wire n_20283;
+   wire n_20284;
+   wire n_20285;
+   wire n_20286;
+   wire n_20287;
+   wire n_20288;
+   wire n_20289;
+   wire n_20290;
+   wire n_20291;
+   wire n_20292;
+   wire n_20293;
+   wire n_20294;
+   wire n_20295;
+   wire n_20296;
+   wire n_20297;
+   wire n_20298;
+   wire n_20299;
+   wire n_20300;
+   wire n_20301;
+   wire n_20302;
+   wire n_20303;
+   wire n_20304;
+   wire n_20305;
+   wire n_20306;
+   wire n_20307;
+   wire n_20308;
+   wire n_20309;
+   wire n_20310;
+   wire n_20311;
+   wire n_20312;
+   wire n_20313;
+   wire n_20314;
+   wire n_20315;
+   wire n_20316;
+   wire n_20317;
+   wire n_20318;
+   wire n_20319;
+   wire n_20320;
+   wire n_20321;
+   wire n_20322;
+   wire n_20323;
+   wire n_20324;
+   wire n_20325;
+   wire n_20326;
+   wire n_20327;
+   wire n_20328;
+   wire n_20329;
+   wire n_20330;
+   wire n_20331;
+   wire n_20332;
+   wire n_20333;
+   wire n_20334;
+   wire n_20335;
+   wire n_20336;
+   wire n_20337;
+   wire n_20338;
+   wire n_20339;
+   wire n_20340;
+   wire n_20341;
+   wire n_20342;
+   wire n_20343;
+   wire n_20344;
+   wire n_20345;
+   wire n_20346;
+   wire n_20347;
+   wire n_20348;
+   wire n_20349;
+   wire n_20350;
+   wire n_20351;
+   wire n_20352;
+   wire n_20353;
+   wire n_20354;
+   wire n_20355;
+   wire n_20356;
+   wire n_20357;
+   wire n_20358;
+   wire n_20359;
+   wire n_20360;
+   wire n_20361;
+   wire n_20362;
+   wire n_20363;
+   wire n_20364;
+   wire n_20365;
+   wire n_20366;
+   wire n_20367;
+   wire n_20368;
+   wire n_20369;
+   wire n_20370;
+   wire n_20371;
+   wire n_20372;
+   wire n_20373;
+   wire n_20374;
+   wire n_20375;
+   wire n_20376;
+   wire n_20377;
+   wire n_20378;
+   wire n_20379;
+   wire n_20380;
+   wire n_20381;
+   wire n_20382;
+   wire n_20383;
+   wire n_20384;
+   wire n_20385;
+   wire n_20386;
+   wire n_20387;
+   wire n_20388;
+   wire n_20389;
+   wire n_20390;
+   wire n_20391;
+   wire n_20392;
+   wire n_20393;
+   wire n_20394;
+   wire n_20395;
+   wire n_20396;
+   wire n_20397;
+   wire n_20398;
+   wire n_20399;
+   wire n_20400;
+   wire n_20401;
+   wire n_20402;
+   wire n_20403;
+   wire n_20404;
+   wire n_20405;
+   wire n_20406;
+   wire n_20407;
+   wire n_20408;
+   wire n_20409;
+   wire n_20410;
+   wire n_20411;
+   wire n_20412;
+   wire n_20413;
+   wire n_20414;
+   wire n_20415;
+   wire n_20416;
+   wire n_20417;
+   wire n_20418;
+   wire n_20419;
+   wire n_20420;
+   wire n_20421;
+   wire n_20422;
+   wire n_20423;
+   wire n_20424;
+   wire n_20425;
+   wire n_20426;
+   wire n_20427;
+   wire n_20428;
+   wire n_20429;
+   wire n_20430;
+   wire n_20431;
+   wire n_20432;
+   wire n_20433;
+   wire n_20434;
+   wire n_20435;
+   wire n_20436;
+   wire n_20438;
+   wire n_20439;
+   wire n_20440;
+   wire n_20441;
+   wire n_20442;
+   wire n_20443;
+   wire n_20444;
+   wire n_20446;
+   wire n_20447;
+   wire n_20448;
+   wire n_20449;
+   wire n_20450;
+   wire n_20451;
+   wire n_20452;
+   wire n_20453;
+   wire n_20454;
+   wire n_20455;
+   wire n_20456;
+   wire n_20457;
+   wire n_20458;
+   wire n_20459;
+   wire n_20460;
+   wire n_20461;
+   wire n_20462;
+   wire n_20463;
+   wire n_20464;
+   wire n_20465;
+   wire n_20466;
+   wire n_20467;
+   wire n_20468;
+   wire n_20469;
+   wire n_20470;
+   wire n_20471;
+   wire n_20472;
+   wire n_20473;
+   wire n_20474;
+   wire n_20475;
+   wire n_20476;
+   wire n_20477;
+   wire n_20478;
+   wire n_20479;
+   wire n_20480;
+   wire n_20481;
+   wire n_20482;
+   wire n_20483;
+   wire n_20484;
+   wire n_20485;
+   wire n_20486;
+   wire n_20487;
+   wire n_20488;
+   wire n_20489;
+   wire n_20490;
+   wire n_20491;
+   wire n_20492;
+   wire n_20493;
+   wire n_20494;
+   wire n_20495;
+   wire n_20496;
+   wire n_20497;
+   wire n_20498;
+   wire n_20499;
+   wire n_20500;
+   wire n_20501;
+   wire n_20502;
+   wire n_20503;
+   wire n_20504;
+   wire n_20505;
+   wire n_20506;
+   wire n_20507;
+   wire n_20508;
+   wire n_20509;
+   wire n_20510;
+   wire n_20511;
+   wire n_20512;
+   wire n_20513;
+   wire n_20514;
+   wire n_20515;
+   wire n_20516;
+   wire n_20517;
+   wire n_20518;
+   wire n_20519;
+   wire n_20520;
+   wire n_20521;
+   wire n_20522;
+   wire n_20523;
+   wire n_20524;
+   wire n_20525;
+   wire n_20526;
+   wire n_20527;
+   wire n_20528;
+   wire n_20529;
+   wire n_20530;
+   wire n_20531;
+   wire n_20532;
+   wire n_20533;
+   wire n_20534;
+   wire n_20535;
+   wire n_20536;
+   wire n_20537;
+   wire n_20538;
+   wire n_20539;
+   wire n_20540;
+   wire n_20541;
+   wire n_20542;
+   wire n_20543;
+   wire n_20544;
+   wire n_20545;
+   wire n_20546;
+   wire n_20547;
+   wire n_20548;
+   wire n_20549;
+   wire n_20550;
+   wire n_20551;
+   wire n_20552;
+   wire n_20553;
+   wire n_20554;
+   wire n_20555;
+   wire n_20556;
+   wire n_20557;
+   wire n_20558;
+   wire n_20559;
+   wire n_20560;
+   wire n_20561;
+   wire n_20562;
+   wire n_20563;
+   wire n_20564;
+   wire n_20565;
+   wire n_20566;
+   wire n_20567;
+   wire n_20568;
+   wire n_20569;
+   wire n_20570;
+   wire n_20571;
+   wire n_20572;
+   wire n_20573;
+   wire n_20574;
+   wire n_20575;
+   wire n_20576;
+   wire n_20577;
+   wire n_20578;
+   wire n_20579;
+   wire n_20580;
+   wire n_20581;
+   wire n_20582;
+   wire n_20583;
+   wire n_20584;
+   wire n_20585;
+   wire n_20586;
+   wire n_20587;
+   wire n_20588;
+   wire n_20589;
+   wire n_20590;
+   wire n_20591;
+   wire n_20592;
+   wire n_20593;
+   wire n_20594;
+   wire n_20595;
+   wire n_20596;
+   wire n_20597;
+   wire n_20598;
+   wire n_20599;
+   wire n_20600;
+   wire n_20601;
+   wire n_20602;
+   wire n_20603;
+   wire n_20604;
+   wire n_20605;
+   wire n_20606;
+   wire n_20607;
+   wire n_20608;
+   wire n_20609;
+   wire n_20610;
+   wire n_20611;
+   wire n_20612;
+   wire n_20613;
+   wire n_20614;
+   wire n_20615;
+   wire n_20616;
+   wire n_20617;
+   wire n_20618;
+   wire n_20619;
+   wire n_20620;
+   wire n_20621;
+   wire n_20622;
+   wire n_20623;
+   wire n_20624;
+   wire n_20625;
+   wire n_20626;
+   wire n_20627;
+   wire n_20628;
+   wire n_20629;
+   wire n_20630;
+   wire n_20631;
+   wire n_20632;
+   wire n_20633;
+   wire n_20634;
+   wire n_20635;
+   wire n_20636;
+   wire n_20637;
+   wire n_20638;
+   wire n_20639;
+   wire n_20640;
+   wire n_20641;
+   wire n_20642;
+   wire n_20643;
+   wire n_20644;
+   wire n_20645;
+   wire n_20646;
+   wire n_20647;
+   wire n_20648;
+   wire n_20649;
+   wire n_20650;
+   wire n_20651;
+   wire n_20652;
+   wire n_20653;
+   wire n_20654;
+   wire n_20655;
+   wire n_20656;
+   wire n_20657;
+   wire n_20658;
+   wire n_20659;
+   wire n_20660;
+   wire n_20661;
+   wire n_20662;
+   wire n_20663;
+   wire n_20664;
+   wire n_20665;
+   wire n_20666;
+   wire n_20667;
+   wire n_20668;
+   wire n_20669;
+   wire n_20670;
+   wire n_20671;
+   wire n_20672;
+   wire n_20673;
+   wire n_20674;
+   wire n_20675;
+   wire n_20676;
+   wire n_20677;
+   wire n_20678;
+   wire n_20679;
+   wire n_20680;
+   wire n_20681;
+   wire n_20682;
+   wire n_20683;
+   wire n_20684;
+   wire n_20685;
+   wire n_20686;
+   wire n_20687;
+   wire n_20688;
+   wire n_20689;
+   wire n_20690;
+   wire n_20691;
+   wire n_20692;
+   wire n_20693;
+   wire n_20694;
+   wire n_20695;
+   wire n_20696;
+   wire n_20697;
+   wire n_20698;
+   wire n_20699;
+   wire n_20700;
+   wire n_20701;
+   wire n_20702;
+   wire n_20703;
+   wire n_20704;
+   wire n_20705;
+   wire n_20706;
+   wire n_20707;
+   wire n_20708;
+   wire n_20709;
+   wire n_20710;
+   wire n_20711;
+   wire n_20712;
+   wire n_20713;
+   wire n_20714;
+   wire n_20715;
+   wire n_20716;
+   wire n_20717;
+   wire n_20718;
+   wire n_20719;
+   wire n_20720;
+   wire n_20721;
+   wire n_20722;
+   wire n_20723;
+   wire n_20724;
+   wire n_20725;
+   wire n_20726;
+   wire n_20727;
+   wire n_20728;
+   wire n_20729;
+   wire n_20730;
+   wire n_20731;
+   wire n_20732;
+   wire n_20733;
+   wire n_20734;
+   wire n_20735;
+   wire n_20736;
+   wire n_20737;
+   wire n_20738;
+   wire n_20739;
+   wire n_20740;
+   wire n_20741;
+   wire n_20742;
+   wire n_20743;
+   wire n_20744;
+   wire n_20745;
+   wire n_20746;
+   wire n_20747;
+   wire n_20748;
+   wire n_20749;
+   wire n_20750;
+   wire n_20751;
+   wire n_20752;
+   wire n_20753;
+   wire n_20754;
+   wire n_20755;
+   wire n_20756;
+   wire n_20757;
+   wire n_20758;
+   wire n_20759;
+   wire n_20760;
+   wire n_20761;
+   wire n_20762;
+   wire n_20763;
+   wire n_20764;
+   wire n_20765;
+   wire n_20766;
+   wire n_20767;
+   wire n_20768;
+   wire n_20769;
+   wire n_20770;
+   wire n_20771;
+   wire n_20772;
+   wire n_20773;
+   wire n_20774;
+   wire n_20775;
+   wire n_20776;
+   wire n_20777;
+   wire n_20778;
+   wire n_20779;
+   wire n_20780;
+   wire n_20781;
+   wire n_20782;
+   wire n_20783;
+   wire n_20784;
+   wire n_20785;
+   wire n_20786;
+   wire n_20787;
+   wire n_20788;
+   wire n_20789;
+   wire n_20790;
+   wire n_20791;
+   wire n_20792;
+   wire n_20793;
+   wire n_20794;
+   wire n_20795;
+   wire n_20796;
+   wire n_20797;
+   wire n_20798;
+   wire n_20799;
+   wire n_20800;
+   wire n_20801;
+   wire n_20802;
+   wire n_20803;
+   wire n_20804;
+   wire n_20805;
+   wire n_20806;
+   wire n_20807;
+   wire n_20808;
+   wire n_20809;
+   wire n_20810;
+   wire n_20811;
+   wire n_20812;
+   wire n_20813;
+   wire n_20814;
+   wire n_20815;
+   wire n_20816;
+   wire n_20817;
+   wire n_20818;
+   wire n_20819;
+   wire n_20820;
+   wire n_20821;
+   wire n_20822;
+   wire n_20823;
+   wire n_20824;
+   wire n_20825;
+   wire n_20826;
+   wire n_20827;
+   wire n_20828;
+   wire n_20829;
+   wire n_20830;
+   wire n_20831;
+   wire n_20832;
+   wire n_20833;
+   wire n_20834;
+   wire n_20835;
+   wire n_20836;
+   wire n_20837;
+   wire n_20838;
+   wire n_20839;
+   wire n_20840;
+   wire n_20841;
+   wire n_20842;
+   wire n_20843;
+   wire n_20844;
+   wire n_20845;
+   wire n_20846;
+   wire n_20847;
+   wire n_20848;
+   wire n_20849;
+   wire n_20850;
+   wire n_20851;
+   wire n_20852;
+   wire n_20853;
+   wire n_20854;
+   wire n_20855;
+   wire n_20856;
+   wire n_20857;
+   wire n_20858;
+   wire n_20859;
+   wire n_20860;
+   wire n_20861;
+   wire n_20862;
+   wire n_20863;
+   wire n_20864;
+   wire n_20865;
+   wire n_20866;
+   wire n_20867;
+   wire n_20868;
+   wire n_20869;
+   wire n_20870;
+   wire n_20871;
+   wire n_20872;
+   wire n_20873;
+   wire n_20874;
+   wire n_20875;
+   wire n_20876;
+   wire n_20877;
+   wire n_20878;
+   wire n_20879;
+   wire n_20880;
+   wire n_20881;
+   wire n_20882;
+   wire n_20883;
+   wire n_20884;
+   wire n_20885;
+   wire n_20886;
+   wire n_20887;
+   wire n_20888;
+   wire n_20889;
+   wire n_20890;
+   wire n_20891;
+   wire n_20892;
+   wire n_20893;
+   wire n_20894;
+   wire n_20895;
+   wire n_20896;
+   wire n_20897;
+   wire n_20898;
+   wire n_20899;
+   wire n_20900;
+   wire n_20901;
+   wire n_20902;
+   wire n_20903;
+   wire n_20904;
+   wire n_20905;
+   wire n_20906;
+   wire n_20907;
+   wire n_20908;
+   wire n_20909;
+   wire n_20910;
+   wire n_20911;
+   wire n_20912;
+   wire n_20913;
+   wire n_20914;
+   wire n_20915;
+   wire n_20916;
+   wire n_20917;
+   wire n_20918;
+   wire n_20919;
+   wire n_20920;
+   wire n_20921;
+   wire n_20922;
+   wire n_20923;
+   wire n_20924;
+   wire n_20925;
+   wire n_20926;
+   wire n_20927;
+   wire n_20928;
+   wire n_20929;
+   wire n_20930;
+   wire n_20931;
+   wire n_20932;
+   wire n_20933;
+   wire n_20934;
+   wire n_20935;
+   wire n_20936;
+   wire n_20937;
+   wire n_20938;
+   wire n_20939;
+   wire n_20940;
+   wire n_20941;
+   wire n_20942;
+   wire n_20943;
+   wire n_20944;
+   wire n_20945;
+   wire n_20946;
+   wire n_20947;
+   wire n_20948;
+   wire n_20949;
+   wire n_20950;
+   wire n_20951;
+   wire n_20952;
+   wire n_20953;
+   wire n_20954;
+   wire n_20955;
+   wire n_20956;
+   wire n_20957;
+   wire n_20958;
+   wire n_20959;
+   wire n_20960;
+   wire n_20961;
+   wire n_20962;
+   wire n_20963;
+   wire n_20964;
+   wire n_20965;
+   wire n_20966;
+   wire n_20967;
+   wire n_20968;
+   wire n_20969;
+   wire n_20970;
+   wire n_20971;
+   wire n_20972;
+   wire n_20973;
+   wire n_20974;
+   wire n_20975;
+   wire n_20976;
+   wire n_20977;
+   wire n_20978;
+   wire n_20979;
+   wire n_20980;
+   wire n_20981;
+   wire n_20982;
+   wire n_20983;
+   wire n_20984;
+   wire n_20985;
+   wire n_20986;
+   wire n_20987;
+   wire n_20988;
+   wire n_20989;
+   wire n_20990;
+   wire n_20991;
+   wire n_20992;
+   wire n_20993;
+   wire n_20994;
+   wire n_20995;
+   wire n_20996;
+   wire n_20997;
+   wire n_20998;
+   wire n_20999;
+   wire n_21000;
+   wire n_21001;
+   wire n_21002;
+   wire n_21003;
+   wire n_21004;
+   wire n_21005;
+   wire n_21006;
+   wire n_21007;
+   wire n_21008;
+   wire n_21009;
+   wire n_21010;
+   wire n_21011;
+   wire n_21012;
+   wire n_21013;
+   wire n_21014;
+   wire n_21015;
+   wire n_21016;
+   wire n_21017;
+   wire n_21018;
+   wire n_21019;
+   wire n_21020;
+   wire n_21021;
+   wire n_21022;
+   wire n_21023;
+   wire n_21024;
+   wire n_21025;
+   wire n_21026;
+   wire n_21027;
+   wire n_21028;
+   wire n_21029;
+   wire n_21030;
+   wire n_21031;
+   wire n_21032;
+   wire n_21033;
+   wire n_21034;
+   wire n_21035;
+   wire n_21036;
+   wire n_21037;
+   wire n_21038;
+   wire n_21039;
+   wire n_21040;
+   wire n_21041;
+   wire n_21042;
+   wire n_21043;
+   wire n_21044;
+   wire n_21045;
+   wire n_21046;
+   wire n_21047;
+   wire n_21048;
+   wire n_21049;
+   wire n_21050;
+   wire n_21051;
+   wire n_21052;
+   wire n_21053;
+   wire n_21054;
+   wire n_21055;
+   wire n_21056;
+   wire n_21057;
+   wire n_21058;
+   wire n_21059;
+   wire n_21060;
+   wire n_21061;
+   wire n_21062;
+   wire n_21063;
+   wire n_21064;
+   wire n_21065;
+   wire n_21066;
+   wire n_21067;
+   wire n_21068;
+   wire n_21069;
+   wire n_21070;
+   wire n_21071;
+   wire n_21072;
+   wire n_21073;
+   wire n_21074;
+   wire n_21075;
+   wire n_21076;
+   wire n_21077;
+   wire n_21078;
+   wire n_21079;
+   wire n_21080;
+   wire n_21081;
+   wire n_21082;
+   wire n_21083;
+   wire n_21084;
+   wire n_21085;
+   wire n_21086;
+   wire n_21087;
+   wire n_21088;
+   wire n_21089;
+   wire n_21090;
+   wire n_21091;
+   wire n_21092;
+   wire n_21093;
+   wire n_21094;
+   wire n_21095;
+   wire n_21096;
+   wire n_21097;
+   wire n_21098;
+   wire n_21099;
+   wire n_21100;
+   wire n_21101;
+   wire n_21102;
+   wire n_21103;
+   wire n_21104;
+   wire n_21105;
+   wire n_21106;
+   wire n_21107;
+   wire n_21108;
+   wire n_21109;
+   wire n_21110;
+   wire n_21111;
+   wire n_21112;
+   wire n_21113;
+   wire n_21114;
+   wire n_21115;
+   wire n_21116;
+   wire n_21117;
+   wire n_21118;
+   wire n_21119;
+   wire n_21120;
+   wire n_21121;
+   wire n_21122;
+   wire n_21123;
+   wire n_21124;
+   wire n_21125;
+   wire n_21126;
+   wire n_21127;
+   wire n_21128;
+   wire n_21129;
+   wire n_21130;
+   wire n_21131;
+   wire n_21132;
+   wire n_21133;
+   wire n_21134;
+   wire n_21135;
+   wire n_21136;
+   wire n_21137;
+   wire n_21138;
+   wire n_21139;
+   wire n_21140;
+   wire n_21141;
+   wire n_21142;
+   wire n_21143;
+   wire n_21144;
+   wire n_21145;
+   wire n_21146;
+   wire n_21147;
+   wire n_21148;
+   wire n_21149;
+   wire n_21150;
+   wire n_21151;
+   wire n_21152;
+   wire n_21153;
+   wire n_21154;
+   wire n_21155;
+   wire n_21156;
+   wire n_21157;
+   wire n_21158;
+   wire n_21159;
+   wire n_21160;
+   wire n_21161;
+   wire n_21162;
+   wire n_21163;
+   wire n_21164;
+   wire n_21165;
+   wire n_21166;
+   wire n_21167;
+   wire n_21168;
+   wire n_21169;
+   wire n_21170;
+   wire n_21171;
+   wire n_21172;
+   wire n_21173;
+   wire n_21174;
+   wire n_21175;
+   wire n_21176;
+   wire n_21177;
+   wire n_21178;
+   wire n_21179;
+   wire n_21180;
+   wire n_21181;
+   wire n_21182;
+   wire n_21183;
+   wire n_21184;
+   wire n_21185;
+   wire n_21186;
+   wire n_21187;
+   wire n_21188;
+   wire n_21189;
+   wire n_21190;
+   wire n_21191;
+   wire n_21192;
+   wire n_21193;
+   wire n_21194;
+   wire n_21195;
+   wire n_21196;
+   wire n_21197;
+   wire n_21198;
+   wire n_21199;
+   wire n_21200;
+   wire n_21201;
+   wire n_21202;
+   wire n_21203;
+   wire n_21204;
+   wire n_21205;
+   wire n_21206;
+   wire n_21207;
+   wire n_21208;
+   wire n_21209;
+   wire n_21210;
+   wire n_21211;
+   wire n_21212;
+   wire n_21213;
+   wire n_21214;
+   wire n_21215;
+   wire n_21216;
+   wire n_21217;
+   wire n_21218;
+   wire n_21219;
+   wire n_21220;
+   wire n_21221;
+   wire n_21222;
+   wire n_21223;
+   wire n_21224;
+   wire n_21225;
+   wire n_21226;
+   wire n_21227;
+   wire n_21228;
+   wire n_21229;
+   wire n_21230;
+   wire n_21231;
+   wire n_21232;
+   wire n_21233;
+   wire n_21234;
+   wire n_21235;
+   wire n_21236;
+   wire n_21237;
+   wire n_21238;
+   wire n_21239;
+   wire n_21240;
+   wire n_21241;
+   wire n_21242;
+   wire n_21243;
+   wire n_21244;
+   wire n_21245;
+   wire n_21246;
+   wire n_21247;
+   wire n_21248;
+   wire n_21249;
+   wire n_21250;
+   wire n_21251;
+   wire n_21252;
+   wire n_21253;
+   wire n_21254;
+   wire n_21255;
+   wire n_21256;
+   wire n_21257;
+   wire n_21258;
+   wire n_21259;
+   wire n_21260;
+   wire n_21261;
+   wire n_21262;
+   wire n_21263;
+   wire n_21264;
+   wire n_21265;
+   wire n_21266;
+   wire n_21267;
+   wire n_21268;
+   wire n_21269;
+   wire n_21270;
+   wire n_21271;
+   wire n_21272;
+   wire n_21273;
+   wire n_21274;
+   wire n_21275;
+   wire n_21276;
+   wire n_21277;
+   wire n_21278;
+   wire n_21279;
+   wire n_21280;
+   wire n_21281;
+   wire n_21282;
+   wire n_21283;
+   wire n_21284;
+   wire n_21285;
+   wire n_21286;
+   wire n_21287;
+   wire n_21288;
+   wire n_21289;
+   wire n_21290;
+   wire n_21291;
+   wire n_21292;
+   wire n_21293;
+   wire n_21294;
+   wire n_21295;
+   wire n_21296;
+   wire n_21297;
+   wire n_21298;
+   wire n_21299;
+   wire n_21300;
+   wire n_21301;
+   wire n_21302;
+   wire n_21303;
+   wire n_21304;
+   wire n_21305;
+   wire n_21306;
+   wire n_21307;
+   wire n_21308;
+   wire n_21309;
+   wire n_21310;
+   wire n_21311;
+   wire n_21312;
+   wire n_21313;
+   wire n_21314;
+   wire n_21315;
+   wire n_21316;
+   wire n_21317;
+   wire n_21318;
+   wire n_21319;
+   wire n_21320;
+   wire n_21321;
+   wire n_21322;
+   wire n_21323;
+   wire n_21324;
+   wire n_21325;
+   wire n_21326;
+   wire n_21327;
+   wire n_21328;
+   wire n_21329;
+   wire n_21330;
+   wire n_21331;
+   wire n_21332;
+   wire n_21333;
+   wire n_21334;
+   wire n_21335;
+   wire n_21336;
+   wire n_21337;
+   wire n_21338;
+   wire n_21339;
+   wire n_21340;
+   wire n_21341;
+   wire n_21342;
+   wire n_21343;
+   wire n_21344;
+   wire n_21345;
+   wire n_21346;
+   wire n_21347;
+   wire n_21348;
+   wire n_21349;
+   wire n_21350;
+   wire n_21351;
+   wire n_21352;
+   wire n_21353;
+   wire n_21354;
+   wire n_21355;
+   wire n_21356;
+   wire n_21357;
+   wire n_21358;
+   wire n_21359;
+   wire n_21360;
+   wire n_21361;
+   wire n_21362;
+   wire n_21363;
+   wire n_21364;
+   wire n_21365;
+   wire n_21366;
+   wire n_21367;
+   wire n_21368;
+   wire n_21369;
+   wire n_21370;
+   wire n_21371;
+   wire n_21372;
+   wire n_21373;
+   wire n_21374;
+   wire n_21375;
+   wire n_21376;
+   wire n_21377;
+   wire n_21378;
+   wire n_21379;
+   wire n_21380;
+   wire n_21381;
+   wire n_21382;
+   wire n_21383;
+   wire n_21384;
+   wire n_21385;
+   wire n_21386;
+   wire n_21387;
+   wire n_21388;
+   wire n_21389;
+   wire n_21390;
+   wire n_21391;
+   wire n_21392;
+   wire n_21393;
+   wire n_21394;
+   wire n_21395;
+   wire n_21396;
+   wire n_21397;
+   wire n_21398;
+   wire n_21399;
+   wire n_21400;
+   wire n_21401;
+   wire n_21402;
+   wire n_21403;
+   wire n_21404;
+   wire n_21405;
+   wire n_21406;
+   wire n_21407;
+   wire n_21408;
+   wire n_21409;
+   wire n_21410;
+   wire n_21411;
+   wire n_21412;
+   wire n_21413;
+   wire n_21414;
+   wire n_21415;
+   wire n_21416;
+   wire n_21417;
+   wire n_21418;
+   wire n_21419;
+   wire n_21420;
+   wire n_21421;
+   wire n_21422;
+   wire n_21423;
+   wire n_21424;
+   wire n_21425;
+   wire n_21426;
+   wire n_21427;
+   wire n_21428;
+   wire n_21429;
+   wire n_21430;
+   wire n_21431;
+   wire n_21432;
+   wire n_21433;
+   wire n_21434;
+   wire n_21435;
+   wire n_21436;
+   wire n_21437;
+   wire n_21438;
+   wire n_21439;
+   wire n_21440;
+   wire n_21441;
+   wire n_21442;
+   wire n_21443;
+   wire n_21444;
+   wire n_21445;
+   wire n_21446;
+   wire n_21447;
+   wire n_21448;
+   wire n_21449;
+   wire n_21450;
+   wire n_21451;
+   wire n_21452;
+   wire n_21453;
+   wire n_21454;
+   wire n_21455;
+   wire n_21456;
+   wire n_21457;
+   wire n_21458;
+   wire n_21459;
+   wire n_21460;
+   wire n_21461;
+   wire n_21462;
+   wire n_21463;
+   wire n_21464;
+   wire n_21465;
+   wire n_21466;
+   wire n_21467;
+   wire n_21468;
+   wire n_21469;
+   wire n_21470;
+   wire n_21471;
+   wire n_21472;
+   wire n_21473;
+   wire n_21474;
+   wire n_21475;
+   wire n_21476;
+   wire n_21477;
+   wire n_21478;
+   wire n_21479;
+   wire n_21480;
+   wire n_21481;
+   wire n_21482;
+   wire n_21483;
+   wire n_21484;
+   wire n_21485;
+   wire n_21486;
+   wire n_21487;
+   wire n_21488;
+   wire n_21489;
+   wire n_21490;
+   wire n_21491;
+   wire n_21492;
+   wire n_21493;
+   wire n_21494;
+   wire n_21495;
+   wire n_21496;
+   wire n_21497;
+   wire n_21498;
+   wire n_21499;
+   wire n_21500;
+   wire n_21501;
+   wire n_21502;
+   wire n_21503;
+   wire n_21504;
+   wire n_21505;
+   wire n_21506;
+   wire n_21507;
+   wire n_21508;
+   wire n_21509;
+   wire n_21510;
+   wire n_21511;
+   wire n_21512;
+   wire n_21513;
+   wire n_21514;
+   wire n_21515;
+   wire n_21516;
+   wire n_21517;
+   wire n_21518;
+   wire n_21519;
+   wire n_21520;
+   wire n_21521;
+   wire n_21522;
+   wire n_21523;
+   wire n_21524;
+   wire n_21525;
+   wire n_21526;
+   wire n_21527;
+   wire n_21528;
+   wire n_21529;
+   wire n_21530;
+   wire n_21531;
+   wire n_21532;
+   wire n_21533;
+   wire n_21534;
+   wire n_21535;
+   wire n_21536;
+   wire n_21537;
+   wire n_21538;
+   wire n_21539;
+   wire n_21540;
+   wire n_21541;
+   wire n_21542;
+   wire n_21543;
+   wire n_21544;
+   wire n_21545;
+   wire n_21546;
+   wire n_21547;
+   wire n_21548;
+   wire n_21549;
+   wire n_21550;
+   wire n_21551;
+   wire n_21552;
+   wire n_21553;
+   wire n_21554;
+   wire n_21555;
+   wire n_21556;
+   wire n_21557;
+   wire n_21558;
+   wire n_21559;
+   wire n_21560;
+   wire n_21561;
+   wire n_21562;
+   wire n_21563;
+   wire n_21564;
+   wire n_21565;
+   wire n_21566;
+   wire n_21567;
+   wire n_21568;
+   wire n_21569;
+   wire n_21570;
+   wire n_21571;
+   wire n_21572;
+   wire n_21573;
+   wire n_21574;
+   wire n_21575;
+   wire n_21576;
+   wire n_21577;
+   wire n_21578;
+   wire n_21579;
+   wire n_21580;
+   wire n_21581;
+   wire n_21582;
+   wire n_21583;
+   wire n_21584;
+   wire n_21585;
+   wire n_21586;
+   wire n_21587;
+   wire n_21588;
+   wire n_21589;
+   wire n_21590;
+   wire n_21591;
+   wire n_21592;
+   wire n_21593;
+   wire n_21594;
+   wire n_21595;
+   wire n_21596;
+   wire n_21597;
+   wire n_21598;
+   wire n_21599;
+   wire n_21600;
+   wire n_21601;
+   wire n_21602;
+   wire n_21603;
+   wire n_21604;
+   wire n_21605;
+   wire n_21606;
+   wire n_21607;
+   wire n_21608;
+   wire n_21609;
+   wire n_21610;
+   wire n_21611;
+   wire n_21612;
+   wire n_21613;
+   wire n_21614;
+   wire n_21615;
+   wire n_21616;
+   wire n_21617;
+   wire n_21618;
+   wire n_21619;
+   wire n_21620;
+   wire n_21621;
+   wire n_21622;
+   wire n_21623;
+   wire n_21624;
+   wire n_21625;
+   wire n_21626;
+   wire n_21627;
+   wire n_21628;
+   wire n_21629;
+   wire n_21630;
+   wire n_21631;
+   wire n_21632;
+   wire n_21633;
+   wire n_21634;
+   wire n_21635;
+   wire n_21636;
+   wire n_21637;
+   wire n_21638;
+   wire n_21639;
+   wire n_21640;
+   wire n_21641;
+   wire n_21642;
+   wire n_21643;
+   wire n_21644;
+   wire n_21645;
+   wire n_21646;
+   wire n_21647;
+   wire n_21648;
+   wire n_21649;
+   wire n_21650;
+   wire n_21651;
+   wire n_21652;
+   wire n_21653;
+   wire n_21654;
+   wire n_21655;
+   wire n_21656;
+   wire n_21657;
+   wire n_21658;
+   wire n_21659;
+   wire n_21660;
+   wire n_21661;
+   wire n_21662;
+   wire n_21663;
+   wire n_21664;
+   wire n_21665;
+   wire n_21666;
+   wire n_21667;
+   wire n_21668;
+   wire n_21669;
+   wire n_21670;
+   wire n_21671;
+   wire n_21672;
+   wire n_21673;
+   wire n_21674;
+   wire n_21675;
+   wire n_21676;
+   wire n_21677;
+   wire n_21678;
+   wire n_21679;
+   wire n_21680;
+   wire n_21681;
+   wire n_21682;
+   wire n_21683;
+   wire n_21684;
+   wire n_21685;
+   wire n_21686;
+   wire n_21687;
+   wire n_21688;
+   wire n_21689;
+   wire n_21690;
+   wire n_21691;
+   wire n_21692;
+   wire n_21693;
+   wire n_21694;
+   wire n_21695;
+   wire n_21696;
+   wire n_21697;
+   wire n_21698;
+   wire n_21699;
+   wire n_21700;
+   wire n_21701;
+   wire n_21702;
+   wire n_21703;
+   wire n_21704;
+   wire n_21705;
+   wire n_21706;
+   wire n_21707;
+   wire n_21708;
+   wire n_21709;
+   wire n_21710;
+   wire n_21711;
+   wire n_21712;
+   wire n_21713;
+   wire n_21714;
+   wire n_21715;
+   wire n_21716;
+   wire n_21717;
+   wire n_21718;
+   wire n_21719;
+   wire n_21720;
+   wire n_21721;
+   wire n_21722;
+   wire n_21723;
+   wire n_21724;
+   wire n_21725;
+   wire n_21726;
+   wire n_21727;
+   wire n_21728;
+   wire n_21729;
+   wire n_21730;
+   wire n_21731;
+   wire n_21732;
+   wire n_21733;
+   wire n_21734;
+   wire n_21735;
+   wire n_21736;
+   wire n_21737;
+   wire n_21738;
+   wire n_21739;
+   wire n_21740;
+   wire n_21741;
+   wire n_21742;
+   wire n_21743;
+   wire n_21744;
+   wire n_21745;
+   wire n_21746;
+   wire n_21747;
+   wire n_21748;
+   wire n_21749;
+   wire n_21750;
+   wire n_21751;
+   wire n_21752;
+   wire n_21753;
+   wire n_21754;
+   wire n_21755;
+   wire n_21756;
+   wire n_21757;
+   wire n_21758;
+   wire n_21759;
+   wire n_21760;
+   wire n_21761;
+   wire n_21762;
+   wire n_21763;
+   wire n_21764;
+   wire n_21765;
+   wire n_21766;
+   wire n_21767;
+   wire n_21768;
+   wire n_21769;
+   wire n_21770;
+   wire n_21771;
+   wire n_21772;
+   wire n_21773;
+   wire n_21774;
+   wire n_21775;
+   wire n_21776;
+   wire n_21777;
+   wire n_21778;
+   wire n_21779;
+   wire n_21780;
+   wire n_21781;
+   wire n_21782;
+   wire n_21783;
+   wire n_21784;
+   wire n_21785;
+   wire n_21786;
+   wire n_21787;
+   wire n_21788;
+   wire n_21789;
+   wire n_21790;
+   wire n_21791;
+   wire n_21792;
+   wire n_21793;
+   wire n_21794;
+   wire n_21795;
+   wire n_21796;
+   wire n_21797;
+   wire n_21798;
+   wire n_21799;
+   wire n_21800;
+   wire n_21801;
+   wire n_21802;
+   wire n_21803;
+   wire n_21804;
+   wire n_21805;
+   wire n_21806;
+   wire n_21807;
+   wire n_21808;
+   wire n_21809;
+   wire n_21810;
+   wire n_21811;
+   wire n_21812;
+   wire n_21813;
+   wire n_21814;
+   wire n_21815;
+   wire n_21816;
+   wire n_21817;
+   wire n_21818;
+   wire n_21819;
+   wire n_21820;
+   wire n_21821;
+   wire n_21822;
+   wire n_21823;
+   wire n_21824;
+   wire n_21825;
+   wire n_21826;
+   wire n_21827;
+   wire n_21828;
+   wire n_21829;
+   wire n_21830;
+   wire n_21831;
+   wire n_21832;
+   wire n_21833;
+   wire n_21834;
+   wire n_21835;
+   wire n_21836;
+   wire n_21837;
+   wire n_21838;
+   wire n_21839;
+   wire n_21840;
+   wire n_21841;
+   wire n_21842;
+   wire n_21843;
+   wire n_21844;
+   wire n_21845;
+   wire n_21846;
+   wire n_21847;
+   wire n_21848;
+   wire n_21849;
+   wire n_21850;
+   wire n_21851;
+   wire n_21852;
+   wire n_21853;
+   wire n_21854;
+   wire n_21855;
+   wire n_21856;
+   wire n_21857;
+   wire n_21858;
+   wire n_21859;
+   wire n_21860;
+   wire n_21861;
+   wire n_21862;
+   wire n_21863;
+   wire n_21864;
+   wire n_21865;
+   wire n_21866;
+   wire n_21867;
+   wire n_21868;
+   wire n_21869;
+   wire n_21870;
+   wire n_21871;
+   wire n_21872;
+   wire n_21873;
+   wire n_21874;
+   wire n_21875;
+   wire n_21876;
+   wire n_21877;
+   wire n_21878;
+   wire n_21879;
+   wire n_21880;
+   wire n_21881;
+   wire n_21882;
+   wire n_21883;
+   wire n_21884;
+   wire n_21885;
+   wire n_21886;
+   wire n_21887;
+   wire n_21888;
+   wire n_21889;
+   wire n_21890;
+   wire n_21891;
+   wire n_21892;
+   wire n_21893;
+   wire n_21894;
+   wire n_21895;
+   wire n_21896;
+   wire n_21897;
+   wire n_21898;
+   wire n_21899;
+   wire n_21900;
+   wire n_21901;
+   wire n_21902;
+   wire n_21903;
+   wire n_21904;
+   wire n_21905;
+   wire n_21906;
+   wire n_21907;
+   wire n_21908;
+   wire n_21910;
+   wire n_21911;
+   wire n_21912;
+   wire n_21913;
+   wire n_21914;
+   wire n_21915;
+   wire n_21916;
+   wire n_21917;
+   wire n_21918;
+   wire n_21919;
+   wire n_21921;
+   wire n_21922;
+   wire n_21923;
+   wire n_21924;
+   wire n_21925;
+   wire n_21926;
+   wire n_21927;
+   wire n_21928;
+   wire n_21929;
+   wire n_21930;
+   wire n_21931;
+   wire n_21932;
+   wire n_21933;
+   wire n_21934;
+   wire n_21935;
+   wire n_21936;
+   wire n_21937;
+   wire n_21938;
+   wire n_21939;
+   wire n_21940;
+   wire n_21941;
+   wire n_21942;
+   wire n_21943;
+   wire n_21944;
+   wire n_21945;
+   wire n_21946;
+   wire n_21947;
+   wire n_21948;
+   wire n_21949;
+   wire n_21950;
+   wire n_21951;
+   wire n_21952;
+   wire n_21953;
+   wire n_21954;
+   wire n_21955;
+   wire n_21956;
+   wire n_21957;
+   wire n_21958;
+   wire n_21959;
+   wire n_21960;
+   wire n_21961;
+   wire n_21962;
+   wire n_21963;
+   wire n_21964;
+   wire n_21965;
+   wire n_21966;
+   wire n_21967;
+   wire n_21968;
+   wire n_21969;
+   wire n_21970;
+   wire n_21971;
+   wire n_21972;
+   wire n_21973;
+   wire n_21974;
+   wire n_21975;
+   wire n_21976;
+   wire n_21977;
+   wire n_21978;
+   wire n_21979;
+   wire n_21980;
+   wire n_21981;
+   wire n_21982;
+   wire n_21983;
+   wire n_21984;
+   wire n_21985;
+   wire n_21986;
+   wire n_21987;
+   wire n_21988;
+   wire n_21989;
+   wire n_21990;
+   wire n_21991;
+   wire n_21992;
+   wire n_21993;
+   wire n_21994;
+   wire n_21995;
+   wire n_21996;
+   wire n_21997;
+   wire n_21998;
+   wire n_21999;
+   wire n_22000;
+   wire n_22001;
+   wire n_22002;
+   wire n_22003;
+   wire n_22004;
+   wire n_22005;
+   wire n_22006;
+   wire n_22007;
+   wire n_22008;
+   wire n_22009;
+   wire n_22010;
+   wire n_22011;
+   wire n_22012;
+   wire n_22013;
+   wire n_22014;
+   wire n_22015;
+   wire n_22016;
+   wire n_22017;
+   wire n_22018;
+   wire n_22019;
+   wire n_22020;
+   wire n_22021;
+   wire n_22022;
+   wire n_22023;
+   wire n_22024;
+   wire n_22025;
+   wire n_22026;
+   wire n_22027;
+   wire n_22028;
+   wire n_22029;
+   wire n_22030;
+   wire n_22031;
+   wire n_22032;
+   wire n_22033;
+   wire n_22034;
+   wire n_22035;
+   wire n_22036;
+   wire n_22037;
+   wire n_22038;
+   wire n_22039;
+   wire n_22040;
+   wire n_22041;
+   wire n_22042;
+   wire n_22043;
+   wire n_22044;
+   wire n_22045;
+   wire n_22046;
+   wire n_22047;
+   wire n_22048;
+   wire n_22049;
+   wire n_22050;
+   wire n_22051;
+   wire n_22052;
+   wire n_22053;
+   wire n_22054;
+   wire n_22055;
+   wire n_22056;
+   wire n_22057;
+   wire n_22058;
+   wire n_22059;
+   wire n_22060;
+   wire n_22061;
+   wire n_22062;
+   wire n_22063;
+   wire n_22064;
+   wire n_22065;
+   wire n_22066;
+   wire n_22067;
+   wire n_22068;
+   wire n_22069;
+   wire n_22070;
+   wire n_22071;
+   wire n_22072;
+   wire n_22073;
+   wire n_22074;
+   wire n_22075;
+   wire n_22076;
+   wire n_22077;
+   wire n_22078;
+   wire n_22079;
+   wire n_22080;
+   wire n_22081;
+   wire n_22082;
+   wire n_22083;
+   wire n_22084;
+   wire n_22085;
+   wire n_22086;
+   wire n_22087;
+   wire n_22088;
+   wire n_22089;
+   wire n_22090;
+   wire n_22091;
+   wire n_22092;
+   wire n_22093;
+   wire n_22094;
+   wire n_22095;
+   wire n_22096;
+   wire n_22097;
+   wire n_22098;
+   wire n_22099;
+   wire n_22100;
+   wire n_22101;
+   wire n_22102;
+   wire n_22103;
+   wire n_22104;
+   wire n_22105;
+   wire n_22106;
+   wire n_22107;
+   wire n_22108;
+   wire n_22109;
+   wire n_22110;
+   wire n_22111;
+   wire n_22112;
+   wire n_22113;
+   wire n_22114;
+   wire n_22115;
+   wire n_22116;
+   wire n_22117;
+   wire n_22118;
+   wire n_22119;
+   wire n_22120;
+   wire n_22121;
+   wire n_22122;
+   wire n_22123;
+   wire n_22124;
+   wire n_22125;
+   wire n_22126;
+   wire n_22127;
+   wire n_22128;
+   wire n_22129;
+   wire n_22130;
+   wire n_22131;
+   wire n_22132;
+   wire n_22133;
+   wire n_22134;
+   wire n_22135;
+   wire n_22136;
+   wire n_22137;
+   wire n_22138;
+   wire n_22139;
+   wire n_22140;
+   wire n_22141;
+   wire n_22142;
+   wire n_22143;
+   wire n_22144;
+   wire n_22145;
+   wire n_22146;
+   wire n_22147;
+   wire n_22148;
+   wire n_22149;
+   wire n_22150;
+   wire n_22151;
+   wire n_22152;
+   wire n_22153;
+   wire n_22154;
+   wire n_22155;
+   wire n_22156;
+   wire n_22157;
+   wire n_22158;
+   wire n_22159;
+   wire n_22160;
+   wire n_22161;
+   wire n_22162;
+   wire n_22163;
+   wire n_22164;
+   wire n_22165;
+   wire n_22166;
+   wire n_22167;
+   wire n_22168;
+   wire n_22169;
+   wire n_22170;
+   wire n_22171;
+   wire n_22172;
+   wire n_22173;
+   wire n_22174;
+   wire n_22175;
+   wire n_22176;
+   wire n_22177;
+   wire n_22178;
+   wire n_22179;
+   wire n_22180;
+   wire n_22181;
+   wire n_22182;
+   wire n_22183;
+   wire n_22184;
+   wire n_22185;
+   wire n_22186;
+   wire n_22187;
+   wire n_22188;
+   wire n_22189;
+   wire n_22190;
+   wire n_22191;
+   wire n_22192;
+   wire n_22193;
+   wire n_22194;
+   wire n_22195;
+   wire n_22196;
+   wire n_22197;
+   wire n_22198;
+   wire n_22199;
+   wire n_22200;
+   wire n_22201;
+   wire n_22202;
+   wire n_22203;
+   wire n_22204;
+   wire n_22205;
+   wire n_22206;
+   wire n_22207;
+   wire n_22208;
+   wire n_22209;
+   wire n_22210;
+   wire n_22211;
+   wire n_22212;
+   wire n_22213;
+   wire n_22214;
+   wire n_22215;
+   wire n_22216;
+   wire n_22217;
+   wire n_22218;
+   wire n_22219;
+   wire n_22220;
+   wire n_22221;
+   wire n_22222;
+   wire n_22223;
+   wire n_22224;
+   wire n_22225;
+   wire n_22226;
+   wire n_22227;
+   wire n_22228;
+   wire n_22229;
+   wire n_22230;
+   wire n_22231;
+   wire n_22232;
+   wire n_22233;
+   wire n_22234;
+   wire n_22235;
+   wire n_22236;
+   wire n_22237;
+   wire n_22238;
+   wire n_22239;
+   wire n_22240;
+   wire n_22241;
+   wire n_22242;
+   wire n_22243;
+   wire n_22244;
+   wire n_22245;
+   wire n_22246;
+   wire n_22247;
+   wire n_22248;
+   wire n_22249;
+   wire n_22250;
+   wire n_22251;
+   wire n_22252;
+   wire n_22253;
+   wire n_22254;
+   wire n_22255;
+   wire n_22256;
+   wire n_22257;
+   wire n_22258;
+   wire n_22259;
+   wire n_22260;
+   wire n_22261;
+   wire n_22262;
+   wire n_22263;
+   wire n_22264;
+   wire n_22265;
+   wire n_22266;
+   wire n_22267;
+   wire n_22268;
+   wire n_22269;
+   wire n_22270;
+   wire n_22271;
+   wire n_22272;
+   wire n_22273;
+   wire n_22274;
+   wire n_22275;
+   wire n_22276;
+   wire n_22277;
+   wire n_22278;
+   wire n_22279;
+   wire n_22280;
+   wire n_22281;
+   wire n_22282;
+   wire n_22283;
+   wire n_22284;
+   wire n_22285;
+   wire n_22286;
+   wire n_22287;
+   wire n_22288;
+   wire n_22289;
+   wire n_22290;
+   wire n_22291;
+   wire n_22292;
+   wire n_22293;
+   wire n_22294;
+   wire n_22295;
+   wire n_22296;
+   wire n_22297;
+   wire n_22298;
+   wire n_22299;
+   wire n_22300;
+   wire n_22301;
+   wire n_22302;
+   wire n_22303;
+   wire n_22304;
+   wire n_22305;
+   wire n_22306;
+   wire n_22307;
+   wire n_22308;
+   wire n_22309;
+   wire n_22310;
+   wire n_22311;
+   wire n_22312;
+   wire n_22313;
+   wire n_22314;
+   wire n_22315;
+   wire n_22316;
+   wire n_22317;
+   wire n_22318;
+   wire n_22319;
+   wire n_22320;
+   wire n_22321;
+   wire n_22322;
+   wire n_22323;
+   wire n_22324;
+   wire n_22325;
+   wire n_22326;
+   wire n_22327;
+   wire n_22328;
+   wire n_22329;
+   wire n_22330;
+   wire n_22331;
+   wire n_22332;
+   wire n_22333;
+   wire n_22334;
+   wire n_22335;
+   wire n_22336;
+   wire n_22337;
+   wire n_22338;
+   wire n_22339;
+   wire n_22340;
+   wire n_22341;
+   wire n_22342;
+   wire n_22343;
+   wire n_22344;
+   wire n_22345;
+   wire n_22346;
+   wire n_22347;
+   wire n_22348;
+   wire n_22349;
+   wire n_22350;
+   wire n_22351;
+   wire n_22352;
+   wire n_22353;
+   wire n_22354;
+   wire n_22355;
+   wire n_22356;
+   wire n_22357;
+   wire n_22358;
+   wire n_22359;
+   wire n_22360;
+   wire n_22361;
+   wire n_22362;
+   wire n_22363;
+   wire n_22364;
+   wire n_22365;
+   wire n_22366;
+   wire n_22367;
+   wire n_22368;
+   wire n_22369;
+   wire n_22370;
+   wire n_22371;
+   wire n_22372;
+   wire n_22373;
+   wire n_22374;
+   wire n_22375;
+   wire n_22376;
+   wire n_22377;
+   wire n_22378;
+   wire n_22379;
+   wire n_22380;
+   wire n_22381;
+   wire n_22382;
+   wire n_22383;
+   wire n_22384;
+   wire n_22385;
+   wire n_22386;
+   wire n_22387;
+   wire n_22388;
+   wire n_22389;
+   wire n_22390;
+   wire n_22391;
+   wire n_22392;
+   wire n_22393;
+   wire n_22394;
+   wire n_22395;
+   wire n_22396;
+   wire n_22397;
+   wire n_22398;
+   wire n_22399;
+   wire n_22400;
+   wire n_22401;
+   wire n_22402;
+   wire n_22403;
+   wire n_22404;
+   wire n_22405;
+   wire n_22406;
+   wire n_22407;
+   wire n_22408;
+   wire n_22409;
+   wire n_22410;
+   wire n_22411;
+   wire n_22412;
+   wire n_22413;
+   wire n_22414;
+   wire n_22415;
+   wire n_22416;
+   wire n_22417;
+   wire n_22418;
+   wire n_22419;
+   wire n_22420;
+   wire n_22421;
+   wire n_22422;
+   wire n_22423;
+   wire n_22424;
+   wire n_22425;
+   wire n_22426;
+   wire n_22427;
+   wire n_22428;
+   wire n_22429;
+   wire n_22430;
+   wire n_22431;
+   wire n_22432;
+   wire n_22433;
+   wire n_22434;
+   wire n_22435;
+   wire n_22436;
+   wire n_22437;
+   wire n_22438;
+   wire n_22439;
+   wire n_22440;
+   wire n_22441;
+   wire n_22442;
+   wire n_22443;
+   wire n_22444;
+   wire n_22445;
+   wire n_22446;
+   wire n_22447;
+   wire n_22448;
+   wire n_22449;
+   wire n_22450;
+   wire n_22451;
+   wire n_22452;
+   wire n_22453;
+   wire n_22454;
+   wire n_22455;
+   wire n_22456;
+   wire n_22457;
+   wire n_22458;
+   wire n_22459;
+   wire n_22460;
+   wire n_22461;
+   wire n_22462;
+   wire n_22463;
+   wire n_22464;
+   wire n_22465;
+   wire n_22466;
+   wire n_22467;
+   wire n_22468;
+   wire n_22469;
+   wire n_22470;
+   wire n_22471;
+   wire n_22472;
+   wire n_22473;
+   wire n_22474;
+   wire n_22475;
+   wire n_22476;
+   wire n_22477;
+   wire n_22478;
+   wire n_22479;
+   wire n_22480;
+   wire n_22481;
+   wire n_22482;
+   wire n_22483;
+   wire n_22484;
+   wire n_22485;
+   wire n_22486;
+   wire n_22487;
+   wire n_22488;
+   wire n_22489;
+   wire n_22490;
+   wire n_22491;
+   wire n_22492;
+   wire n_22493;
+   wire n_22494;
+   wire n_22495;
+   wire n_22496;
+   wire n_22497;
+   wire n_22498;
+   wire n_22499;
+   wire n_22500;
+   wire n_22501;
+   wire n_22502;
+   wire n_22503;
+   wire n_22504;
+   wire n_22505;
+   wire n_22506;
+   wire n_22507;
+   wire n_22508;
+   wire n_22510;
+   wire n_22511;
+   wire n_22512;
+   wire n_22513;
+   wire n_22514;
+   wire n_22515;
+   wire n_22516;
+   wire n_22517;
+   wire n_22518;
+   wire n_22519;
+   wire n_22520;
+   wire n_22521;
+   wire n_22522;
+   wire n_22523;
+   wire n_22524;
+   wire n_22525;
+   wire n_22526;
+   wire n_22527;
+   wire n_22528;
+   wire n_22529;
+   wire n_22530;
+   wire n_22531;
+   wire n_22532;
+   wire n_22533;
+   wire n_22534;
+   wire n_22535;
+   wire n_22536;
+   wire n_22537;
+   wire n_22538;
+   wire n_22539;
+   wire n_22540;
+   wire n_22541;
+   wire n_22542;
+   wire n_22543;
+   wire n_22544;
+   wire n_22545;
+   wire n_22546;
+   wire n_22547;
+   wire n_22548;
+   wire n_22549;
+   wire n_22550;
+   wire n_22551;
+   wire n_22552;
+   wire n_22553;
+   wire n_22554;
+   wire n_22555;
+   wire n_22556;
+   wire n_22557;
+   wire n_22558;
+   wire n_22559;
+   wire n_22560;
+   wire n_22561;
+   wire n_22562;
+   wire n_22563;
+   wire n_22564;
+   wire n_22565;
+   wire n_22566;
+   wire n_22567;
+   wire n_22569;
+   wire n_22570;
+   wire n_22571;
+   wire n_22572;
+   wire n_22573;
+   wire n_22574;
+   wire n_22575;
+   wire n_22576;
+   wire n_22577;
+   wire n_22578;
+   wire n_22579;
+   wire n_22580;
+   wire n_22581;
+   wire n_22582;
+   wire n_22583;
+   wire n_22584;
+   wire n_22585;
+   wire n_22586;
+   wire n_22587;
+   wire n_22588;
+   wire n_22589;
+   wire n_22590;
+   wire n_22591;
+   wire n_22592;
+   wire n_22593;
+   wire n_22594;
+   wire n_22595;
+   wire n_22596;
+   wire n_22597;
+   wire n_22598;
+   wire n_22599;
+   wire n_22600;
+   wire n_22601;
+   wire n_22602;
+   wire n_22603;
+   wire n_22604;
+   wire n_22605;
+   wire n_22606;
+   wire n_22607;
+   wire n_22608;
+   wire n_22609;
+   wire n_22610;
+   wire n_22611;
+   wire n_22612;
+   wire n_22613;
+   wire n_22614;
+   wire n_22615;
+   wire n_22616;
+   wire n_22617;
+   wire n_22618;
+   wire n_22619;
+   wire n_22620;
+   wire n_22621;
+   wire n_22622;
+   wire n_22623;
+   wire n_22624;
+   wire n_22625;
+   wire n_22626;
+   wire n_22627;
+   wire n_22628;
+   wire n_22629;
+   wire n_22630;
+   wire n_22631;
+   wire n_22632;
+   wire n_22633;
+   wire n_22634;
+   wire n_22635;
+   wire n_22636;
+   wire n_22637;
+   wire n_22638;
+   wire n_22639;
+   wire n_22640;
+   wire n_22641;
+   wire n_22642;
+   wire n_22643;
+   wire n_22644;
+   wire n_22645;
+   wire n_22646;
+   wire n_22647;
+   wire n_22648;
+   wire n_22649;
+   wire n_22650;
+   wire n_22651;
+   wire n_22652;
+   wire n_22653;
+   wire n_22654;
+   wire n_22655;
+   wire n_22656;
+   wire n_22657;
+   wire n_22658;
+   wire n_22659;
+   wire n_22660;
+   wire n_22661;
+   wire n_22662;
+   wire n_22663;
+   wire n_22664;
+   wire n_22665;
+   wire n_22666;
+   wire n_22667;
+   wire n_22668;
+   wire n_22669;
+   wire n_22670;
+   wire n_22671;
+   wire n_22672;
+   wire n_22673;
+   wire n_22674;
+   wire n_22675;
+   wire n_22676;
+   wire n_22677;
+   wire n_22678;
+   wire n_22679;
+   wire n_22680;
+   wire n_22681;
+   wire n_22682;
+   wire n_22683;
+   wire n_22684;
+   wire n_22685;
+   wire n_22686;
+   wire n_22687;
+   wire n_22688;
+   wire n_22689;
+   wire n_22690;
+   wire n_22691;
+   wire n_22692;
+   wire n_22693;
+   wire n_22694;
+   wire n_22695;
+   wire n_22696;
+   wire n_22697;
+   wire n_22698;
+   wire n_22699;
+   wire n_22700;
+   wire n_22701;
+   wire n_22702;
+   wire n_22703;
+   wire n_22704;
+   wire n_22705;
+   wire n_22706;
+   wire n_22707;
+   wire n_22708;
+   wire n_22709;
+   wire n_22711;
+   wire n_22712;
+   wire n_22713;
+   wire n_22714;
+   wire n_22715;
+   wire n_22716;
+   wire n_22717;
+   wire n_22718;
+   wire n_22719;
+   wire n_22720;
+   wire n_22721;
+   wire n_22722;
+   wire n_22723;
+   wire n_22724;
+   wire n_22725;
+   wire n_22726;
+   wire n_22727;
+   wire n_22728;
+   wire n_22729;
+   wire n_22730;
+   wire n_22731;
+   wire n_22732;
+   wire n_22733;
+   wire n_22734;
+   wire n_22735;
+   wire n_22736;
+   wire n_22737;
+   wire n_22738;
+   wire n_22739;
+   wire n_22740;
+   wire n_22741;
+   wire n_22742;
+   wire n_22743;
+   wire n_22744;
+   wire n_22745;
+   wire n_22746;
+   wire n_22747;
+   wire n_22748;
+   wire n_22749;
+   wire n_22750;
+   wire n_22751;
+   wire n_22752;
+   wire n_22753;
+   wire n_22754;
+   wire n_22755;
+   wire n_22756;
+   wire n_22757;
+   wire n_22758;
+   wire n_22759;
+   wire n_22760;
+   wire n_22761;
+   wire n_22762;
+   wire n_22763;
+   wire n_22764;
+   wire n_22765;
+   wire n_22766;
+   wire n_22767;
+   wire n_22768;
+   wire n_22769;
+   wire n_22770;
+   wire n_22771;
+   wire n_22772;
+   wire n_22773;
+   wire n_22774;
+   wire n_22775;
+   wire n_22776;
+   wire n_22777;
+   wire n_22778;
+   wire n_22779;
+   wire n_22780;
+   wire n_22781;
+   wire n_22782;
+   wire n_22783;
+   wire n_22784;
+   wire n_22785;
+   wire n_22786;
+   wire n_22787;
+   wire n_22788;
+   wire n_22789;
+   wire n_22790;
+   wire n_22792;
+   wire n_22793;
+   wire n_22794;
+   wire n_22795;
+   wire n_22796;
+   wire n_22797;
+   wire n_22798;
+   wire n_22799;
+   wire n_22800;
+   wire n_22801;
+   wire n_22802;
+   wire n_22803;
+   wire n_22804;
+   wire n_22805;
+   wire n_22806;
+   wire n_22807;
+   wire n_22808;
+   wire n_22809;
+   wire n_22810;
+   wire n_22811;
+   wire n_22812;
+   wire n_22813;
+   wire n_22814;
+   wire n_22815;
+   wire n_22816;
+   wire n_22817;
+   wire n_22818;
+   wire n_22819;
+   wire n_22820;
+   wire n_22821;
+   wire n_22822;
+   wire n_22823;
+   wire n_22824;
+   wire n_22825;
+   wire n_22826;
+   wire n_22827;
+   wire n_22828;
+   wire n_22829;
+   wire n_22830;
+   wire n_22831;
+   wire n_22832;
+   wire n_22833;
+   wire n_22834;
+   wire n_22835;
+   wire n_22836;
+   wire n_22837;
+   wire n_22838;
+   wire n_22839;
+   wire n_22840;
+   wire n_22841;
+   wire n_22842;
+   wire n_22843;
+   wire n_22844;
+   wire n_22845;
+   wire n_22846;
+   wire n_22847;
+   wire n_22848;
+   wire n_22849;
+   wire n_22850;
+   wire n_22851;
+   wire n_22852;
+   wire n_22853;
+   wire n_22854;
+   wire n_22855;
+   wire n_22856;
+   wire n_22857;
+   wire n_22858;
+   wire n_22859;
+   wire n_22860;
+   wire n_22861;
+   wire n_22862;
+   wire n_22863;
+   wire n_22864;
+   wire n_22865;
+   wire n_22866;
+   wire n_22867;
+   wire n_22868;
+   wire n_22869;
+   wire n_22870;
+   wire n_22871;
+   wire n_22872;
+   wire n_22873;
+   wire n_22874;
+   wire n_22875;
+   wire n_22876;
+   wire n_22877;
+   wire n_22878;
+   wire n_22879;
+   wire n_22880;
+   wire n_22881;
+   wire n_22882;
+   wire n_22883;
+   wire n_22884;
+   wire n_22885;
+   wire n_22886;
+   wire n_22887;
+   wire n_22888;
+   wire n_22889;
+   wire n_22890;
+   wire n_22891;
+   wire n_22892;
+   wire n_22893;
+   wire n_22894;
+   wire n_22895;
+   wire n_22896;
+   wire n_22897;
+   wire n_22898;
+   wire n_22899;
+   wire n_22900;
+   wire n_22901;
+   wire n_22902;
+   wire n_22903;
+   wire n_22904;
+   wire n_22905;
+   wire n_22906;
+   wire n_22907;
+   wire n_22908;
+   wire n_22909;
+   wire n_22910;
+   wire n_22911;
+   wire n_22912;
+   wire n_22913;
+   wire n_22914;
+   wire n_22915;
+   wire n_22916;
+   wire n_22917;
+   wire n_22918;
+   wire n_22919;
+   wire n_22920;
+   wire n_22921;
+   wire n_22922;
+   wire n_22923;
+   wire n_22924;
+   wire n_22925;
+   wire n_22926;
+   wire n_22927;
+   wire n_22928;
+   wire n_22929;
+   wire n_22930;
+   wire n_22931;
+   wire n_22932;
+   wire n_22933;
+   wire n_22934;
+   wire n_22935;
+   wire n_22936;
+   wire n_22937;
+   wire n_22938;
+   wire n_22939;
+   wire n_22940;
+   wire n_22941;
+   wire n_22942;
+   wire n_22943;
+   wire n_22944;
+   wire n_22945;
+   wire n_22946;
+   wire n_22947;
+   wire n_22948;
+   wire n_22949;
+   wire n_22950;
+   wire n_22951;
+   wire n_22952;
+   wire n_22953;
+   wire n_22954;
+   wire n_22955;
+   wire n_22956;
+   wire n_22957;
+   wire n_22958;
+   wire n_22959;
+   wire n_22960;
+   wire n_22961;
+   wire n_22962;
+   wire n_22963;
+   wire n_22964;
+   wire n_22965;
+   wire n_22966;
+   wire n_22967;
+   wire n_22968;
+   wire n_22969;
+   wire n_22970;
+   wire n_22971;
+   wire n_22972;
+   wire n_22973;
+   wire n_22974;
+   wire n_22975;
+   wire n_22976;
+   wire n_22977;
+   wire n_22978;
+   wire n_22979;
+   wire n_22980;
+   wire n_22981;
+   wire n_22982;
+   wire n_22983;
+   wire n_22984;
+   wire n_22985;
+   wire n_22986;
+   wire n_22987;
+   wire n_22988;
+   wire n_22989;
+   wire n_22990;
+   wire n_22991;
+   wire n_22992;
+   wire n_22993;
+   wire n_22994;
+   wire n_22995;
+   wire n_22996;
+   wire n_22997;
+   wire n_22998;
+   wire n_22999;
+   wire n_23000;
+   wire n_23001;
+   wire n_23002;
+   wire n_23003;
+   wire n_23004;
+   wire n_23005;
+   wire n_23006;
+   wire n_23007;
+   wire n_23008;
+   wire n_23009;
+   wire n_23010;
+   wire n_23011;
+   wire n_23012;
+   wire n_23013;
+   wire n_23014;
+   wire n_23015;
+   wire n_23016;
+   wire n_23017;
+   wire n_23018;
+   wire n_23019;
+   wire n_23020;
+   wire n_23021;
+   wire n_23022;
+   wire n_23023;
+   wire n_23024;
+   wire n_23025;
+   wire n_23026;
+   wire n_23027;
+   wire n_23028;
+   wire n_23029;
+   wire n_23030;
+   wire n_23031;
+   wire n_23032;
+   wire n_23033;
+   wire n_23034;
+   wire n_23035;
+   wire n_23036;
+   wire n_23037;
+   wire n_23038;
+   wire n_23039;
+   wire n_23040;
+   wire n_23041;
+   wire n_23042;
+   wire n_23043;
+   wire n_23044;
+   wire n_23045;
+   wire n_23046;
+   wire n_23047;
+   wire n_23048;
+   wire n_23049;
+   wire n_23050;
+   wire n_23051;
+   wire n_23052;
+   wire n_23053;
+   wire n_23054;
+   wire n_23055;
+   wire n_23056;
+   wire n_23057;
+   wire n_23058;
+   wire n_23059;
+   wire n_23060;
+   wire n_23061;
+   wire n_23062;
+   wire n_23063;
+   wire n_23064;
+   wire n_23065;
+   wire n_23066;
+   wire n_23067;
+   wire n_23068;
+   wire n_23069;
+   wire n_23070;
+   wire n_23071;
+   wire n_23072;
+   wire n_23073;
+   wire n_23074;
+   wire n_23075;
+   wire n_23076;
+   wire n_23077;
+   wire n_23078;
+   wire n_23079;
+   wire n_23080;
+   wire n_23081;
+   wire n_23082;
+   wire n_23083;
+   wire n_23084;
+   wire n_23085;
+   wire n_23086;
+   wire n_23087;
+   wire n_23088;
+   wire n_23089;
+   wire n_23090;
+   wire n_23091;
+   wire n_23092;
+   wire n_23093;
+   wire n_23094;
+   wire n_23095;
+   wire n_23096;
+   wire n_23097;
+   wire n_23098;
+   wire n_23099;
+   wire n_23100;
+   wire n_23101;
+   wire n_23102;
+   wire n_23103;
+   wire n_23104;
+   wire n_23105;
+   wire n_23106;
+   wire n_23107;
+   wire n_23108;
+   wire n_23109;
+   wire n_23110;
+   wire n_23111;
+   wire n_23112;
+   wire n_23113;
+   wire n_23114;
+   wire n_23115;
+   wire n_23116;
+   wire n_23117;
+   wire n_23118;
+   wire n_23119;
+   wire n_23120;
+   wire n_23121;
+   wire n_23122;
+   wire n_23123;
+   wire n_23124;
+   wire n_23125;
+   wire n_23126;
+   wire n_23127;
+   wire n_23128;
+   wire n_23129;
+   wire n_23130;
+   wire n_23131;
+   wire n_23132;
+   wire n_23133;
+   wire n_23134;
+   wire n_23135;
+   wire n_23136;
+   wire n_23137;
+   wire n_23138;
+   wire n_23139;
+   wire n_23140;
+   wire n_23141;
+   wire n_23142;
+   wire n_23143;
+   wire n_23144;
+   wire n_23145;
+   wire n_23146;
+   wire n_23147;
+   wire n_23148;
+   wire n_23149;
+   wire n_23150;
+   wire n_23151;
+   wire n_23152;
+   wire n_23153;
+   wire n_23154;
+   wire n_23155;
+   wire n_23156;
+   wire n_23157;
+   wire n_23158;
+   wire n_23159;
+   wire n_23160;
+   wire n_23161;
+   wire n_23162;
+   wire n_23163;
+   wire n_23164;
+   wire n_23165;
+   wire n_23166;
+   wire n_23167;
+   wire n_23168;
+   wire n_23169;
+   wire n_23170;
+   wire n_23171;
+   wire n_23172;
+   wire n_23173;
+   wire n_23174;
+   wire n_23175;
+   wire n_23176;
+   wire n_23177;
+   wire n_23178;
+   wire n_23179;
+   wire n_23180;
+   wire n_23181;
+   wire n_23182;
+   wire n_23183;
+   wire n_23184;
+   wire n_23185;
+   wire n_23186;
+   wire n_23187;
+   wire n_23188;
+   wire n_23189;
+   wire n_23190;
+   wire n_23191;
+   wire n_23192;
+   wire n_23193;
+   wire n_23194;
+   wire n_23195;
+   wire n_23196;
+   wire n_23197;
+   wire n_23198;
+   wire n_23199;
+   wire n_23200;
+   wire n_23201;
+   wire n_23202;
+   wire n_23203;
+   wire n_23204;
+   wire n_23205;
+   wire n_23206;
+   wire n_23207;
+   wire n_23208;
+   wire n_23209;
+   wire n_23210;
+   wire n_23211;
+   wire n_23212;
+   wire n_23213;
+   wire n_23214;
+   wire n_23215;
+   wire n_23216;
+   wire n_23217;
+   wire n_23218;
+   wire n_23219;
+   wire n_23220;
+   wire n_23221;
+   wire n_23222;
+   wire n_23223;
+   wire n_23224;
+   wire n_23225;
+   wire n_23226;
+   wire n_23227;
+   wire n_23228;
+   wire n_23229;
+   wire n_23230;
+   wire n_23231;
+   wire n_23232;
+   wire n_23233;
+   wire n_23234;
+   wire n_23235;
+   wire n_23236;
+   wire n_23237;
+   wire n_23238;
+   wire n_23239;
+   wire n_23240;
+   wire n_23241;
+   wire n_23242;
+   wire n_23243;
+   wire n_23244;
+   wire n_23245;
+   wire n_23246;
+   wire n_23247;
+   wire n_23248;
+   wire n_23249;
+   wire n_23250;
+   wire n_23251;
+   wire n_23252;
+   wire n_23253;
+   wire n_23254;
+   wire n_23255;
+   wire n_23256;
+   wire n_23257;
+   wire n_23258;
+   wire n_23259;
+   wire n_23260;
+   wire n_23261;
+   wire n_23262;
+   wire n_23263;
+   wire n_23264;
+   wire n_23265;
+   wire n_23266;
+   wire n_23267;
+   wire n_23268;
+   wire n_23269;
+   wire n_23270;
+   wire n_23271;
+   wire n_23272;
+   wire n_23273;
+   wire n_23274;
+   wire n_23275;
+   wire n_23276;
+   wire n_23277;
+   wire n_23278;
+   wire n_23279;
+   wire n_23280;
+   wire n_23281;
+   wire n_23282;
+   wire n_23283;
+   wire n_23284;
+   wire n_23285;
+   wire n_23286;
+   wire n_23287;
+   wire n_23288;
+   wire n_23289;
+   wire n_23290;
+   wire n_23291;
+   wire n_23292;
+   wire n_23293;
+   wire n_23294;
+   wire n_23295;
+   wire n_23296;
+   wire n_23297;
+   wire n_23298;
+   wire n_23299;
+   wire n_23300;
+   wire n_23301;
+   wire n_23302;
+   wire n_23303;
+   wire n_23304;
+   wire n_23305;
+   wire n_23306;
+   wire n_23307;
+   wire n_23308;
+   wire n_23309;
+   wire n_23310;
+   wire n_23311;
+   wire n_23312;
+   wire n_23313;
+   wire n_23314;
+   wire n_23315;
+   wire n_23316;
+   wire n_23317;
+   wire n_23318;
+   wire n_23319;
+   wire n_23320;
+   wire n_23321;
+   wire n_23322;
+   wire n_23323;
+   wire n_23324;
+   wire n_23325;
+   wire n_23326;
+   wire n_23327;
+   wire n_23328;
+   wire n_23329;
+   wire n_23330;
+   wire n_23331;
+   wire n_23332;
+   wire n_23333;
+   wire n_23334;
+   wire n_23335;
+   wire n_23336;
+   wire n_23337;
+   wire n_23338;
+   wire n_23339;
+   wire n_23340;
+   wire n_23341;
+   wire n_23342;
+   wire n_23343;
+   wire n_23344;
+   wire n_23345;
+   wire n_23346;
+   wire n_23347;
+   wire n_23348;
+   wire n_23349;
+   wire n_23350;
+   wire n_23351;
+   wire n_23352;
+   wire n_23353;
+   wire n_23354;
+   wire n_23355;
+   wire n_23356;
+   wire n_23357;
+   wire n_23358;
+   wire n_23359;
+   wire n_23360;
+   wire n_23361;
+   wire n_23362;
+   wire n_23363;
+   wire n_23364;
+   wire n_23365;
+   wire n_23366;
+   wire n_23367;
+   wire n_23368;
+   wire n_23369;
+   wire n_23370;
+   wire n_23371;
+   wire n_23372;
+   wire n_23373;
+   wire n_23374;
+   wire n_23375;
+   wire n_23376;
+   wire n_23377;
+   wire n_23378;
+   wire n_23379;
+   wire n_23380;
+   wire n_23381;
+   wire n_23382;
+   wire n_23383;
+   wire n_23384;
+   wire n_23385;
+   wire n_23386;
+   wire n_23387;
+   wire n_23388;
+   wire n_23389;
+   wire n_23390;
+   wire n_23391;
+   wire n_23392;
+   wire n_23393;
+   wire n_23394;
+   wire n_23395;
+   wire n_23396;
+   wire n_23397;
+   wire n_23398;
+   wire n_23399;
+   wire n_23400;
+   wire n_23401;
+   wire n_23402;
+   wire n_23403;
+   wire n_23404;
+   wire n_23405;
+   wire n_23406;
+   wire n_23407;
+   wire n_23408;
+   wire n_23409;
+   wire n_23410;
+   wire n_23411;
+   wire n_23412;
+   wire n_23413;
+   wire n_23414;
+   wire n_23415;
+   wire n_23416;
+   wire n_23417;
+   wire n_23418;
+   wire n_23419;
+   wire n_23420;
+   wire n_23421;
+   wire n_23422;
+   wire n_23423;
+   wire n_23424;
+   wire n_23425;
+   wire n_23426;
+   wire n_23427;
+   wire n_23428;
+   wire n_23429;
+   wire n_23430;
+   wire n_23431;
+   wire n_23432;
+   wire n_23433;
+   wire n_23434;
+   wire n_23435;
+   wire n_23436;
+   wire n_23437;
+   wire n_23438;
+   wire n_23439;
+   wire n_23440;
+   wire n_23441;
+   wire n_23442;
+   wire n_23443;
+   wire n_23444;
+   wire n_23445;
+   wire n_23446;
+   wire n_23447;
+   wire n_23448;
+   wire n_23449;
+   wire n_23450;
+   wire n_23451;
+   wire n_23452;
+   wire n_23453;
+   wire n_23454;
+   wire n_23455;
+   wire n_23456;
+   wire n_23457;
+   wire n_23458;
+   wire n_23459;
+   wire n_23460;
+   wire n_23461;
+   wire n_23462;
+   wire n_23463;
+   wire n_23464;
+   wire n_23465;
+   wire n_23466;
+   wire n_23467;
+   wire n_23468;
+   wire n_23469;
+   wire n_23470;
+   wire n_23471;
+   wire n_23472;
+   wire n_23473;
+   wire n_23474;
+   wire n_23475;
+   wire n_23476;
+   wire n_23477;
+   wire n_23478;
+   wire n_23479;
+   wire n_23480;
+   wire n_23481;
+   wire n_23482;
+   wire n_23483;
+   wire n_23484;
+   wire n_23485;
+   wire n_23486;
+   wire n_23487;
+   wire n_23488;
+   wire n_23489;
+   wire n_23490;
+   wire n_23491;
+   wire n_23492;
+   wire n_23493;
+   wire n_23494;
+   wire n_23495;
+   wire n_23496;
+   wire n_23497;
+   wire n_23498;
+   wire n_23499;
+   wire n_23500;
+   wire n_23501;
+   wire n_23502;
+   wire n_23503;
+   wire n_23504;
+   wire n_23505;
+   wire n_23506;
+   wire n_23507;
+   wire n_23508;
+   wire n_23509;
+   wire n_23510;
+   wire n_23511;
+   wire n_23512;
+   wire n_23513;
+   wire n_23514;
+   wire n_23515;
+   wire n_23516;
+   wire n_23517;
+   wire n_23518;
+   wire n_23519;
+   wire n_23520;
+   wire n_23521;
+   wire n_23522;
+   wire n_23523;
+   wire n_23524;
+   wire n_23525;
+   wire n_23526;
+   wire n_23527;
+   wire n_23528;
+   wire n_23529;
+   wire n_23530;
+   wire n_23531;
+   wire n_23532;
+   wire n_23533;
+   wire n_23534;
+   wire n_23535;
+   wire n_23536;
+   wire n_23537;
+   wire n_23538;
+   wire n_23539;
+   wire n_23540;
+   wire n_23541;
+   wire n_23542;
+   wire n_23543;
+   wire n_23544;
+   wire n_23545;
+   wire n_23546;
+   wire n_23547;
+   wire n_23548;
+   wire n_23549;
+   wire n_23550;
+   wire n_23551;
+   wire n_23552;
+   wire n_23553;
+   wire n_23554;
+   wire n_23555;
+   wire n_23556;
+   wire n_23557;
+   wire n_23558;
+   wire n_23559;
+   wire n_23560;
+   wire n_23561;
+   wire n_23562;
+   wire n_23563;
+   wire n_23564;
+   wire n_23565;
+   wire n_23566;
+   wire n_23567;
+   wire n_23568;
+   wire n_23569;
+   wire n_23570;
+   wire n_23571;
+   wire n_23572;
+   wire n_23573;
+   wire n_23574;
+   wire n_23575;
+   wire n_23576;
+   wire n_23577;
+   wire n_23578;
+   wire n_23579;
+   wire n_23580;
+   wire n_23581;
+   wire n_23582;
+   wire n_23583;
+   wire n_23584;
+   wire n_23585;
+   wire n_23586;
+   wire n_23587;
+   wire n_23588;
+   wire n_23589;
+   wire n_23590;
+   wire n_23591;
+   wire n_23592;
+   wire n_23593;
+   wire n_23594;
+   wire n_23595;
+   wire n_23596;
+   wire n_23597;
+   wire n_23598;
+   wire n_23599;
+   wire n_23600;
+   wire n_23601;
+   wire n_23602;
+   wire n_23603;
+   wire n_23604;
+   wire n_23605;
+   wire n_23606;
+   wire n_23607;
+   wire n_23608;
+   wire n_23609;
+   wire n_23610;
+   wire n_23611;
+   wire n_23612;
+   wire n_23613;
+   wire n_23614;
+   wire n_23615;
+   wire n_23616;
+   wire n_23617;
+   wire n_23618;
+   wire n_23619;
+   wire n_23620;
+   wire n_23621;
+   wire n_23622;
+   wire n_23623;
+   wire n_23624;
+   wire n_23625;
+   wire n_23626;
+   wire n_23627;
+   wire n_23628;
+   wire n_23629;
+   wire n_23630;
+   wire n_23631;
+   wire n_23632;
+   wire n_23633;
+   wire n_23634;
+   wire n_23635;
+   wire n_23636;
+   wire n_23637;
+   wire n_23638;
+   wire n_23639;
+   wire n_23640;
+   wire n_23641;
+   wire n_23642;
+   wire n_23643;
+   wire n_23644;
+   wire n_23645;
+   wire n_23646;
+   wire n_23647;
+   wire n_23648;
+   wire n_23649;
+   wire n_23650;
+   wire n_23651;
+   wire n_23652;
+   wire n_23653;
+   wire n_23654;
+   wire n_23655;
+   wire n_23656;
+   wire n_23657;
+   wire n_23658;
+   wire n_23659;
+   wire n_23660;
+   wire n_23661;
+   wire n_23662;
+   wire n_23663;
+   wire n_23664;
+   wire n_23665;
+   wire n_23666;
+   wire n_23667;
+   wire n_23668;
+   wire n_23669;
+   wire n_23670;
+   wire n_23671;
+   wire n_23672;
+   wire n_23673;
+   wire n_23674;
+   wire n_23675;
+   wire n_23676;
+   wire n_23677;
+   wire n_23678;
+   wire n_23679;
+   wire n_23680;
+   wire n_23681;
+   wire n_23682;
+   wire n_23683;
+   wire n_23684;
+   wire n_23685;
+   wire n_23686;
+   wire n_23687;
+   wire n_23688;
+   wire n_23689;
+   wire n_23690;
+   wire n_23691;
+   wire n_23692;
+   wire n_23693;
+   wire n_23694;
+   wire n_23695;
+   wire n_23696;
+   wire n_23697;
+   wire n_23698;
+   wire n_23699;
+   wire n_23700;
+   wire n_23701;
+   wire n_23702;
+   wire n_23703;
+   wire n_23704;
+   wire n_23705;
+   wire n_23706;
+   wire n_23707;
+   wire n_23708;
+   wire n_23709;
+   wire n_23710;
+   wire n_23711;
+   wire n_23712;
+   wire n_23713;
+   wire n_23714;
+   wire n_23715;
+   wire n_23716;
+   wire n_23717;
+   wire n_23718;
+   wire n_23719;
+   wire n_23720;
+   wire n_23721;
+   wire n_23722;
+   wire n_23723;
+   wire n_23724;
+   wire n_23725;
+   wire n_23726;
+   wire n_23727;
+   wire n_23728;
+   wire n_23729;
+   wire n_23730;
+   wire n_23731;
+   wire n_23732;
+   wire n_23733;
+   wire n_23734;
+   wire n_23735;
+   wire n_23736;
+   wire n_23737;
+   wire n_23738;
+   wire n_23739;
+   wire n_23740;
+   wire n_23741;
+   wire n_23742;
+   wire n_23743;
+   wire n_23744;
+   wire n_23745;
+   wire n_23746;
+   wire n_23747;
+   wire n_23748;
+   wire n_23749;
+   wire n_23750;
+   wire n_23751;
+   wire n_23752;
+   wire n_23753;
+   wire n_23754;
+   wire n_23755;
+   wire n_23756;
+   wire n_23757;
+   wire n_23758;
+   wire n_23759;
+   wire n_23760;
+   wire n_23761;
+   wire n_23762;
+   wire n_23763;
+   wire n_23764;
+   wire n_23765;
+   wire n_23766;
+   wire n_23767;
+   wire n_23768;
+   wire n_23769;
+   wire n_23770;
+   wire n_23771;
+   wire n_23772;
+   wire n_23773;
+   wire n_23774;
+   wire n_23775;
+   wire n_23776;
+   wire n_23777;
+   wire n_23778;
+   wire n_23779;
+   wire n_23780;
+   wire n_23781;
+   wire n_23782;
+   wire n_23783;
+   wire n_23784;
+   wire n_23785;
+   wire n_23786;
+   wire n_23787;
+   wire n_23788;
+   wire n_23789;
+   wire n_23790;
+   wire n_23791;
+   wire n_23792;
+   wire n_23793;
+   wire n_23794;
+   wire n_23795;
+   wire n_23796;
+   wire n_23797;
+   wire n_23798;
+   wire n_23799;
+   wire n_23800;
+   wire n_23801;
+   wire n_23802;
+   wire n_23803;
+   wire n_23804;
+   wire n_23805;
+   wire n_23806;
+   wire n_23807;
+   wire n_23808;
+   wire n_23809;
+   wire n_23810;
+   wire n_23811;
+   wire n_23812;
+   wire n_23813;
+   wire n_23814;
+   wire n_23815;
+   wire n_23816;
+   wire n_23817;
+   wire n_23818;
+   wire n_23819;
+   wire n_23820;
+   wire n_23821;
+   wire n_23822;
+   wire n_23823;
+   wire n_23824;
+   wire n_23825;
+   wire n_23826;
+   wire n_23827;
+   wire n_23828;
+   wire n_23829;
+   wire n_23830;
+   wire n_23831;
+   wire n_23832;
+   wire n_23833;
+   wire n_23834;
+   wire n_23835;
+   wire n_23836;
+   wire n_23837;
+   wire n_23838;
+   wire n_23839;
+   wire n_23840;
+   wire n_23841;
+   wire n_23842;
+   wire n_23843;
+   wire n_23844;
+   wire n_23845;
+   wire n_23846;
+   wire n_23847;
+   wire n_23848;
+   wire n_23849;
+   wire n_23850;
+   wire n_23851;
+   wire n_23852;
+   wire n_23853;
+   wire n_23854;
+   wire n_23855;
+   wire n_23856;
+   wire n_23857;
+   wire n_23858;
+   wire n_23859;
+   wire n_23860;
+   wire n_23861;
+   wire n_23862;
+   wire n_23863;
+   wire n_23864;
+   wire n_23865;
+   wire n_23866;
+   wire n_23867;
+   wire n_23868;
+   wire n_23869;
+   wire n_23870;
+   wire n_23871;
+   wire n_23872;
+   wire n_23873;
+   wire n_23874;
+   wire n_23875;
+   wire n_23876;
+   wire n_23877;
+   wire n_23878;
+   wire n_23879;
+   wire n_23880;
+   wire n_23881;
+   wire n_23882;
+   wire n_23883;
+   wire n_23884;
+   wire n_23885;
+   wire n_23886;
+   wire n_23887;
+   wire n_23888;
+   wire n_23889;
+   wire n_23890;
+   wire n_23891;
+   wire n_23892;
+   wire n_23893;
+   wire n_23894;
+   wire n_23895;
+   wire n_23896;
+   wire n_23897;
+   wire n_23898;
+   wire n_23899;
+   wire n_23900;
+   wire n_23901;
+   wire n_23902;
+   wire n_23903;
+   wire n_23904;
+   wire n_23905;
+   wire n_23906;
+   wire n_23907;
+   wire n_23908;
+   wire n_23909;
+   wire n_23910;
+   wire n_23911;
+   wire n_23912;
+   wire n_23913;
+   wire n_23914;
+   wire n_23915;
+   wire n_23916;
+   wire n_23917;
+   wire n_23918;
+   wire n_23919;
+   wire n_23920;
+   wire n_23921;
+   wire n_23922;
+   wire n_23923;
+   wire n_23924;
+   wire n_23925;
+   wire n_23926;
+   wire n_23927;
+   wire n_23928;
+   wire n_23929;
+   wire n_23930;
+   wire n_23931;
+   wire n_23932;
+   wire n_23933;
+   wire n_23934;
+   wire n_23935;
+   wire n_23936;
+   wire n_23937;
+   wire n_23938;
+   wire n_23939;
+   wire n_23940;
+   wire n_23941;
+   wire n_23942;
+   wire n_23943;
+   wire n_23944;
+   wire n_23945;
+   wire n_23946;
+   wire n_23947;
+   wire n_23948;
+   wire n_23949;
+   wire n_23950;
+   wire n_23951;
+   wire n_23952;
+   wire n_23953;
+   wire n_23954;
+   wire n_23955;
+   wire n_23956;
+   wire n_23957;
+   wire n_23958;
+   wire n_23959;
+   wire n_23960;
+   wire n_23961;
+   wire n_23962;
+   wire n_23963;
+   wire n_23964;
+   wire n_23965;
+   wire n_23966;
+   wire n_23967;
+   wire n_23968;
+   wire n_23969;
+   wire n_23970;
+   wire n_23971;
+   wire n_23972;
+   wire n_23973;
+   wire n_23974;
+   wire n_23975;
+   wire n_23976;
+   wire n_23977;
+   wire n_23978;
+   wire n_23979;
+   wire n_23980;
+   wire n_23981;
+   wire n_23982;
+   wire n_23983;
+   wire n_23984;
+   wire n_23985;
+   wire n_23986;
+   wire n_23987;
+   wire n_23988;
+   wire n_23989;
+   wire n_23990;
+   wire n_23991;
+   wire n_23992;
+   wire n_23993;
+   wire n_23994;
+   wire n_23995;
+   wire n_23996;
+   wire n_23997;
+   wire n_23998;
+   wire n_23999;
+   wire n_24000;
+   wire n_24001;
+   wire n_24002;
+   wire n_24003;
+   wire n_24004;
+   wire n_24005;
+   wire n_24006;
+   wire n_24007;
+   wire n_24008;
+   wire n_24009;
+   wire n_24010;
+   wire n_24011;
+   wire n_24012;
+   wire n_24013;
+   wire n_24014;
+   wire n_24015;
+   wire n_24016;
+   wire n_24017;
+   wire n_24018;
+   wire n_24019;
+   wire n_24020;
+   wire n_24021;
+   wire n_24022;
+   wire n_24023;
+   wire n_24024;
+   wire n_24025;
+   wire n_24026;
+   wire n_24027;
+   wire n_24028;
+   wire n_24029;
+   wire n_24030;
+   wire n_24031;
+   wire n_24032;
+   wire n_24033;
+   wire n_24034;
+   wire n_24035;
+   wire n_24036;
+   wire n_24037;
+   wire n_24038;
+   wire n_24039;
+   wire n_24040;
+   wire n_24041;
+   wire n_24042;
+   wire n_24043;
+   wire n_24044;
+   wire n_24045;
+   wire n_24046;
+   wire n_24047;
+   wire n_24048;
+   wire n_24049;
+   wire n_24050;
+   wire n_24051;
+   wire n_24052;
+   wire n_24053;
+   wire n_24054;
+   wire n_24055;
+   wire n_24056;
+   wire n_24057;
+   wire n_24058;
+   wire n_24059;
+   wire n_24060;
+   wire n_24061;
+   wire n_24062;
+   wire n_24063;
+   wire n_24064;
+   wire n_24065;
+   wire n_24066;
+   wire n_24067;
+   wire n_24068;
+   wire n_24069;
+   wire n_24070;
+   wire n_24071;
+   wire n_24072;
+   wire n_24073;
+   wire n_24074;
+   wire n_24075;
+   wire n_24076;
+   wire n_24077;
+   wire n_24078;
+   wire n_24079;
+   wire n_24080;
+   wire n_24081;
+   wire n_24082;
+   wire n_24083;
+   wire n_24084;
+   wire n_24085;
+   wire n_24086;
+   wire n_24087;
+   wire n_24088;
+   wire n_24089;
+   wire n_24090;
+   wire n_24091;
+   wire n_24092;
+   wire n_24093;
+   wire n_24094;
+   wire n_24095;
+   wire n_24096;
+   wire n_24097;
+   wire n_24098;
+   wire n_24099;
+   wire n_24100;
+   wire n_24101;
+   wire n_24102;
+   wire n_24103;
+   wire n_24104;
+   wire n_24105;
+   wire n_24106;
+   wire n_24107;
+   wire n_24108;
+   wire n_24109;
+   wire n_24110;
+   wire n_24111;
+   wire n_24112;
+   wire n_24113;
+   wire n_24114;
+   wire n_24115;
+   wire n_24116;
+   wire n_24117;
+   wire n_24118;
+   wire n_24119;
+   wire n_24120;
+   wire n_24121;
+   wire n_24122;
+   wire n_24123;
+   wire n_24124;
+   wire n_24125;
+   wire n_24126;
+   wire n_24127;
+   wire n_24128;
+   wire n_24129;
+   wire n_24130;
+   wire n_24131;
+   wire n_24132;
+   wire n_24133;
+   wire n_24134;
+   wire n_24135;
+   wire n_24136;
+   wire n_24137;
+   wire n_24138;
+   wire n_24139;
+   wire n_24140;
+   wire n_24141;
+   wire n_24142;
+   wire n_24143;
+   wire n_24144;
+   wire n_24145;
+   wire n_24146;
+   wire n_24147;
+   wire n_24148;
+   wire n_24149;
+   wire n_24150;
+   wire n_24151;
+   wire n_24152;
+   wire n_24153;
+   wire n_24154;
+   wire n_24155;
+   wire n_24156;
+   wire n_24157;
+   wire n_24158;
+   wire n_24159;
+   wire n_24160;
+   wire n_24161;
+   wire n_24162;
+   wire n_24163;
+   wire n_24164;
+   wire n_24165;
+   wire n_24166;
+   wire n_24167;
+   wire n_24168;
+   wire n_24169;
+   wire n_24170;
+   wire n_24171;
+   wire n_24172;
+   wire n_24173;
+   wire n_24174;
+   wire n_24175;
+   wire n_24176;
+   wire n_24177;
+   wire n_24178;
+   wire n_24179;
+   wire n_24180;
+   wire n_24181;
+   wire n_24182;
+   wire n_24183;
+   wire n_24184;
+   wire n_24185;
+   wire n_24186;
+   wire n_24187;
+   wire n_24188;
+   wire n_24189;
+   wire n_24190;
+   wire n_24191;
+   wire n_24192;
+   wire n_24193;
+   wire n_24194;
+   wire n_24195;
+   wire n_24196;
+   wire n_24197;
+   wire n_24198;
+   wire n_24199;
+   wire n_24200;
+   wire n_24201;
+   wire n_24202;
+   wire n_24203;
+   wire n_24204;
+   wire n_24205;
+   wire n_24206;
+   wire n_24207;
+   wire n_24208;
+   wire n_24209;
+   wire n_24210;
+   wire n_24211;
+   wire n_24212;
+   wire n_24213;
+   wire n_24214;
+   wire n_24215;
+   wire n_24216;
+   wire n_24217;
+   wire n_24218;
+   wire n_24219;
+   wire n_24220;
+   wire n_24221;
+   wire n_24222;
+   wire n_24223;
+   wire n_24224;
+   wire n_24225;
+   wire n_24226;
+   wire n_24227;
+   wire n_24228;
+   wire n_24229;
+   wire n_24230;
+   wire n_24231;
+   wire n_24232;
+   wire n_24233;
+   wire n_24234;
+   wire n_24235;
+   wire n_24236;
+   wire n_24237;
+   wire n_24238;
+   wire n_24239;
+   wire n_24240;
+   wire n_24241;
+   wire n_24242;
+   wire n_24243;
+   wire n_24244;
+   wire n_24245;
+   wire n_24246;
+   wire n_24247;
+   wire n_24248;
+   wire n_24249;
+   wire n_24250;
+   wire n_24251;
+   wire n_24252;
+   wire n_24253;
+   wire n_24254;
+   wire n_24255;
+   wire n_24256;
+   wire n_24257;
+   wire n_24258;
+   wire n_24259;
+   wire n_24260;
+   wire n_24261;
+   wire n_24262;
+   wire n_24263;
+   wire n_24264;
+   wire n_24265;
+   wire n_24266;
+   wire n_24267;
+   wire n_24268;
+   wire n_24269;
+   wire n_24270;
+   wire n_24271;
+   wire n_24272;
+   wire n_24273;
+   wire n_24274;
+   wire n_24275;
+   wire n_24276;
+   wire n_24277;
+   wire n_24278;
+   wire n_24279;
+   wire n_24280;
+   wire n_24281;
+   wire n_24282;
+   wire n_24283;
+   wire n_24284;
+   wire n_24285;
+   wire n_24286;
+   wire n_24287;
+   wire n_24288;
+   wire n_24289;
+   wire n_24290;
+   wire n_24291;
+   wire n_24292;
+   wire n_24293;
+   wire n_24294;
+   wire n_24295;
+   wire n_24296;
+   wire n_24297;
+   wire n_24298;
+   wire n_24299;
+   wire n_24300;
+   wire n_24301;
+   wire n_24302;
+   wire n_24303;
+   wire n_24304;
+   wire n_24305;
+   wire n_24306;
+   wire n_24307;
+   wire n_24308;
+   wire n_24309;
+   wire n_24310;
+   wire n_24311;
+   wire n_24312;
+   wire n_24313;
+   wire n_24314;
+   wire n_24315;
+   wire n_24316;
+   wire n_24317;
+   wire n_24318;
+   wire n_24319;
+   wire n_24320;
+   wire n_24321;
+   wire n_24322;
+   wire n_24323;
+   wire n_24324;
+   wire n_24325;
+   wire n_24326;
+   wire n_24327;
+   wire n_24328;
+   wire n_24329;
+   wire n_24330;
+   wire n_24331;
+   wire n_24332;
+   wire n_24333;
+   wire n_24334;
+   wire n_24335;
+   wire n_24336;
+   wire n_24337;
+   wire n_24338;
+   wire n_24339;
+   wire n_24340;
+   wire n_24341;
+   wire n_24342;
+   wire n_24343;
+   wire n_24344;
+   wire n_24345;
+   wire n_24346;
+   wire n_24347;
+   wire n_24348;
+   wire n_24349;
+   wire n_24350;
+   wire n_24351;
+   wire n_24352;
+   wire n_24353;
+   wire n_24354;
+   wire n_24355;
+   wire n_24356;
+   wire n_24357;
+   wire n_24358;
+   wire n_24359;
+   wire n_24360;
+   wire n_24361;
+   wire n_24362;
+   wire n_24363;
+   wire n_24364;
+   wire n_24365;
+   wire n_24366;
+   wire n_24367;
+   wire n_24368;
+   wire n_24369;
+   wire n_24370;
+   wire n_24371;
+   wire n_24372;
+   wire n_24373;
+   wire n_24374;
+   wire n_24375;
+   wire n_24376;
+   wire n_24377;
+   wire n_24378;
+   wire n_24379;
+   wire n_24380;
+   wire n_24381;
+   wire n_24382;
+   wire n_24383;
+   wire n_24384;
+   wire n_24385;
+   wire n_24386;
+   wire n_24387;
+   wire n_24388;
+   wire n_24389;
+   wire n_24390;
+   wire n_24391;
+   wire n_24392;
+   wire n_24393;
+   wire n_24394;
+   wire n_24395;
+   wire n_24396;
+   wire n_24397;
+   wire n_24398;
+   wire n_24399;
+   wire n_24400;
+   wire n_24401;
+   wire n_24402;
+   wire n_24403;
+   wire n_24404;
+   wire n_24405;
+   wire n_24406;
+   wire n_24407;
+   wire n_24408;
+   wire n_24409;
+   wire n_24410;
+   wire n_24411;
+   wire n_24412;
+   wire n_24413;
+   wire n_24414;
+   wire n_24415;
+   wire n_24416;
+   wire n_24417;
+   wire n_24418;
+   wire n_24419;
+   wire n_24420;
+   wire n_24421;
+   wire n_24422;
+   wire n_24423;
+   wire n_24424;
+   wire n_24425;
+   wire n_24426;
+   wire n_24427;
+   wire n_24428;
+   wire n_24429;
+   wire n_24430;
+   wire n_24431;
+   wire n_24432;
+   wire n_24433;
+   wire n_24434;
+   wire n_24435;
+   wire n_24436;
+   wire n_24437;
+   wire n_24438;
+   wire n_24439;
+   wire n_24440;
+   wire n_24441;
+   wire n_24442;
+   wire n_24443;
+   wire n_24444;
+   wire n_24445;
+   wire n_24446;
+   wire n_24447;
+   wire n_24448;
+   wire n_24449;
+   wire n_24450;
+   wire n_24451;
+   wire n_24452;
+   wire n_24453;
+   wire n_24454;
+   wire n_24455;
+   wire n_24456;
+   wire n_24457;
+   wire n_24458;
+   wire n_24459;
+   wire n_24460;
+   wire n_24461;
+   wire n_24462;
+   wire n_24463;
+   wire n_24464;
+   wire n_24465;
+   wire n_24466;
+   wire n_24467;
+   wire n_24468;
+   wire n_24469;
+   wire n_24470;
+   wire n_24471;
+   wire n_24472;
+   wire n_24473;
+   wire n_24474;
+   wire n_24475;
+   wire n_24476;
+   wire n_24477;
+   wire n_24478;
+   wire n_24479;
+   wire n_24480;
+   wire n_24481;
+   wire n_24482;
+   wire n_24483;
+   wire n_24484;
+   wire n_24485;
+   wire n_24486;
+   wire n_24487;
+   wire n_24488;
+   wire n_24489;
+   wire n_24490;
+   wire n_24491;
+   wire n_24492;
+   wire n_24493;
+   wire n_24494;
+   wire n_24495;
+   wire n_24496;
+   wire n_24497;
+   wire n_24498;
+   wire n_24499;
+   wire n_24500;
+   wire n_24501;
+   wire n_24502;
+   wire n_24503;
+   wire n_24504;
+   wire n_24505;
+   wire n_24506;
+   wire n_24507;
+   wire n_24508;
+   wire n_24509;
+   wire n_24510;
+   wire n_24511;
+   wire n_24512;
+   wire n_24513;
+   wire n_24514;
+   wire n_24515;
+   wire n_24516;
+   wire n_24517;
+   wire n_24518;
+   wire n_24519;
+   wire n_24520;
+   wire n_24521;
+   wire n_24522;
+   wire n_24523;
+   wire n_24524;
+   wire n_24525;
+   wire n_24526;
+   wire n_24527;
+   wire n_24528;
+   wire n_24529;
+   wire n_24530;
+   wire n_24531;
+   wire n_24532;
+   wire n_24533;
+   wire n_24534;
+   wire n_24535;
+   wire n_24536;
+   wire n_24537;
+   wire n_24538;
+   wire n_24539;
+   wire n_24540;
+   wire n_24541;
+   wire n_24542;
+   wire n_24543;
+   wire n_24544;
+   wire n_24545;
+   wire n_24546;
+   wire n_24547;
+   wire n_24548;
+   wire n_24549;
+   wire n_24550;
+   wire n_24551;
+   wire n_24552;
+   wire n_24553;
+   wire n_24554;
+   wire n_24555;
+   wire n_24556;
+   wire n_24557;
+   wire n_24558;
+   wire n_24559;
+   wire n_24560;
+   wire n_24561;
+   wire n_24562;
+   wire n_24563;
+   wire n_24564;
+   wire n_24565;
+   wire n_24566;
+   wire n_24567;
+   wire n_24568;
+   wire n_24569;
+   wire n_24570;
+   wire n_24571;
+   wire n_24572;
+   wire n_24573;
+   wire n_24574;
+   wire n_24575;
+   wire n_24576;
+   wire n_24577;
+   wire n_24578;
+   wire n_24579;
+   wire n_24580;
+   wire n_24581;
+   wire n_24582;
+   wire n_24583;
+   wire n_24584;
+   wire n_24585;
+   wire n_24586;
+   wire n_24587;
+   wire n_24588;
+   wire n_24589;
+   wire n_24590;
+   wire n_24591;
+   wire n_24592;
+   wire n_24593;
+   wire n_24594;
+   wire n_24595;
+   wire n_24596;
+   wire n_24597;
+   wire n_24598;
+   wire n_24599;
+   wire n_24600;
+   wire n_24601;
+   wire n_24602;
+   wire n_24603;
+   wire n_24604;
+   wire n_24605;
+   wire n_24606;
+   wire n_24607;
+   wire n_24608;
+   wire n_24609;
+   wire n_24610;
+   wire n_24611;
+   wire n_24612;
+   wire n_24613;
+   wire n_24614;
+   wire n_24615;
+   wire n_24616;
+   wire n_24617;
+   wire n_24618;
+   wire n_24619;
+   wire n_24620;
+   wire n_24621;
+   wire n_24622;
+   wire n_24623;
+   wire n_24624;
+   wire n_24625;
+   wire n_24626;
+   wire n_24627;
+   wire n_24628;
+   wire n_24629;
+   wire n_24630;
+   wire n_24631;
+   wire n_24632;
+   wire n_24633;
+   wire n_24634;
+   wire n_24635;
+   wire n_24636;
+   wire n_24637;
+   wire n_24638;
+   wire n_24639;
+   wire n_24640;
+   wire n_24641;
+   wire n_24642;
+   wire n_24643;
+   wire n_24644;
+   wire n_24645;
+   wire n_24646;
+   wire n_24647;
+   wire n_24648;
+   wire n_24649;
+   wire n_24650;
+   wire n_24651;
+   wire n_24652;
+   wire n_24653;
+   wire n_24654;
+   wire n_24655;
+   wire n_24656;
+   wire n_24657;
+   wire n_24658;
+   wire n_24659;
+   wire n_24660;
+   wire n_24661;
+   wire n_24662;
+   wire n_24663;
+   wire n_24664;
+   wire n_24665;
+   wire n_24666;
+   wire n_24667;
+   wire n_24668;
+   wire n_24669;
+   wire n_24670;
+   wire n_24671;
+   wire n_24672;
+   wire n_24673;
+   wire n_24674;
+   wire n_24675;
+   wire n_24676;
+   wire n_24677;
+   wire n_24678;
+   wire n_24679;
+   wire n_24680;
+   wire n_24681;
+   wire n_24682;
+   wire n_24683;
+   wire n_24684;
+   wire n_24685;
+   wire n_24686;
+   wire n_24687;
+   wire n_24688;
+   wire n_24689;
+   wire n_24690;
+   wire n_24691;
+   wire n_24692;
+   wire n_24693;
+   wire n_24694;
+   wire n_24695;
+   wire n_24696;
+   wire n_24697;
+   wire n_24698;
+   wire n_24699;
+   wire n_24700;
+   wire n_24701;
+   wire n_24702;
+   wire n_24703;
+   wire n_24704;
+   wire n_24705;
+   wire n_24706;
+   wire n_24707;
+   wire n_24708;
+   wire n_24709;
+   wire n_24710;
+   wire n_24711;
+   wire n_24712;
+   wire n_24713;
+   wire n_24714;
+   wire n_24715;
+   wire n_24716;
+   wire n_24717;
+   wire n_24718;
+   wire n_24719;
+   wire n_24720;
+   wire n_24721;
+   wire n_24722;
+   wire n_24723;
+   wire n_24724;
+   wire n_24725;
+   wire n_24726;
+   wire n_24727;
+   wire n_24728;
+   wire n_24729;
+   wire n_24730;
+   wire n_24731;
+   wire n_24732;
+   wire n_24733;
+   wire n_24734;
+   wire n_24735;
+   wire n_24736;
+   wire n_24737;
+   wire n_24738;
+   wire n_24739;
+   wire n_24740;
+   wire n_24741;
+   wire n_24742;
+   wire n_24743;
+   wire n_24744;
+   wire n_24745;
+   wire n_24746;
+   wire n_24747;
+   wire n_24748;
+   wire n_24749;
+   wire n_24750;
+   wire n_24751;
+   wire n_24752;
+   wire n_24753;
+   wire n_24754;
+   wire n_24755;
+   wire n_24756;
+   wire n_24757;
+   wire n_24758;
+   wire n_24759;
+   wire n_24760;
+   wire n_24761;
+   wire n_24762;
+   wire n_24763;
+   wire n_24764;
+   wire n_24765;
+   wire n_24766;
+   wire n_24767;
+   wire n_24768;
+   wire n_24769;
+   wire n_24770;
+   wire n_24771;
+   wire n_24772;
+   wire n_24773;
+   wire n_24774;
+   wire n_24775;
+   wire n_24776;
+   wire n_24777;
+   wire n_24778;
+   wire n_24779;
+   wire n_24780;
+   wire n_24781;
+   wire n_24782;
+   wire n_24783;
+   wire n_24784;
+   wire n_24785;
+   wire n_24786;
+   wire n_24787;
+   wire n_24788;
+   wire n_24789;
+   wire n_24790;
+   wire n_24791;
+   wire n_24792;
+   wire n_24793;
+   wire n_24794;
+   wire n_24795;
+   wire n_24796;
+   wire n_24797;
+   wire n_24798;
+   wire n_24799;
+   wire n_24800;
+   wire n_24801;
+   wire n_24802;
+   wire n_24803;
+   wire n_24804;
+   wire n_24805;
+   wire n_24806;
+   wire n_24807;
+   wire n_24808;
+   wire n_24809;
+   wire n_24810;
+   wire n_24811;
+   wire n_24812;
+   wire n_24813;
+   wire n_24814;
+   wire n_24815;
+   wire n_24816;
+   wire n_24817;
+   wire n_24818;
+   wire n_24819;
+   wire n_24820;
+   wire n_24821;
+   wire n_24822;
+   wire n_24823;
+   wire n_24824;
+   wire n_24825;
+   wire n_24826;
+   wire n_24827;
+   wire n_24828;
+   wire n_24829;
+   wire n_24830;
+   wire n_24831;
+   wire n_24832;
+   wire n_24833;
+   wire n_24834;
+   wire n_24835;
+   wire n_24836;
+   wire n_24837;
+   wire n_24838;
+   wire n_24839;
+   wire n_24840;
+   wire n_24841;
+   wire n_24842;
+   wire n_24843;
+   wire n_24844;
+   wire n_24845;
+   wire n_24846;
+   wire n_24847;
+   wire n_24848;
+   wire n_24849;
+   wire n_24850;
+   wire n_24851;
+   wire n_24852;
+   wire n_24853;
+   wire n_24854;
+   wire n_24855;
+   wire n_24856;
+   wire n_24857;
+   wire n_24858;
+   wire n_24859;
+   wire n_24860;
+   wire n_24861;
+   wire n_24862;
+   wire n_24863;
+   wire n_24864;
+   wire n_24865;
+   wire n_24866;
+   wire n_24867;
+   wire n_24868;
+   wire n_24869;
+   wire n_24870;
+   wire n_24871;
+   wire n_24872;
+   wire n_24873;
+   wire n_24874;
+   wire n_24875;
+   wire n_24876;
+   wire n_24877;
+   wire n_24878;
+   wire n_24879;
+   wire n_24880;
+   wire n_24881;
+   wire n_24882;
+   wire n_24883;
+   wire n_24884;
+   wire n_24885;
+   wire n_24886;
+   wire n_24887;
+   wire n_24888;
+   wire n_24889;
+   wire n_24890;
+   wire n_24891;
+   wire n_24892;
+   wire n_24893;
+   wire n_24894;
+   wire n_24895;
+   wire n_24896;
+   wire n_24897;
+   wire n_24898;
+   wire n_24899;
+   wire n_24900;
+   wire n_24901;
+   wire n_24902;
+   wire n_24903;
+   wire n_24904;
+   wire n_24905;
+   wire n_24906;
+   wire n_24907;
+   wire n_24908;
+   wire n_24909;
+   wire n_24910;
+   wire n_24911;
+   wire n_24912;
+   wire n_24913;
+   wire n_24914;
+   wire n_24915;
+   wire n_24916;
+   wire n_24917;
+   wire n_24918;
+   wire n_24919;
+   wire n_24920;
+   wire n_24921;
+   wire n_24922;
+   wire n_24923;
+   wire n_24924;
+   wire n_24925;
+   wire n_24926;
+   wire n_24927;
+   wire n_24928;
+   wire n_24929;
+   wire n_24930;
+   wire n_24931;
+   wire n_24932;
+   wire n_24933;
+   wire n_24934;
+   wire n_24935;
+   wire n_24936;
+   wire n_24937;
+   wire n_24938;
+   wire n_24939;
+   wire n_24940;
+   wire n_24941;
+   wire n_24942;
+   wire n_24943;
+   wire n_24944;
+   wire n_24945;
+   wire n_24946;
+   wire n_24947;
+   wire n_24948;
+   wire n_24949;
+   wire n_24950;
+   wire n_24951;
+   wire n_24952;
+   wire n_24953;
+   wire n_24954;
+   wire n_24955;
+   wire n_24956;
+   wire n_24957;
+   wire n_24958;
+   wire n_24959;
+   wire n_24960;
+   wire n_24961;
+   wire n_24962;
+   wire n_24963;
+   wire n_24964;
+   wire n_24965;
+   wire n_24966;
+   wire n_24967;
+   wire n_24968;
+   wire n_24969;
+   wire n_24970;
+   wire n_24971;
+   wire n_24972;
+   wire n_24973;
+   wire n_24974;
+   wire n_24975;
+   wire n_24976;
+   wire n_24977;
+   wire n_24978;
+   wire n_24979;
+   wire n_24980;
+   wire n_24981;
+   wire n_24982;
+   wire n_24983;
+   wire n_24984;
+   wire n_24985;
+   wire n_24986;
+   wire n_24987;
+   wire n_24988;
+   wire n_24989;
+   wire n_24990;
+   wire n_24991;
+   wire n_24992;
+   wire n_24993;
+   wire n_24994;
+   wire n_24995;
+   wire n_24996;
+   wire n_24997;
+   wire n_24998;
+   wire n_24999;
+   wire n_25000;
+   wire n_25001;
+   wire n_25002;
+   wire n_25003;
+   wire n_25004;
+   wire n_25005;
+   wire n_25006;
+   wire n_25007;
+   wire n_25008;
+   wire n_25009;
+   wire n_25010;
+   wire n_25011;
+   wire n_25012;
+   wire n_25013;
+   wire n_25014;
+   wire n_25015;
+   wire n_25016;
+   wire n_25017;
+   wire n_25018;
+   wire n_25019;
+   wire n_25020;
+   wire n_25021;
+   wire n_25022;
+   wire n_25023;
+   wire n_25024;
+   wire n_25025;
+   wire n_25026;
+   wire n_25027;
+   wire n_25028;
+   wire n_25029;
+   wire n_25030;
+   wire n_25031;
+   wire n_25032;
+   wire n_25033;
+   wire n_25034;
+   wire n_25035;
+   wire n_25036;
+   wire n_25037;
+   wire n_25038;
+   wire n_25039;
+   wire n_25040;
+   wire n_25041;
+   wire n_25042;
+   wire n_25043;
+   wire n_25044;
+   wire n_25045;
+   wire n_25046;
+   wire n_25047;
+   wire n_25048;
+   wire n_25049;
+   wire n_25050;
+   wire n_25051;
+   wire n_25052;
+   wire n_25053;
+   wire n_25054;
+   wire n_25055;
+   wire n_25056;
+   wire n_25057;
+   wire n_25058;
+   wire n_25059;
+   wire n_25060;
+   wire n_25061;
+   wire n_25062;
+   wire n_25063;
+   wire n_25064;
+   wire n_25065;
+   wire n_25066;
+   wire n_25067;
+   wire n_25068;
+   wire n_25069;
+   wire n_25070;
+   wire n_25071;
+   wire n_25072;
+   wire n_25073;
+   wire n_25074;
+   wire n_25075;
+   wire n_25076;
+   wire n_25077;
+   wire n_25078;
+   wire n_25079;
+   wire n_25080;
+   wire n_25081;
+   wire n_25082;
+   wire n_25083;
+   wire n_25084;
+   wire n_25085;
+   wire n_25086;
+   wire n_25087;
+   wire n_25088;
+   wire n_25089;
+   wire n_25090;
+   wire n_25091;
+   wire n_25092;
+   wire n_25093;
+   wire n_25094;
+   wire n_25095;
+   wire n_25096;
+   wire n_25097;
+   wire n_25098;
+   wire n_25099;
+   wire n_25100;
+   wire n_25101;
+   wire n_25102;
+   wire n_25103;
+   wire n_25104;
+   wire n_25105;
+   wire n_25106;
+   wire n_25107;
+   wire n_25108;
+   wire n_25109;
+   wire n_25110;
+   wire n_25111;
+   wire n_25112;
+   wire n_25113;
+   wire n_25114;
+   wire n_25115;
+   wire n_25116;
+   wire n_25117;
+   wire n_25118;
+   wire n_25119;
+   wire n_25120;
+   wire n_25121;
+   wire n_25122;
+   wire n_25123;
+   wire n_25124;
+   wire n_25125;
+   wire n_25126;
+   wire n_25127;
+   wire n_25128;
+   wire n_25129;
+   wire n_25130;
+   wire n_25131;
+   wire n_25132;
+   wire n_25133;
+   wire n_25134;
+   wire n_25135;
+   wire n_25136;
+   wire n_25137;
+   wire n_25138;
+   wire n_25139;
+   wire n_25140;
+   wire n_25141;
+   wire n_25142;
+   wire n_25143;
+   wire n_25144;
+   wire n_25145;
+   wire n_25146;
+   wire n_25147;
+   wire n_25148;
+   wire n_25149;
+   wire n_25150;
+   wire n_25151;
+   wire n_25152;
+   wire n_25153;
+   wire n_25154;
+   wire n_25155;
+   wire n_25156;
+   wire n_25157;
+   wire n_25158;
+   wire n_25159;
+   wire n_25160;
+   wire n_25161;
+   wire n_25162;
+   wire n_25163;
+   wire n_25164;
+   wire n_25165;
+   wire n_25166;
+   wire n_25167;
+   wire n_25168;
+   wire n_25169;
+   wire n_25170;
+   wire n_25171;
+   wire n_25172;
+   wire n_25173;
+   wire n_25174;
+   wire n_25175;
+   wire n_25176;
+   wire n_25177;
+   wire n_25178;
+   wire n_25179;
+   wire n_25180;
+   wire n_25181;
+   wire n_25182;
+   wire n_25184;
+   wire n_25185;
+   wire n_25186;
+   wire n_25187;
+   wire n_25188;
+   wire n_25189;
+   wire n_25190;
+   wire n_25191;
+   wire n_25192;
+   wire n_25193;
+   wire n_25194;
+   wire n_25195;
+   wire n_25196;
+   wire n_25197;
+   wire n_25198;
+   wire n_25199;
+   wire n_25200;
+   wire n_25201;
+   wire n_25202;
+   wire n_25203;
+   wire n_25204;
+   wire n_25205;
+   wire n_25206;
+   wire n_25207;
+   wire n_25208;
+   wire n_25209;
+   wire n_25210;
+   wire n_25211;
+   wire n_25212;
+   wire n_25213;
+   wire n_25214;
+   wire n_25215;
+   wire n_25216;
+   wire n_25217;
+   wire n_25218;
+   wire n_25219;
+   wire n_25220;
+   wire n_25221;
+   wire n_25222;
+   wire n_25223;
+   wire n_25224;
+   wire n_25225;
+   wire n_25226;
+   wire n_25227;
+   wire n_25228;
+   wire n_25229;
+   wire n_25230;
+   wire n_25231;
+   wire n_25232;
+   wire n_25233;
+   wire n_25234;
+   wire n_25235;
+   wire n_25236;
+   wire n_25237;
+   wire n_25238;
+   wire n_25239;
+   wire n_25240;
+   wire n_25241;
+   wire n_25242;
+   wire n_25243;
+   wire n_25244;
+   wire n_25245;
+   wire n_25246;
+   wire n_25247;
+   wire n_25248;
+   wire n_25249;
+   wire n_25250;
+   wire n_25251;
+   wire n_25252;
+   wire n_25253;
+   wire n_25254;
+   wire n_25255;
+   wire n_25256;
+   wire n_25257;
+   wire n_25258;
+   wire n_25259;
+   wire n_25260;
+   wire n_25261;
+   wire n_25262;
+   wire n_25263;
+   wire n_25264;
+   wire n_25265;
+   wire n_25266;
+   wire n_25267;
+   wire n_25268;
+   wire n_25269;
+   wire n_25270;
+   wire n_25271;
+   wire n_25272;
+   wire n_25273;
+   wire n_25274;
+   wire n_25275;
+   wire n_25276;
+   wire n_25277;
+   wire n_25278;
+   wire n_25279;
+   wire n_25280;
+   wire n_25281;
+   wire n_25282;
+   wire n_25283;
+   wire n_25284;
+   wire n_25285;
+   wire n_25286;
+   wire n_25287;
+   wire n_25288;
+   wire n_25289;
+   wire n_25290;
+   wire n_25291;
+   wire n_25292;
+   wire n_25293;
+   wire n_25294;
+   wire n_25295;
+   wire n_25296;
+   wire n_25297;
+   wire n_25298;
+   wire n_25299;
+   wire n_25300;
+   wire n_25301;
+   wire n_25302;
+   wire n_25303;
+   wire n_25304;
+   wire n_25305;
+   wire n_25306;
+   wire n_25307;
+   wire n_25308;
+   wire n_25309;
+   wire n_25310;
+   wire n_25311;
+   wire n_25312;
+   wire n_25313;
+   wire n_25314;
+   wire n_25315;
+   wire n_25316;
+   wire n_25317;
+   wire n_25318;
+   wire n_25319;
+   wire n_25320;
+   wire n_25321;
+   wire n_25322;
+   wire n_25323;
+   wire n_25324;
+   wire n_25325;
+   wire n_25326;
+   wire n_25327;
+   wire n_25328;
+   wire n_25329;
+   wire n_25330;
+   wire n_25331;
+   wire n_25332;
+   wire n_25333;
+   wire n_25334;
+   wire n_25335;
+   wire n_25336;
+   wire n_25337;
+   wire n_25338;
+   wire n_25339;
+   wire n_25340;
+   wire n_25341;
+   wire n_25342;
+   wire n_25343;
+   wire n_25344;
+   wire n_25345;
+   wire n_25346;
+   wire n_25347;
+   wire n_25348;
+   wire n_25349;
+   wire n_25350;
+   wire n_25351;
+   wire n_25352;
+   wire n_25353;
+   wire n_25354;
+   wire n_25355;
+   wire n_25356;
+   wire n_25357;
+   wire n_25358;
+   wire n_25359;
+   wire n_25360;
+   wire n_25361;
+   wire n_25362;
+   wire n_25363;
+   wire n_25364;
+   wire n_25365;
+   wire n_25366;
+   wire n_25367;
+   wire n_25368;
+   wire n_25369;
+   wire n_25370;
+   wire n_25371;
+   wire n_25372;
+   wire n_25373;
+   wire n_25374;
+   wire n_25375;
+   wire n_25376;
+   wire n_25377;
+   wire n_25378;
+   wire n_25379;
+   wire n_25380;
+   wire n_25381;
+   wire n_25382;
+   wire n_25383;
+   wire n_25384;
+   wire n_25385;
+   wire n_25386;
+   wire n_25387;
+   wire n_25388;
+   wire n_25389;
+   wire n_25390;
+   wire n_25391;
+   wire n_25392;
+   wire n_25393;
+   wire n_25394;
+   wire n_25395;
+   wire n_25396;
+   wire n_25397;
+   wire n_25398;
+   wire n_25399;
+   wire n_25400;
+   wire n_25401;
+   wire n_25402;
+   wire n_25403;
+   wire n_25404;
+   wire n_25405;
+   wire n_25406;
+   wire n_25407;
+   wire n_25408;
+   wire n_25409;
+   wire n_25410;
+   wire n_25411;
+   wire n_25412;
+   wire n_25413;
+   wire n_25414;
+   wire n_25415;
+   wire n_25416;
+   wire n_25417;
+   wire n_25418;
+   wire n_25419;
+   wire n_25420;
+   wire n_25421;
+   wire n_25422;
+   wire n_25423;
+   wire n_25424;
+   wire n_25425;
+   wire n_25426;
+   wire n_25427;
+   wire n_25428;
+   wire n_25429;
+   wire n_25430;
+   wire n_25431;
+   wire n_25432;
+   wire n_25433;
+   wire n_25434;
+   wire n_25435;
+   wire n_25438;
+   wire n_25441;
+   wire n_25446;
+   wire n_25447;
+   wire n_25448;
+   wire n_25449;
+   wire n_25450;
+   wire n_25451;
+   wire n_25452;
+   wire n_25453;
+   wire n_25454;
+   wire n_25455;
+   wire n_25456;
+   wire n_25457;
+   wire n_25458;
+   wire n_25459;
+   wire n_25460;
+   wire n_25461;
+   wire n_25462;
+   wire n_25463;
+   wire n_25464;
+   wire n_25465;
+   wire n_25466;
+   wire n_25467;
+   wire n_25468;
+   wire n_25469;
+   wire n_25470;
+   wire n_25471;
+   wire n_25472;
+   wire n_25473;
+   wire n_25474;
+   wire n_25475;
+   wire n_25476;
+   wire n_25477;
+   wire n_25478;
+   wire n_25479;
+   wire n_25480;
+   wire n_25481;
+   wire n_25482;
+   wire n_25483;
+   wire n_25484;
+   wire n_25485;
+   wire n_25486;
+   wire n_25487;
+   wire n_25488;
+   wire n_25489;
+   wire n_25490;
+   wire n_25491;
+   wire n_25492;
+   wire n_25493;
+   wire n_25494;
+   wire n_25495;
+   wire n_25496;
+   wire n_25497;
+   wire n_25498;
+   wire n_25499;
+   wire n_25500;
+   wire n_25501;
+   wire n_25502;
+   wire n_25503;
+   wire n_25504;
+   wire n_25505;
+   wire n_25506;
+   wire n_25508;
+   wire n_25509;
+   wire n_25510;
+   wire n_25511;
+   wire n_25512;
+   wire n_25513;
+   wire n_25514;
+   wire n_25515;
+   wire n_25516;
+   wire n_25517;
+   wire n_25518;
+   wire n_25519;
+   wire n_25520;
+   wire n_25521;
+   wire n_25522;
+   wire n_25523;
+   wire n_25524;
+   wire n_25525;
+   wire n_25526;
+   wire n_25527;
+   wire n_25528;
+   wire n_25529;
+   wire n_25530;
+   wire n_25531;
+   wire n_25532;
+   wire n_25533;
+   wire n_25534;
+   wire n_25535;
+   wire n_25536;
+   wire n_25537;
+   wire n_25538;
+   wire n_25539;
+   wire n_25540;
+   wire n_25541;
+   wire n_25542;
+   wire n_25543;
+   wire n_25544;
+   wire n_25546;
+   wire n_25547;
+   wire n_25548;
+   wire n_25549;
+   wire n_25550;
+   wire n_25551;
+   wire n_25552;
+   wire n_25553;
+   wire n_25554;
+   wire n_25555;
+   wire n_25556;
+   wire n_25557;
+   wire n_25558;
+   wire n_25559;
+   wire n_25560;
+   wire n_25561;
+   wire n_25562;
+   wire n_25563;
+   wire n_25564;
+   wire n_25565;
+   wire n_25566;
+   wire n_25567;
+   wire n_25568;
+   wire n_25569;
+   wire n_25570;
+   wire n_25572;
+   wire n_25573;
+   wire n_25574;
+   wire n_25575;
+   wire n_25576;
+   wire n_25577;
+   wire n_25578;
+   wire n_25579;
+   wire n_25580;
+   wire n_25581;
+   wire n_25583;
+   wire n_25584;
+   wire n_25585;
+   wire n_25586;
+   wire n_25587;
+   wire n_25588;
+   wire n_25589;
+   wire n_25590;
+   wire n_25591;
+   wire n_25592;
+   wire n_25593;
+   wire n_25594;
+   wire n_25595;
+   wire n_25596;
+   wire n_25597;
+   wire n_25598;
+   wire n_25599;
+   wire n_25600;
+   wire n_25601;
+   wire n_25602;
+   wire n_25603;
+   wire n_25604;
+   wire n_25605;
+   wire n_25606;
+   wire n_25607;
+   wire n_25608;
+   wire n_25609;
+   wire n_25610;
+   wire n_25611;
+   wire n_25612;
+   wire n_25613;
+   wire n_25614;
+   wire n_25615;
+   wire n_25616;
+   wire n_25617;
+   wire n_25618;
+   wire n_25619;
+   wire n_25620;
+   wire n_25621;
+   wire n_25622;
+   wire n_25623;
+   wire n_25624;
+   wire n_25625;
+   wire n_25626;
+   wire n_25627;
+   wire n_25628;
+   wire n_25629;
+   wire n_25630;
+   wire n_25631;
+   wire n_25632;
+   wire n_25633;
+   wire n_25634;
+   wire n_25635;
+   wire n_25636;
+   wire n_25637;
+   wire n_25638;
+   wire n_25639;
+   wire n_25640;
+   wire n_25641;
+   wire n_25642;
+   wire n_25643;
+   wire n_25644;
+   wire n_25645;
+   wire n_25646;
+   wire n_25647;
+   wire n_25648;
+   wire n_25649;
+   wire n_25650;
+   wire n_25651;
+   wire n_25652;
+   wire n_25653;
+   wire n_25654;
+   wire n_25655;
+   wire n_25656;
+   wire n_25657;
+   wire n_25658;
+   wire n_25659;
+   wire n_25660;
+   wire n_25661;
+   wire n_25662;
+   wire n_25663;
+   wire n_25664;
+   wire n_25665;
+   wire n_25666;
+   wire n_25667;
+   wire n_25668;
+   wire n_25669;
+   wire n_25670;
+   wire n_25671;
+   wire n_25672;
+   wire n_25673;
+   wire n_25674;
+   wire n_25675;
+   wire n_25676;
+   wire n_25677;
+   wire n_25678;
+   wire n_25679;
+   wire n_25680;
+   wire n_25681;
+   wire n_25682;
+   wire n_25683;
+   wire n_25684;
+   wire n_25685;
+   wire n_25686;
+   wire n_25687;
+   wire n_25688;
+   wire n_25689;
+   wire n_25692;
+   wire n_25693;
+   wire n_25694;
+   wire n_25695;
+   wire n_25696;
+   wire n_25697;
+   wire n_25698;
+   wire n_25699;
+   wire n_25700;
+   wire n_25701;
+   wire n_25702;
+   wire n_25703;
+   wire n_25704;
+   wire n_25705;
+   wire n_25706;
+   wire n_25707;
+   wire n_25708;
+   wire n_25709;
+   wire n_25710;
+   wire n_25711;
+   wire n_25712;
+   wire n_25713;
+   wire n_25714;
+   wire n_25715;
+   wire n_25716;
+   wire n_25717;
+   wire n_25718;
+   wire n_25721;
+   wire n_25722;
+   wire n_25723;
+   wire n_25724;
+   wire n_25725;
+   wire n_25726;
+   wire n_25727;
+   wire n_25728;
+   wire n_25730;
+   wire n_25731;
+   wire n_25733;
+   wire n_25734;
+   wire n_25735;
+   wire n_25736;
+   wire n_25737;
+   wire n_25738;
+   wire n_25740;
+   wire n_25742;
+   wire n_25743;
+   wire n_25744;
+   wire n_25745;
+   wire n_25746;
+   wire n_25747;
+   wire n_25748;
+   wire n_25749;
+   wire n_25750;
+   wire n_25752;
+   wire n_25753;
+   wire n_25754;
+   wire n_25755;
+   wire n_25756;
+   wire n_25757;
+   wire n_25758;
+   wire n_25759;
+   wire n_25760;
+   wire n_25761;
+   wire n_25762;
+   wire n_25763;
+   wire n_25764;
+   wire n_25765;
+   wire n_25766;
+   wire n_25767;
+   wire n_25768;
+   wire n_25769;
+   wire n_25770;
+   wire n_25771;
+   wire n_25772;
+   wire n_25773;
+   wire n_25774;
+   wire n_25775;
+   wire n_25776;
+   wire n_25777;
+   wire n_25778;
+   wire n_25779;
+   wire n_25780;
+   wire n_25781;
+   wire n_25782;
+   wire n_25783;
+   wire n_25784;
+   wire n_25785;
+   wire n_25786;
+   wire n_25787;
+   wire n_25788;
+   wire n_25789;
+   wire n_25790;
+   wire n_25791;
+   wire n_25792;
+   wire n_25793;
+   wire n_25794;
+   wire n_25795;
+   wire n_25796;
+   wire n_25797;
+   wire n_25798;
+   wire n_25799;
+   wire n_25800;
+   wire n_25801;
+   wire n_25802;
+   wire n_25803;
+   wire n_25804;
+   wire n_25805;
+   wire n_25806;
+   wire n_25807;
+   wire n_25808;
+   wire n_25809;
+   wire n_25810;
+   wire n_25811;
+   wire n_25812;
+   wire n_25813;
+   wire n_25814;
+   wire n_25815;
+   wire n_25816;
+   wire n_25817;
+   wire n_25818;
+   wire n_25819;
+   wire n_25820;
+   wire n_25821;
+   wire n_25822;
+   wire n_25823;
+   wire n_25824;
+   wire n_25825;
+   wire n_25826;
+   wire n_25827;
+   wire n_25828;
+   wire n_25829;
+   wire n_25830;
+   wire n_25831;
+   wire n_25832;
+   wire n_25833;
+   wire n_25834;
+   wire n_25835;
+   wire n_25836;
+   wire n_25837;
+   wire n_25838;
+   wire n_25839;
+   wire n_25840;
+   wire n_25841;
+   wire n_25842;
+   wire n_25843;
+   wire n_25844;
+   wire n_25845;
+   wire n_25846;
+   wire n_25847;
+   wire n_25848;
+   wire n_25849;
+   wire n_25850;
+   wire n_25851;
+   wire n_25852;
+   wire n_25853;
+   wire n_25854;
+   wire n_25855;
+   wire n_25856;
+   wire n_25857;
+   wire n_25858;
+   wire n_25859;
+   wire n_25860;
+   wire n_25861;
+   wire n_25862;
+   wire n_25863;
+   wire n_25864;
+   wire n_25865;
+   wire n_25866;
+   wire n_25867;
+   wire n_25868;
+   wire n_25869;
+   wire n_25870;
+   wire n_25871;
+   wire n_25872;
+   wire n_25873;
+   wire n_25874;
+   wire n_25875;
+   wire n_25876;
+   wire n_25877;
+   wire n_25878;
+   wire n_25879;
+   wire n_25880;
+   wire n_25881;
+   wire n_25882;
+   wire n_25883;
+   wire n_25884;
+   wire n_25885;
+   wire n_25886;
+   wire n_25887;
+   wire n_25888;
+   wire n_25889;
+   wire n_25890;
+   wire n_25891;
+   wire n_25892;
+   wire n_25893;
+   wire n_25894;
+   wire n_25895;
+   wire n_25896;
+   wire n_25897;
+   wire n_25898;
+   wire n_25899;
+   wire n_25900;
+   wire n_25901;
+   wire n_25902;
+   wire n_25903;
+   wire n_25904;
+   wire n_25905;
+   wire n_25906;
+   wire n_25907;
+   wire n_25908;
+   wire n_25909;
+   wire n_25910;
+   wire n_25911;
+   wire n_25912;
+   wire n_25913;
+   wire n_25914;
+   wire n_25915;
+   wire n_25916;
+   wire n_25917;
+   wire n_25918;
+   wire n_25919;
+   wire n_25920;
+   wire n_25921;
+   wire n_25922;
+   wire n_25923;
+   wire n_25924;
+   wire n_25925;
+   wire n_25926;
+   wire n_25927;
+   wire n_25928;
+   wire n_25929;
+   wire n_25930;
+   wire n_25931;
+   wire n_25932;
+   wire n_25933;
+   wire n_25934;
+   wire n_25935;
+   wire n_25936;
+   wire n_25937;
+   wire n_25938;
+   wire n_25939;
+   wire n_25940;
+   wire n_25941;
+   wire n_25942;
+   wire n_25943;
+   wire n_25944;
+   wire n_25945;
+   wire n_25946;
+   wire n_25947;
+   wire n_25948;
+   wire n_25949;
+   wire n_25950;
+   wire n_25951;
+   wire n_25952;
+   wire n_25953;
+   wire n_25954;
+   wire n_25955;
+   wire n_25956;
+   wire n_25957;
+   wire n_25958;
+   wire n_25959;
+   wire n_25960;
+   wire n_25961;
+   wire n_25962;
+   wire n_25963;
+   wire n_25964;
+   wire n_25965;
+   wire n_25966;
+   wire n_25967;
+   wire n_25968;
+   wire n_25969;
+   wire n_25970;
+   wire n_25971;
+   wire n_25972;
+   wire n_25973;
+   wire n_25974;
+   wire n_25975;
+   wire n_25976;
+   wire n_25977;
+   wire n_25978;
+   wire n_25979;
+   wire n_25980;
+   wire n_25981;
+   wire n_25982;
+   wire n_25983;
+   wire n_25984;
+   wire n_25985;
+   wire n_25986;
+   wire n_25987;
+   wire n_25988;
+   wire n_25989;
+   wire n_25990;
+   wire n_25991;
+   wire n_25992;
+   wire n_25993;
+   wire n_25994;
+   wire n_25995;
+   wire n_25996;
+   wire n_25997;
+   wire n_25998;
+   wire n_25999;
+   wire n_26000;
+   wire n_26001;
+   wire n_26002;
+   wire n_26003;
+   wire n_26004;
+   wire n_26005;
+   wire n_26006;
+   wire n_26007;
+   wire n_26008;
+   wire n_26009;
+   wire n_26010;
+   wire n_26011;
+   wire n_26012;
+   wire n_26013;
+   wire n_26014;
+   wire n_26015;
+   wire n_26016;
+   wire n_26017;
+   wire n_26018;
+   wire n_26019;
+   wire n_26020;
+   wire n_26021;
+   wire n_26022;
+   wire n_26023;
+   wire n_26024;
+   wire n_26025;
+   wire n_26026;
+   wire n_26027;
+   wire n_26028;
+   wire n_26029;
+   wire n_26030;
+   wire n_26031;
+   wire n_26032;
+   wire n_26033;
+   wire n_26034;
+   wire n_26035;
+   wire n_26036;
+   wire n_26037;
+   wire n_26038;
+   wire n_26039;
+   wire n_26040;
+   wire n_26041;
+   wire n_26042;
+   wire n_26043;
+   wire n_26044;
+   wire n_26045;
+   wire n_26046;
+   wire n_26047;
+   wire n_26048;
+   wire n_26049;
+   wire n_26050;
+   wire n_26051;
+   wire n_26052;
+   wire n_26053;
+   wire n_26054;
+   wire n_26055;
+   wire n_26056;
+   wire n_26057;
+   wire n_26058;
+   wire n_26059;
+   wire n_26060;
+   wire n_26061;
+   wire n_26062;
+   wire n_26063;
+   wire n_26064;
+   wire n_26065;
+   wire n_26066;
+   wire n_26067;
+   wire n_26068;
+   wire n_26069;
+   wire n_26070;
+   wire n_26071;
+   wire n_26072;
+   wire n_26073;
+   wire n_26074;
+   wire n_26075;
+   wire n_26076;
+   wire n_26077;
+   wire n_26078;
+   wire n_26079;
+   wire n_26080;
+   wire n_26081;
+   wire n_26082;
+   wire n_26083;
+   wire n_26084;
+   wire n_26085;
+   wire n_26086;
+   wire n_26087;
+   wire n_26088;
+   wire n_26089;
+   wire n_26090;
+   wire n_26091;
+   wire n_26092;
+   wire n_26093;
+   wire n_26094;
+   wire n_26095;
+   wire n_26096;
+   wire n_26097;
+   wire n_26098;
+   wire n_26099;
+   wire n_26100;
+   wire n_26102;
+   wire n_26103;
+   wire n_26104;
+   wire n_26105;
+   wire n_26106;
+   wire n_26107;
+   wire n_26108;
+   wire n_26109;
+   wire n_26110;
+   wire n_26111;
+   wire n_26112;
+   wire n_26113;
+   wire n_26114;
+   wire n_26115;
+   wire n_26116;
+   wire n_26117;
+   wire n_26118;
+   wire n_26119;
+   wire n_26120;
+   wire n_26121;
+   wire n_26122;
+   wire n_26123;
+   wire n_26124;
+   wire n_26125;
+   wire n_26126;
+   wire n_26127;
+   wire n_26128;
+   wire n_26129;
+   wire n_26130;
+   wire n_26131;
+   wire n_26132;
+   wire n_26133;
+   wire n_26134;
+   wire n_26135;
+   wire n_26136;
+   wire n_26137;
+   wire n_26138;
+   wire n_26139;
+   wire n_26140;
+   wire n_26141;
+   wire n_26142;
+   wire n_26143;
+   wire n_26144;
+   wire n_26145;
+   wire n_26146;
+   wire n_26147;
+   wire n_26148;
+   wire n_26149;
+   wire n_26150;
+   wire n_26151;
+   wire n_26152;
+   wire n_26153;
+   wire n_26154;
+   wire n_26155;
+   wire n_26156;
+   wire n_26157;
+   wire n_26158;
+   wire n_26159;
+   wire n_26160;
+   wire n_26161;
+   wire n_26162;
+   wire n_26163;
+   wire n_26164;
+   wire n_26165;
+   wire n_26166;
+   wire n_26167;
+   wire n_26168;
+   wire n_26169;
+   wire n_26170;
+   wire n_26171;
+   wire n_26172;
+   wire n_26173;
+   wire n_26174;
+   wire n_26175;
+   wire n_26176;
+   wire n_26177;
+   wire n_26178;
+   wire n_26179;
+   wire n_26180;
+   wire n_26181;
+   wire n_26182;
+   wire n_26183;
+   wire n_26184;
+   wire n_26185;
+   wire n_26186;
+   wire n_26187;
+   wire n_26188;
+   wire n_26189;
+   wire n_26190;
+   wire n_26191;
+   wire n_26192;
+   wire n_26193;
+   wire n_26194;
+   wire n_26195;
+   wire n_26196;
+   wire n_26197;
+   wire n_26198;
+   wire n_26199;
+   wire n_26200;
+   wire n_26201;
+   wire n_26202;
+   wire n_26203;
+   wire n_26204;
+   wire n_26205;
+   wire n_26206;
+   wire n_26207;
+   wire n_26208;
+   wire n_26209;
+   wire n_26210;
+   wire n_26211;
+   wire n_26212;
+   wire n_26213;
+   wire n_26214;
+   wire n_26215;
+   wire n_26216;
+   wire n_26217;
+   wire n_26218;
+   wire n_26219;
+   wire n_26220;
+   wire n_26221;
+   wire n_26222;
+   wire n_26223;
+   wire n_26224;
+   wire n_26225;
+   wire n_26226;
+   wire n_26227;
+   wire n_26228;
+   wire n_26229;
+   wire n_26230;
+   wire n_26231;
+   wire n_26232;
+   wire n_26233;
+   wire n_26234;
+   wire n_26235;
+   wire n_26236;
+   wire n_26237;
+   wire n_26238;
+   wire n_26239;
+   wire n_26240;
+   wire n_26241;
+   wire n_26242;
+   wire n_26243;
+   wire n_26244;
+   wire n_26245;
+   wire n_26246;
+   wire n_26247;
+   wire n_26248;
+   wire n_26249;
+   wire n_26250;
+   wire n_26251;
+   wire n_26252;
+   wire n_26253;
+   wire n_26254;
+   wire n_26255;
+   wire n_26256;
+   wire n_26257;
+   wire n_26258;
+   wire n_26259;
+   wire n_26260;
+   wire n_26261;
+   wire n_26262;
+   wire n_26263;
+   wire n_26264;
+   wire n_26265;
+   wire n_26266;
+   wire n_26267;
+   wire n_26268;
+   wire n_26269;
+   wire n_26270;
+   wire n_26271;
+   wire n_26272;
+   wire n_26273;
+   wire n_26274;
+   wire n_26275;
+   wire n_26276;
+   wire n_26277;
+   wire n_26278;
+   wire n_26279;
+   wire n_26280;
+   wire n_26281;
+   wire n_26282;
+   wire n_26283;
+   wire n_26284;
+   wire n_26285;
+   wire n_26286;
+   wire n_26287;
+   wire n_26288;
+   wire n_26289;
+   wire n_26290;
+   wire n_26291;
+   wire n_26292;
+   wire n_26293;
+   wire n_26294;
+   wire n_26295;
+   wire n_26296;
+   wire n_26297;
+   wire n_26298;
+   wire n_26299;
+   wire n_26300;
+   wire n_26301;
+   wire n_26302;
+   wire n_26303;
+   wire n_26304;
+   wire n_26305;
+   wire n_26306;
+   wire n_26307;
+   wire n_26308;
+   wire n_26309;
+   wire n_26310;
+   wire n_26311;
+   wire n_26312;
+   wire n_26313;
+   wire n_26314;
+   wire n_26315;
+   wire n_26316;
+   wire n_26317;
+   wire n_26318;
+   wire n_26319;
+   wire n_26320;
+   wire n_26321;
+   wire n_26322;
+   wire n_26323;
+   wire n_26324;
+   wire n_26325;
+   wire n_26326;
+   wire n_26327;
+   wire n_26328;
+   wire n_26329;
+   wire n_26330;
+   wire n_26331;
+   wire n_26332;
+   wire n_26333;
+   wire n_26334;
+   wire n_26335;
+   wire n_26336;
+   wire n_26338;
+   wire n_26339;
+   wire n_26340;
+   wire n_26341;
+   wire n_26342;
+   wire n_26343;
+   wire n_26344;
+   wire n_26345;
+   wire n_26346;
+   wire n_26347;
+   wire n_26348;
+   wire n_26349;
+   wire n_26350;
+   wire n_26351;
+   wire n_26352;
+   wire n_26353;
+   wire n_26354;
+   wire n_26355;
+   wire n_26356;
+   wire n_26357;
+   wire n_26358;
+   wire n_26359;
+   wire n_26360;
+   wire n_26361;
+   wire n_26362;
+   wire n_26363;
+   wire n_26364;
+   wire n_26365;
+   wire n_26366;
+   wire n_26367;
+   wire n_26368;
+   wire n_26369;
+   wire n_26370;
+   wire n_26371;
+   wire n_26372;
+   wire n_26373;
+   wire n_26374;
+   wire n_26375;
+   wire n_26376;
+   wire n_26377;
+   wire n_26378;
+   wire n_26379;
+   wire n_26380;
+   wire n_26381;
+   wire n_26382;
+   wire n_26383;
+   wire n_26384;
+   wire n_26385;
+   wire n_26386;
+   wire n_26387;
+   wire n_26388;
+   wire n_26389;
+   wire n_26390;
+   wire n_26391;
+   wire n_26392;
+   wire n_26393;
+   wire n_26394;
+   wire n_26395;
+   wire n_26396;
+   wire n_26397;
+   wire n_26398;
+   wire n_26399;
+   wire n_26400;
+   wire n_26401;
+   wire n_26402;
+   wire n_26403;
+   wire n_26404;
+   wire n_26405;
+   wire n_26406;
+   wire n_26407;
+   wire n_26408;
+   wire n_26409;
+   wire n_26410;
+   wire n_26411;
+   wire n_26412;
+   wire n_26413;
+   wire n_26414;
+   wire n_26415;
+   wire n_26416;
+   wire n_26417;
+   wire n_26418;
+   wire n_26419;
+   wire n_26420;
+   wire n_26421;
+   wire n_26422;
+   wire n_26423;
+   wire n_26424;
+   wire n_26425;
+   wire n_26426;
+   wire n_26427;
+   wire n_26428;
+   wire n_26429;
+   wire n_26430;
+   wire n_26431;
+   wire n_26432;
+   wire n_26433;
+   wire n_26434;
+   wire n_26435;
+   wire n_26436;
+   wire n_26437;
+   wire n_26438;
+   wire n_26439;
+   wire n_26440;
+   wire n_26441;
+   wire n_26442;
+   wire n_26443;
+   wire n_26444;
+   wire n_26445;
+   wire n_26446;
+   wire n_26447;
+   wire n_26448;
+   wire n_26449;
+   wire n_26450;
+   wire n_26451;
+   wire n_26452;
+   wire n_26453;
+   wire n_26454;
+   wire n_26455;
+   wire n_26456;
+   wire n_26457;
+   wire n_26458;
+   wire n_26459;
+   wire n_26460;
+   wire n_26461;
+   wire n_26462;
+   wire n_26463;
+   wire n_26464;
+   wire n_26465;
+   wire n_26466;
+   wire n_26467;
+   wire n_26468;
+   wire n_26469;
+   wire n_26470;
+   wire n_26471;
+   wire n_26472;
+   wire n_26473;
+   wire n_26474;
+   wire n_26475;
+   wire n_26476;
+   wire n_26477;
+   wire n_26478;
+   wire n_26479;
+   wire n_26480;
+   wire n_26481;
+   wire n_26482;
+   wire n_26483;
+   wire n_26484;
+   wire n_26485;
+   wire n_26486;
+   wire n_26487;
+   wire n_26488;
+   wire n_26489;
+   wire n_26490;
+   wire n_26491;
+   wire n_26492;
+   wire n_26493;
+   wire n_26494;
+   wire n_26495;
+   wire n_26496;
+   wire n_26497;
+   wire n_26498;
+   wire n_26499;
+   wire n_26500;
+   wire n_26501;
+   wire n_26502;
+   wire n_26503;
+   wire n_26504;
+   wire n_26505;
+   wire n_26506;
+   wire n_26507;
+   wire n_26508;
+   wire n_26509;
+   wire n_26510;
+   wire n_26511;
+   wire n_26512;
+   wire n_26513;
+   wire n_26514;
+   wire n_26515;
+   wire n_26516;
+   wire n_26517;
+   wire n_26518;
+   wire n_26519;
+   wire n_26520;
+   wire n_26521;
+   wire n_26522;
+   wire n_26523;
+   wire n_26524;
+   wire n_26525;
+   wire n_26526;
+   wire n_26527;
+   wire n_26528;
+   wire n_26529;
+   wire n_26530;
+   wire n_26531;
+   wire n_26532;
+   wire n_26533;
+   wire n_26534;
+   wire n_26535;
+   wire n_26536;
+   wire n_26537;
+   wire n_26538;
+   wire n_26539;
+   wire n_26540;
+   wire n_26541;
+   wire n_26542;
+   wire n_26543;
+   wire n_26544;
+   wire n_26545;
+   wire n_26546;
+   wire n_26547;
+   wire n_26548;
+   wire n_26549;
+   wire n_26550;
+   wire n_26551;
+   wire n_26552;
+   wire n_26553;
+   wire n_26554;
+   wire n_26555;
+   wire n_26556;
+   wire n_26557;
+   wire n_26558;
+   wire n_26559;
+   wire n_26560;
+   wire n_26561;
+   wire n_26562;
+   wire n_26563;
+   wire n_26564;
+   wire n_26565;
+   wire n_26566;
+   wire n_26567;
+   wire n_26568;
+   wire n_26569;
+   wire n_26570;
+   wire n_26571;
+   wire n_26572;
+   wire n_26573;
+   wire n_26574;
+   wire n_26575;
+   wire n_26576;
+   wire n_26577;
+   wire n_26578;
+   wire n_26579;
+   wire n_26580;
+   wire n_26581;
+   wire n_26582;
+   wire n_26583;
+   wire n_26584;
+   wire n_26585;
+   wire n_26586;
+   wire n_26587;
+   wire n_26588;
+   wire n_26589;
+   wire n_26590;
+   wire n_26591;
+   wire n_26592;
+   wire n_26593;
+   wire n_26594;
+   wire n_26595;
+   wire n_26596;
+   wire n_26597;
+   wire n_26598;
+   wire n_26599;
+   wire n_26600;
+   wire n_26601;
+   wire n_26602;
+   wire n_26603;
+   wire n_26604;
+   wire n_26605;
+   wire n_26606;
+   wire n_26607;
+   wire n_26608;
+   wire n_26609;
+   wire n_26610;
+   wire n_26611;
+   wire n_26612;
+   wire n_26613;
+   wire n_26614;
+   wire n_26615;
+   wire n_26616;
+   wire n_26617;
+   wire n_26618;
+   wire n_26619;
+   wire n_26620;
+   wire n_26621;
+   wire n_26622;
+   wire n_26623;
+   wire n_26624;
+   wire n_26625;
+   wire n_26626;
+   wire n_26627;
+   wire n_26628;
+   wire n_26629;
+   wire n_26630;
+   wire n_26631;
+   wire n_26632;
+   wire n_26633;
+   wire n_26634;
+   wire n_26635;
+   wire n_26636;
+   wire n_26637;
+   wire n_26638;
+   wire n_26639;
+   wire n_26640;
+   wire n_26641;
+   wire n_26642;
+   wire n_26643;
+   wire n_26644;
+   wire n_26645;
+   wire n_26646;
+   wire n_26647;
+   wire n_26648;
+   wire n_26649;
+   wire n_26650;
+   wire n_26651;
+   wire n_26652;
+   wire n_26653;
+   wire n_26654;
+   wire n_26655;
+   wire n_26656;
+   wire n_26657;
+   wire n_26658;
+   wire n_26659;
+   wire n_26660;
+   wire n_26661;
+   wire n_26662;
+   wire n_26663;
+   wire n_26664;
+   wire n_26665;
+   wire n_26666;
+   wire n_26667;
+   wire n_26668;
+   wire n_26669;
+   wire n_26670;
+   wire n_26671;
+   wire n_26672;
+   wire n_26673;
+   wire n_26674;
+   wire n_26675;
+   wire n_26676;
+   wire n_26677;
+   wire n_26678;
+   wire n_26679;
+   wire n_26680;
+   wire n_26681;
+   wire n_26682;
+   wire n_26683;
+   wire n_26684;
+   wire n_26685;
+   wire n_26686;
+   wire n_26687;
+   wire n_26688;
+   wire n_26689;
+   wire n_26690;
+   wire n_26691;
+   wire n_26692;
+   wire n_26693;
+   wire n_26694;
+   wire n_26695;
+   wire n_26696;
+   wire n_26697;
+   wire n_26698;
+   wire n_26699;
+   wire n_26700;
+   wire n_26701;
+   wire n_26702;
+   wire n_26703;
+   wire n_26704;
+   wire n_26705;
+   wire n_26706;
+   wire n_26707;
+   wire n_26708;
+   wire n_26709;
+   wire n_26710;
+   wire n_26711;
+   wire n_26712;
+   wire n_26713;
+   wire n_26714;
+   wire n_26715;
+   wire n_26716;
+   wire n_26717;
+   wire n_26718;
+   wire n_26719;
+   wire n_26720;
+   wire n_26721;
+   wire n_26722;
+   wire n_26723;
+   wire n_26724;
+   wire n_26725;
+   wire n_26726;
+   wire n_26727;
+   wire n_26728;
+   wire n_26729;
+   wire n_26730;
+   wire n_26731;
+   wire n_26732;
+   wire n_26733;
+   wire n_26734;
+   wire n_26735;
+   wire n_26736;
+   wire n_26737;
+   wire n_26738;
+   wire n_26739;
+   wire n_26740;
+   wire n_26741;
+   wire n_26742;
+   wire n_26743;
+   wire n_26744;
+   wire n_26745;
+   wire n_26746;
+   wire n_26747;
+   wire n_26748;
+   wire n_26749;
+   wire n_26750;
+   wire n_26751;
+   wire n_26752;
+   wire n_26753;
+   wire n_26754;
+   wire n_26755;
+   wire n_26756;
+   wire n_26757;
+   wire n_26758;
+   wire n_26759;
+   wire n_26760;
+   wire n_26761;
+   wire n_26762;
+   wire n_26763;
+   wire n_26764;
+   wire n_26765;
+   wire n_26766;
+   wire n_26767;
+   wire n_26768;
+   wire n_26769;
+   wire n_26770;
+   wire n_26771;
+   wire n_26772;
+   wire n_26773;
+   wire n_26774;
+   wire n_26775;
+   wire n_26776;
+   wire n_26777;
+   wire n_26778;
+   wire n_26779;
+   wire n_26780;
+   wire n_26781;
+   wire n_26782;
+   wire n_26783;
+   wire n_26784;
+   wire n_26785;
+   wire n_26786;
+   wire n_26787;
+   wire n_26788;
+   wire n_26789;
+   wire n_26790;
+   wire n_26791;
+   wire n_26792;
+   wire n_26793;
+   wire n_26794;
+   wire n_26795;
+   wire n_26796;
+   wire n_26797;
+   wire n_26798;
+   wire n_26799;
+   wire n_26800;
+   wire n_26801;
+   wire n_26802;
+   wire n_26803;
+   wire n_26804;
+   wire n_26805;
+   wire n_26806;
+   wire n_26807;
+   wire n_26808;
+   wire n_26809;
+   wire n_26810;
+   wire n_26811;
+   wire n_26812;
+   wire n_26813;
+   wire n_26814;
+   wire n_26815;
+   wire n_26816;
+   wire n_26817;
+   wire n_26818;
+   wire n_26819;
+   wire n_26820;
+   wire n_26821;
+   wire n_26822;
+   wire n_26823;
+   wire n_26824;
+   wire n_26825;
+   wire n_26826;
+   wire n_26827;
+   wire n_26828;
+   wire n_26829;
+   wire n_26830;
+   wire n_26831;
+   wire n_26832;
+   wire n_26833;
+   wire n_26834;
+   wire n_26835;
+   wire n_26836;
+   wire n_26837;
+   wire n_26838;
+   wire n_26839;
+   wire n_26840;
+   wire n_26841;
+   wire n_26842;
+   wire n_26843;
+   wire n_26844;
+   wire n_26845;
+   wire n_26846;
+   wire n_26847;
+   wire n_26848;
+   wire n_26849;
+   wire n_26850;
+   wire n_26851;
+   wire n_26852;
+   wire n_26853;
+   wire n_26854;
+   wire n_26855;
+   wire n_26856;
+   wire n_26857;
+   wire n_26858;
+   wire n_26859;
+   wire n_26860;
+   wire n_26861;
+   wire n_26862;
+   wire n_26863;
+   wire n_26864;
+   wire n_26865;
+   wire n_26866;
+   wire n_26867;
+   wire n_26868;
+   wire n_26869;
+   wire n_26870;
+   wire n_26871;
+   wire n_26872;
+   wire n_26873;
+   wire n_26874;
+   wire n_26875;
+   wire n_26876;
+   wire n_26877;
+   wire n_26878;
+   wire n_26879;
+   wire n_26880;
+   wire n_26881;
+   wire n_26882;
+   wire n_26883;
+   wire n_26884;
+   wire n_26885;
+   wire n_26886;
+   wire n_26887;
+   wire n_26888;
+   wire n_26889;
+   wire n_26890;
+   wire n_26891;
+   wire n_26892;
+   wire n_26893;
+   wire n_26894;
+   wire n_26895;
+   wire n_26896;
+   wire n_26897;
+   wire n_26898;
+   wire n_26899;
+   wire n_26900;
+   wire n_26901;
+   wire n_26902;
+   wire n_26903;
+   wire n_26904;
+   wire n_26905;
+   wire n_26906;
+   wire n_26907;
+   wire n_26908;
+   wire n_26909;
+   wire n_26910;
+   wire n_26911;
+   wire n_26912;
+   wire n_26913;
+   wire n_26914;
+   wire n_26915;
+   wire n_26916;
+   wire n_26917;
+   wire n_26918;
+   wire n_26919;
+   wire n_26920;
+   wire n_26921;
+   wire n_26922;
+   wire n_26923;
+   wire n_26924;
+   wire n_26925;
+   wire n_26926;
+   wire n_26927;
+   wire n_26928;
+   wire n_26929;
+   wire n_26930;
+   wire n_26931;
+   wire n_26932;
+   wire n_26933;
+   wire n_26934;
+   wire n_26935;
+   wire n_26936;
+   wire n_26937;
+   wire n_26938;
+   wire n_26939;
+   wire n_26940;
+   wire n_26941;
+   wire n_26942;
+   wire n_26943;
+   wire n_26944;
+   wire n_26945;
+   wire n_26946;
+   wire n_26947;
+   wire n_26948;
+   wire n_26949;
+   wire n_26950;
+   wire n_26951;
+   wire n_26952;
+   wire n_26953;
+   wire n_26954;
+   wire n_26955;
+   wire n_26956;
+   wire n_26957;
+   wire n_26958;
+   wire n_26959;
+   wire n_26960;
+   wire n_26961;
+   wire n_26962;
+   wire n_26963;
+   wire n_26964;
+   wire n_26965;
+   wire n_26966;
+   wire n_26967;
+   wire n_26968;
+   wire n_26969;
+   wire n_26970;
+   wire n_26971;
+   wire n_26972;
+   wire n_26973;
+   wire n_26974;
+   wire n_26975;
+   wire n_26976;
+   wire n_26977;
+   wire n_26978;
+   wire n_26979;
+   wire n_26980;
+   wire n_26981;
+   wire n_26982;
+   wire n_26983;
+   wire n_26984;
+   wire n_26985;
+   wire n_26986;
+   wire n_26987;
+   wire n_26988;
+   wire n_26989;
+   wire n_26990;
+   wire n_26991;
+   wire n_26992;
+   wire n_26993;
+   wire n_26994;
+   wire n_26995;
+   wire n_26996;
+   wire n_26997;
+   wire n_26998;
+   wire n_26999;
+   wire n_27000;
+   wire n_27001;
+   wire n_27002;
+   wire n_27003;
+   wire n_27004;
+   wire n_27005;
+   wire n_27006;
+   wire n_27007;
+   wire n_27008;
+   wire n_27009;
+   wire n_27010;
+   wire n_27011;
+   wire n_27012;
+   wire n_27013;
+   wire n_27014;
+   wire n_27015;
+   wire n_27016;
+   wire n_27017;
+   wire n_27018;
+   wire n_27019;
+   wire n_27020;
+   wire n_27021;
+   wire n_27022;
+   wire n_27023;
+   wire n_27024;
+   wire n_27025;
+   wire n_27026;
+   wire n_27027;
+   wire n_27028;
+   wire n_27029;
+   wire n_27030;
+   wire n_27031;
+   wire n_27032;
+   wire n_27033;
+   wire n_27034;
+   wire n_27035;
+   wire n_27036;
+   wire n_27037;
+   wire n_27038;
+   wire n_27039;
+   wire n_27040;
+   wire n_27041;
+   wire n_27042;
+   wire n_27043;
+   wire n_27044;
+   wire n_27045;
+   wire n_27046;
+   wire n_27047;
+   wire n_27048;
+   wire n_27049;
+   wire n_27050;
+   wire n_27051;
+   wire n_27052;
+   wire n_27053;
+   wire n_27054;
+   wire n_27055;
+   wire n_27056;
+   wire n_27057;
+   wire n_27058;
+   wire n_27059;
+   wire n_27060;
+   wire n_27061;
+   wire n_27062;
+   wire n_27063;
+   wire n_27064;
+   wire n_27065;
+   wire n_27066;
+   wire n_27067;
+   wire n_27068;
+   wire n_27069;
+   wire n_27070;
+   wire n_27071;
+   wire n_27072;
+   wire n_27073;
+   wire n_27074;
+   wire n_27075;
+   wire n_27076;
+   wire n_27077;
+   wire n_27078;
+   wire n_27079;
+   wire n_27080;
+   wire n_27081;
+   wire n_27082;
+   wire n_27083;
+   wire n_27084;
+   wire n_27085;
+   wire n_27086;
+   wire n_27087;
+   wire n_27088;
+   wire n_27089;
+   wire n_27090;
+   wire n_27091;
+   wire n_27092;
+   wire n_27093;
+   wire n_27094;
+   wire n_27095;
+   wire n_27096;
+   wire n_27097;
+   wire n_27098;
+   wire n_27099;
+   wire n_27100;
+   wire n_27101;
+   wire n_27102;
+   wire n_27103;
+   wire n_27104;
+   wire n_27105;
+   wire n_27106;
+   wire n_27107;
+   wire n_27108;
+   wire n_27109;
+   wire n_27110;
+   wire n_27111;
+   wire n_27112;
+   wire n_27113;
+   wire n_27114;
+   wire n_27115;
+   wire n_27116;
+   wire n_27117;
+   wire n_27118;
+   wire n_27119;
+   wire n_27120;
+   wire n_27121;
+   wire n_27122;
+   wire n_27123;
+   wire n_27124;
+   wire n_27125;
+   wire n_27126;
+   wire n_27127;
+   wire n_27128;
+   wire n_27129;
+   wire n_27130;
+   wire n_27131;
+   wire n_27132;
+   wire n_27133;
+   wire n_27134;
+   wire n_27135;
+   wire n_27136;
+   wire n_27137;
+   wire n_27138;
+   wire n_27139;
+   wire n_27140;
+   wire n_27141;
+   wire n_27142;
+   wire n_27143;
+   wire n_27144;
+   wire n_27145;
+   wire n_27146;
+   wire n_27147;
+   wire n_27148;
+   wire n_27149;
+   wire n_27150;
+   wire n_27151;
+   wire n_27152;
+   wire n_27153;
+   wire n_27154;
+   wire n_27155;
+   wire n_27156;
+   wire n_27157;
+   wire n_27158;
+   wire n_27159;
+   wire n_27160;
+   wire n_27161;
+   wire n_27162;
+   wire n_27163;
+   wire n_27164;
+   wire n_27165;
+   wire n_27166;
+   wire n_27167;
+   wire n_27168;
+   wire n_27169;
+   wire n_27170;
+   wire n_27171;
+   wire n_27172;
+   wire n_27173;
+   wire n_27174;
+   wire n_27175;
+   wire n_27176;
+   wire n_27177;
+   wire n_27178;
+   wire n_27179;
+   wire n_27180;
+   wire n_27181;
+   wire n_27182;
+   wire n_27183;
+   wire n_27184;
+   wire n_27185;
+   wire n_27186;
+   wire n_27187;
+   wire n_27188;
+   wire n_27189;
+   wire n_27190;
+   wire n_27191;
+   wire n_27192;
+   wire n_27193;
+   wire n_27194;
+   wire n_27195;
+   wire n_27196;
+   wire n_27197;
+   wire n_27198;
+   wire n_27199;
+   wire n_27200;
+   wire n_27201;
+   wire n_27202;
+   wire n_27203;
+   wire n_27204;
+   wire n_27205;
+   wire n_27206;
+   wire n_27207;
+   wire n_27208;
+   wire n_27209;
+   wire n_27210;
+   wire n_27211;
+   wire n_27212;
+   wire n_27213;
+   wire n_27214;
+   wire n_27215;
+   wire n_27216;
+   wire n_27217;
+   wire n_27218;
+   wire n_27219;
+   wire n_27220;
+   wire n_27221;
+   wire n_27222;
+   wire n_27223;
+   wire n_27224;
+   wire n_27225;
+   wire n_27226;
+   wire n_27227;
+   wire n_27228;
+   wire n_27229;
+   wire n_27230;
+   wire n_27231;
+   wire n_27232;
+   wire n_27233;
+   wire n_27234;
+   wire n_27235;
+   wire n_27236;
+   wire n_27237;
+   wire n_27238;
+   wire n_27239;
+   wire n_27240;
+   wire n_27241;
+   wire n_27242;
+   wire n_27243;
+   wire n_27244;
+   wire n_27245;
+   wire n_27246;
+   wire n_27247;
+   wire n_27248;
+   wire n_27249;
+   wire n_27250;
+   wire n_27251;
+   wire n_27252;
+   wire n_27253;
+   wire n_27254;
+   wire n_27255;
+   wire n_27256;
+   wire n_27257;
+   wire n_27258;
+   wire n_27259;
+   wire n_27260;
+   wire n_27261;
+   wire n_27262;
+   wire n_27263;
+   wire n_27264;
+   wire n_27265;
+   wire n_27266;
+   wire n_27267;
+   wire n_27268;
+   wire n_27269;
+   wire n_27270;
+   wire n_27271;
+   wire n_27272;
+   wire n_27273;
+   wire n_27274;
+   wire n_27275;
+   wire n_27276;
+   wire n_27277;
+   wire n_27278;
+   wire n_27279;
+   wire n_27280;
+   wire n_27281;
+   wire n_27282;
+   wire n_27283;
+   wire n_27284;
+   wire n_27285;
+   wire n_27286;
+   wire n_27287;
+   wire n_27288;
+   wire n_27289;
+   wire n_27290;
+   wire n_27291;
+   wire n_27292;
+   wire n_27293;
+   wire n_27294;
+   wire n_27295;
+   wire n_27296;
+   wire n_27297;
+   wire n_27298;
+   wire n_27299;
+   wire n_27300;
+   wire n_27301;
+   wire n_27302;
+   wire n_27303;
+   wire n_27304;
+   wire n_27305;
+   wire n_27306;
+   wire n_27307;
+   wire n_27308;
+   wire n_27309;
+   wire n_27310;
+   wire n_27311;
+   wire n_27312;
+   wire n_27313;
+   wire n_27314;
+   wire n_27315;
+   wire n_27316;
+   wire n_27317;
+   wire n_27318;
+   wire n_27319;
+   wire n_27320;
+   wire n_27321;
+   wire n_27322;
+   wire n_27323;
+   wire n_27324;
+   wire n_27325;
+   wire n_27326;
+   wire n_27327;
+   wire n_27328;
+   wire n_27329;
+   wire n_27330;
+   wire n_27331;
+   wire n_27332;
+   wire n_27333;
+   wire n_27334;
+   wire n_27335;
+   wire n_27336;
+   wire n_27337;
+   wire n_27338;
+   wire n_27339;
+   wire n_27340;
+   wire n_27341;
+   wire n_27342;
+   wire n_27343;
+   wire n_27344;
+   wire n_27345;
+   wire n_27346;
+   wire n_27347;
+   wire n_27348;
+   wire n_27349;
+   wire n_27350;
+   wire n_27351;
+   wire n_27352;
+   wire n_27353;
+   wire n_27354;
+   wire n_27355;
+   wire n_27356;
+   wire n_27357;
+   wire n_27358;
+   wire n_27359;
+   wire n_27360;
+   wire n_27361;
+   wire n_27362;
+   wire n_27363;
+   wire n_27364;
+   wire n_27365;
+   wire n_27366;
+   wire n_27367;
+   wire n_27368;
+   wire n_27369;
+   wire n_27370;
+   wire n_27371;
+   wire n_27372;
+   wire n_27373;
+   wire n_27374;
+   wire n_27375;
+   wire n_27376;
+   wire n_27377;
+   wire n_27378;
+   wire n_27379;
+   wire n_27380;
+   wire n_27381;
+   wire n_27382;
+   wire n_27383;
+   wire n_27384;
+   wire n_27385;
+   wire n_27386;
+   wire n_27387;
+   wire n_27388;
+   wire n_27389;
+   wire n_27390;
+   wire n_27391;
+   wire n_27392;
+   wire n_27393;
+   wire n_27394;
+   wire n_27395;
+   wire n_27396;
+   wire n_27397;
+   wire n_27398;
+   wire n_27399;
+   wire n_27400;
+   wire n_27401;
+   wire n_27402;
+   wire n_27403;
+   wire n_27404;
+   wire n_27405;
+   wire n_27406;
+   wire n_27407;
+   wire n_27408;
+   wire n_27409;
+   wire n_27410;
+   wire n_27411;
+   wire n_27412;
+   wire n_27413;
+   wire n_27414;
+   wire n_27415;
+   wire n_27416;
+   wire n_27417;
+   wire n_27418;
+   wire n_27419;
+   wire n_27420;
+   wire n_27421;
+   wire n_27422;
+   wire n_27423;
+   wire n_27424;
+   wire n_27425;
+   wire n_27426;
+   wire n_27427;
+   wire n_27428;
+   wire n_27429;
+   wire n_27430;
+   wire n_27431;
+   wire n_27432;
+   wire n_27433;
+   wire n_27434;
+   wire n_27435;
+   wire n_27436;
+   wire n_27437;
+   wire n_27438;
+   wire n_27439;
+   wire n_27440;
+   wire n_27441;
+   wire n_27442;
+   wire n_27443;
+   wire n_27444;
+   wire n_27445;
+   wire n_27446;
+   wire n_27447;
+   wire n_27448;
+   wire n_27449;
+   wire n_27450;
+   wire n_27451;
+   wire n_27452;
+   wire n_27453;
+   wire n_27454;
+   wire n_27455;
+   wire n_27456;
+   wire n_27457;
+   wire n_27458;
+   wire n_27459;
+   wire n_27460;
+   wire n_27461;
+   wire n_27462;
+   wire n_27463;
+   wire n_27464;
+   wire n_27465;
+   wire n_27466;
+   wire n_27467;
+   wire n_27468;
+   wire n_27469;
+   wire n_27470;
+   wire n_27471;
+   wire n_27472;
+   wire n_27473;
+   wire n_27474;
+   wire n_27475;
+   wire n_27476;
+   wire n_27477;
+   wire n_27478;
+   wire n_27479;
+   wire n_27480;
+   wire n_27481;
+   wire n_27482;
+   wire n_27483;
+   wire n_27484;
+   wire n_27485;
+   wire n_27486;
+   wire n_27487;
+   wire n_27488;
+   wire n_27489;
+   wire n_27490;
+   wire n_27491;
+   wire n_27492;
+   wire n_27493;
+   wire n_27494;
+   wire n_27495;
+   wire n_27496;
+   wire n_27497;
+   wire n_27498;
+   wire n_27499;
+   wire n_27500;
+   wire n_27501;
+   wire n_27502;
+   wire n_27503;
+   wire n_27504;
+   wire n_27505;
+   wire n_27506;
+   wire n_27507;
+   wire n_27508;
+   wire n_27509;
+   wire n_27510;
+   wire n_27511;
+   wire n_27512;
+   wire n_27513;
+   wire n_27514;
+   wire n_27515;
+   wire n_27516;
+   wire n_27517;
+   wire n_27518;
+   wire n_27519;
+   wire n_27520;
+   wire n_27521;
+   wire n_27522;
+   wire n_27523;
+   wire n_27524;
+   wire n_27525;
+   wire n_27526;
+   wire n_27527;
+   wire n_27528;
+   wire n_27529;
+   wire n_27530;
+   wire n_27531;
+   wire n_27532;
+   wire n_27533;
+   wire n_27534;
+   wire n_27535;
+   wire n_27536;
+   wire n_27537;
+   wire n_27538;
+   wire n_27539;
+   wire n_27540;
+   wire n_27541;
+   wire n_27542;
+   wire n_27543;
+   wire n_27544;
+   wire n_27545;
+   wire n_27546;
+   wire n_27547;
+   wire n_27548;
+   wire n_27549;
+   wire n_27550;
+   wire n_27551;
+   wire n_27552;
+   wire n_27553;
+   wire n_27554;
+   wire n_27555;
+   wire n_27556;
+   wire n_27557;
+   wire n_27558;
+   wire n_27559;
+   wire n_27560;
+   wire n_27561;
+   wire n_27562;
+   wire n_27563;
+   wire n_27564;
+   wire n_27565;
+   wire n_27566;
+   wire n_27567;
+   wire n_27568;
+   wire n_27569;
+   wire n_27570;
+   wire n_27571;
+   wire n_27572;
+   wire n_27573;
+   wire n_27574;
+   wire n_27575;
+   wire n_27576;
+   wire n_27577;
+   wire n_27578;
+   wire n_27579;
+   wire n_27580;
+   wire n_27581;
+   wire n_27582;
+   wire n_27583;
+   wire n_27584;
+   wire n_27585;
+   wire n_27586;
+   wire n_27587;
+   wire n_27588;
+   wire n_27589;
+   wire n_27590;
+   wire n_27591;
+   wire n_27592;
+   wire n_27593;
+   wire n_27594;
+   wire n_27595;
+   wire n_27596;
+   wire n_27597;
+   wire n_27598;
+   wire n_27599;
+   wire n_27600;
+   wire n_27601;
+   wire n_27602;
+   wire n_27603;
+   wire n_27604;
+   wire n_27605;
+   wire n_27606;
+   wire n_27607;
+   wire n_27608;
+   wire n_27609;
+   wire n_27610;
+   wire n_27611;
+   wire n_27612;
+   wire n_27613;
+   wire n_27614;
+   wire n_27615;
+   wire n_27616;
+   wire n_27617;
+   wire n_27618;
+   wire n_27619;
+   wire n_27620;
+   wire n_27621;
+   wire n_27622;
+   wire n_27623;
+   wire n_27624;
+   wire n_27625;
+   wire n_27626;
+   wire n_27627;
+   wire n_27628;
+   wire n_27629;
+   wire n_27630;
+   wire n_27631;
+   wire n_27632;
+   wire n_27633;
+   wire n_27634;
+   wire n_27635;
+   wire n_27636;
+   wire n_27637;
+   wire n_27638;
+   wire n_27639;
+   wire n_27640;
+   wire n_27641;
+   wire n_27642;
+   wire n_27643;
+   wire n_27644;
+   wire n_27645;
+   wire n_27646;
+   wire n_27647;
+   wire n_27648;
+   wire n_27649;
+   wire n_27650;
+   wire n_27651;
+   wire n_27652;
+   wire n_27653;
+   wire n_27654;
+   wire n_27657;
+   wire n_27658;
+   wire n_27659;
+   wire n_27660;
+   wire n_27661;
+   wire n_27662;
+   wire n_27663;
+   wire n_27664;
+   wire n_27665;
+   wire n_27666;
+   wire n_27667;
+   wire n_27668;
+   wire n_27669;
+   wire n_27670;
+   wire n_27671;
+   wire n_27672;
+   wire n_27673;
+   wire n_27674;
+   wire n_27675;
+   wire n_27676;
+   wire n_27677;
+   wire n_27678;
+   wire n_27679;
+   wire n_27680;
+   wire n_27681;
+   wire n_27682;
+   wire n_27683;
+   wire n_27684;
+   wire n_27685;
+   wire n_27686;
+   wire n_27687;
+   wire n_27688;
+   wire n_27689;
+   wire n_27690;
+   wire n_27691;
+   wire n_27692;
+   wire n_27693;
+   wire n_27694;
+   wire n_27695;
+   wire n_27696;
+   wire n_27697;
+   wire n_27698;
+   wire n_27699;
+   wire n_27700;
+   wire n_27701;
+   wire n_27702;
+   wire n_27703;
+   wire n_27704;
+   wire n_27705;
+   wire n_27706;
+   wire n_27707;
+   wire n_27708;
+   wire n_27709;
+   wire n_27710;
+   wire n_27711;
+   wire n_27712;
+   wire n_27713;
+   wire n_27714;
+   wire n_27715;
+   wire n_27716;
+   wire n_27717;
+   wire n_27718;
+   wire n_27719;
+   wire n_27720;
+   wire n_27721;
+   wire n_27722;
+   wire n_27723;
+   wire n_27724;
+   wire n_27725;
+   wire n_27726;
+   wire n_27727;
+   wire n_27728;
+   wire n_27729;
+   wire n_27730;
+   wire n_27731;
+   wire n_27732;
+   wire n_27733;
+   wire n_27734;
+   wire n_27735;
+   wire n_27736;
+   wire n_27737;
+   wire n_27738;
+   wire n_27739;
+   wire n_27740;
+   wire n_27741;
+   wire n_27742;
+   wire n_27743;
+   wire n_27744;
+   wire n_27745;
+   wire n_27746;
+   wire n_27747;
+   wire n_27748;
+   wire n_27749;
+   wire n_27750;
+   wire n_27751;
+   wire n_27752;
+   wire n_27753;
+   wire n_27754;
+   wire n_27755;
+   wire n_27756;
+   wire n_27757;
+   wire n_27758;
+   wire n_27759;
+   wire n_27760;
+   wire n_27761;
+   wire n_27762;
+   wire n_27763;
+   wire n_27764;
+   wire n_27765;
+   wire n_27766;
+   wire n_27767;
+   wire n_27768;
+   wire n_27769;
+   wire n_27770;
+   wire n_27771;
+   wire n_27772;
+   wire n_27773;
+   wire n_27774;
+   wire n_27775;
+   wire n_27776;
+   wire n_27777;
+   wire n_27778;
+   wire n_27779;
+   wire n_27780;
+   wire n_27781;
+   wire n_27782;
+   wire n_27783;
+   wire n_27784;
+   wire n_27785;
+   wire n_27786;
+   wire n_27787;
+   wire n_27788;
+   wire n_27789;
+   wire n_27790;
+   wire n_27791;
+   wire n_27792;
+   wire n_27793;
+   wire n_27794;
+   wire n_27795;
+   wire n_27796;
+   wire n_27797;
+   wire n_27798;
+   wire n_27799;
+   wire n_27800;
+   wire n_27801;
+   wire n_27802;
+   wire n_27803;
+   wire n_27804;
+   wire n_27805;
+   wire n_27806;
+   wire n_27807;
+   wire n_27808;
+   wire n_27809;
+   wire n_27810;
+   wire n_27811;
+   wire n_27812;
+   wire n_27813;
+   wire n_27814;
+   wire n_27815;
+   wire n_27816;
+   wire n_27817;
+   wire n_27818;
+   wire n_27819;
+   wire n_27820;
+   wire n_27821;
+   wire n_27822;
+   wire n_27823;
+   wire n_27824;
+   wire n_27825;
+   wire n_27826;
+   wire n_27827;
+   wire n_27828;
+   wire n_27829;
+   wire n_27830;
+   wire n_27831;
+   wire n_27832;
+   wire n_27833;
+   wire n_27834;
+   wire n_27835;
+   wire n_27836;
+   wire n_27837;
+   wire n_27838;
+   wire n_27839;
+   wire n_27840;
+   wire n_27841;
+   wire n_27842;
+   wire n_27843;
+   wire n_27844;
+   wire n_27845;
+   wire n_27846;
+   wire n_27847;
+   wire n_27848;
+   wire n_27849;
+   wire n_27850;
+   wire n_27851;
+   wire n_27852;
+   wire n_27853;
+   wire n_27854;
+   wire n_27855;
+   wire n_27856;
+   wire n_27857;
+   wire n_27858;
+   wire n_27859;
+   wire n_27860;
+   wire n_27861;
+   wire n_27862;
+   wire n_27863;
+   wire n_27864;
+   wire n_27865;
+   wire n_27866;
+   wire n_27867;
+   wire n_27868;
+   wire n_27869;
+   wire n_27870;
+   wire n_27871;
+   wire n_27872;
+   wire n_27873;
+   wire n_27874;
+   wire n_27875;
+   wire n_27876;
+   wire n_27877;
+   wire n_27878;
+   wire n_27879;
+   wire n_27880;
+   wire n_27881;
+   wire n_27882;
+   wire n_27883;
+   wire n_27884;
+   wire n_27885;
+   wire n_27886;
+   wire n_27887;
+   wire n_27888;
+   wire n_27889;
+   wire n_27890;
+   wire n_27891;
+   wire n_27892;
+   wire n_27893;
+   wire n_27894;
+   wire n_27895;
+   wire n_27896;
+   wire n_27897;
+   wire n_27898;
+   wire n_27899;
+   wire n_27900;
+   wire n_27901;
+   wire n_27902;
+   wire n_27903;
+   wire n_27904;
+   wire n_27905;
+   wire n_27906;
+   wire n_27907;
+   wire n_27908;
+   wire n_27909;
+   wire n_27910;
+   wire n_27911;
+   wire n_27912;
+   wire n_27913;
+   wire n_27914;
+   wire n_27915;
+   wire n_27916;
+   wire n_27917;
+   wire n_27918;
+   wire n_27919;
+   wire n_27920;
+   wire n_27921;
+   wire n_27922;
+   wire n_27923;
+   wire n_27924;
+   wire n_27925;
+   wire n_27926;
+   wire n_27927;
+   wire n_27928;
+   wire n_27929;
+   wire n_27930;
+   wire n_27931;
+   wire n_27932;
+   wire n_27933;
+   wire n_27934;
+   wire n_27935;
+   wire n_27936;
+   wire n_27937;
+   wire n_27938;
+   wire n_27939;
+   wire n_27940;
+   wire n_27941;
+   wire n_27942;
+   wire n_27943;
+   wire n_27944;
+   wire n_27945;
+   wire n_27946;
+   wire n_27947;
+   wire n_27948;
+   wire n_27949;
+   wire n_27950;
+   wire n_27951;
+   wire n_27952;
+   wire n_27953;
+   wire n_27954;
+   wire n_27955;
+   wire n_27956;
+   wire n_27957;
+   wire n_27958;
+   wire n_27959;
+   wire n_27960;
+   wire n_27961;
+   wire n_27962;
+   wire n_27963;
+   wire n_27964;
+   wire n_27965;
+   wire n_27966;
+   wire n_27967;
+   wire n_27968;
+   wire n_27969;
+   wire n_27970;
+   wire n_27971;
+   wire n_27972;
+   wire n_27973;
+   wire n_27974;
+   wire n_27975;
+   wire n_27976;
+   wire n_27977;
+   wire n_27978;
+   wire n_27979;
+   wire n_27980;
+   wire n_27981;
+   wire n_27982;
+   wire n_27983;
+   wire n_27984;
+   wire n_27985;
+   wire n_27986;
+   wire n_27987;
+   wire n_27988;
+   wire n_27989;
+   wire n_27990;
+   wire n_27991;
+   wire n_27992;
+   wire n_27993;
+   wire n_27994;
+   wire n_27995;
+   wire n_27996;
+   wire n_27997;
+   wire n_27998;
+   wire n_27999;
+   wire n_28000;
+   wire n_28001;
+   wire n_28002;
+   wire n_28003;
+   wire n_28004;
+   wire n_28005;
+   wire n_28006;
+   wire n_28007;
+   wire n_28008;
+   wire n_28009;
+   wire n_28010;
+   wire n_28011;
+   wire n_28012;
+   wire n_28013;
+   wire n_28014;
+   wire n_28015;
+   wire n_28016;
+   wire n_28017;
+   wire n_28018;
+   wire n_28019;
+   wire n_28020;
+   wire n_28021;
+   wire n_28022;
+   wire n_28023;
+   wire n_28024;
+   wire n_28025;
+   wire n_28026;
+   wire n_28027;
+   wire n_28028;
+   wire n_28029;
+   wire n_28030;
+   wire n_28031;
+   wire n_28032;
+   wire n_28033;
+   wire n_28034;
+   wire n_28035;
+   wire n_28036;
+   wire n_28037;
+   wire n_28038;
+   wire n_28039;
+   wire n_28040;
+   wire n_28041;
+   wire n_28042;
+   wire n_28043;
+   wire n_28044;
+   wire n_28045;
+   wire n_28046;
+   wire n_28047;
+   wire n_28048;
+   wire n_28049;
+   wire n_28050;
+   wire n_28051;
+   wire n_28052;
+   wire n_28053;
+   wire n_28054;
+   wire n_28055;
+   wire n_28056;
+   wire n_28057;
+   wire n_28058;
+   wire n_28059;
+   wire n_28060;
+   wire n_28061;
+   wire n_28062;
+   wire n_28063;
+   wire n_28064;
+   wire n_28065;
+   wire n_28066;
+   wire n_28067;
+   wire n_28068;
+   wire n_28069;
+   wire n_28070;
+   wire n_28071;
+   wire n_28072;
+   wire n_28073;
+   wire n_28074;
+   wire n_28075;
+   wire n_28076;
+   wire n_28077;
+   wire n_28078;
+   wire n_28079;
+   wire n_28080;
+   wire n_28081;
+   wire n_28082;
+   wire n_28083;
+   wire n_28084;
+   wire n_28085;
+   wire n_28086;
+   wire n_28087;
+   wire n_28088;
+   wire n_28089;
+   wire n_28090;
+   wire n_28091;
+   wire n_28092;
+   wire n_28093;
+   wire n_28094;
+   wire n_28096;
+   wire n_28097;
+   wire n_28098;
+   wire n_28099;
+   wire n_28100;
+   wire n_28101;
+   wire n_28102;
+   wire n_28103;
+   wire n_28104;
+   wire n_28105;
+   wire n_28106;
+   wire n_28107;
+   wire n_28108;
+   wire n_28109;
+   wire n_28110;
+   wire n_28111;
+   wire n_28112;
+   wire n_28113;
+   wire n_28114;
+   wire n_28115;
+   wire n_28116;
+   wire n_28117;
+   wire n_28118;
+   wire n_28119;
+   wire n_28120;
+   wire n_28121;
+   wire n_28122;
+   wire n_28123;
+   wire n_28124;
+   wire n_28125;
+   wire n_28126;
+   wire n_28127;
+   wire n_28128;
+   wire n_28129;
+   wire n_28130;
+   wire n_28131;
+   wire n_28132;
+   wire n_28133;
+   wire n_28134;
+   wire n_28135;
+   wire n_28136;
+   wire n_28137;
+   wire n_28138;
+   wire n_28139;
+   wire n_28140;
+   wire n_28141;
+   wire n_28142;
+   wire n_28143;
+   wire n_28144;
+   wire n_28145;
+   wire n_28146;
+   wire n_28147;
+   wire n_28148;
+   wire n_28149;
+   wire n_28150;
+   wire n_28151;
+   wire n_28152;
+   wire n_28153;
+   wire n_28154;
+   wire n_28155;
+   wire n_28156;
+   wire n_28157;
+   wire n_28158;
+   wire n_28159;
+   wire n_28160;
+   wire n_28161;
+   wire n_28162;
+   wire n_28163;
+   wire n_28164;
+   wire n_28165;
+   wire n_28166;
+   wire n_28167;
+   wire n_28168;
+   wire n_28169;
+   wire n_28170;
+   wire n_28171;
+   wire n_28172;
+   wire n_28173;
+   wire n_28174;
+   wire n_28175;
+   wire n_28176;
+   wire n_28177;
+   wire n_28178;
+   wire n_28179;
+   wire n_28180;
+   wire n_28181;
+   wire n_28182;
+   wire n_28183;
+   wire n_28184;
+   wire n_28185;
+   wire n_28186;
+   wire n_28187;
+   wire n_28188;
+   wire n_28189;
+   wire n_28190;
+   wire n_28191;
+   wire n_28192;
+   wire n_28193;
+   wire n_28194;
+   wire n_28195;
+   wire n_28196;
+   wire n_28197;
+   wire n_28198;
+   wire n_28199;
+   wire n_28200;
+   wire n_28201;
+   wire n_28202;
+   wire n_28203;
+   wire n_28204;
+   wire n_28205;
+   wire n_28206;
+   wire n_28207;
+   wire n_28208;
+   wire n_28209;
+   wire n_28210;
+   wire n_28211;
+   wire n_28212;
+   wire n_28213;
+   wire n_28214;
+   wire n_28215;
+   wire n_28216;
+   wire n_28217;
+   wire n_28218;
+   wire n_28219;
+   wire n_28220;
+   wire n_28221;
+   wire n_28222;
+   wire n_28223;
+   wire n_28224;
+   wire n_28225;
+   wire n_28226;
+   wire n_28227;
+   wire n_28228;
+   wire n_28229;
+   wire n_28230;
+   wire n_28231;
+   wire n_28232;
+   wire n_28233;
+   wire n_28234;
+   wire n_28235;
+   wire n_28236;
+   wire n_28237;
+   wire n_28238;
+   wire n_28239;
+   wire n_28240;
+   wire n_28241;
+   wire n_28242;
+   wire n_28243;
+   wire n_28244;
+   wire n_28245;
+   wire n_28246;
+   wire n_28247;
+   wire n_28248;
+   wire n_28249;
+   wire n_28250;
+   wire n_28251;
+   wire n_28252;
+   wire n_28253;
+   wire n_28254;
+   wire n_28255;
+   wire n_28256;
+   wire n_28257;
+   wire n_28258;
+   wire n_28259;
+   wire n_28260;
+   wire n_28261;
+   wire n_28262;
+   wire n_28263;
+   wire n_28264;
+   wire n_28265;
+   wire n_28266;
+   wire n_28267;
+   wire n_28268;
+   wire n_28269;
+   wire n_28270;
+   wire n_28271;
+   wire n_28272;
+   wire n_28273;
+   wire n_28274;
+   wire n_28275;
+   wire n_28276;
+   wire n_28277;
+   wire n_28278;
+   wire n_28279;
+   wire n_28280;
+   wire n_28281;
+   wire n_28282;
+   wire n_28283;
+   wire n_28284;
+   wire n_28285;
+   wire n_28286;
+   wire n_28287;
+   wire n_28288;
+   wire n_28289;
+   wire n_28290;
+   wire n_28291;
+   wire n_28292;
+   wire n_28293;
+   wire n_28294;
+   wire n_28295;
+   wire n_28296;
+   wire n_28297;
+   wire n_28298;
+   wire n_28299;
+   wire n_28300;
+   wire n_28301;
+   wire n_28302;
+   wire n_28303;
+   wire n_28304;
+   wire n_28305;
+   wire n_28306;
+   wire n_28307;
+   wire n_28308;
+   wire n_28309;
+   wire n_28310;
+   wire n_28311;
+   wire n_28312;
+   wire n_28313;
+   wire n_28314;
+   wire n_28315;
+   wire n_28316;
+   wire n_28317;
+   wire n_28318;
+   wire n_28319;
+   wire n_28320;
+   wire n_28321;
+   wire n_28322;
+   wire n_28323;
+   wire n_28324;
+   wire n_28325;
+   wire n_28326;
+   wire n_28327;
+   wire n_28328;
+   wire n_28329;
+   wire n_28330;
+   wire n_28331;
+   wire n_28332;
+   wire n_28333;
+   wire n_28334;
+   wire n_28335;
+   wire n_28336;
+   wire n_28337;
+   wire n_28338;
+   wire n_28339;
+   wire n_28340;
+   wire n_28341;
+   wire n_28342;
+   wire n_28343;
+   wire n_28344;
+   wire n_28345;
+   wire n_28346;
+   wire n_28347;
+   wire n_28348;
+   wire n_28349;
+   wire n_28351;
+   wire n_28352;
+   wire n_28353;
+   wire n_28354;
+   wire n_28355;
+   wire n_28356;
+   wire n_28357;
+   wire n_28358;
+   wire n_28359;
+   wire n_28360;
+   wire n_28361;
+   wire n_28362;
+   wire n_28363;
+   wire n_28364;
+   wire n_28365;
+   wire n_28366;
+   wire n_28367;
+   wire n_28368;
+   wire n_28369;
+   wire n_28370;
+   wire n_28371;
+   wire n_28372;
+   wire n_28373;
+   wire n_28374;
+   wire n_28375;
+   wire n_28376;
+   wire n_28377;
+   wire n_28378;
+   wire n_28379;
+   wire n_28380;
+   wire n_28381;
+   wire n_28382;
+   wire n_28383;
+   wire n_28384;
+   wire n_28385;
+   wire n_28386;
+   wire n_28387;
+   wire n_28388;
+   wire n_28389;
+   wire n_28390;
+   wire n_28391;
+   wire n_28392;
+   wire n_28393;
+   wire n_28394;
+   wire n_28395;
+   wire n_28396;
+   wire n_28397;
+   wire n_28398;
+   wire n_28399;
+   wire n_28400;
+   wire n_28401;
+   wire n_28402;
+   wire n_28403;
+   wire n_28404;
+   wire n_28405;
+   wire n_28406;
+   wire n_28407;
+   wire n_28408;
+   wire n_28409;
+   wire n_28410;
+   wire n_28411;
+   wire n_28412;
+   wire n_28413;
+   wire n_28414;
+   wire n_28415;
+   wire n_28416;
+   wire n_28417;
+   wire n_28418;
+   wire n_28419;
+   wire n_28420;
+   wire n_28421;
+   wire n_28422;
+   wire n_28423;
+   wire n_28424;
+   wire n_28425;
+   wire n_28426;
+   wire n_28427;
+   wire n_28428;
+   wire n_28430;
+   wire n_28431;
+   wire n_28432;
+   wire n_28433;
+   wire n_28434;
+   wire n_28435;
+   wire n_28436;
+   wire n_28437;
+   wire n_28438;
+   wire n_28439;
+   wire n_28440;
+   wire n_28441;
+   wire n_28442;
+   wire n_28443;
+   wire n_28444;
+   wire n_28445;
+   wire n_28446;
+   wire n_28447;
+   wire n_28448;
+   wire n_28449;
+   wire n_28450;
+   wire n_28451;
+   wire n_28452;
+   wire n_28453;
+   wire n_28454;
+   wire n_28455;
+   wire n_28456;
+   wire n_28457;
+   wire n_28458;
+   wire n_28459;
+   wire n_28460;
+   wire n_28461;
+   wire n_28462;
+   wire n_28463;
+   wire n_28464;
+   wire n_28465;
+   wire n_28466;
+   wire n_28467;
+   wire n_28468;
+   wire n_28469;
+   wire n_28470;
+   wire n_28471;
+   wire n_28472;
+   wire n_28473;
+   wire n_28474;
+   wire n_28475;
+   wire n_28476;
+   wire n_28477;
+   wire n_28478;
+   wire n_28479;
+   wire n_28480;
+   wire n_28482;
+   wire n_28483;
+   wire n_28484;
+   wire n_28485;
+   wire n_28486;
+   wire n_28487;
+   wire n_28488;
+   wire n_28489;
+   wire n_28490;
+   wire n_28491;
+   wire n_28492;
+   wire n_28493;
+   wire n_28494;
+   wire n_28495;
+   wire n_28496;
+   wire n_28497;
+   wire n_28498;
+   wire n_28499;
+   wire n_28500;
+   wire n_28501;
+   wire n_28502;
+   wire n_28503;
+   wire n_28504;
+   wire n_28505;
+   wire n_28506;
+   wire n_28507;
+   wire n_28508;
+   wire n_28509;
+   wire n_28510;
+   wire n_28511;
+   wire n_28512;
+   wire n_28513;
+   wire n_28514;
+   wire n_28515;
+   wire n_28516;
+   wire n_28517;
+   wire n_28534;
+   wire n_28535;
+   wire n_28536;
+   wire n_28537;
+   wire n_28538;
+   wire n_28539;
+   wire n_28540;
+   wire n_28541;
+   wire n_28542;
+   wire n_28543;
+   wire n_28544;
+   wire n_28545;
+   wire n_28546;
+   wire n_28547;
+   wire n_28548;
+   wire n_28549;
+   wire n_28550;
+   wire n_28551;
+   wire n_28552;
+   wire n_28553;
+   wire n_28554;
+   wire n_28555;
+   wire n_28556;
+   wire n_28557;
+   wire n_28558;
+   wire n_28559;
+   wire n_28560;
+   wire n_28561;
+   wire n_28562;
+   wire n_28563;
+   wire n_28564;
+   wire n_28565;
+   wire n_28566;
+   wire n_28567;
+   wire n_28568;
+   wire n_28569;
+   wire n_28570;
+   wire n_28571;
+   wire n_28572;
+   wire n_28573;
+   wire n_28574;
+   wire n_28575;
+   wire n_28576;
+   wire n_28577;
+   wire n_28578;
+   wire n_28579;
+   wire n_28580;
+   wire n_28581;
+   wire n_28582;
+   wire n_28583;
+   wire n_28584;
+   wire n_28585;
+   wire n_28586;
+   wire n_28587;
+   wire n_28588;
+   wire n_28589;
+   wire n_28590;
+   wire n_28591;
+   wire n_28592;
+   wire n_28593;
+   wire n_28594;
+   wire n_28595;
+   wire n_28596;
+   wire n_28597;
+   wire n_28598;
+   wire n_28599;
+   wire n_28600;
+   wire n_28601;
+   wire n_28602;
+   wire n_28603;
+   wire n_28604;
+   wire n_28605;
+   wire n_28606;
+   wire n_28607;
+   wire n_28608;
+   wire n_28609;
+   wire n_28610;
+   wire n_28611;
+   wire n_28612;
+   wire n_28613;
+   wire n_28614;
+   wire n_28615;
+   wire n_28616;
+   wire n_28617;
+   wire n_28618;
+   wire n_28619;
+   wire n_28620;
+   wire n_28621;
+   wire n_28622;
+   wire n_28623;
+   wire n_28624;
+   wire n_28625;
+   wire n_28626;
+   wire n_28627;
+   wire n_28628;
+   wire n_28629;
+   wire n_28630;
+   wire n_28631;
+   wire n_28632;
+   wire n_28633;
+   wire n_28634;
+   wire n_28635;
+   wire n_28636;
+   wire n_28637;
+   wire n_28638;
+   wire n_28639;
+   wire n_28640;
+   wire n_28641;
+   wire n_28642;
+   wire n_28643;
+   wire n_28644;
+   wire n_28645;
+   wire n_28646;
+   wire n_28647;
+   wire n_28648;
+   wire n_28649;
+   wire n_28650;
+   wire n_28651;
+   wire n_28652;
+   wire n_28653;
+   wire n_28654;
+   wire n_28655;
+   wire n_28656;
+   wire n_28657;
+   wire n_28658;
+   wire n_28659;
+   wire n_28660;
+   wire n_28661;
+   wire n_28662;
+   wire n_28663;
+   wire n_28664;
+   wire n_28665;
+   wire n_28666;
+   wire n_28667;
+   wire n_28668;
+   wire n_28669;
+   wire n_28670;
+   wire n_28671;
+   wire n_28672;
+   wire n_28673;
+   wire n_28674;
+   wire n_28675;
+   wire n_28676;
+   wire n_28677;
+   wire n_28679;
+   wire n_28682;
+   wire n_28683;
+   wire n_28684;
+   wire n_28689;
+   wire n_28690;
+   wire n_28691;
+   wire n_28692;
+   wire n_28693;
+   wire n_28694;
+   wire n_28695;
+   wire n_28696;
+   wire n_28697;
+   wire n_28698;
+   wire n_28699;
+   wire n_28700;
+   wire n_28701;
+   wire n_28702;
+   wire n_28703;
+   wire n_28704;
+   wire n_28705;
+   wire n_28707;
+   wire n_28708;
+   wire n_28709;
+   wire n_28710;
+   wire n_28711;
+   wire n_28712;
+   wire n_28713;
+   wire n_28714;
+   wire n_28715;
+   wire n_28716;
+   wire n_28717;
+   wire n_28718;
+   wire n_28719;
+   wire n_28720;
+   wire n_28721;
+   wire n_28722;
+   wire n_28723;
+   wire n_28725;
+   wire n_28726;
+   wire n_28727;
+   wire n_28729;
+   wire n_28730;
+   wire n_28731;
+   wire n_28732;
+   wire n_28733;
+   wire n_28734;
+   wire n_28735;
+   wire n_28736;
+   wire n_28737;
+   wire n_28741;
+   wire n_28742;
+   wire n_28743;
+   wire n_28744;
+   wire n_28745;
+   wire n_28746;
+   wire n_28747;
+   wire n_28748;
+   wire n_28749;
+   wire n_28750;
+   wire n_28751;
+   wire n_28752;
+   wire n_28753;
+   wire n_28754;
+   wire n_28755;
+   wire n_28756;
+   wire n_28757;
+   wire n_28758;
+   wire n_28759;
+   wire n_28760;
+   wire n_28761;
+   wire n_28763;
+   wire n_28764;
+   wire n_28765;
+   wire n_28766;
+   wire n_28767;
+   wire n_28768;
+   wire n_28769;
+   wire n_28771;
+   wire n_28773;
+   wire n_28774;
+   wire n_28775;
+   wire n_28776;
+   wire n_28777;
+   wire n_28778;
+   wire n_28779;
+   wire n_28780;
+   wire n_28781;
+   wire n_28782;
+   wire n_28783;
+   wire n_28786;
+   wire n_28787;
+   wire n_28788;
+   wire n_28789;
+   wire n_28790;
+   wire n_28792;
+   wire n_28794;
+   wire n_28795;
+   wire n_28796;
+   wire n_28797;
+   wire n_28798;
+   wire n_28799;
+   wire n_28800;
+   wire n_28801;
+   wire n_28802;
+   wire n_28803;
+   wire n_28804;
+   wire n_28805;
+   wire n_28806;
+   wire n_28807;
+   wire n_28808;
+   wire n_28809;
+   wire n_28810;
+   wire n_28811;
+   wire n_28812;
+   wire n_28813;
+   wire n_28814;
+   wire n_28815;
+   wire n_28816;
+   wire n_28817;
+   wire n_28818;
+   wire n_28819;
+   wire n_28821;
+   wire n_28822;
+   wire n_28823;
+   wire n_28824;
+   wire n_28825;
+   wire n_28826;
+   wire n_28829;
+   wire n_28830;
+   wire n_28831;
+   wire n_28832;
+   wire n_28834;
+   wire n_28836;
+   wire n_28837;
+   wire n_28839;
+   wire n_28841;
+   wire n_28844;
+   wire n_28847;
+   wire n_28849;
+   wire n_28850;
+   wire n_28851;
+   wire n_28852;
+   wire n_28854;
+   wire n_28857;
+   wire n_28859;
+   wire n_28861;
+   wire n_28863;
+   wire n_28864;
+   wire n_28867;
+   wire n_28869;
+   wire n_28870;
+   wire n_28871;
+   wire n_28873;
+   wire n_28874;
+   wire n_28875;
+   wire n_28876;
+   wire n_28877;
+   wire n_28878;
+   wire n_28879;
+   wire n_28880;
+   wire n_28881;
+   wire n_28882;
+   wire n_28883;
+   wire n_28884;
+   wire n_28885;
+   wire n_28886;
+   wire n_28887;
+   wire n_28888;
+   wire n_28889;
+   wire n_28890;
+   wire n_28891;
+   wire n_28893;
+   wire n_28894;
+   wire n_28897;
+   wire n_28902;
+   wire n_28904;
+   wire n_28907;
+   wire n_28910;
+   wire n_28911;
+   wire n_28912;
+   wire n_28913;
+   wire n_28916;
+   wire n_28917;
+   wire n_28918;
+   wire n_28919;
+   wire n_28920;
+   wire n_28921;
+   wire n_28923;
+   wire n_28925;
+   wire n_28926;
+   wire n_28927;
+   wire n_28929;
+   wire n_28930;
+   wire n_28931;
+   wire n_28933;
+   wire n_28935;
+   wire n_28936;
+   wire n_28937;
+   wire n_28938;
+   wire n_28939;
+   wire n_28940;
+   wire n_28941;
+   wire n_28943;
+   wire n_28945;
+   wire n_28946;
+   wire n_28947;
+   wire n_28948;
+   wire n_28949;
+   wire n_28950;
+   wire n_28951;
+   wire n_28952;
+   wire n_28953;
+   wire n_28954;
+   wire n_28955;
+   wire n_28956;
+   wire n_28957;
+   wire n_28958;
+   wire n_28959;
+   wire n_28960;
+   wire n_28961;
+   wire n_28963;
+   wire n_28964;
+   wire n_28965;
+   wire n_28966;
+   wire n_28967;
+   wire n_28968;
+   wire n_28969;
+   wire n_28970;
+   wire n_28973;
+   wire n_28974;
+   wire n_28975;
+   wire n_28976;
+   wire n_28977;
+   wire n_28978;
+   wire n_28979;
+   wire n_28980;
+   wire n_28981;
+   wire n_28982;
+   wire n_28984;
+   wire n_28986;
+   wire n_28987;
+   wire n_28988;
+   wire n_28989;
+   wire n_28990;
+   wire n_28991;
+   wire n_28992;
+   wire n_28993;
+   wire n_28994;
+   wire n_28995;
+   wire n_28996;
+   wire n_28997;
+   wire n_28998;
+   wire n_28999;
+   wire n_29000;
+   wire n_29001;
+   wire n_29002;
+   wire n_29003;
+   wire n_29004;
+   wire n_29005;
+   wire n_29006;
+   wire n_29007;
+   wire n_29008;
+   wire n_29009;
+   wire n_29010;
+   wire n_29011;
+   wire n_29012;
+   wire n_29013;
+   wire n_29014;
+   wire n_29015;
+   wire n_29016;
+   wire n_29017;
+   wire n_29018;
+   wire n_29019;
+   wire n_29020;
+   wire n_29021;
+   wire n_29022;
+   wire n_29023;
+   wire n_29024;
+   wire n_29025;
+   wire n_29026;
+   wire n_29027;
+   wire n_29028;
+   wire n_29029;
+   wire n_29030;
+   wire n_29031;
+   wire n_29032;
+   wire n_29033;
+   wire n_29034;
+   wire n_29035;
+   wire n_29036;
+   wire n_29037;
+   wire n_29038;
+   wire n_29039;
+   wire n_29040;
+   wire n_29041;
+   wire n_29042;
+   wire n_29044;
+   wire n_29045;
+   wire n_29046;
+   wire n_29047;
+   wire n_29048;
+   wire n_29049;
+   wire n_29050;
+   wire n_29051;
+   wire n_29052;
+   wire n_29053;
+   wire n_29054;
+   wire n_29055;
+   wire n_29056;
+   wire n_29057;
+   wire n_29058;
+   wire n_29059;
+   wire n_29060;
+   wire n_29061;
+   wire n_29062;
+   wire n_29063;
+   wire n_29064;
+   wire n_29065;
+   wire n_29066;
+   wire n_29067;
+   wire n_29068;
+   wire n_29069;
+   wire n_29071;
+   wire n_29072;
+   wire n_29073;
+   wire n_29074;
+   wire n_29075;
+   wire n_29076;
+   wire n_29084;
+   wire n_29085;
+   wire n_29086;
+   wire n_29087;
+   wire n_29088;
+   wire n_29089;
+   wire n_29090;
+   wire n_29091;
+   wire n_29092;
+   wire n_29093;
+   wire n_29094;
+   wire n_29095;
+   wire n_29096;
+   wire n_29097;
+   wire n_29098;
+   wire n_29099;
+   wire n_29100;
+   wire n_29101;
+   wire n_29102;
+   wire n_29103;
+   wire n_29104;
+   wire n_29105;
+   wire n_29106;
+   wire n_29107;
+   wire n_29108;
+   wire n_29109;
+   wire n_29110;
+   wire n_29111;
+   wire n_29112;
+   wire n_29113;
+   wire n_29114;
+   wire n_29115;
+   wire n_29116;
+   wire n_29117;
+   wire n_29118;
+   wire n_29119;
+   wire n_29120;
+   wire n_29121;
+   wire n_29122;
+   wire n_29123;
+   wire n_29124;
+   wire n_29125;
+   wire n_29126;
+   wire n_29127;
+   wire n_29128;
+   wire n_29129;
+   wire n_29130;
+   wire n_29131;
+   wire n_29132;
+   wire n_29133;
+   wire n_29134;
+   wire n_29135;
+   wire n_29136;
+   wire n_29137;
+   wire n_29138;
+   wire n_29139;
+   wire n_29140;
+   wire n_29141;
+   wire n_29142;
+   wire n_29143;
+   wire n_29144;
+   wire n_29145;
+   wire n_29146;
+   wire n_29147;
+   wire n_29148;
+   wire n_29149;
+   wire n_29150;
+   wire n_29151;
+   wire n_29152;
+   wire n_29153;
+   wire n_29154;
+   wire n_29155;
+   wire n_29156;
+   wire n_29157;
+   wire n_29158;
+   wire n_29159;
+   wire n_29160;
+   wire n_29161;
+   wire n_29162;
+   wire n_29163;
+   wire n_29164;
+   wire n_29165;
+   wire n_29166;
+   wire n_29167;
+   wire n_29168;
+   wire n_29169;
+   wire n_29170;
+   wire n_29171;
+   wire n_29172;
+   wire n_29173;
+   wire n_29174;
+   wire n_29175;
+   wire n_29176;
+   wire n_29177;
+   wire n_29178;
+   wire n_29179;
+   wire n_29180;
+   wire n_29181;
+   wire n_29182;
+   wire n_29183;
+   wire n_29184;
+   wire n_29185;
+   wire n_29186;
+   wire n_29187;
+   wire n_29188;
+   wire n_29189;
+   wire n_29190;
+   wire n_29191;
+   wire n_29192;
+   wire n_29193;
+   wire n_29194;
+   wire n_29195;
+   wire n_29196;
+   wire n_29197;
+   wire n_29198;
+   wire n_29199;
+   wire n_29200;
+   wire n_29201;
+   wire n_29202;
+   wire n_29203;
+   wire n_29204;
+   wire n_29205;
+   wire n_29206;
+   wire n_29207;
+   wire n_29208;
+   wire n_29209;
+   wire n_29210;
+   wire n_29211;
+   wire n_29212;
+   wire n_29213;
+   wire n_29214;
+   wire n_29215;
+   wire n_29216;
+   wire n_29217;
+   wire n_29218;
+   wire n_29219;
+   wire n_29220;
+   wire n_29221;
+   wire n_29222;
+   wire n_29223;
+   wire n_29224;
+   wire n_29225;
+   wire n_29226;
+   wire n_29227;
+   wire n_29228;
+   wire n_29229;
+   wire n_29230;
+   wire n_29231;
+   wire n_29232;
+   wire n_29233;
+   wire n_29234;
+   wire n_29235;
+   wire n_29236;
+   wire n_29237;
+   wire n_29238;
+   wire n_29239;
+   wire n_29240;
+   wire n_29241;
+   wire n_29242;
+   wire n_29243;
+   wire n_29244;
+   wire n_29245;
+   wire n_29246;
+   wire n_29247;
+   wire n_29248;
+   wire n_29249;
+   wire n_29250;
+   wire n_29251;
+   wire n_29252;
+   wire n_29253;
+   wire n_29254;
+   wire n_29255;
+   wire n_29256;
+   wire n_29257;
+   wire n_29258;
+   wire n_29259;
+   wire n_29260;
+   wire n_29261;
+   wire n_29262;
+   wire n_29263;
+   wire n_29264;
+   wire n_29265;
+   wire n_29266;
+   wire n_29267;
+   wire n_29268;
+   wire n_29269;
+   wire n_29270;
+   wire n_29271;
+   wire n_29272;
+   wire n_29273;
+   wire n_29274;
+   wire n_29275;
+   wire n_29276;
+   wire n_29277;
+   wire n_29278;
+   wire n_29279;
+   wire n_29280;
+   wire n_29281;
+   wire n_29282;
+   wire n_29283;
+   wire n_29284;
+   wire n_29285;
+   wire n_29286;
+   wire n_29287;
+   wire n_29288;
+   wire n_29289;
+   wire n_29290;
+   wire n_29291;
+   wire n_29292;
+   wire n_29293;
+   wire n_29294;
+   wire n_29295;
+   wire n_29296;
+   wire n_29297;
+   wire n_29298;
+   wire n_29299;
+   wire n_29300;
+   wire n_29301;
+   wire n_29302;
+   wire n_29303;
+   wire n_29304;
+   wire n_29305;
+   wire n_29306;
+   wire n_29307;
+   wire n_29308;
+   wire n_29309;
+   wire n_29310;
+   wire n_29311;
+   wire n_29312;
+   wire n_29313;
+   wire n_29314;
+   wire n_29315;
+   wire n_29316;
+   wire n_29317;
+   wire n_29318;
+   wire n_29319;
+   wire n_29320;
+   wire n_29321;
+   wire n_29322;
+   wire n_29323;
+   wire n_29324;
+   wire n_29325;
+   wire n_29326;
+   wire n_29327;
+   wire n_29328;
+   wire n_29329;
+   wire n_29330;
+   wire n_29331;
+   wire n_29332;
+   wire n_29333;
+   wire n_29334;
+   wire n_29335;
+   wire n_29336;
+   wire n_29337;
+   wire n_29338;
+   wire n_29339;
+   wire n_29340;
+   wire n_29341;
+   wire n_29342;
+   wire n_29343;
+   wire n_29344;
+   wire n_29345;
+   wire n_29346;
+   wire n_29347;
+   wire n_29348;
+   wire n_29349;
+   wire n_29350;
+   wire n_29351;
+   wire n_29352;
+   wire n_29353;
+   wire n_29354;
+   wire n_29355;
+   wire n_29356;
+   wire n_29357;
+   wire n_29358;
+   wire n_29359;
+   wire n_29360;
+   wire n_29361;
+   wire n_29362;
+   wire n_29363;
+   wire n_29364;
+   wire n_29365;
+   wire n_29366;
+   wire n_29367;
+   wire n_29371;
+   wire n_29372;
+   wire n_29374;
+   wire n_29376;
+   wire n_29377;
+   wire n_29379;
+   wire n_29381;
+   wire n_29382;
+   wire n_29383;
+   wire n_29384;
+   wire n_29385;
+   wire n_29386;
+   wire n_29387;
+   wire n_29388;
+   wire n_29389;
+   wire n_29390;
+   wire n_29391;
+   wire n_29392;
+   wire n_29393;
+   wire n_29394;
+   wire n_29395;
+   wire n_29396;
+   wire n_29397;
+   wire n_29398;
+   wire n_29399;
+   wire n_29400;
+   wire n_29401;
+   wire n_29402;
+   wire n_29403;
+   wire n_29404;
+   wire n_29405;
+   wire n_29406;
+   wire n_29407;
+   wire n_29408;
+   wire n_29409;
+   wire n_29410;
+   wire n_29411;
+   wire n_29412;
+   wire n_29413;
+   wire n_29414;
+   wire n_29415;
+   wire n_29416;
+   wire n_29417;
+   wire n_29418;
+   wire n_29419;
+   wire n_29420;
+   wire n_29421;
+   wire n_29422;
+   wire n_29423;
+   wire n_29424;
+   wire n_29425;
+   wire n_29426;
+   wire n_29427;
+   wire n_29428;
+   wire n_29429;
+   wire n_29430;
+   wire n_29431;
+   wire n_29432;
+   wire n_29433;
+   wire n_29434;
+   wire n_29435;
+   wire n_29436;
+   wire n_29437;
+   wire n_29438;
+   wire n_29439;
+   wire n_29440;
+   wire n_29441;
+   wire n_29442;
+   wire n_29443;
+   wire n_29444;
+   wire n_29446;
+   wire n_29447;
+   wire n_29448;
+   wire n_29449;
+   wire n_29450;
+   wire n_29451;
+   wire n_29452;
+   wire n_29453;
+   wire n_29454;
+   wire n_29455;
+   wire n_29456;
+   wire n_29457;
+   wire n_29458;
+   wire n_29459;
+   wire n_29460;
+   wire n_29461;
+   wire n_29462;
+   wire n_29463;
+   wire n_29464;
+   wire n_29465;
+   wire n_29466;
+   wire n_29467;
+   wire n_29468;
+   wire n_29469;
+   wire n_29470;
+   wire n_29471;
+   wire n_29472;
+   wire n_29473;
+   wire n_29474;
+   wire n_29475;
+   wire n_29476;
+   wire n_29477;
+   wire n_29478;
+   wire n_29479;
+   wire n_29480;
+   wire n_29481;
+   wire n_29482;
+   wire n_29483;
+   wire n_29484;
+   wire n_29485;
+   wire n_29486;
+   wire n_29487;
+   wire n_29488;
+   wire n_29489;
+   wire n_29490;
+   wire n_29491;
+   wire n_29492;
+   wire n_29493;
+   wire n_29494;
+   wire n_29495;
+   wire n_29496;
+   wire n_29497;
+   wire n_29498;
+   wire n_29499;
+   wire n_29500;
+   wire n_29501;
+   wire n_29502;
+   wire n_29503;
+   wire n_29504;
+   wire n_29505;
+   wire n_29506;
+   wire n_29507;
+   wire n_29508;
+   wire n_29509;
+   wire n_29510;
+   wire n_29511;
+   wire n_29512;
+   wire n_29513;
+   wire n_29514;
+   wire n_29515;
+   wire n_29516;
+   wire n_29517;
+   wire n_29518;
+   wire n_29519;
+   wire n_29520;
+   wire n_29521;
+   wire n_29522;
+   wire n_29523;
+   wire n_29524;
+   wire n_29525;
+   wire n_29526;
+   wire n_29527;
+   wire n_29528;
+   wire n_29529;
+   wire n_29530;
+   wire n_29531;
+   wire n_29532;
+   wire n_29533;
+   wire n_29534;
+   wire n_29535;
+   wire n_29536;
+   wire n_29537;
+   wire n_29538;
+   wire n_29539;
+   wire n_29540;
+   wire n_29541;
+   wire n_29542;
+   wire n_29543;
+   wire n_29544;
+   wire n_29545;
+   wire n_29546;
+   wire n_29547;
+   wire n_29548;
+   wire n_29549;
+   wire n_29550;
+   wire n_29552;
+   wire n_29556;
+   wire n_29557;
+   wire n_29558;
+   wire n_29559;
+   wire n_29560;
+   wire n_29561;
+   wire n_29562;
+   wire n_29563;
+   wire n_29564;
+   wire n_29565;
+   wire n_29566;
+   wire n_29567;
+   wire n_29568;
+   wire n_29569;
+   wire n_29570;
+   wire n_29571;
+   wire n_29573;
+   wire n_29574;
+   wire n_29575;
+   wire n_29577;
+   wire n_29578;
+   wire n_29579;
+   wire n_29580;
+   wire n_29581;
+   wire n_29583;
+   wire n_29589;
+   wire n_29592;
+   wire n_29594;
+   wire n_29595;
+   wire n_29596;
+   wire n_29597;
+   wire n_29598;
+   wire n_29599;
+   wire n_29600;
+   wire n_29601;
+   wire n_29602;
+   wire n_29603;
+   wire n_29604;
+   wire n_29605;
+   wire n_29606;
+   wire n_29607;
+   wire n_29608;
+   wire n_29609;
+   wire n_29610;
+   wire n_29611;
+   wire n_29612;
+   wire n_29613;
+   wire n_29614;
+   wire n_29615;
+   wire n_29616;
+   wire n_29617;
+   wire n_29618;
+   wire n_29619;
+   wire n_29620;
+   wire n_29621;
+   wire n_29622;
+   wire n_29623;
+   wire n_29624;
+   wire n_29625;
+   wire n_29626;
+   wire n_29627;
+   wire n_29628;
+   wire n_29629;
+   wire n_29631;
+   wire n_29632;
+   wire n_29633;
+   wire n_29634;
+   wire n_29635;
+   wire n_29636;
+   wire n_29637;
+   wire n_29638;
+   wire n_29639;
+   wire n_29640;
+   wire n_29641;
+   wire n_29642;
+   wire n_29643;
+   wire n_29644;
+   wire n_29645;
+   wire n_29646;
+   wire n_29647;
+   wire n_29648;
+   wire n_29649;
+   wire n_29650;
+   wire n_29651;
+   wire n_29652;
+   wire n_29653;
+   wire n_29654;
+   wire n_29655;
+   wire n_29656;
+   wire n_29657;
+   wire n_29658;
+   wire n_29659;
+   wire n_29660;
+   wire n_29661;
+   wire n_29662;
+   wire n_29663;
+   wire n_29664;
+   wire n_29665;
+   wire n_29666;
+   wire n_29667;
+   wire n_29668;
+   wire n_29669;
+   wire n_29670;
+   wire n_29671;
+   wire n_29672;
+   wire n_29673;
+   wire n_29674;
+   wire n_29675;
+   wire n_29676;
+   wire n_29677;
+   wire n_29678;
+   wire n_29679;
+   wire n_29680;
+   wire n_29681;
+   wire n_29682;
+   wire n_29683;
+   wire n_29684;
+   wire n_29685;
+   wire n_29686;
+   wire n_29687;
+   wire n_29688;
+   wire n_29689;
+   wire n_29690;
+   wire n_29691;
+   wire n_29692;
+   wire n_29693;
+   wire n_29694;
+   wire n_29695;
+   wire n_29696;
+   wire n_29697;
+   wire n_29698;
+   wire n_29699;
+   wire n_29700;
+   wire n_29701;
+   wire n_29702;
+   wire n_29703;
+   wire n_29704;
+   wire n_29705;
+   wire n_29706;
+   wire n_29707;
+   wire n_29708;
+   wire n_29709;
+   wire n_29710;
+   wire n_29711;
+   wire n_29712;
+   wire n_29713;
+   wire n_29714;
+   wire n_29715;
+   wire n_29716;
+   wire n_29717;
+   wire n_29718;
+   wire n_29719;
+   wire n_29720;
+   wire n_29721;
+   wire n_29722;
+   wire n_29725;
+   wire n_29726;
+   wire n_29727;
+   wire n_29728;
+   wire n_29729;
+   wire n_29730;
+   wire n_29732;
+   wire n_29733;
+   wire n_29734;
+   wire n_29739;
+   wire n_29740;
+   wire n_29741;
+   wire n_29742;
+   wire n_29743;
+   wire n_29744;
+   wire n_29745;
+   wire n_29746;
+   wire n_29747;
+   wire n_29748;
+   wire n_29749;
+   wire n_29750;
+   wire n_29751;
+   wire n_29752;
+   wire n_29753;
+   wire n_29754;
+   wire n_29755;
+   wire n_29757;
+   wire n_29758;
+   wire n_29759;
+   wire n_29760;
+   wire n_29761;
+   wire n_29762;
+   wire n_29763;
+   wire n_29764;
+   wire n_29765;
+   wire n_29766;
+   wire n_29767;
+   wire n_29768;
+   wire n_29769;
+   wire n_29770;
+   wire n_29771;
+   wire n_29772;
+   wire n_29773;
+   wire n_29774;
+   wire n_29775;
+   wire n_29776;
+   wire n_29779;
+   wire n_29780;
+   wire n_29781;
+   wire n_29782;
+   wire n_29783;
+   wire n_29784;
+   wire n_29785;
+   wire n_29786;
+   wire n_29787;
+   wire n_29788;
+   wire n_29789;
+   wire n_29790;
+   wire n_29791;
+   wire n_29792;
+   wire n_29793;
+   wire n_29794;
+   wire n_29795;
+   wire n_29796;
+   wire n_29797;
+   wire n_29798;
+   wire n_29799;
+   wire n_29800;
+   wire n_29801;
+   wire n_29802;
+   wire n_29803;
+   wire n_29804;
+   wire n_29805;
+   wire n_29806;
+   wire n_29807;
+   wire n_29808;
+   wire n_29809;
+   wire n_29810;
+   wire n_29811;
+   wire n_29812;
+   wire n_29813;
+   wire n_29814;
+   wire n_29815;
+   wire n_29816;
+   wire n_29817;
+   wire n_29818;
+   wire n_29819;
+   wire n_29820;
+   wire n_29821;
+   wire n_29822;
+   wire n_29823;
+   wire n_29824;
+   wire n_29825;
+   wire n_29826;
+   wire n_29827;
+   wire n_29828;
+   wire n_29829;
+   wire n_29830;
+   wire n_29831;
+   wire n_29832;
+   wire n_29833;
+   wire n_29834;
+   wire n_29835;
+   wire n_29836;
+   wire n_29837;
+   wire n_29838;
+   wire n_29839;
+   wire n_29840;
+   wire n_29841;
+   wire n_29842;
+   wire n_29843;
+   wire n_29844;
+   wire n_29845;
+   wire n_29846;
+   wire n_29847;
+   wire n_29848;
+   wire n_29849;
+   wire n_29850;
+   wire n_29851;
+   wire n_29852;
+   wire n_29853;
+   wire n_29854;
+   wire n_29855;
+   wire n_29856;
+   wire n_29857;
+   wire n_29858;
+   wire n_29859;
+   wire n_29860;
+   wire n_29861;
+   wire n_29862;
+   wire n_29863;
+   wire n_29864;
+   wire n_29865;
+   wire n_29866;
+   wire n_29867;
+   wire n_29868;
+   wire n_29869;
+   wire n_29870;
+   wire n_29871;
+   wire n_29872;
+   wire n_29873;
+   wire n_29874;
+   wire n_29875;
+   wire n_29876;
+   wire n_29877;
+   wire n_29878;
+   wire n_29879;
+   wire n_29880;
+   wire n_29881;
+   wire n_29882;
+   wire n_29883;
+   wire n_29884;
+   wire n_29885;
+   wire n_29886;
+   wire n_29887;
+   wire n_29888;
+   wire n_29889;
+   wire n_29890;
+   wire n_29891;
+   wire n_29892;
+   wire n_29893;
+   wire n_29894;
+   wire n_29895;
+   wire n_29896;
+   wire n_29897;
+   wire n_29898;
+   wire n_29899;
+   wire n_29900;
+   wire n_29901;
+   wire n_29902;
+   wire n_29903;
+   wire n_29904;
+   wire n_29905;
+   wire n_29906;
+   wire n_29907;
+   wire n_29908;
+   wire n_29909;
+   wire n_29910;
+   wire n_29911;
+   wire n_29912;
+   wire n_29913;
+   wire n_29914;
+   wire n_29915;
+   wire n_29916;
+   wire n_29917;
+   wire n_29918;
+   wire n_29919;
+   wire n_29920;
+   wire n_29921;
+   wire n_29922;
+   wire n_29923;
+   wire n_29924;
+   wire n_29925;
+   wire n_29926;
+   wire n_29927;
+   wire n_29928;
+   wire n_29929;
+   wire n_29930;
+   wire n_29931;
+   wire n_29932;
+   wire n_29933;
+   wire n_29934;
+   wire n_29935;
+   wire n_29936;
+   wire n_29937;
+   wire n_29938;
+   wire n_29939;
+   wire n_29940;
+   wire n_29941;
+   wire n_29942;
+   wire n_29943;
+   wire n_29944;
+   wire n_29945;
+   wire n_29946;
+   wire n_29947;
+   wire n_29948;
+   wire n_29949;
+   wire n_29950;
+   wire n_29951;
+   wire n_29952;
+   wire n_29953;
+   wire n_29954;
+   wire n_29955;
+   wire n_29956;
+   wire n_29957;
+   wire n_29958;
+   wire n_29959;
+   wire n_29960;
+   wire n_29961;
+   wire n_29962;
+   wire n_29963;
+   wire n_29964;
+   wire n_29965;
+   wire n_29966;
+   wire n_29967;
+   wire n_29968;
+   wire n_29969;
+   wire n_29970;
+   wire n_29971;
+   wire n_29972;
+   wire n_29973;
+   wire n_29974;
+   wire n_29975;
+   wire n_29976;
+   wire n_29977;
+   wire n_29978;
+   wire n_29979;
+   wire n_29980;
+   wire n_29981;
+   wire n_29982;
+   wire n_29983;
+   wire n_29984;
+   wire n_29985;
+   wire n_29986;
+   wire n_29987;
+   wire n_29988;
+   wire n_29989;
+   wire n_29990;
+   wire n_29991;
+   wire n_29992;
+   wire n_29993;
+   wire n_29994;
+   wire n_29995;
+   wire n_29996;
+   wire n_29997;
+   wire n_29998;
+   wire n_29999;
+   wire n_30000;
+   wire n_30001;
+   wire n_30002;
+   wire n_30003;
+   wire n_30004;
+   wire n_30005;
+   wire n_30006;
+   wire n_30007;
+   wire n_30008;
+   wire n_30009;
+   wire n_30010;
+   wire n_30011;
+   wire n_30012;
+   wire n_30013;
+   wire n_30014;
+   wire n_30015;
+   wire n_30016;
+   wire n_30017;
+   wire n_30018;
+   wire n_30019;
+   wire n_30020;
+   wire n_30021;
+   wire n_30022;
+   wire n_30023;
+   wire n_30024;
+   wire n_30025;
+   wire n_30026;
+   wire n_30027;
+   wire n_30028;
+   wire n_30029;
+   wire n_30030;
+   wire n_30031;
+   wire n_30032;
+   wire n_30033;
+   wire n_30034;
+   wire n_30035;
+   wire n_30036;
+   wire n_30037;
+   wire n_30038;
+   wire n_30039;
+   wire n_30040;
+   wire n_30041;
+   wire n_30042;
+   wire n_30043;
+   wire n_30044;
+   wire n_30045;
+   wire n_30046;
+   wire n_30047;
+   wire n_30048;
+   wire n_30049;
+   wire n_30050;
+   wire n_30051;
+   wire n_30052;
+   wire n_30053;
+   wire n_30054;
+   wire n_30055;
+   wire n_30056;
+   wire n_30057;
+   wire n_30058;
+   wire n_30059;
+   wire n_30060;
+   wire n_30061;
+   wire n_30062;
+   wire n_30063;
+   wire n_30064;
+   wire n_30065;
+   wire n_30066;
+   wire n_30067;
+   wire n_30068;
+   wire n_30069;
+   wire n_30070;
+   wire n_30071;
+   wire n_30072;
+   wire n_30073;
+   wire n_30074;
+   wire n_30075;
+   wire n_30076;
+   wire n_30077;
+   wire n_30078;
+   wire n_30079;
+   wire n_30080;
+   wire n_30081;
+   wire n_30082;
+   wire n_30083;
+   wire n_30084;
+   wire n_30085;
+   wire n_30086;
+   wire n_30087;
+   wire n_30088;
+   wire n_30089;
+   wire n_30090;
+   wire n_30091;
+   wire n_30092;
+   wire n_30093;
+   wire n_30094;
+   wire n_30095;
+   wire n_30096;
+   wire n_30097;
+   wire n_30098;
+   wire n_30099;
+   wire n_30100;
+   wire n_30101;
+   wire n_30102;
+   wire n_30103;
+   wire n_30104;
+   wire n_30105;
+   wire n_30106;
+   wire n_30107;
+   wire n_30108;
+   wire n_30109;
+   wire n_30110;
+   wire n_30111;
+   wire n_30112;
+   wire n_30113;
+   wire n_30114;
+   wire n_30115;
+   wire n_30116;
+   wire n_30117;
+   wire n_30118;
+   wire n_30119;
+   wire n_30120;
+   wire n_30121;
+   wire n_30122;
+   wire n_30123;
+   wire n_30124;
+   wire n_30125;
+   wire n_30126;
+   wire n_30131;
+   wire n_30132;
+   wire n_30133;
+   wire n_30134;
+   wire n_30135;
+   wire n_30136;
+   wire n_30137;
+   wire n_30138;
+   wire n_30139;
+   wire n_30140;
+   wire n_30141;
+   wire n_30142;
+   wire n_30143;
+   wire n_30144;
+   wire n_30145;
+   wire n_30146;
+   wire n_30147;
+   wire n_30148;
+   wire n_30149;
+   wire n_30150;
+   wire n_30151;
+   wire n_30152;
+   wire n_30153;
+   wire n_30154;
+   wire n_30155;
+   wire n_30156;
+   wire n_30157;
+   wire n_30158;
+   wire n_30159;
+   wire n_30160;
+   wire n_30161;
+   wire n_30162;
+   wire n_30163;
+   wire n_30164;
+   wire n_30165;
+   wire n_30166;
+   wire n_30167;
+   wire n_30168;
+   wire n_30169;
+   wire n_30170;
+   wire n_30171;
+   wire n_30172;
+   wire n_30173;
+   wire n_30174;
+   wire n_30175;
+   wire n_30176;
+   wire n_30177;
+   wire n_30178;
+   wire n_30179;
+   wire n_30180;
+   wire n_30181;
+   wire n_30182;
+   wire n_30183;
+   wire n_30184;
+   wire n_30185;
+   wire n_30186;
+   wire n_30187;
+   wire n_30188;
+   wire n_30189;
+   wire n_30190;
+   wire n_30191;
+   wire n_30192;
+   wire n_30193;
+   wire n_30194;
+   wire n_30195;
+   wire n_30196;
+   wire n_30197;
+   wire n_30198;
+   wire n_30199;
+   wire n_30200;
+   wire n_30201;
+   wire n_30202;
+   wire n_30203;
+   wire n_30204;
+   wire n_30205;
+   wire n_30206;
+   wire n_30207;
+   wire n_30208;
+   wire n_30209;
+   wire n_30210;
+   wire n_30211;
+   wire n_30212;
+   wire n_30213;
+   wire n_30214;
+   wire n_30215;
+   wire n_30216;
+   wire n_30217;
+   wire n_30218;
+   wire n_30219;
+   wire n_30220;
+   wire n_30221;
+   wire n_30222;
+   wire n_30223;
+   wire n_30224;
+   wire n_30225;
+   wire n_30226;
+   wire n_30227;
+   wire n_30228;
+   wire n_30229;
+   wire n_30230;
+   wire n_30231;
+   wire n_30232;
+   wire n_30233;
+   wire n_30234;
+   wire n_30235;
+   wire n_30236;
+   wire n_30237;
+   wire n_30238;
+   wire n_30239;
+   wire n_30240;
+   wire n_30241;
+   wire n_30242;
+   wire n_30243;
+   wire n_30244;
+   wire n_30245;
+   wire n_30246;
+   wire n_30247;
+   wire n_30248;
+   wire n_30249;
+   wire n_30250;
+   wire n_30251;
+   wire n_30252;
+   wire n_30253;
+   wire n_30254;
+   wire n_30255;
+   wire n_30256;
+   wire n_30257;
+   wire n_30258;
+   wire n_30259;
+   wire n_30260;
+   wire n_30261;
+   wire n_30262;
+   wire n_30263;
+   wire n_30264;
+   wire n_30265;
+   wire n_30266;
+   wire n_30267;
+   wire n_30268;
+   wire n_30269;
+   wire n_30270;
+   wire n_30271;
+   wire n_30272;
+   wire n_30273;
+   wire n_30274;
+   wire n_30275;
+   wire n_30276;
+   wire n_30277;
+   wire n_30278;
+   wire n_30279;
+   wire n_30280;
+   wire n_30281;
+   wire n_30282;
+   wire n_30283;
+   wire n_30284;
+   wire n_30285;
+   wire n_30286;
+   wire n_30287;
+   wire n_30288;
+   wire n_30289;
+   wire n_30290;
+   wire n_30291;
+   wire n_30292;
+   wire n_30293;
+   wire n_30294;
+   wire n_30295;
+   wire n_30296;
+   wire n_30297;
+   wire n_30298;
+   wire n_30299;
+   wire n_30300;
+   wire n_30301;
+   wire n_30302;
+   wire n_30303;
+   wire n_30304;
+   wire n_30305;
+   wire n_30307;
+   wire n_30308;
+   wire n_30309;
+   wire n_30310;
+   wire n_30311;
+   wire n_30312;
+   wire n_30313;
+   wire n_30314;
+   wire n_30315;
+   wire n_30316;
+   wire n_30317;
+   wire n_30318;
+   wire n_30319;
+   wire n_30320;
+   wire n_30321;
+   wire n_30322;
+   wire n_30323;
+   wire n_30324;
+   wire n_30325;
+   wire n_30326;
+   wire n_30327;
+   wire n_30328;
+   wire n_30329;
+   wire n_30330;
+   wire n_30331;
+   wire n_30332;
+   wire n_30333;
+   wire n_30334;
+   wire n_30335;
+   wire n_30336;
+   wire n_30337;
+   wire n_30338;
+   wire n_30339;
+   wire n_30340;
+   wire n_30341;
+   wire n_30342;
+   wire n_30343;
+   wire n_30344;
+   wire n_30345;
+   wire n_30346;
+   wire n_30347;
+   wire n_30348;
+   wire n_30349;
+   wire n_30350;
+   wire n_30351;
+   wire n_30352;
+   wire n_30353;
+   wire n_30354;
+   wire n_30355;
+   wire n_30356;
+   wire n_30357;
+   wire n_30358;
+   wire n_30359;
+   wire n_30360;
+   wire n_30361;
+   wire n_30362;
+   wire n_30363;
+   wire n_30364;
+   wire n_30365;
+   wire n_30366;
+   wire n_30367;
+   wire n_30368;
+   wire n_30369;
+   wire n_30370;
+   wire n_30371;
+   wire n_30372;
+   wire n_30373;
+   wire n_30374;
+   wire n_30375;
+   wire n_30376;
+   wire n_30377;
+   wire n_30378;
+   wire n_30379;
+   wire n_30380;
+   wire n_30381;
+   wire n_30382;
+   wire n_30383;
+   wire n_30384;
+   wire n_30385;
+   wire n_30386;
+   wire n_30387;
+   wire n_30388;
+   wire n_30389;
+   wire n_30390;
+   wire n_30391;
+   wire n_30392;
+   wire n_30393;
+   wire n_30394;
+   wire n_30395;
+   wire n_30396;
+   wire n_30397;
+   wire n_30398;
+   wire n_30399;
+   wire n_30400;
+   wire n_30401;
+   wire n_30402;
+   wire n_30403;
+   wire n_30404;
+   wire n_30405;
+   wire n_30406;
+   wire n_30407;
+   wire n_30408;
+   wire n_30409;
+   wire n_30410;
+   wire n_30411;
+   wire n_30415;
+   wire n_30416;
+   wire n_30418;
+   wire n_30419;
+   wire n_30420;
+   wire n_30421;
+   wire n_30422;
+   wire n_30423;
+   wire n_30424;
+   wire n_30425;
+   wire n_30427;
+   wire n_30428;
+   wire n_30430;
+   wire n_30431;
+   wire n_30432;
+   wire n_30433;
+   wire n_30434;
+   wire n_30435;
+   wire n_30436;
+   wire n_30437;
+   wire n_30438;
+   wire n_30439;
+   wire n_30440;
+   wire n_30441;
+   wire n_30442;
+   wire n_30443;
+   wire n_30444;
+   wire n_30445;
+   wire n_30446;
+   wire n_30447;
+   wire n_30448;
+   wire n_30449;
+   wire n_30450;
+   wire n_30451;
+   wire n_30452;
+   wire n_30453;
+   wire n_30454;
+   wire n_30455;
+   wire n_30456;
+   wire n_30457;
+   wire n_30458;
+   wire n_30459;
+   wire n_30460;
+   wire n_30461;
+   wire n_30462;
+   wire n_30463;
+   wire n_30464;
+   wire n_30465;
+   wire n_30466;
+   wire n_30467;
+   wire n_30468;
+   wire n_30469;
+   wire n_30470;
+   wire n_30471;
+   wire n_30472;
+   wire n_30473;
+   wire n_30474;
+   wire n_30475;
+   wire n_30476;
+   wire n_30477;
+   wire n_30478;
+   wire n_30479;
+   wire n_30480;
+   wire n_30481;
+   wire n_30482;
+   wire n_30483;
+   wire n_30484;
+   wire n_30485;
+   wire n_30486;
+   wire n_30488;
+   wire n_30489;
+   wire n_30490;
+   wire n_30491;
+   wire n_30492;
+   wire n_30493;
+   wire n_30494;
+   wire n_30495;
+   wire n_30496;
+   wire n_30497;
+   wire n_30498;
+   wire n_30499;
+   wire n_30500;
+   wire n_30501;
+   wire n_30502;
+   wire n_30503;
+   wire n_30504;
+   wire n_30505;
+   wire n_30506;
+   wire n_30507;
+   wire n_30508;
+   wire n_30509;
+   wire n_30510;
+   wire n_30511;
+   wire n_30512;
+   wire n_30513;
+   wire n_30514;
+   wire n_30515;
+   wire n_30516;
+   wire n_30517;
+   wire n_30518;
+   wire n_30519;
+   wire n_30520;
+   wire n_30521;
+   wire n_30522;
+   wire n_30523;
+   wire n_30524;
+   wire n_30525;
+   wire n_30526;
+   wire n_30527;
+   wire n_30528;
+   wire n_30529;
+   wire n_30530;
+   wire n_30531;
+   wire n_30532;
+   wire n_30533;
+   wire n_30534;
+   wire n_30535;
+   wire n_30536;
+   wire n_30537;
+   wire n_30538;
+   wire n_30539;
+   wire n_30540;
+   wire n_30541;
+   wire n_30542;
+   wire n_30543;
+   wire n_30544;
+   wire n_30545;
+   wire n_30546;
+   wire n_30547;
+   wire n_30548;
+   wire n_30549;
+   wire n_30550;
+   wire n_30551;
+   wire n_30552;
+   wire n_30553;
+   wire n_30554;
+   wire n_30555;
+   wire n_30556;
+   wire n_30557;
+   wire n_30558;
+   wire n_30559;
+   wire n_30560;
+   wire n_30561;
+   wire n_30562;
+   wire n_30563;
+   wire n_30564;
+   wire n_30565;
+   wire n_30566;
+   wire n_30567;
+   wire n_30568;
+   wire n_30569;
+   wire n_30570;
+   wire n_30571;
+   wire n_30572;
+   wire n_30573;
+   wire n_30574;
+   wire n_30575;
+   wire n_30576;
+   wire n_30577;
+   wire n_30578;
+   wire n_30579;
+   wire n_30580;
+   wire n_30581;
+   wire n_30582;
+   wire n_30583;
+   wire n_30584;
+   wire n_30585;
+   wire n_30586;
+   wire n_30587;
+   wire n_30588;
+   wire n_30589;
+   wire n_30590;
+   wire n_30591;
+   wire n_30592;
+   wire n_30593;
+   wire n_30594;
+   wire n_30595;
+   wire n_30596;
+   wire n_30597;
+   wire n_30598;
+   wire n_30599;
+   wire n_30600;
+   wire n_30601;
+   wire n_30602;
+   wire n_30603;
+   wire n_30604;
+   wire n_30605;
+   wire n_30606;
+   wire n_30607;
+   wire n_30608;
+   wire n_30609;
+   wire n_30610;
+   wire n_30611;
+   wire n_30612;
+   wire n_30613;
+   wire n_30614;
+   wire n_30615;
+   wire n_30616;
+   wire n_30617;
+   wire n_30618;
+   wire n_30619;
+   wire n_30620;
+   wire n_30621;
+   wire n_30622;
+   wire n_30623;
+   wire n_30624;
+   wire n_30625;
+   wire n_30626;
+   wire n_30627;
+   wire n_30628;
+   wire n_30629;
+   wire n_30630;
+   wire n_30631;
+   wire n_30632;
+   wire n_30633;
+   wire n_30634;
+   wire n_30635;
+   wire n_30636;
+   wire n_30637;
+   wire n_30638;
+   wire n_30639;
+   wire n_30640;
+   wire n_30641;
+   wire n_30642;
+   wire n_30643;
+   wire n_30644;
+   wire n_30645;
+   wire n_30646;
+   wire n_30647;
+   wire n_30648;
+   wire n_30649;
+   wire n_30650;
+   wire n_30651;
+   wire n_30652;
+   wire n_30653;
+   wire n_30654;
+   wire n_30655;
+   wire n_30656;
+   wire n_30657;
+   wire n_30658;
+   wire n_30659;
+   wire n_30660;
+   wire n_30661;
+   wire n_30662;
+   wire n_30663;
+   wire n_30664;
+   wire n_30665;
+   wire n_30666;
+   wire n_30667;
+   wire n_30668;
+   wire n_30669;
+   wire n_30670;
+   wire n_30671;
+   wire n_30672;
+   wire n_30673;
+   wire n_30675;
+   wire n_30677;
+   wire n_30678;
+   wire n_30680;
+   wire n_30681;
+   wire n_30682;
+   wire n_30683;
+   wire n_30684;
+   wire n_30685;
+   wire n_30686;
+   wire n_30687;
+   wire n_30688;
+   wire n_30689;
+   wire n_30690;
+   wire n_30691;
+   wire n_30692;
+   wire n_30693;
+   wire n_30694;
+   wire n_30695;
+   wire n_30696;
+   wire n_30697;
+   wire n_30698;
+   wire n_30699;
+   wire n_30700;
+   wire n_30701;
+   wire n_30702;
+   wire n_30703;
+   wire n_30704;
+   wire n_30705;
+   wire n_30706;
+   wire n_30707;
+   wire n_30708;
+   wire n_30709;
+   wire n_30710;
+   wire n_30711;
+   wire n_30712;
+   wire n_30714;
+   wire n_30715;
+   wire n_30716;
+   wire n_30717;
+   wire n_30718;
+   wire n_30719;
+   wire n_30720;
+   wire n_30721;
+   wire n_30722;
+   wire n_30723;
+   wire n_30724;
+   wire n_30725;
+   wire n_30726;
+   wire n_30727;
+   wire n_30728;
+   wire n_30729;
+   wire n_30731;
+   wire n_30732;
+   wire n_30734;
+   wire n_30735;
+   wire n_30737;
+   wire n_30738;
+   wire n_30739;
+   wire n_30740;
+   wire n_30742;
+   wire n_30743;
+   wire n_30744;
+   wire n_30746;
+   wire n_30747;
+   wire n_30748;
+   wire n_30749;
+   wire n_30750;
+   wire n_30751;
+   wire n_30753;
+   wire n_30754;
+   wire n_30755;
+   wire n_30756;
+   wire n_30757;
+   wire n_30758;
+   wire n_30759;
+   wire n_30760;
+   wire n_30761;
+   wire n_30762;
+   wire n_30763;
+   wire n_30764;
+   wire n_30765;
+   wire n_30766;
+   wire n_30767;
+   wire n_30768;
+   wire n_30769;
+   wire n_30771;
+   wire n_30772;
+   wire n_30773;
+   wire n_30774;
+   wire n_30775;
+   wire n_30776;
+   wire n_30777;
+   wire n_30778;
+   wire n_30779;
+   wire n_30780;
+   wire n_30781;
+   wire n_30782;
+   wire n_30783;
+   wire n_30784;
+   wire n_30785;
+   wire n_30786;
+   wire n_30787;
+   wire n_30788;
+   wire n_30789;
+   wire n_30790;
+   wire n_30791;
+   wire n_30792;
+   wire n_30793;
+   wire n_30794;
+   wire n_30795;
+   wire n_30796;
+   wire n_30797;
+   wire n_30798;
+   wire n_30799;
+   wire n_30800;
+   wire n_30801;
+   wire n_30802;
+   wire n_30803;
+   wire n_30804;
+   wire n_30805;
+   wire n_30806;
+   wire n_30807;
+   wire n_30808;
+   wire n_30809;
+   wire n_30810;
+   wire n_30811;
+   wire n_30812;
+   wire n_30813;
+   wire n_30814;
+   wire n_30815;
+   wire n_30816;
+   wire n_30817;
+   wire n_30818;
+   wire n_30819;
+   wire n_30820;
+   wire n_30821;
+   wire n_30822;
+   wire n_30823;
+   wire n_30824;
+   wire n_30825;
+   wire n_30826;
+   wire n_30827;
+   wire n_30828;
+   wire n_30829;
+   wire n_30830;
+   wire n_30831;
+   wire n_30832;
+   wire n_30833;
+   wire n_30834;
+   wire n_30835;
+   wire n_30836;
+   wire n_30837;
+   wire n_30838;
+   wire n_30839;
+   wire n_30840;
+   wire n_30841;
+   wire n_30842;
+   wire n_30843;
+   wire n_30844;
+   wire n_30845;
+   wire n_30846;
+   wire n_30847;
+   wire n_30848;
+   wire n_30849;
+   wire n_30850;
+   wire n_30851;
+   wire n_30852;
+   wire n_30853;
+   wire n_30854;
+   wire n_30855;
+   wire n_30856;
+   wire n_30858;
+   wire n_30859;
+   wire n_30860;
+   wire n_30861;
+   wire n_30862;
+   wire n_30863;
+   wire n_30864;
+   wire n_30865;
+   wire n_30866;
+   wire n_30867;
+   wire n_30868;
+   wire n_30869;
+   wire n_30870;
+   wire n_30871;
+   wire n_30872;
+   wire n_30873;
+   wire n_30874;
+   wire n_30875;
+   wire n_30876;
+   wire n_30877;
+   wire n_30878;
+   wire n_30879;
+   wire n_30880;
+   wire n_30881;
+   wire n_30882;
+   wire n_30883;
+   wire n_30884;
+   wire n_30885;
+   wire n_30886;
+   wire n_30887;
+   wire n_30888;
+   wire n_30889;
+   wire n_30890;
+   wire n_30891;
+   wire n_30893;
+   wire n_30894;
+   wire n_30895;
+   wire n_30896;
+   wire n_30897;
+   wire n_30898;
+   wire n_30899;
+   wire n_30900;
+   wire n_30901;
+   wire n_30902;
+   wire n_30903;
+   wire n_30905;
+   wire n_30906;
+   wire n_30907;
+   wire n_30908;
+   wire n_30909;
+   wire n_30910;
+   wire n_30911;
+   wire n_30912;
+   wire n_30913;
+   wire n_30914;
+   wire n_30915;
+   wire n_30916;
+   wire n_30917;
+   wire n_30918;
+   wire n_30919;
+   wire n_30920;
+   wire n_30921;
+   wire n_30922;
+   wire n_30923;
+   wire n_30924;
+   wire n_30925;
+   wire n_30927;
+   wire n_30928;
+   wire n_30929;
+   wire n_30930;
+   wire n_30931;
+   wire n_30933;
+   wire n_30934;
+   wire n_30935;
+   wire n_30936;
+   wire n_30937;
+   wire n_30938;
+   wire n_30939;
+   wire n_30940;
+   wire n_30941;
+   wire n_30942;
+   wire n_30944;
+   wire n_30945;
+   wire n_30946;
+   wire n_30947;
+   wire n_30948;
+   wire n_30949;
+   wire n_30950;
+   wire n_30951;
+   wire n_30952;
+   wire n_30953;
+   wire n_30954;
+   wire n_30955;
+   wire n_30956;
+   wire n_30957;
+   wire n_30958;
+   wire n_30959;
+   wire n_30960;
+   wire n_30961;
+   wire n_30962;
+   wire n_30963;
+   wire n_30964;
+   wire n_30965;
+   wire n_30966;
+   wire n_30967;
+   wire n_30968;
+   wire n_30969;
+   wire n_30970;
+   wire n_30971;
+   wire n_30972;
+   wire n_30973;
+   wire n_30974;
+   wire n_30975;
+   wire n_30976;
+   wire n_30977;
+   wire n_30978;
+   wire n_30979;
+   wire n_30980;
+   wire n_30981;
+   wire n_30982;
+   wire n_30983;
+   wire n_30984;
+   wire n_30985;
+   wire n_30986;
+   wire n_30987;
+   wire n_30988;
+   wire n_30990;
+   wire n_30991;
+   wire n_30992;
+   wire n_30993;
+   wire n_30994;
+   wire n_30995;
+   wire n_30997;
+   wire n_30998;
+   wire n_30999;
+   wire n_31000;
+   wire n_31001;
+   wire n_31002;
+   wire n_31003;
+   wire n_31004;
+   wire n_31005;
+   wire n_31006;
+   wire n_31007;
+   wire n_31009;
+   wire n_31010;
+   wire n_31011;
+   wire n_31012;
+   wire n_31013;
+   wire n_31014;
+   wire n_31015;
+   wire n_31016;
+   wire n_31017;
+   wire n_31018;
+   wire n_31019;
+   wire n_31020;
+   wire n_31021;
+   wire n_31022;
+   wire n_31023;
+   wire n_31024;
+   wire n_31025;
+   wire n_31026;
+   wire n_31027;
+   wire n_31028;
+   wire n_31029;
+   wire n_31030;
+   wire n_31031;
+   wire n_31033;
+   wire n_31034;
+   wire n_31035;
+   wire n_31036;
+   wire n_31037;
+   wire n_31038;
+   wire n_31039;
+   wire n_31040;
+   wire n_31041;
+   wire n_31042;
+   wire n_31043;
+   wire n_31044;
+   wire n_31045;
+   wire n_31046;
+   wire n_31047;
+   wire n_31048;
+   wire n_31049;
+   wire n_31050;
+   wire n_31051;
+   wire n_31052;
+   wire n_31053;
+   wire n_31054;
+   wire n_31055;
+   wire n_31056;
+   wire n_31057;
+   wire n_31058;
+   wire n_31059;
+   wire n_31060;
+   wire n_31061;
+   wire n_31062;
+   wire n_31063;
+   wire n_31064;
+   wire n_31065;
+   wire n_31066;
+   wire n_31068;
+   wire n_31069;
+   wire n_31070;
+   wire n_31071;
+   wire n_31072;
+   wire n_31073;
+   wire n_31074;
+   wire n_31075;
+   wire n_31076;
+   wire n_31077;
+   wire n_31078;
+   wire n_31079;
+   wire n_31080;
+   wire n_31081;
+   wire n_31082;
+   wire n_31083;
+   wire n_31084;
+   wire n_31085;
+   wire n_31086;
+   wire n_31087;
+   wire n_31088;
+   wire n_31089;
+   wire n_31090;
+   wire n_31091;
+   wire n_31092;
+   wire n_31093;
+   wire n_31094;
+   wire n_31097;
+   wire n_31098;
+   wire n_31099;
+   wire n_31100;
+   wire n_31101;
+   wire n_31102;
+   wire n_31103;
+   wire n_31104;
+   wire n_31105;
+   wire n_31106;
+   wire n_31107;
+   wire n_31108;
+   wire n_31109;
+   wire n_31110;
+   wire n_31111;
+   wire n_31112;
+   wire n_31113;
+   wire n_31114;
+   wire n_31115;
+   wire n_31116;
+   wire n_31117;
+   wire n_31118;
+   wire n_31119;
+   wire n_31120;
+   wire n_31121;
+   wire n_31122;
+   wire n_31123;
+   wire n_31124;
+   wire n_31125;
+   wire n_31126;
+   wire n_31127;
+   wire n_31128;
+   wire n_31129;
+   wire n_31130;
+   wire n_31131;
+   wire n_31132;
+   wire n_31133;
+   wire n_31134;
+   wire n_31135;
+   wire n_31137;
+   wire n_31138;
+   wire n_31139;
+   wire n_31140;
+   wire n_31141;
+   wire n_31142;
+   wire n_31143;
+   wire n_31144;
+   wire n_31145;
+   wire n_31146;
+   wire n_31147;
+   wire n_31148;
+   wire n_31149;
+   wire n_31150;
+   wire n_31151;
+   wire n_31152;
+   wire n_31153;
+   wire n_31154;
+   wire n_31155;
+   wire n_31156;
+   wire n_31157;
+   wire n_31158;
+   wire n_31159;
+   wire n_31160;
+   wire n_31161;
+   wire n_31162;
+   wire n_31163;
+   wire n_31164;
+   wire n_31165;
+   wire n_31166;
+   wire n_31170;
+   wire n_31172;
+   wire n_31173;
+   wire n_31175;
+   wire n_31176;
+   wire n_31177;
+   wire n_31178;
+   wire n_31179;
+   wire n_31180;
+   wire n_31181;
+   wire n_31182;
+   wire n_31183;
+   wire n_31184;
+   wire n_31185;
+   wire n_31186;
+   wire n_31187;
+   wire n_31188;
+   wire n_31189;
+   wire n_31190;
+   wire n_31191;
+   wire n_31192;
+   wire n_31193;
+   wire n_31194;
+   wire n_31195;
+   wire n_31196;
+   wire n_31197;
+   wire n_31198;
+   wire n_31199;
+   wire n_31201;
+   wire n_31204;
+   wire n_31205;
+   wire n_31206;
+   wire n_31207;
+   wire n_31208;
+   wire n_31209;
+   wire n_31210;
+   wire n_31211;
+   wire n_31212;
+   wire n_31213;
+   wire n_31214;
+   wire n_31215;
+   wire n_31216;
+   wire n_31217;
+   wire n_31218;
+   wire n_31219;
+   wire n_31220;
+   wire n_31221;
+   wire n_31222;
+   wire n_31223;
+   wire n_31224;
+   wire n_31225;
+   wire n_31226;
+   wire n_31227;
+   wire n_31228;
+   wire n_31229;
+   wire n_31230;
+   wire n_31231;
+   wire n_31232;
+   wire n_31233;
+   wire n_31234;
+   wire n_31235;
+   wire n_31236;
+   wire n_31237;
+   wire n_31239;
+   wire n_31240;
+   wire n_31241;
+   wire n_31242;
+   wire n_31243;
+   wire n_31244;
+   wire n_31245;
+   wire n_31246;
+   wire n_31247;
+   wire n_31248;
+   wire n_31249;
+   wire n_31250;
+   wire n_31251;
+   wire n_31252;
+   wire n_31253;
+   wire n_31254;
+   wire n_31255;
+   wire n_31256;
+   wire n_31257;
+   wire n_31258;
+   wire n_31259;
+   wire n_31260;
+   wire n_31261;
+   wire n_31262;
+   wire n_31263;
+   wire n_31264;
+   wire n_31265;
+   wire n_31266;
+   wire n_31267;
+   wire n_31268;
+   wire n_31269;
+   wire n_31270;
+   wire n_31271;
+   wire n_31272;
+   wire n_31273;
+   wire n_31274;
+   wire n_31275;
+   wire n_31276;
+   wire n_31277;
+   wire n_31278;
+   wire n_31279;
+   wire n_31280;
+   wire n_31281;
+   wire n_31282;
+   wire n_31283;
+   wire n_31284;
+   wire n_31285;
+   wire n_31286;
+   wire n_31287;
+   wire n_31288;
+   wire n_31289;
+   wire n_31290;
+   wire n_31291;
+   wire n_31292;
+   wire n_31293;
+   wire n_31294;
+   wire n_31295;
+   wire n_31296;
+   wire n_31297;
+   wire n_31298;
+   wire n_31299;
+   wire n_31300;
+   wire n_31301;
+   wire n_31302;
+   wire n_31303;
+   wire n_31304;
+   wire n_31305;
+   wire n_31306;
+   wire n_31307;
+   wire n_31308;
+   wire n_31309;
+   wire n_31310;
+   wire n_31311;
+   wire n_31312;
+   wire n_31313;
+   wire n_31314;
+   wire n_31315;
+   wire n_31316;
+   wire n_31317;
+   wire n_31318;
+   wire n_31319;
+   wire n_31320;
+   wire n_31321;
+   wire n_31322;
+   wire n_31323;
+   wire n_31324;
+   wire n_31325;
+   wire n_31326;
+   wire n_31327;
+   wire n_31328;
+   wire n_31329;
+   wire n_31330;
+   wire n_31331;
+   wire n_31332;
+   wire n_31333;
+   wire n_31334;
+   wire n_31335;
+   wire n_31336;
+   wire n_31337;
+   wire n_31338;
+   wire n_31342;
+   wire n_31343;
+   wire n_31344;
+   wire n_31345;
+   wire n_31346;
+   wire n_31347;
+   wire n_31348;
+   wire n_31349;
+   wire n_31350;
+   wire n_31351;
+   wire n_31352;
+   wire n_31353;
+   wire n_31354;
+   wire n_31355;
+   wire n_31356;
+   wire n_31357;
+   wire n_31358;
+   wire n_31359;
+   wire n_31360;
+   wire n_31361;
+   wire n_31362;
+   wire n_31363;
+   wire n_31364;
+   wire n_31365;
+   wire n_31366;
+   wire n_31367;
+   wire n_31368;
+   wire n_31369;
+   wire n_31370;
+   wire n_31371;
+   wire n_31372;
+   wire n_31373;
+   wire n_31374;
+   wire n_31375;
+   wire n_31376;
+   wire n_31377;
+   wire n_31378;
+   wire n_31379;
+   wire n_31380;
+   wire n_31381;
+   wire n_31382;
+   wire n_31383;
+   wire n_31384;
+   wire n_31385;
+   wire n_31386;
+   wire n_31387;
+   wire n_31388;
+   wire n_31389;
+   wire n_31390;
+   wire n_31391;
+   wire n_31392;
+   wire n_31393;
+   wire n_31394;
+   wire n_31395;
+   wire n_31396;
+   wire n_31397;
+   wire n_31398;
+   wire n_31399;
+   wire n_31400;
+   wire n_31401;
+   wire n_31402;
+   wire n_31403;
+   wire n_31404;
+   wire n_31405;
+   wire n_31406;
+   wire n_31407;
+   wire n_31408;
+   wire n_31410;
+   wire n_31411;
+   wire n_31412;
+   wire n_31413;
+   wire n_31414;
+   wire n_31415;
+   wire n_31416;
+   wire n_31417;
+   wire n_31418;
+   wire n_31419;
+   wire n_31420;
+   wire n_31421;
+   wire n_31422;
+   wire n_31423;
+   wire n_31424;
+   wire n_31425;
+   wire n_31426;
+   wire n_31428;
+   wire n_31430;
+   wire n_31431;
+   wire n_31432;
+   wire n_31433;
+   wire n_31434;
+   wire n_31435;
+   wire n_31437;
+   wire n_31438;
+   wire n_31440;
+   wire n_31441;
+   wire n_31442;
+   wire n_31443;
+   wire n_31444;
+   wire n_31445;
+   wire n_31446;
+   wire n_31447;
+   wire n_31448;
+   wire n_31449;
+   wire n_31450;
+   wire n_31451;
+   wire n_31452;
+   wire n_31453;
+   wire n_31454;
+   wire n_31455;
+   wire n_31456;
+   wire n_31457;
+   wire n_31459;
+   wire n_31460;
+   wire n_31461;
+   wire n_31462;
+   wire n_31463;
+   wire n_31464;
+   wire n_31465;
+   wire n_31466;
+   wire n_31467;
+   wire n_31468;
+   wire n_31469;
+   wire n_31470;
+   wire n_31471;
+   wire n_31472;
+   wire n_31473;
+   wire n_31474;
+   wire n_31475;
+   wire n_31476;
+   wire n_31477;
+   wire n_31478;
+   wire n_31479;
+   wire n_31480;
+   wire n_31481;
+   wire n_31482;
+   wire n_31484;
+   wire n_31485;
+   wire n_31486;
+   wire n_31487;
+   wire n_31488;
+   wire n_31489;
+   wire n_31490;
+   wire n_31491;
+   wire n_31492;
+   wire n_31493;
+   wire n_31494;
+   wire n_31495;
+   wire n_31496;
+   wire n_31497;
+   wire n_31498;
+   wire n_31499;
+   wire n_31500;
+   wire n_31501;
+   wire n_31502;
+   wire n_31503;
+   wire n_31504;
+   wire n_31505;
+   wire n_31506;
+   wire n_31507;
+   wire n_31508;
+   wire n_31509;
+   wire n_31510;
+   wire n_31511;
+   wire n_31512;
+   wire n_31513;
+   wire n_31514;
+   wire n_31515;
+   wire n_31516;
+   wire n_31517;
+   wire n_31519;
+   wire n_31520;
+   wire n_31521;
+   wire n_31522;
+   wire n_31523;
+   wire n_31524;
+   wire n_31525;
+   wire n_31526;
+   wire n_31527;
+   wire n_31528;
+   wire n_31529;
+   wire n_31530;
+   wire n_31531;
+   wire n_31532;
+   wire n_31533;
+   wire n_31534;
+   wire n_31535;
+   wire n_31536;
+   wire n_31537;
+   wire n_31538;
+   wire n_31539;
+   wire n_31540;
+   wire n_31541;
+   wire n_31542;
+   wire n_31544;
+   wire n_31546;
+   wire n_31550;
+   wire n_31551;
+   wire n_31552;
+   wire n_31553;
+   wire n_31554;
+   wire n_31555;
+   wire n_31556;
+   wire n_31557;
+   wire n_31558;
+   wire n_31559;
+   wire n_31560;
+   wire n_31561;
+   wire n_31562;
+   wire n_31564;
+   wire n_31565;
+   wire n_31566;
+   wire n_31567;
+   wire n_31568;
+   wire n_31569;
+   wire n_31570;
+   wire n_31571;
+   wire n_31572;
+   wire n_31573;
+   wire n_31574;
+   wire n_31575;
+   wire n_31576;
+   wire n_31577;
+   wire n_31578;
+   wire n_31579;
+   wire n_31580;
+   wire n_31581;
+   wire n_31582;
+   wire n_31583;
+   wire n_31584;
+   wire n_31585;
+   wire n_31586;
+   wire n_31587;
+   wire n_31588;
+   wire n_31589;
+   wire n_31590;
+   wire n_31592;
+   wire n_31593;
+   wire n_31594;
+   wire n_31595;
+   wire n_31596;
+   wire n_31597;
+   wire n_31598;
+   wire n_31599;
+   wire n_31600;
+   wire n_31601;
+   wire n_31602;
+   wire n_31603;
+   wire n_31604;
+   wire n_31605;
+   wire n_31606;
+   wire n_31607;
+   wire n_31608;
+   wire n_31609;
+   wire n_31610;
+   wire n_31611;
+   wire n_31612;
+   wire n_31613;
+   wire n_31614;
+   wire n_31615;
+   wire n_31616;
+   wire n_31617;
+   wire n_31618;
+   wire n_31619;
+   wire n_31620;
+   wire n_31621;
+   wire n_31622;
+   wire n_31623;
+   wire n_31624;
+   wire n_31625;
+   wire n_31626;
+   wire n_31627;
+   wire n_31628;
+   wire n_31629;
+   wire n_31630;
+   wire n_31631;
+   wire n_31632;
+   wire n_31633;
+   wire n_31634;
+   wire n_31635;
+   wire n_31636;
+   wire n_31637;
+   wire n_31638;
+   wire n_31639;
+   wire n_31641;
+   wire n_31642;
+   wire n_31643;
+   wire n_31644;
+   wire n_31645;
+   wire n_31646;
+   wire n_31647;
+   wire n_31648;
+   wire n_31649;
+   wire n_31650;
+   wire n_31651;
+   wire n_31652;
+   wire n_31653;
+   wire n_31654;
+   wire n_31655;
+   wire n_31656;
+   wire n_31657;
+   wire n_31658;
+   wire n_31659;
+   wire n_31660;
+   wire n_31661;
+   wire n_31662;
+   wire n_31664;
+   wire n_31665;
+   wire n_31666;
+   wire n_31667;
+   wire n_31670;
+   wire n_31672;
+   wire n_31673;
+   wire n_31678;
+   wire n_31680;
+   wire n_31681;
+   wire n_31682;
+   wire n_31683;
+   wire n_31684;
+   wire n_31688;
+   wire n_31689;
+   wire n_31690;
+   wire n_31693;
+   wire n_31696;
+   wire n_31697;
+   wire n_31698;
+   wire n_31699;
+   wire n_31700;
+   wire n_31701;
+   wire n_31702;
+   wire n_31703;
+   wire n_31704;
+   wire n_31705;
+   wire n_31706;
+   wire n_31707;
+   wire n_31714;
+   wire n_31715;
+   wire n_31716;
+   wire n_31717;
+   wire n_31718;
+   wire n_31719;
+   wire n_31720;
+   wire n_31721;
+   wire n_31722;
+   wire n_31723;
+   wire n_31725;
+   wire n_31726;
+   wire n_31727;
+   wire n_31728;
+   wire n_31729;
+   wire n_31730;
+   wire n_31732;
+   wire n_31733;
+   wire n_31734;
+   wire n_31735;
+   wire n_31736;
+   wire n_31737;
+   wire n_31738;
+   wire n_31741;
+   wire n_31742;
+   wire n_31743;
+   wire n_31744;
+   wire n_31745;
+   wire n_31748;
+   wire n_31749;
+   wire n_31750;
+   wire n_31751;
+   wire n_31752;
+   wire n_31753;
+   wire n_31754;
+   wire n_31755;
+   wire n_31756;
+   wire n_31757;
+   wire n_31758;
+   wire n_31759;
+   wire n_31761;
+   wire n_31763;
+   wire n_31764;
+   wire n_31767;
+   wire n_31768;
+   wire n_31769;
+   wire n_31770;
+   wire n_31771;
+   wire n_31772;
+   wire n_31773;
+   wire n_31774;
+   wire n_31775;
+   wire n_31776;
+   wire n_31777;
+   wire n_31778;
+   wire n_31779;
+   wire n_31780;
+   wire n_31781;
+   wire n_31782;
+   wire n_31783;
+   wire n_31784;
+   wire n_31785;
+   wire n_31786;
+   wire n_31787;
+   wire n_31788;
+   wire n_31789;
+   wire n_31792;
+   wire n_31793;
+   wire n_31794;
+   wire n_31795;
+   wire n_31796;
+   wire n_31797;
+   wire n_31798;
+   wire n_31799;
+   wire n_31800;
+   wire n_31801;
+   wire n_31802;
+   wire n_31803;
+   wire n_31804;
+   wire n_31805;
+   wire n_31806;
+   wire n_31807;
+   wire n_31808;
+   wire n_31809;
+   wire n_31810;
+   wire n_31811;
+   wire n_31812;
+   wire n_31813;
+   wire n_31814;
+   wire n_31816;
+   wire n_31817;
+   wire n_31818;
+   wire n_31819;
+   wire n_31820;
+   wire n_31822;
+   wire n_31823;
+   wire n_31824;
+   wire n_31825;
+   wire n_31826;
+   wire n_31827;
+   wire n_31828;
+   wire n_31829;
+   wire n_31830;
+   wire n_31831;
+   wire n_31832;
+   wire n_31833;
+   wire n_31834;
+   wire n_31835;
+   wire n_31836;
+   wire n_31837;
+   wire n_31838;
+   wire n_31839;
+   wire n_31840;
+   wire n_31841;
+   wire n_31842;
+   wire n_31843;
+   wire n_31844;
+   wire n_31845;
+   wire n_31846;
+   wire n_31847;
+   wire n_31848;
+   wire n_31849;
+   wire n_31850;
+   wire n_31851;
+   wire n_31852;
+   wire n_31853;
+   wire n_31854;
+   wire n_31855;
+   wire n_31856;
+   wire n_31857;
+   wire n_31858;
+   wire n_31859;
+   wire n_31860;
+   wire n_31861;
+   wire n_31862;
+   wire n_31863;
+   wire n_31864;
+   wire n_31865;
+   wire n_31866;
+   wire n_31867;
+   wire n_31868;
+   wire n_31869;
+   wire n_31870;
+   wire n_31871;
+   wire n_31872;
+   wire n_31873;
+   wire n_31874;
+   wire n_31875;
+   wire n_31876;
+   wire n_31877;
+   wire n_31878;
+   wire n_31879;
+   wire n_31880;
+   wire n_31881;
+   wire n_31882;
+   wire n_31883;
+   wire n_31884;
+   wire n_31885;
+   wire n_31886;
+   wire n_31887;
+   wire n_31888;
+   wire n_31889;
+   wire n_31890;
+   wire n_31891;
+   wire n_31892;
+   wire n_31893;
+   wire n_31894;
+   wire n_31895;
+   wire n_31896;
+   wire n_31897;
+   wire n_31898;
+   wire n_31899;
+   wire n_31900;
+   wire n_31901;
+   wire n_31902;
+   wire n_31903;
+   wire n_31904;
+   wire n_31905;
+   wire n_31906;
+   wire n_31907;
+   wire n_31908;
+   wire n_31909;
+   wire n_31910;
+   wire n_31911;
+   wire n_31913;
+   wire n_31915;
+   wire n_31916;
+   wire n_31917;
+   wire n_31918;
+   wire n_31919;
+   wire n_31920;
+   wire n_31921;
+   wire n_31922;
+   wire n_31923;
+   wire n_31924;
+   wire n_31925;
+   wire n_31928;
+   wire n_31929;
+   wire n_31930;
+   wire n_31931;
+   wire n_31932;
+   wire n_31933;
+   wire n_31934;
+   wire n_31935;
+   wire n_31936;
+   wire n_31937;
+   wire n_31938;
+   wire n_31939;
+   wire n_31941;
+   wire n_31942;
+   wire n_31943;
+   wire n_31944;
+   wire n_31945;
+   wire n_31946;
+   wire n_31947;
+   wire n_31948;
+   wire n_31949;
+   wire n_31950;
+   wire n_31951;
+   wire n_31952;
+   wire n_31953;
+   wire n_31954;
+   wire n_31955;
+   wire n_31956;
+   wire n_31957;
+   wire n_31958;
+   wire n_31959;
+   wire n_31960;
+   wire n_31961;
+   wire n_31962;
+   wire n_31963;
+   wire n_31964;
+   wire n_31965;
+   wire n_31966;
+   wire n_31967;
+   wire n_31968;
+   wire n_31969;
+   wire n_31970;
+   wire n_31971;
+   wire n_31972;
+   wire n_31973;
+   wire n_31974;
+   wire n_31975;
+   wire n_31976;
+   wire n_31977;
+   wire n_31978;
+   wire n_31979;
+   wire n_31980;
+   wire n_31981;
+   wire n_31982;
+   wire n_31983;
+   wire n_31984;
+   wire n_31985;
+   wire n_31986;
+   wire n_31987;
+   wire n_31988;
+   wire n_31989;
+   wire n_31990;
+   wire n_31991;
+   wire n_31992;
+   wire n_31993;
+   wire n_31994;
+   wire n_31995;
+   wire n_31996;
+   wire n_31997;
+   wire n_31998;
+   wire n_31999;
+   wire n_32000;
+   wire n_32001;
+   wire n_32002;
+   wire n_32003;
+   wire n_32005;
+   wire n_32006;
+   wire n_32007;
+   wire n_32008;
+   wire n_32009;
+   wire n_32010;
+   wire n_32011;
+   wire n_32012;
+   wire n_32013;
+   wire n_32014;
+   wire n_32015;
+   wire n_32016;
+   wire n_32017;
+   wire n_32018;
+   wire n_32019;
+   wire n_32020;
+   wire n_32021;
+   wire n_32022;
+   wire n_32023;
+   wire n_32024;
+   wire n_32025;
+   wire n_32026;
+   wire n_32028;
+   wire n_32030;
+   wire n_32031;
+   wire n_32032;
+   wire n_32033;
+   wire n_32034;
+   wire n_32035;
+   wire n_32036;
+   wire n_32037;
+   wire n_32038;
+   wire n_32039;
+   wire n_32040;
+   wire n_32041;
+   wire n_32042;
+   wire n_32043;
+   wire n_32044;
+   wire n_32045;
+   wire n_32046;
+   wire n_32047;
+   wire n_32048;
+   wire n_32049;
+   wire n_32050;
+   wire n_32051;
+   wire n_32052;
+   wire n_32053;
+   wire n_32054;
+   wire n_32055;
+   wire n_32056;
+   wire n_32057;
+   wire n_32058;
+   wire n_32059;
+   wire n_32060;
+   wire n_32061;
+   wire n_32062;
+   wire n_32063;
+   wire n_32064;
+   wire n_32065;
+   wire n_32066;
+   wire n_32067;
+   wire n_32068;
+   wire n_32069;
+   wire n_32070;
+   wire n_32071;
+   wire n_32072;
+   wire n_32073;
+   wire n_32074;
+   wire n_32075;
+   wire n_32076;
+   wire n_32077;
+   wire n_32078;
+   wire n_32079;
+   wire n_32080;
+   wire n_32081;
+   wire n_32082;
+   wire n_32083;
+   wire n_32084;
+   wire n_32085;
+   wire n_32086;
+   wire n_32087;
+   wire n_32088;
+   wire n_32089;
+   wire n_32090;
+   wire n_32091;
+   wire n_32093;
+   wire n_32094;
+   wire n_32095;
+   wire n_32096;
+   wire n_32097;
+   wire n_32098;
+   wire n_32099;
+   wire n_32100;
+   wire n_32101;
+   wire n_32102;
+   wire n_32103;
+   wire n_32104;
+   wire n_32105;
+   wire n_32106;
+   wire n_32107;
+   wire n_32108;
+   wire n_32109;
+   wire n_32110;
+   wire n_32111;
+   wire n_32112;
+   wire n_32113;
+   wire n_32114;
+   wire n_32115;
+   wire n_32116;
+   wire n_32117;
+   wire n_32118;
+   wire n_32119;
+   wire n_32120;
+   wire n_32121;
+   wire n_32122;
+   wire n_32124;
+   wire n_32125;
+   wire n_32126;
+   wire n_32127;
+   wire n_32128;
+   wire n_32129;
+   wire n_32130;
+   wire n_32131;
+   wire n_32132;
+   wire n_32133;
+   wire n_32134;
+   wire n_32135;
+   wire n_32136;
+   wire n_32137;
+   wire n_32138;
+   wire n_32139;
+   wire n_32140;
+   wire n_32141;
+   wire n_32142;
+   wire n_32143;
+   wire n_32144;
+   wire n_32145;
+   wire n_32146;
+   wire n_32147;
+   wire n_32148;
+   wire n_32149;
+   wire n_32150;
+   wire n_32151;
+   wire n_32152;
+   wire n_32153;
+   wire n_32154;
+   wire n_32155;
+   wire n_32156;
+   wire n_32157;
+   wire n_32158;
+   wire n_32159;
+   wire n_32160;
+   wire n_32161;
+   wire n_32162;
+   wire n_32163;
+   wire n_32164;
+   wire n_32169;
+   wire n_32170;
+   wire n_32171;
+   wire n_32172;
+   wire n_32173;
+   wire n_32174;
+   wire n_32175;
+   wire n_32176;
+   wire n_32177;
+   wire n_32178;
+   wire n_32179;
+   wire n_32180;
+   wire n_32181;
+   wire n_32182;
+   wire n_32183;
+   wire n_32184;
+   wire n_32185;
+   wire n_32186;
+   wire n_32187;
+   wire n_32188;
+   wire n_32189;
+   wire n_32190;
+   wire n_32191;
+   wire n_32192;
+   wire n_32193;
+   wire n_32194;
+   wire n_32195;
+   wire n_32196;
+   wire n_32197;
+   wire n_32198;
+   wire n_32199;
+   wire n_32200;
+   wire n_32201;
+   wire n_32202;
+   wire n_32203;
+   wire n_32204;
+   wire n_32205;
+   wire n_32206;
+   wire n_32207;
+   wire n_32208;
+   wire n_32209;
+   wire n_32210;
+   wire n_32211;
+   wire n_32212;
+   wire n_32213;
+   wire n_32214;
+   wire n_32215;
+   wire n_32216;
+   wire n_32217;
+   wire n_32218;
+   wire n_32219;
+   wire n_32220;
+   wire n_32221;
+   wire n_32222;
+   wire n_32223;
+   wire n_32224;
+   wire n_32225;
+   wire n_32226;
+   wire n_32227;
+   wire n_32228;
+   wire n_32229;
+   wire n_32230;
+   wire n_32231;
+   wire n_32232;
+   wire n_32233;
+   wire n_32234;
+   wire n_32235;
+   wire n_32236;
+   wire n_32237;
+   wire n_32238;
+   wire n_32239;
+   wire n_32240;
+   wire n_32241;
+   wire n_32242;
+   wire n_32246;
+   wire n_32247;
+   wire n_32248;
+   wire n_32249;
+   wire n_32251;
+   wire n_32252;
+   wire n_32253;
+   wire n_32254;
+   wire n_32255;
+   wire n_32256;
+   wire n_32257;
+   wire n_32258;
+   wire n_32259;
+   wire n_32260;
+   wire n_32261;
+   wire n_32262;
+   wire n_32263;
+   wire n_32264;
+   wire n_32265;
+   wire n_32266;
+   wire n_32267;
+   wire n_32268;
+   wire n_32269;
+   wire n_32270;
+   wire n_32271;
+   wire n_32272;
+   wire n_32273;
+   wire n_32274;
+   wire n_32275;
+   wire n_32276;
+   wire n_32277;
+   wire n_32278;
+   wire n_32279;
+   wire n_32280;
+   wire n_32281;
+   wire n_32282;
+   wire n_32283;
+   wire n_32284;
+   wire n_32285;
+   wire n_32286;
+   wire n_32287;
+   wire n_32288;
+   wire n_32289;
+   wire n_32290;
+   wire n_32291;
+   wire n_32292;
+   wire n_32293;
+   wire n_32294;
+   wire n_32295;
+   wire n_32296;
+   wire n_32297;
+   wire n_32298;
+   wire n_32299;
+   wire n_32300;
+   wire n_32301;
+   wire n_32302;
+   wire n_32303;
+   wire n_32304;
+   wire n_32305;
+   wire n_32306;
+   wire n_32307;
+   wire n_32308;
+   wire n_32309;
+   wire n_32310;
+   wire n_32311;
+   wire n_32312;
+   wire n_32313;
+   wire n_32315;
+   wire n_32316;
+   wire n_32317;
+   wire n_32318;
+   wire n_32319;
+   wire n_32320;
+   wire n_32321;
+   wire n_32322;
+   wire n_32323;
+   wire n_32324;
+   wire n_32325;
+   wire n_32326;
+   wire n_32327;
+   wire n_32328;
+   wire n_32329;
+   wire n_32330;
+   wire n_32331;
+   wire n_32332;
+   wire n_32333;
+   wire n_32336;
+   wire n_32338;
+   wire n_32339;
+   wire n_32340;
+   wire n_32341;
+   wire n_32342;
+   wire n_32343;
+   wire n_32344;
+   wire n_32345;
+   wire n_32346;
+   wire n_32347;
+   wire n_32348;
+   wire n_32349;
+   wire n_32350;
+   wire n_32351;
+   wire n_32352;
+   wire n_32353;
+   wire n_32354;
+   wire n_32355;
+   wire n_32356;
+   wire n_32357;
+   wire n_32358;
+   wire n_32359;
+   wire n_32360;
+   wire n_32361;
+   wire n_32362;
+   wire n_32363;
+   wire n_32364;
+   wire n_32365;
+   wire n_32366;
+   wire n_32367;
+   wire n_32368;
+   wire n_32369;
+   wire n_32370;
+   wire n_32371;
+   wire n_32372;
+   wire n_32373;
+   wire n_32374;
+   wire n_32375;
+   wire n_32376;
+   wire n_32377;
+   wire n_32378;
+   wire n_32379;
+   wire n_32380;
+   wire n_32381;
+   wire n_32383;
+   wire n_32384;
+   wire n_32385;
+   wire n_32386;
+   wire n_32387;
+   wire n_32388;
+   wire n_32389;
+   wire n_32390;
+   wire n_32391;
+   wire n_32392;
+   wire n_32395;
+   wire n_32396;
+   wire n_32397;
+   wire n_32398;
+   wire n_32399;
+   wire n_32400;
+   wire n_32401;
+   wire n_32402;
+   wire n_32403;
+   wire n_32404;
+   wire n_32406;
+   wire n_32408;
+   wire n_32409;
+   wire n_32410;
+   wire n_32411;
+   wire n_32412;
+   wire n_32413;
+   wire n_32414;
+   wire n_32415;
+   wire n_32416;
+   wire n_32417;
+   wire n_32418;
+   wire n_32419;
+   wire n_32420;
+   wire n_32421;
+   wire n_32422;
+   wire n_32423;
+   wire n_32424;
+   wire n_32425;
+   wire n_32426;
+   wire n_32427;
+   wire n_32428;
+   wire n_32429;
+   wire n_32430;
+   wire n_32431;
+   wire n_32432;
+   wire n_32434;
+   wire n_32435;
+   wire n_32436;
+   wire n_32437;
+   wire n_32438;
+   wire n_32439;
+   wire n_32440;
+   wire n_32441;
+   wire n_32442;
+   wire n_32443;
+   wire n_32444;
+   wire n_32445;
+   wire n_32446;
+   wire n_32447;
+   wire n_32448;
+   wire n_32449;
+   wire n_32451;
+   wire n_32452;
+   wire n_32453;
+   wire n_32454;
+   wire n_32455;
+   wire n_32456;
+   wire n_32457;
+   wire n_32458;
+   wire n_32459;
+   wire n_32460;
+   wire n_32461;
+   wire n_32462;
+   wire n_32464;
+   wire n_32465;
+   wire n_32466;
+   wire n_32467;
+   wire n_32469;
+   wire n_32470;
+   wire n_32471;
+   wire n_32473;
+   wire n_32475;
+   wire n_32476;
+   wire n_32477;
+   wire n_32478;
+   wire n_32479;
+   wire n_32481;
+   wire n_32482;
+   wire n_32483;
+   wire n_32484;
+   wire n_32485;
+   wire n_32486;
+   wire n_32487;
+   wire n_32488;
+   wire n_32489;
+   wire n_32490;
+   wire n_32491;
+   wire n_32492;
+   wire n_32493;
+   wire n_32494;
+   wire n_32495;
+   wire n_32498;
+   wire n_32500;
+   wire n_32501;
+   wire n_32502;
+   wire n_32503;
+   wire n_32505;
+   wire n_32506;
+   wire n_32507;
+   wire n_32508;
+   wire n_32513;
+   wire n_32520;
+   wire n_32521;
+   wire n_32522;
+   wire n_32523;
+   wire n_32526;
+   wire n_32527;
+   wire n_32528;
+   wire n_32529;
+   wire n_32530;
+   wire n_32531;
+   wire n_32532;
+   wire n_32533;
+   wire n_32534;
+   wire n_32535;
+   wire n_32536;
+   wire n_32537;
+   wire n_32538;
+   wire n_32539;
+   wire n_32540;
+   wire n_32541;
+   wire n_32542;
+   wire n_32543;
+   wire n_32544;
+   wire n_32545;
+   wire n_32546;
+   wire n_32547;
+   wire n_32548;
+   wire n_32549;
+   wire n_32550;
+   wire n_32551;
+   wire n_32552;
+   wire n_32553;
+   wire n_32554;
+   wire n_32555;
+   wire n_32556;
+   wire n_32557;
+   wire n_32558;
+   wire n_32559;
+   wire n_32560;
+   wire n_32561;
+   wire n_32562;
+   wire n_32563;
+   wire n_32564;
+   wire n_32565;
+   wire n_32566;
+   wire n_32567;
+   wire n_32568;
+   wire n_32569;
+   wire n_32570;
+   wire n_32571;
+   wire n_32572;
+   wire n_32573;
+   wire n_32574;
+   wire n_32575;
+   wire n_32576;
+   wire n_32577;
+   wire n_32578;
+   wire n_32579;
+   wire n_32580;
+   wire n_32581;
+   wire n_32582;
+   wire n_32583;
+   wire n_32584;
+   wire n_32585;
+   wire n_32586;
+   wire n_32587;
+   wire n_32588;
+   wire n_32589;
+   wire n_32590;
+   wire n_32591;
+   wire n_32592;
+   wire n_32593;
+   wire n_32594;
+   wire n_32595;
+   wire n_32596;
+   wire n_32597;
+   wire n_32598;
+   wire n_32599;
+   wire n_32600;
+   wire n_32601;
+   wire n_32602;
+   wire n_32603;
+   wire n_32604;
+   wire n_32605;
+   wire n_32606;
+   wire n_32607;
+   wire n_32608;
+   wire n_32609;
+   wire n_32610;
+   wire n_32611;
+   wire n_32612;
+   wire n_32613;
+   wire n_32614;
+   wire n_32615;
+   wire n_32616;
+   wire n_32617;
+   wire n_32618;
+   wire n_32619;
+   wire n_32620;
+   wire n_32621;
+   wire n_32622;
+   wire n_32623;
+   wire n_32624;
+   wire n_32625;
+   wire n_32626;
+   wire n_32627;
+   wire n_32628;
+   wire n_32629;
+   wire n_32630;
+   wire n_32631;
+   wire n_32632;
+   wire n_32633;
+   wire n_32634;
+   wire n_32635;
+   wire n_32636;
+   wire n_32637;
+   wire n_32638;
+   wire n_32639;
+   wire n_32640;
+   wire n_32641;
+   wire n_32642;
+   wire n_32643;
+   wire n_32644;
+   wire n_32645;
+   wire n_32646;
+   wire n_32647;
+   wire n_32648;
+   wire n_32649;
+   wire n_32650;
+   wire n_32651;
+   wire n_32652;
+   wire n_32653;
+   wire n_32654;
+   wire n_32655;
+   wire n_32656;
+   wire n_32657;
+   wire n_32658;
+   wire n_32659;
+   wire n_32660;
+   wire n_32661;
+   wire n_32662;
+   wire n_32663;
+   wire n_32664;
+   wire n_32665;
+   wire n_32666;
+   wire n_32667;
+   wire n_32668;
+   wire n_32669;
+   wire n_32670;
+   wire n_32671;
+   wire n_32672;
+   wire n_32673;
+   wire n_32674;
+   wire n_32675;
+   wire n_32676;
+   wire n_32677;
+   wire n_32678;
+   wire n_32679;
+   wire n_32680;
+   wire n_32681;
+   wire n_32682;
+   wire n_32683;
+   wire n_32684;
+   wire n_32685;
+   wire n_32686;
+   wire n_32687;
+   wire n_32688;
+   wire n_32689;
+   wire n_32690;
+   wire n_32693;
+   wire n_32696;
+   wire n_32698;
+   wire n_32700;
+   wire n_32701;
+   wire n_32702;
+   wire n_32703;
+   wire n_32704;
+   wire n_32705;
+   wire n_32706;
+   wire n_32707;
+   wire n_32710;
+   wire n_32712;
+   wire n_32713;
+   wire n_32714;
+   wire n_32716;
+   wire n_32718;
+   wire n_32719;
+   wire n_32720;
+   wire n_32721;
+   wire n_32722;
+   wire n_32723;
+   wire n_32724;
+   wire n_32725;
+   wire n_32726;
+   wire n_32727;
+   wire n_32728;
+   wire n_32729;
+   wire n_32730;
+   wire n_32731;
+   wire n_32734;
+   wire n_32736;
+   wire n_32737;
+   wire n_32738;
+   wire n_32739;
+   wire n_32740;
+   wire n_32741;
+   wire n_32742;
+   wire n_32743;
+   wire n_32744;
+   wire n_32747;
+   wire n_32748;
+   wire n_32749;
+   wire n_32750;
+   wire n_32752;
+   wire n_32753;
+   wire n_32754;
+   wire n_32755;
+   wire n_32786;
+   wire n_32787;
+   wire n_32788;
+   wire n_32789;
+   wire n_32790;
+   wire n_32791;
+   wire n_32792;
+   wire n_32793;
+   wire n_32794;
+   wire n_32795;
+   wire n_32796;
+   wire n_32797;
+   wire n_32798;
+   wire n_32799;
+   wire n_32800;
+   wire n_32801;
+   wire n_32802;
+   wire n_32803;
+   wire n_32804;
+   wire n_32805;
+   wire n_32806;
+   wire n_32807;
+   wire n_32808;
+   wire n_32809;
+   wire n_32810;
+   wire n_32811;
+   wire n_32812;
+   wire n_32813;
+   wire n_32814;
+   wire n_32815;
+   wire n_32816;
+   wire n_32817;
+   wire n_32818;
+   wire n_32819;
+   wire n_32820;
+   wire n_32821;
+   wire n_32822;
+   wire n_32823;
+   wire n_32824;
+   wire n_32825;
+   wire n_32826;
+   wire n_32827;
+   wire n_32828;
+   wire n_32829;
+   wire n_32830;
+   wire n_32831;
+   wire n_32832;
+   wire n_32833;
+   wire n_32834;
+   wire n_32835;
+   wire n_32836;
+   wire n_32837;
+   wire n_32838;
+   wire n_32839;
+   wire n_32840;
+   wire n_32841;
+   wire n_32842;
+   wire n_32843;
+   wire n_32844;
+   wire n_32845;
+   wire n_32846;
+   wire n_32847;
+   wire n_32848;
+   wire n_32849;
+   wire n_32850;
+   wire n_32851;
+   wire n_32852;
+   wire n_32853;
+   wire n_32854;
+   wire n_32855;
+   wire n_32856;
+   wire n_32857;
+   wire n_32858;
+   wire n_32859;
+   wire n_32860;
+   wire n_32861;
+   wire n_32862;
+   wire n_32863;
+   wire n_32864;
+   wire n_32865;
+   wire n_32866;
+   wire n_32867;
+   wire n_32868;
+   wire n_32869;
+   wire n_32870;
+   wire n_32871;
+   wire n_32872;
+   wire n_32873;
+   wire n_32874;
+   wire n_32875;
+   wire n_32876;
+   wire n_32877;
+   wire n_32878;
+   wire n_32879;
+   wire n_32880;
+   wire n_32881;
+   wire n_32882;
+   wire n_32883;
+   wire n_32884;
+   wire n_32885;
+   wire n_32886;
+   wire n_32887;
+   wire n_32888;
+   wire n_32889;
+   wire n_32890;
+   wire n_32891;
+   wire n_32892;
+   wire n_32893;
+   wire n_32894;
+   wire n_32895;
+   wire n_32896;
+   wire n_32897;
+   wire n_32898;
+   wire n_32899;
+   wire n_32908;
+   wire n_32909;
+   wire n_32910;
+   wire n_32911;
+   wire n_32913;
+   wire n_32914;
+   wire n_32915;
+   wire n_32916;
+   wire n_32918;
+   wire n_32919;
+   wire n_32920;
+   wire n_32921;
+   wire n_32922;
+   wire n_32923;
+   wire n_32924;
+   wire n_32925;
+   wire n_32926;
+   wire n_32927;
+   wire n_32929;
+   wire n_32930;
+   wire n_32932;
+   wire n_32933;
+   wire n_32934;
+   wire n_32935;
+   wire n_32936;
+   wire n_32937;
+   wire n_32938;
+   wire n_32939;
+   wire n_32940;
+   wire n_32941;
+   wire n_32942;
+   wire n_32943;
+   wire n_32944;
+   wire n_32945;
+   wire n_32946;
+   wire n_32947;
+   wire n_32949;
+   wire n_32955;
+   wire n_32956;
+   wire n_32958;
+   wire n_32959;
+   wire n_32960;
+   wire n_32961;
+   wire n_32962;
+   wire n_32963;
+   wire n_32964;
+   wire n_32965;
+   wire n_32966;
+   wire n_32967;
+   wire n_32968;
+   wire n_32969;
+   wire n_32970;
+   wire n_32971;
+   wire n_32972;
+   wire n_32973;
+   wire n_32974;
+   wire n_32978;
+   wire n_32979;
+   wire n_32980;
+   wire n_32981;
+   wire n_32982;
+   wire n_32984;
+   wire n_32985;
+   wire n_32986;
+   wire n_32987;
+   wire n_32988;
+   wire n_32989;
+   wire n_32990;
+   wire n_32991;
+   wire n_32992;
+   wire n_32993;
+   wire n_32994;
+   wire n_32995;
+   wire n_32996;
+   wire n_32997;
+   wire n_32998;
+   wire n_32999;
+   wire n_33000;
+   wire n_33001;
+   wire n_33002;
+   wire n_33003;
+   wire n_33004;
+   wire n_33005;
+   wire n_33006;
+   wire n_33007;
+   wire n_33008;
+   wire n_33009;
+   wire n_33010;
+   wire n_33012;
+   wire n_33013;
+   wire n_33014;
+   wire n_33017;
+   wire n_33021;
+   wire n_33022;
+   wire n_33023;
+   wire n_33024;
+   wire n_33025;
+   wire n_33026;
+   wire n_33027;
+   wire n_33030;
+   wire n_33031;
+   wire n_33032;
+   wire n_33033;
+   wire n_33034;
+   wire n_33035;
+   wire n_33036;
+   wire n_33037;
+   wire n_33038;
+   wire n_33039;
+   wire n_33043;
+   wire n_33044;
+   wire n_33045;
+   wire n_33046;
+   wire n_33048;
+   wire n_33122;
+   wire n_33126;
+   wire n_33127;
+   wire n_33128;
+   wire n_33139;
+   wire n_33140;
+   wire n_33141;
+   wire n_33142;
+   wire n_33151;
+   wire n_33272;
+   wire n_33295;
+   wire n_33298;
+   wire n_33299;
+   wire n_33300;
+   wire n_33301;
+   wire n_33302;
+   wire n_33303;
+   wire n_33304;
+   wire n_33305;
+   wire n_33309;
+   wire n_33310;
+   wire n_33311;
+   wire n_33312;
+   wire n_33314;
+   wire n_33315;
+   wire n_33316;
+   wire n_33317;
+   wire n_33318;
+   wire n_33319;
+   wire n_33320;
+   wire n_33321;
+   wire n_33322;
+   wire n_33324;
+   wire n_33325;
+   wire n_33326;
+   wire n_33327;
+   wire n_33328;
+   wire n_33330;
+   wire n_33331;
+   wire n_33332;
+   wire n_33333;
+   wire n_33334;
+   wire n_33335;
+   wire n_33336;
+   wire n_33337;
+   wire n_33338;
+   wire n_33339;
+   wire n_33340;
+   wire n_33341;
+   wire n_33342;
+   wire n_33343;
+   wire n_33344;
+   wire n_33345;
+   wire n_33346;
+   wire n_33347;
+   wire n_33348;
+   wire n_33349;
+   wire n_33350;
+   wire n_33351;
+   wire n_33352;
+   wire n_33353;
+   wire n_33354;
+   wire n_33355;
+   wire n_33356;
+   wire n_33357;
+   wire n_33358;
+   wire n_33359;
+   wire n_33360;
+   wire n_33361;
+   wire n_33362;
+   wire n_33363;
+   wire n_33364;
+   wire n_33365;
+   wire n_33366;
+   wire n_33367;
+   wire n_33368;
+   wire n_33369;
+   wire n_33370;
+   wire n_33371;
+   wire n_33372;
+   wire n_33373;
+   wire n_33374;
+   wire n_33375;
+   wire n_33376;
+   wire n_33377;
+   wire n_33378;
+   wire n_33379;
+   wire n_33380;
+   wire n_33381;
+   wire n_33382;
+   wire n_33383;
+   wire n_33384;
+   wire n_33385;
+   wire n_33386;
+   wire n_33387;
+   wire n_33388;
+   wire n_33389;
+   wire n_33390;
+   wire n_33391;
+   wire n_33392;
+   wire n_33393;
+   wire n_33394;
+   wire n_33395;
+   wire n_33396;
+   wire n_33397;
+   wire n_33398;
+   wire n_33399;
+   wire n_33400;
+   wire n_33401;
+   wire n_33402;
+   wire n_33403;
+   wire n_33404;
+   wire n_33405;
+   wire n_33406;
+   wire n_33407;
+   wire n_33408;
+   wire n_33409;
+   wire n_33410;
+   wire n_33411;
+   wire n_33412;
+   wire n_33413;
+   wire n_33414;
+   wire n_33415;
+   wire n_33416;
+   wire n_33417;
+   wire n_33418;
+   wire n_33419;
+   wire n_33420;
+   wire n_33421;
+   wire n_33422;
+   wire n_33423;
+   wire n_33424;
+   wire n_33425;
+   wire n_33426;
+   wire n_33427;
+   wire n_33428;
+   wire n_33429;
+   wire n_33430;
+   wire n_33431;
+   wire n_33432;
+   wire n_33433;
+   wire n_33434;
+   wire n_33435;
+   wire n_33436;
+   wire n_33437;
+   wire n_33438;
+   wire n_33439;
+   wire n_33440;
+   wire n_33441;
+   wire n_33442;
+   wire n_33443;
+   wire n_33444;
+   wire n_33445;
+   wire n_33446;
+   wire n_33447;
+   wire n_33448;
+   wire n_33449;
+   wire n_33450;
+   wire n_33451;
+   wire n_33452;
+   wire n_33453;
+   wire n_33454;
+   wire n_33455;
+   wire n_33456;
+   wire n_33457;
+   wire n_33458;
+   wire n_33459;
+   wire n_33460;
+   wire n_33461;
+   wire n_33462;
+   wire n_33463;
+   wire n_33464;
+   wire n_33465;
+   wire n_33466;
+   wire n_33467;
+   wire n_33468;
+   wire n_33469;
+   wire n_33470;
+   wire n_33471;
+   wire n_33472;
+   wire n_33473;
+   wire n_33474;
+   wire n_33475;
+   wire n_33476;
+   wire n_33477;
+   wire n_33478;
+   wire n_33479;
+   wire n_33480;
+   wire n_33481;
+   wire n_33482;
+   wire n_33483;
+   wire n_33484;
+   wire n_33485;
+   wire n_33486;
+   wire n_33487;
+   wire n_33488;
+   wire n_33489;
+   wire n_33490;
+   wire n_33491;
+   wire n_33492;
+   wire n_33493;
+   wire n_33494;
+   wire n_33495;
+   wire n_33496;
+   wire n_33497;
+   wire n_33498;
+   wire n_33499;
+   wire n_33500;
+   wire n_33501;
+   wire n_33502;
+   wire n_33503;
+   wire n_33504;
+   wire n_33505;
+   wire n_33506;
+   wire n_33507;
+   wire n_33508;
+   wire n_33509;
+   wire n_33510;
+   wire n_33511;
+   wire n_33512;
+   wire n_33513;
+   wire n_33514;
+   wire n_33515;
+   wire n_33516;
+   wire n_33517;
+   wire n_33518;
+   wire n_33519;
+   wire n_33520;
+   wire n_33521;
+   wire n_33522;
+   wire n_33523;
+   wire n_33524;
+   wire n_33525;
+   wire n_33526;
+   wire n_33527;
+   wire n_33528;
+   wire n_33529;
+   wire n_33530;
+   wire n_33531;
+   wire n_33532;
+   wire n_33533;
+   wire n_33534;
+   wire n_33535;
+   wire n_33536;
+   wire n_33537;
+   wire n_33538;
+   wire n_33539;
+   wire n_33540;
+   wire n_33541;
+   wire n_33542;
+   wire n_33543;
+   wire n_33544;
+   wire n_33545;
+   wire n_33546;
+   wire n_33547;
+   wire n_33548;
+   wire n_33549;
+   wire n_33550;
+   wire n_33551;
+   wire n_33552;
+   wire n_33553;
+   wire n_33554;
+   wire n_33555;
+   wire n_33556;
+   wire n_33557;
+   wire n_33558;
+   wire n_33559;
+   wire n_33560;
+   wire n_33561;
+   wire n_33562;
+   wire n_33563;
+   wire n_33564;
+   wire n_33565;
+   wire n_33566;
+   wire n_33567;
+   wire n_33568;
+   wire n_33569;
+   wire n_33570;
+   wire n_33571;
+   wire n_33572;
+   wire n_33573;
+   wire n_33574;
+   wire n_33575;
+   wire n_33576;
+   wire n_33577;
+   wire n_33578;
+   wire n_33579;
+   wire n_33580;
+   wire n_33581;
+   wire n_33582;
+   wire n_33583;
+   wire n_33584;
+   wire n_33585;
+   wire n_33586;
+   wire n_33587;
+   wire n_33588;
+   wire n_33589;
+   wire n_33590;
+   wire n_33591;
+   wire n_33592;
+   wire n_33593;
+   wire n_33594;
+   wire n_33595;
+   wire n_33596;
+   wire n_33597;
+   wire n_33598;
+   wire n_33599;
+   wire n_33600;
+   wire n_33601;
+   wire n_33602;
+   wire n_33603;
+   wire n_33604;
+   wire n_33605;
+   wire n_33606;
+   wire n_33607;
+   wire n_33608;
+   wire n_33609;
+   wire n_33610;
+   wire n_33611;
+   wire n_33612;
+   wire n_33613;
+   wire n_33614;
+   wire n_33615;
+   wire n_33616;
+   wire n_33617;
+   wire n_33618;
+   wire n_33619;
+   wire n_33620;
+   wire n_33621;
+   wire n_33622;
+   wire n_33623;
+   wire n_33624;
+   wire n_33625;
+   wire n_33626;
+   wire n_33627;
+   wire n_33628;
+   wire n_33629;
+   wire n_33630;
+   wire n_33631;
+   wire n_33632;
+   wire n_33633;
+   wire n_33634;
+   wire n_33635;
+   wire n_33636;
+   wire n_33637;
+   wire n_33638;
+   wire n_33639;
+   wire n_33640;
+   wire n_33641;
+   wire n_33642;
+   wire n_33643;
+   wire n_33644;
+   wire n_33645;
+   wire n_33646;
+   wire n_33647;
+   wire n_33648;
+   wire n_33649;
+   wire n_33650;
+   wire n_33651;
+   wire n_33652;
+   wire n_33653;
+   wire n_33654;
+   wire n_33655;
+   wire n_33656;
+   wire n_33657;
+   wire n_33658;
+   wire n_33659;
+   wire n_33660;
+   wire n_33661;
+   wire n_33662;
+   wire n_33663;
+   wire n_33664;
+   wire n_33665;
+   wire n_33666;
+   wire n_33667;
+   wire n_33668;
+   wire n_33669;
+   wire n_33670;
+   wire n_33671;
+   wire n_33672;
+   wire n_33673;
+   wire n_33674;
+   wire n_33675;
+   wire n_33676;
+   wire n_33677;
+   wire n_33678;
+   wire n_33679;
+   wire n_33680;
+   wire n_33681;
+   wire n_33682;
+   wire n_33683;
+   wire n_33684;
+   wire n_33685;
+   wire n_33686;
+   wire n_33687;
+   wire n_33688;
+   wire n_33689;
+   wire n_33690;
+   wire n_33691;
+   wire n_33692;
+   wire n_33693;
+   wire n_33694;
+   wire n_33695;
+   wire n_33696;
+   wire n_33697;
+   wire n_33698;
+   wire n_33699;
+   wire n_33700;
+   wire n_33701;
+   wire n_33702;
+   wire n_33703;
+   wire n_33704;
+   wire n_33705;
+   wire n_33706;
+   wire n_33707;
+   wire n_33708;
+   wire n_33709;
+   wire n_33710;
+   wire n_33711;
+   wire n_33712;
+   wire n_33713;
+   wire n_33714;
+   wire n_33715;
+   wire n_33716;
+   wire n_33717;
+   wire n_33718;
+   wire n_33719;
+   wire n_33720;
+   wire n_33721;
+   wire n_33722;
+   wire n_33723;
+   wire n_33724;
+   wire n_33725;
+   wire n_33726;
+   wire n_33727;
+   wire n_33728;
+   wire n_33729;
+   wire n_33730;
+   wire n_33731;
+   wire n_33732;
+   wire n_33733;
+   wire n_33734;
+   wire n_33735;
+   wire n_33736;
+   wire n_33737;
+   wire n_33738;
+   wire n_33739;
+   wire n_33740;
+   wire n_33741;
+   wire n_33742;
+   wire n_33743;
+   wire n_33744;
+   wire n_33745;
+   wire n_33746;
+   wire n_33747;
+   wire n_33748;
+   wire n_33749;
+   wire n_33750;
+   wire n_33751;
+   wire n_33752;
+   wire n_33753;
+   wire n_33754;
+   wire n_33755;
+   wire n_33756;
+   wire n_33757;
+   wire n_33758;
+   wire n_33759;
+   wire n_33760;
+   wire n_33761;
+   wire n_33762;
+   wire n_33763;
+   wire n_33764;
+   wire n_33765;
+   wire n_33766;
+   wire n_33767;
+   wire n_33768;
+   wire n_33769;
+   wire n_33770;
+   wire n_33771;
+   wire n_33772;
+   wire n_33773;
+   wire n_33774;
+   wire n_33775;
+   wire n_33776;
+   wire n_33777;
+   wire n_33778;
+   wire n_33779;
+   wire n_33780;
+   wire n_33781;
+   wire n_33782;
+   wire n_33783;
+   wire n_33784;
+   wire n_33785;
+   wire n_33786;
+   wire n_33787;
+   wire n_33788;
+   wire n_33789;
+   wire n_33790;
+   wire n_33791;
+   wire n_33792;
+   wire n_33793;
+   wire n_33794;
+   wire n_33795;
+   wire n_33796;
+   wire n_33797;
+   wire n_33798;
+   wire n_33799;
+   wire n_33800;
+   wire n_33801;
+   wire n_33802;
+   wire n_33803;
+   wire n_33804;
+   wire n_33805;
+   wire n_33806;
+   wire n_33807;
+   wire n_33808;
+   wire n_33809;
+   wire n_33810;
+   wire n_33811;
+   wire n_33812;
+   wire n_33813;
+   wire n_33814;
+   wire n_33815;
+   wire n_33816;
+   wire n_33817;
+   wire n_33818;
+   wire n_33819;
+   wire n_33820;
+   wire n_33821;
+   wire n_33822;
+   wire n_33823;
+   wire n_33824;
+   wire n_33825;
+   wire n_33826;
+   wire n_33827;
+   wire n_33828;
+   wire n_33829;
+   wire n_33830;
+   wire n_33831;
+   wire n_33832;
+   wire n_33833;
+   wire n_33834;
+   wire n_33835;
+   wire n_33836;
+   wire n_33837;
+   wire n_33838;
+   wire n_33839;
+   wire n_33840;
+   wire n_33842;
+   wire n_33843;
+   wire n_33844;
+   wire n_33845;
+   wire n_33846;
+   wire n_33847;
+   wire n_33848;
+   wire n_33849;
+   wire n_33850;
+   wire n_33852;
+   wire n_33853;
+   wire n_33854;
+   wire n_33856;
+   wire n_33857;
+   wire n_33858;
+   wire n_33859;
+   wire n_33860;
+   wire n_33861;
+   wire n_33862;
+   wire n_33863;
+   wire n_33864;
+   wire n_33865;
+   wire n_33866;
+   wire n_33867;
+   wire n_33868;
+   wire n_33869;
+   wire n_33870;
+   wire n_33871;
+   wire n_33872;
+   wire n_33873;
+   wire n_33874;
+   wire n_33875;
+   wire n_33876;
+   wire n_33877;
+   wire n_33878;
+   wire n_33879;
+   wire n_33880;
+   wire n_33881;
+   wire n_33882;
+   wire n_33883;
+   wire n_33884;
+   wire n_33885;
+   wire n_33886;
+   wire n_33887;
+   wire n_33888;
+   wire n_33889;
+   wire n_33890;
+   wire n_33891;
+   wire n_33892;
+   wire n_33893;
+   wire n_33895;
+   wire n_33896;
+   wire n_33897;
+   wire n_33898;
+   wire n_33899;
+   wire n_33900;
+   wire n_33901;
+   wire n_33903;
+   wire n_33905;
+   wire n_33906;
+   wire n_33908;
+   wire n_33909;
+   wire n_33910;
+   wire n_33911;
+   wire n_33912;
+   wire n_33913;
+   wire n_33914;
+   wire n_33915;
+   wire n_33916;
+   wire n_33917;
+   wire n_33918;
+   wire n_33919;
+   wire n_33920;
+   wire n_33921;
+   wire n_33922;
+   wire n_33923;
+   wire n_33924;
+   wire n_33925;
+   wire n_33926;
+   wire n_33927;
+   wire n_33928;
+   wire n_33929;
+   wire n_33930;
+   wire n_33931;
+   wire n_33932;
+   wire n_33933;
+   wire n_33934;
+   wire n_33935;
+   wire n_33936;
+   wire n_33937;
+   wire n_33938;
+   wire n_33939;
+   wire n_33940;
+   wire n_33941;
+   wire n_33942;
+   wire n_33943;
+   wire n_33944;
+   wire n_33945;
+   wire n_33946;
+   wire n_33947;
+   wire n_33948;
+   wire n_33949;
+   wire n_33950;
+   wire n_33951;
+   wire n_33952;
+   wire n_33953;
+   wire n_33954;
+   wire n_33955;
+   wire n_33956;
+   wire n_33957;
+   wire n_33958;
+   wire n_33959;
+   wire n_33960;
+   wire n_33961;
+   wire n_33962;
+   wire n_33963;
+   wire n_33964;
+   wire n_33965;
+   wire n_33966;
+   wire n_33967;
+   wire n_33968;
+   wire n_33969;
+   wire n_33970;
+   wire n_33971;
+   wire n_33972;
+   wire n_33973;
+   wire n_33975;
+   wire n_33976;
+   wire n_33977;
+   wire n_33978;
+   wire n_33980;
+   wire n_33981;
+   wire n_33982;
+   wire n_33983;
+   wire n_33984;
+   wire n_33985;
+   wire n_33988;
+   wire n_33989;
+   wire n_33990;
+   wire n_33991;
+   wire n_33992;
+   wire n_33993;
+   wire n_33994;
+   wire n_33995;
+   wire n_33996;
+   wire n_33999;
+   wire n_34000;
+   wire n_34001;
+   wire n_34006;
+   wire n_34007;
+   wire n_34008;
+   wire n_34009;
+   wire n_34012;
+   wire n_34013;
+   wire n_34014;
+   wire n_34015;
+   wire n_34017;
+   wire n_34020;
+   wire n_34028;
+   wire n_34029;
+   wire n_34030;
+   wire n_34031;
+   wire n_34032;
+   wire n_34033;
+   wire n_34034;
+   wire n_34035;
+   wire n_34036;
+   wire n_34037;
+   wire n_34038;
+   wire n_34039;
+   wire n_34040;
+   wire n_34041;
+   wire n_34043;
+   wire n_34044;
+   wire n_34045;
+   wire n_34046;
+   wire n_34047;
+   wire n_34048;
+   wire n_34049;
+   wire n_34050;
+   wire n_34051;
+   wire n_34052;
+   wire n_34053;
+   wire n_34054;
+   wire n_34055;
+   wire n_34056;
+   wire n_34057;
+   wire n_34058;
+   wire n_34059;
+   wire n_34060;
+   wire n_34061;
+   wire n_34062;
+   wire n_34064;
+   wire n_34065;
+   wire n_34066;
+   wire n_34067;
+   wire n_34068;
+   wire n_34069;
+   wire n_34070;
+   wire n_34071;
+   wire n_34073;
+   wire n_34074;
+   wire n_34075;
+   wire n_34076;
+   wire n_34077;
+   wire n_34079;
+   wire n_34080;
+   wire n_34082;
+   wire n_34084;
+   wire n_34085;
+   wire n_34086;
+   wire n_34088;
+   wire n_34089;
+   wire n_34092;
+   wire n_34093;
+   wire n_34094;
+   wire n_34096;
+   wire n_34097;
+   wire n_34098;
+   wire n_34099;
+   wire n_34100;
+   wire n_34101;
+   wire n_34102;
+   wire n_34103;
+   wire n_34104;
+   wire n_34105;
+   wire n_34106;
+   wire n_34107;
+   wire n_34108;
+   wire n_34109;
+   wire n_34110;
+   wire n_34111;
+   wire n_34113;
+   wire n_34114;
+   wire n_34115;
+   wire n_34116;
+   wire n_34117;
+   wire n_34118;
+   wire n_34119;
+   wire n_34120;
+   wire n_34121;
+   wire n_34122;
+   wire n_34123;
+   wire n_34124;
+   wire n_34125;
+   wire n_34126;
+   wire n_34127;
+   wire n_34128;
+   wire n_34129;
+   wire n_34130;
+   wire n_34131;
+   wire n_34132;
+   wire n_34133;
+   wire n_34134;
+   wire n_34135;
+   wire n_34136;
+   wire n_34137;
+   wire n_34138;
+   wire n_34139;
+   wire n_34140;
+   wire n_34141;
+   wire n_34142;
+   wire n_34143;
+   wire n_34144;
+   wire n_34145;
+   wire n_34146;
+   wire n_34147;
+   wire n_34148;
+   wire n_34149;
+   wire n_34150;
+   wire n_34151;
+   wire n_34152;
+   wire n_34153;
+   wire n_34154;
+   wire n_34155;
+   wire n_34156;
+   wire n_34157;
+   wire n_34158;
+   wire n_34159;
+   wire n_34160;
+   wire n_34161;
+   wire n_34162;
+   wire n_34163;
+   wire n_34164;
+   wire n_34165;
+   wire n_34167;
+   wire n_34168;
+   wire n_34169;
+   wire n_34170;
+   wire n_34171;
+   wire n_34172;
+   wire n_34173;
+   wire n_34174;
+   wire n_34175;
+   wire n_34176;
+   wire n_34177;
+   wire n_34178;
+   wire n_34179;
+   wire n_34182;
+   wire n_34183;
+   wire n_34184;
+   wire n_34185;
+   wire n_34186;
+   wire n_34187;
+   wire n_34188;
+   wire n_34189;
+   wire n_34190;
+   wire n_34191;
+   wire n_34192;
+   wire n_34194;
+   wire n_34195;
+   wire n_34197;
+   wire n_34198;
+   wire n_34199;
+   wire n_34200;
+   wire n_34201;
+   wire n_34202;
+   wire n_34204;
+   wire n_34205;
+   wire n_34206;
+   wire n_34207;
+   wire n_34208;
+   wire n_34209;
+   wire n_34210;
+   wire n_34211;
+   wire n_34212;
+   wire n_34213;
+   wire n_34214;
+   wire n_34215;
+   wire n_34216;
+   wire n_34217;
+   wire n_34218;
+   wire n_34219;
+   wire n_34220;
+   wire n_34221;
+   wire n_34222;
+   wire n_34223;
+   wire n_34224;
+   wire n_34225;
+   wire n_34226;
+   wire n_34232;
+   wire n_34233;
+   wire n_34234;
+   wire n_34235;
+   wire n_34236;
+   wire n_34237;
+   wire n_34238;
+   wire n_34239;
+   wire n_34240;
+   wire n_34241;
+   wire n_34242;
+   wire n_34243;
+   wire n_34244;
+   wire n_34245;
+   wire n_34246;
+   wire n_34247;
+   wire n_34248;
+   wire n_34249;
+   wire n_34250;
+   wire n_34251;
+   wire n_34252;
+   wire n_34253;
+   wire n_34254;
+   wire n_34255;
+   wire n_34256;
+   wire n_34257;
+   wire n_34258;
+   wire n_34259;
+   wire n_34260;
+   wire n_34261;
+   wire n_34262;
+   wire n_34263;
+   wire n_34264;
+   wire n_34265;
+   wire n_34266;
+   wire n_34267;
+   wire n_34268;
+   wire n_34269;
+   wire n_34270;
+   wire n_34271;
+   wire n_34272;
+   wire n_34273;
+   wire n_34274;
+   wire n_34275;
+   wire n_34276;
+   wire n_34277;
+   wire n_34278;
+   wire n_34279;
+   wire n_34280;
+   wire n_34281;
+   wire n_34282;
+   wire n_34283;
+   wire n_34284;
+   wire n_34285;
+   wire n_34286;
+   wire n_34287;
+   wire n_34288;
+   wire n_34289;
+   wire n_34290;
+   wire n_34291;
+   wire n_34292;
+   wire n_34293;
+   wire n_34294;
+   wire n_34295;
+   wire n_34296;
+   wire n_34297;
+   wire n_34298;
+   wire n_34299;
+   wire n_34300;
+   wire n_34301;
+   wire n_34302;
+   wire n_34303;
+   wire n_34304;
+   wire n_34305;
+   wire n_34306;
+   wire n_34307;
+   wire n_34308;
+   wire n_34310;
+   wire n_34312;
+   wire n_34313;
+   wire n_34315;
+   wire n_34316;
+   wire n_34317;
+   wire n_34318;
+   wire n_34319;
+   wire n_34320;
+   wire n_34321;
+   wire n_34322;
+   wire n_34323;
+   wire n_34324;
+   wire n_34325;
+   wire n_34327;
+   wire n_34328;
+   wire n_34329;
+   wire n_34330;
+   wire n_34331;
+   wire n_34332;
+   wire n_34333;
+   wire n_34334;
+   wire n_34335;
+   wire n_34336;
+   wire n_34337;
+   wire n_34338;
+   wire n_34339;
+   wire n_34340;
+   wire n_34341;
+   wire n_34342;
+   wire n_34343;
+   wire n_34344;
+   wire n_34345;
+   wire n_34346;
+   wire n_34347;
+   wire n_34348;
+   wire n_34349;
+   wire n_34350;
+   wire n_34351;
+   wire n_34352;
+   wire n_34353;
+   wire n_34354;
+   wire n_34355;
+   wire n_34356;
+   wire n_34357;
+   wire n_34358;
+   wire n_34359;
+   wire n_34360;
+   wire n_34361;
+   wire n_34362;
+   wire n_34363;
+   wire n_34364;
+   wire n_34365;
+   wire n_34366;
+   wire n_34367;
+   wire n_34368;
+   wire n_34369;
+   wire n_34370;
+   wire n_34373;
+   wire n_34374;
+   wire n_34375;
+   wire n_34376;
+   wire n_34377;
+   wire n_34378;
+   wire n_34379;
+   wire n_34380;
+   wire n_34381;
+   wire n_34382;
+   wire n_34383;
+   wire n_34384;
+   wire n_34385;
+   wire n_34386;
+   wire n_34387;
+   wire n_34388;
+   wire n_34389;
+   wire n_34390;
+   wire n_34391;
+   wire n_34392;
+   wire n_34393;
+   wire n_34394;
+   wire n_34395;
+   wire n_34396;
+   wire n_34397;
+   wire n_34398;
+   wire n_34399;
+   wire n_34400;
+   wire n_34401;
+   wire n_34402;
+   wire n_34403;
+   wire n_34404;
+   wire n_34405;
+   wire n_34406;
+   wire n_34407;
+   wire n_34408;
+   wire n_34409;
+   wire n_34412;
+   wire n_34413;
+   wire n_34414;
+   wire n_34415;
+   wire n_34416;
+   wire n_34417;
+   wire n_34418;
+   wire n_34419;
+   wire n_34420;
+   wire n_34421;
+   wire n_34422;
+   wire n_34423;
+   wire n_34424;
+   wire n_34425;
+   wire n_34426;
+   wire n_34427;
+   wire n_34428;
+   wire n_34429;
+   wire n_34430;
+   wire n_34431;
+   wire n_34434;
+   wire n_34435;
+   wire n_34436;
+   wire n_34437;
+   wire n_34438;
+   wire n_34439;
+   wire n_34440;
+   wire n_34441;
+   wire n_34442;
+   wire n_34443;
+   wire n_34444;
+   wire n_34445;
+   wire n_34446;
+   wire n_34447;
+   wire n_34448;
+   wire n_34449;
+   wire n_34450;
+   wire n_34451;
+   wire n_34452;
+   wire n_34453;
+   wire n_34454;
+   wire n_34455;
+   wire n_34456;
+   wire n_34457;
+   wire n_34458;
+   wire n_34459;
+   wire n_34460;
+   wire n_34461;
+   wire n_34462;
+   wire n_34463;
+   wire n_34464;
+   wire n_34465;
+   wire n_34466;
+   wire n_34467;
+   wire n_34468;
+   wire n_34469;
+   wire n_34470;
+   wire n_34471;
+   wire n_34472;
+   wire n_34473;
+   wire n_34474;
+   wire n_34475;
+   wire n_34476;
+   wire n_34477;
+   wire n_34478;
+   wire n_34479;
+   wire n_34480;
+   wire n_34481;
+   wire n_34482;
+   wire n_34483;
+   wire n_34484;
+   wire n_34485;
+   wire n_34486;
+   wire n_34487;
+   wire n_34488;
+   wire n_34489;
+   wire n_34490;
+   wire n_34491;
+   wire n_34492;
+   wire n_34493;
+   wire n_34494;
+   wire n_34495;
+   wire n_34496;
+   wire n_34497;
+   wire n_34498;
+   wire n_34499;
+   wire n_34500;
+   wire n_34501;
+   wire n_34502;
+   wire n_34503;
+   wire n_34504;
+   wire n_34505;
+   wire n_34506;
+   wire n_34507;
+   wire n_34508;
+   wire n_34509;
+   wire n_34510;
+   wire n_34511;
+   wire n_34512;
+   wire n_34513;
+   wire n_34514;
+   wire n_34515;
+   wire n_34516;
+   wire n_34517;
+   wire n_34518;
+   wire n_34519;
+   wire n_34520;
+   wire n_34521;
+   wire n_34522;
+   wire n_34523;
+   wire n_34524;
+   wire n_34525;
+   wire n_34526;
+   wire n_34527;
+   wire n_34528;
+   wire n_34529;
+   wire n_34530;
+   wire n_34531;
+   wire n_34532;
+   wire n_34533;
+   wire n_34534;
+   wire n_34536;
+   wire n_34537;
+   wire n_34538;
+   wire n_34540;
+   wire n_34541;
+   wire n_34542;
+   wire n_34546;
+   wire n_34548;
+   wire n_34549;
+   wire n_34550;
+   wire n_34552;
+   wire n_34553;
+   wire n_34554;
+   wire n_34556;
+   wire n_34557;
+   wire n_34560;
+   wire n_34561;
+   wire n_34562;
+   wire n_34564;
+   wire n_34565;
+   wire n_34566;
+   wire n_34567;
+   wire n_34568;
+   wire n_34569;
+   wire n_34570;
+   wire n_34571;
+   wire n_34572;
+   wire n_34573;
+   wire n_34574;
+   wire n_34575;
+   wire n_34576;
+   wire n_34578;
+   wire n_34579;
+   wire n_34580;
+   wire n_34581;
+   wire n_34582;
+   wire n_34583;
+   wire n_34584;
+   wire n_34585;
+   wire n_34586;
+   wire n_34587;
+   wire n_34589;
+   wire n_34590;
+   wire n_34591;
+   wire n_34592;
+   wire n_34593;
+   wire n_34594;
+   wire n_34595;
+   wire n_34596;
+   wire n_34597;
+   wire n_34598;
+   wire n_34599;
+   wire n_34600;
+   wire n_34601;
+   wire n_34602;
+   wire n_34603;
+   wire n_34604;
+   wire n_34605;
+   wire n_34606;
+   wire n_34607;
+   wire n_34608;
+   wire n_34609;
+   wire n_34610;
+   wire n_34612;
+   wire n_34614;
+   wire n_34615;
+   wire n_34616;
+   wire n_34617;
+   wire n_34618;
+   wire n_34619;
+   wire n_34620;
+   wire n_34621;
+   wire n_34622;
+   wire n_34623;
+   wire n_34624;
+   wire n_34625;
+   wire n_34626;
+   wire n_34627;
+   wire n_34628;
+   wire n_34629;
+   wire n_34630;
+   wire n_34631;
+   wire n_34632;
+   wire n_34633;
+   wire n_34634;
+   wire n_34635;
+   wire n_34636;
+   wire n_34637;
+   wire n_34638;
+   wire n_34639;
+   wire n_34640;
+   wire n_34641;
+   wire n_34643;
+   wire n_34645;
+   wire n_34646;
+   wire n_34647;
+   wire n_34648;
+   wire n_34649;
+   wire n_34650;
+   wire n_34652;
+   wire n_34653;
+   wire n_34654;
+   wire n_34655;
+   wire n_34656;
+   wire n_34657;
+   wire n_34658;
+   wire n_34659;
+   wire n_34660;
+   wire n_34661;
+   wire n_34662;
+   wire n_34663;
+   wire n_34664;
+   wire n_34665;
+   wire n_34666;
+   wire n_34667;
+   wire n_34668;
+   wire n_34671;
+   wire n_34672;
+   wire n_34673;
+   wire n_34674;
+   wire n_34675;
+   wire n_34676;
+   wire n_34677;
+   wire n_34678;
+   wire n_34679;
+   wire n_34680;
+   wire n_34681;
+   wire n_34682;
+   wire n_34683;
+   wire n_34684;
+   wire n_34685;
+   wire n_34686;
+   wire n_34688;
+   wire n_34690;
+   wire n_34691;
+   wire n_34692;
+   wire n_34694;
+   wire n_34695;
+   wire n_34696;
+   wire n_34697;
+   wire n_34698;
+   wire n_34701;
+   wire n_34702;
+   wire n_34703;
+   wire n_34704;
+   wire n_34705;
+   wire n_34706;
+   wire n_34707;
+   wire n_34708;
+   wire n_34709;
+   wire n_34710;
+   wire n_34711;
+   wire n_34712;
+   wire n_34713;
+   wire n_34714;
+   wire n_34715;
+   wire n_34716;
+   wire n_34717;
+   wire n_34718;
+   wire n_34719;
+   wire n_34720;
+   wire n_34721;
+   wire n_34722;
+   wire n_34723;
+   wire n_34724;
+   wire n_34725;
+   wire n_34726;
+   wire n_34727;
+   wire n_34728;
+   wire n_34729;
+   wire n_34731;
+   wire n_34732;
+   wire n_34734;
+   wire n_34735;
+   wire n_34737;
+   wire n_34738;
+   wire n_34739;
+   wire n_34740;
+   wire n_34741;
+   wire n_34742;
+   wire n_34743;
+   wire n_34744;
+   wire n_34745;
+   wire n_34746;
+   wire n_34747;
+   wire n_34749;
+   wire n_34750;
+   wire n_34752;
+   wire n_34753;
+   wire n_34754;
+   wire n_34755;
+   wire n_34756;
+   wire n_34757;
+   wire n_34758;
+   wire n_34760;
+   wire n_34761;
+   wire n_34762;
+   wire n_34763;
+   wire n_34764;
+   wire n_34766;
+   wire n_34767;
+   wire n_34769;
+   wire n_34772;
+   wire n_34773;
+   wire n_34774;
+   wire n_34776;
+   wire n_34777;
+   wire n_34779;
+   wire n_34780;
+   wire n_34781;
+   wire n_34782;
+   wire n_34783;
+   wire n_34784;
+   wire n_34785;
+   wire n_34786;
+   wire n_34787;
+   wire n_34789;
+   wire n_34790;
+   wire n_34794;
+   wire n_34797;
+   wire n_34798;
+   wire n_34799;
+   wire n_34800;
+   wire n_34801;
+   wire n_34802;
+   wire n_34803;
+   wire n_34804;
+   wire n_34805;
+   wire n_34806;
+   wire n_34807;
+   wire n_34808;
+   wire n_34809;
+   wire n_34810;
+   wire n_34811;
+   wire n_34812;
+   wire n_34813;
+   wire n_34814;
+   wire n_34815;
+   wire n_34816;
+   wire n_34817;
+   wire n_34818;
+   wire n_34819;
+   wire n_34820;
+   wire n_34821;
+   wire n_34823;
+   wire n_34824;
+   wire n_34825;
+   wire n_34826;
+   wire n_34827;
+   wire n_34828;
+   wire n_34829;
+   wire n_34830;
+   wire n_34831;
+   wire n_34832;
+   wire n_34833;
+   wire n_34834;
+   wire n_34835;
+   wire n_34836;
+   wire n_34837;
+   wire n_34838;
+   wire n_34839;
+   wire n_34840;
+   wire n_34841;
+   wire n_34842;
+   wire n_34844;
+   wire n_34845;
+   wire n_34846;
+   wire n_34847;
+   wire n_34848;
+   wire n_34849;
+   wire n_34850;
+   wire n_34851;
+   wire n_34852;
+   wire n_34853;
+   wire n_34854;
+   wire n_34855;
+   wire n_34856;
+   wire n_34857;
+   wire n_34858;
+   wire n_34859;
+   wire n_34860;
+   wire n_34861;
+   wire n_34862;
+   wire n_34863;
+   wire n_34864;
+   wire n_34866;
+   wire n_34867;
+   wire n_34868;
+   wire n_34869;
+   wire n_34870;
+   wire n_34871;
+   wire n_34872;
+   wire n_34873;
+   wire n_34874;
+   wire n_34875;
+   wire n_34876;
+   wire n_34877;
+   wire n_34878;
+   wire n_34879;
+   wire n_34880;
+   wire n_34881;
+   wire n_34882;
+   wire n_34883;
+   wire n_34884;
+   wire n_34885;
+   wire n_34886;
+   wire n_34887;
+   wire n_34888;
+   wire n_34889;
+   wire n_34890;
+   wire n_34891;
+   wire n_34892;
+   wire n_34893;
+   wire n_34894;
+   wire n_34895;
+   wire n_34896;
+   wire n_34897;
+   wire n_34898;
+   wire n_34899;
+   wire n_34900;
+   wire n_34901;
+   wire n_34903;
+   wire n_34904;
+   wire n_34905;
+   wire n_34906;
+   wire n_34907;
+   wire n_34908;
+   wire n_34909;
+   wire n_34910;
+   wire n_34911;
+   wire n_34912;
+   wire n_34913;
+   wire n_34914;
+   wire n_34915;
+   wire n_34916;
+   wire n_34917;
+   wire n_34918;
+   wire n_34919;
+   wire n_34920;
+   wire n_34921;
+   wire n_34922;
+   wire n_34923;
+   wire n_34924;
+   wire n_34925;
+   wire n_34926;
+   wire n_34927;
+   wire n_34928;
+   wire n_34929;
+   wire n_34930;
+   wire n_34931;
+   wire n_34932;
+   wire n_34933;
+   wire n_34934;
+   wire n_34935;
+   wire n_34936;
+   wire n_34937;
+   wire n_34938;
+   wire n_34939;
+   wire n_34940;
+   wire n_34941;
+   wire n_34942;
+   wire n_34943;
+   wire n_34944;
+   wire n_34945;
+   wire n_34946;
+   wire n_34947;
+   wire n_34948;
+   wire n_34949;
+   wire n_34950;
+   wire n_34951;
+   wire n_34952;
+   wire n_34953;
+   wire n_34954;
+   wire n_34957;
+   wire n_34958;
+   wire n_34960;
+   wire n_34961;
+   wire n_34962;
+   wire n_34963;
+   wire n_34964;
+   wire n_34965;
+   wire n_34966;
+   wire n_34967;
+   wire n_34968;
+   wire n_34969;
+   wire n_34970;
+   wire n_34971;
+   wire n_34972;
+   wire n_34973;
+   wire n_34974;
+   wire n_34975;
+   wire n_34976;
+   wire n_34977;
+   wire n_34978;
+   wire n_34979;
+   wire n_34980;
+   wire n_34981;
+   wire n_34982;
+   wire n_34983;
+   wire n_34984;
+   wire n_34985;
+   wire n_34986;
+   wire n_34987;
+   wire n_34988;
+   wire n_34989;
+   wire n_34990;
+   wire n_34991;
+   wire n_34992;
+   wire n_34993;
+   wire n_34994;
+   wire n_34995;
+   wire n_34996;
+   wire n_34997;
+   wire n_34998;
+   wire n_34999;
+   wire n_35000;
+   wire n_35001;
+   wire n_35002;
+   wire n_35003;
+   wire n_35004;
+   wire n_35005;
+   wire n_35006;
+   wire n_35007;
+   wire n_35008;
+   wire n_35009;
+   wire n_35010;
+   wire n_35011;
+   wire n_35012;
+   wire n_35013;
+   wire n_35014;
+   wire n_35015;
+   wire n_35016;
+   wire n_35017;
+   wire n_35018;
+   wire n_35019;
+   wire n_35020;
+   wire n_35021;
+   wire n_35022;
+   wire n_35023;
+   wire n_35024;
+   wire n_35025;
+   wire n_35026;
+   wire n_35027;
+   wire n_35028;
+   wire n_35029;
+   wire n_35030;
+   wire n_35031;
+   wire n_35032;
+   wire n_35033;
+   wire n_35034;
+   wire n_35035;
+   wire n_35036;
+   wire n_35037;
+   wire n_35038;
+   wire n_35039;
+   wire n_35040;
+   wire n_35041;
+   wire n_35042;
+   wire n_35043;
+   wire n_35044;
+   wire n_35045;
+   wire n_35046;
+   wire n_35047;
+   wire n_35048;
+   wire n_35049;
+   wire n_35050;
+   wire n_35051;
+   wire n_35052;
+   wire n_35054;
+   wire n_35055;
+   wire n_35057;
+   wire n_35058;
+   wire n_35059;
+   wire n_35060;
+   wire n_35062;
+   wire n_35063;
+   wire n_35064;
+   wire n_35065;
+   wire n_35066;
+   wire n_35069;
+   wire n_35070;
+   wire n_35071;
+   wire n_35072;
+   wire n_35073;
+   wire n_35074;
+   wire n_35075;
+   wire n_35076;
+   wire n_35077;
+   wire n_35078;
+   wire n_35079;
+   wire n_35080;
+   wire n_35081;
+   wire n_35082;
+   wire n_35083;
+   wire n_35084;
+   wire n_35085;
+   wire n_35086;
+   wire n_35087;
+   wire n_35088;
+   wire n_35089;
+   wire n_35090;
+   wire n_35091;
+   wire n_35092;
+   wire n_35093;
+   wire n_35094;
+   wire n_35095;
+   wire n_35096;
+   wire n_35097;
+   wire n_35098;
+   wire n_35099;
+   wire n_35100;
+   wire n_35101;
+   wire n_35102;
+   wire n_35103;
+   wire n_35104;
+   wire n_35105;
+   wire n_35106;
+   wire n_35107;
+   wire n_35108;
+   wire n_35110;
+   wire n_35111;
+   wire n_35112;
+   wire n_35113;
+   wire n_35115;
+   wire n_35116;
+   wire n_35117;
+   wire n_35118;
+   wire n_35119;
+   wire n_35120;
+   wire n_35121;
+   wire n_35122;
+   wire n_35123;
+   wire n_35124;
+   wire n_35125;
+   wire n_35126;
+   wire n_35127;
+   wire n_35128;
+   wire n_35129;
+   wire n_35130;
+   wire n_35131;
+   wire n_35132;
+   wire n_35133;
+   wire n_35134;
+   wire n_35135;
+   wire n_35136;
+   wire n_35137;
+   wire n_35138;
+   wire n_35139;
+   wire n_35140;
+   wire n_35141;
+   wire n_35142;
+   wire n_35143;
+   wire n_35144;
+   wire n_35146;
+   wire n_35147;
+   wire n_35148;
+   wire n_35149;
+   wire n_35150;
+   wire n_35151;
+   wire n_35152;
+   wire n_35153;
+   wire n_35154;
+   wire n_35155;
+   wire n_35156;
+   wire n_35157;
+   wire n_35158;
+   wire n_35159;
+   wire n_35160;
+   wire n_35161;
+   wire n_35162;
+   wire n_35163;
+   wire n_35164;
+   wire n_35165;
+   wire n_35166;
+   wire n_35167;
+   wire n_35168;
+   wire n_35169;
+   wire n_35170;
+   wire n_35171;
+   wire n_35172;
+   wire n_35173;
+   wire n_35174;
+   wire n_35175;
+   wire n_35176;
+   wire n_35177;
+   wire n_35178;
+   wire n_35179;
+   wire n_35180;
+   wire n_35181;
+   wire n_35183;
+   wire n_35184;
+   wire n_35185;
+   wire n_35186;
+   wire n_35187;
+   wire n_35189;
+   wire n_35191;
+   wire n_35192;
+   wire n_35193;
+   wire n_35194;
+   wire n_35195;
+   wire n_35196;
+   wire n_35197;
+   wire n_35198;
+   wire n_35199;
+   wire n_35200;
+   wire n_35201;
+   wire n_35202;
+   wire n_35203;
+   wire n_35204;
+   wire n_35205;
+   wire n_35206;
+   wire n_35208;
+   wire n_35209;
+   wire n_35210;
+   wire n_35211;
+   wire n_35212;
+   wire n_35213;
+   wire n_35214;
+   wire n_35215;
+   wire n_35216;
+   wire n_35217;
+   wire n_35218;
+   wire n_35219;
+   wire n_35220;
+   wire n_35221;
+   wire n_35222;
+   wire n_35223;
+   wire n_35224;
+   wire n_35225;
+   wire n_35226;
+   wire n_35227;
+   wire n_35228;
+   wire n_35229;
+   wire n_35230;
+   wire n_35231;
+   wire n_35232;
+   wire n_35233;
+   wire n_35234;
+   wire n_35235;
+   wire n_35236;
+   wire n_35237;
+   wire n_35238;
+   wire n_35239;
+   wire n_35240;
+   wire n_35241;
+   wire n_35242;
+   wire n_35243;
+   wire n_35244;
+   wire n_35245;
+   wire n_35246;
+   wire n_35247;
+   wire n_35248;
+   wire n_35249;
+   wire n_35250;
+   wire n_35251;
+   wire n_35252;
+   wire n_35253;
+   wire n_35254;
+   wire n_35255;
+   wire n_35256;
+   wire n_35257;
+   wire n_35258;
+   wire n_35259;
+   wire n_35260;
+   wire n_35261;
+   wire n_35262;
+   wire n_35263;
+   wire n_35264;
+   wire n_35265;
+   wire n_35266;
+   wire n_35267;
+   wire n_35268;
+   wire n_35269;
+   wire n_35270;
+   wire n_35271;
+   wire n_35272;
+   wire n_35273;
+   wire n_35274;
+   wire n_35275;
+   wire n_35276;
+   wire n_35277;
+   wire n_35278;
+   wire n_35279;
+   wire n_35280;
+   wire n_35281;
+   wire n_35282;
+   wire n_35283;
+   wire n_35284;
+   wire n_35286;
+   wire n_35287;
+   wire n_35288;
+   wire n_35289;
+   wire n_35290;
+   wire n_35291;
+   wire n_35292;
+   wire n_35293;
+   wire n_35294;
+   wire n_35295;
+   wire n_35296;
+   wire n_35297;
+   wire n_35298;
+   wire n_35300;
+   wire n_35301;
+   wire n_35302;
+   wire n_35303;
+   wire n_35304;
+   wire n_35306;
+   wire n_35307;
+   wire n_35308;
+   wire n_35309;
+   wire n_35310;
+   wire n_35311;
+   wire n_35312;
+   wire n_35313;
+   wire n_35314;
+   wire n_35315;
+   wire n_35316;
+   wire n_35317;
+   wire n_35318;
+   wire n_35319;
+   wire n_35320;
+   wire n_35321;
+   wire n_35322;
+   wire n_35323;
+   wire n_35324;
+   wire n_35325;
+   wire n_35326;
+   wire n_35327;
+   wire n_35328;
+   wire n_35329;
+   wire n_35330;
+   wire n_35331;
+   wire n_35332;
+   wire n_35333;
+   wire n_35334;
+   wire n_35335;
+   wire n_35336;
+   wire n_35337;
+   wire n_35338;
+   wire n_35339;
+   wire n_35340;
+   wire n_35341;
+   wire n_35342;
+   wire n_35343;
+   wire n_35344;
+   wire n_35345;
+   wire n_35346;
+   wire n_35348;
+   wire n_35350;
+   wire n_35353;
+   wire n_35354;
+   wire n_35355;
+   wire n_35356;
+   wire n_35357;
+   wire n_35358;
+   wire n_35359;
+   wire n_35360;
+   wire n_35361;
+   wire n_35362;
+   wire n_35363;
+   wire n_35364;
+   wire n_35365;
+   wire n_35366;
+   wire n_35367;
+   wire n_35368;
+   wire n_35369;
+   wire n_35370;
+   wire n_35371;
+   wire n_35372;
+   wire n_35373;
+   wire n_35374;
+   wire n_35375;
+   wire n_35376;
+   wire n_35377;
+   wire n_35378;
+   wire n_35379;
+   wire n_35380;
+   wire n_35381;
+   wire n_35382;
+   wire n_35383;
+   wire n_35384;
+   wire n_35385;
+   wire n_35386;
+   wire n_35387;
+   wire n_35388;
+   wire n_35389;
+   wire n_35390;
+   wire n_35391;
+   wire n_35392;
+   wire n_35393;
+   wire n_35394;
+   wire n_35395;
+   wire n_35396;
+   wire n_35397;
+   wire n_35398;
+   wire n_35399;
+   wire n_35400;
+   wire n_35401;
+   wire n_35402;
+   wire n_35403;
+   wire n_35404;
+   wire n_35405;
+   wire n_35406;
+   wire n_35407;
+   wire n_35408;
+   wire n_35409;
+   wire n_35410;
+   wire n_35411;
+   wire n_35412;
+   wire n_35413;
+   wire n_35414;
+   wire n_35415;
+   wire n_35416;
+   wire n_35417;
+   wire n_35418;
+   wire n_35419;
+   wire n_35420;
+   wire n_35421;
+   wire n_35422;
+   wire n_35423;
+   wire n_35424;
+   wire n_35425;
+   wire n_35428;
+   wire n_35429;
+   wire n_35430;
+   wire n_35432;
+   wire n_35434;
+   wire n_35435;
+   wire n_35436;
+   wire n_35437;
+   wire n_35438;
+   wire n_35439;
+   wire n_35440;
+   wire n_35443;
+   wire n_35444;
+   wire n_35445;
+   wire n_35446;
+   wire n_35447;
+   wire n_35449;
+   wire n_35450;
+   wire n_35451;
+   wire n_35452;
+   wire n_35454;
+   wire n_35455;
+   wire n_35456;
+   wire n_35457;
+   wire n_35458;
+   wire n_35459;
+   wire n_35461;
+   wire n_35462;
+   wire n_35463;
+   wire n_35464;
+   wire n_35465;
+   wire n_35467;
+   wire n_35468;
+   wire n_35469;
+   wire n_35470;
+   wire n_35471;
+   wire n_35472;
+   wire n_35474;
+   wire n_35475;
+   wire n_35476;
+   wire n_35477;
+   wire n_35478;
+   wire n_35479;
+   wire n_35480;
+   wire n_35481;
+   wire n_35482;
+   wire n_35483;
+   wire n_35484;
+   wire n_35485;
+   wire n_35486;
+   wire n_35487;
+   wire n_35488;
+   wire n_35490;
+   wire n_35491;
+   wire n_35492;
+   wire n_35493;
+   wire n_35494;
+   wire n_35495;
+   wire n_35497;
+   wire n_35498;
+   wire n_35499;
+   wire n_35500;
+   wire n_35501;
+   wire n_35502;
+   wire n_35503;
+   wire n_35504;
+   wire n_35505;
+   wire n_35506;
+   wire n_35507;
+   wire n_35509;
+   wire n_35510;
+   wire n_35511;
+   wire n_35512;
+   wire n_35513;
+   wire n_35514;
+   wire n_35515;
+   wire n_35516;
+   wire n_35517;
+   wire n_35518;
+   wire n_35519;
+   wire n_35520;
+   wire n_35522;
+   wire n_35523;
+   wire n_35524;
+   wire n_35525;
+   wire n_35526;
+   wire n_35527;
+   wire n_35529;
+   wire n_35530;
+   wire n_35531;
+   wire n_35532;
+   wire n_35534;
+   wire n_35535;
+   wire n_35536;
+   wire n_35537;
+   wire n_35538;
+   wire n_35539;
+   wire n_35540;
+   wire n_35541;
+   wire n_35542;
+   wire n_35543;
+   wire n_35544;
+   wire n_35546;
+   wire n_35547;
+   wire n_35548;
+   wire n_35549;
+   wire n_35550;
+   wire n_35551;
+   wire n_35552;
+   wire n_35553;
+   wire n_35554;
+   wire n_35555;
+   wire n_35556;
+   wire n_35559;
+   wire n_35560;
+   wire n_35561;
+   wire n_35562;
+   wire n_35563;
+   wire n_35564;
+   wire n_35565;
+   wire n_35566;
+   wire n_35567;
+   wire n_35568;
+   wire n_35570;
+   wire n_35571;
+   wire n_35573;
+   wire n_35574;
+   wire n_35575;
+   wire n_35576;
+   wire n_35577;
+   wire n_35578;
+   wire n_35579;
+   wire n_35580;
+   wire n_35581;
+   wire n_35582;
+   wire n_35583;
+   wire n_35584;
+   wire n_35585;
+   wire n_35586;
+   wire n_35587;
+   wire n_35588;
+   wire n_35589;
+   wire n_35590;
+   wire n_35591;
+   wire n_35592;
+   wire n_35594;
+   wire n_35595;
+   wire n_35596;
+   wire n_35597;
+   wire n_35598;
+   wire n_35599;
+   wire n_35600;
+   wire n_35601;
+   wire n_35602;
+   wire n_35603;
+   wire n_35604;
+   wire n_35605;
+   wire n_35606;
+   wire n_35607;
+   wire n_35608;
+   wire n_35609;
+   wire n_35610;
+   wire n_35611;
+   wire n_35612;
+   wire n_35613;
+   wire n_35614;
+   wire n_35615;
+   wire n_35616;
+   wire n_35617;
+   wire n_35618;
+   wire n_35619;
+   wire n_35620;
+   wire n_35621;
+   wire n_35622;
+   wire n_35623;
+   wire n_35624;
+   wire n_35625;
+   wire n_35626;
+   wire n_35627;
+   wire n_35628;
+   wire n_35629;
+   wire n_35630;
+   wire n_35631;
+   wire n_35632;
+   wire n_35633;
+   wire n_35634;
+   wire n_35636;
+   wire n_35638;
+   wire n_35640;
+   wire n_35641;
+   wire n_35642;
+   wire n_35643;
+   wire n_35644;
+   wire n_35645;
+   wire n_35646;
+   wire n_35647;
+   wire n_35649;
+   wire n_35650;
+   wire n_35651;
+   wire n_35652;
+   wire n_35653;
+   wire n_35654;
+   wire n_35655;
+   wire n_35656;
+   wire n_35657;
+   wire n_35658;
+   wire n_35659;
+   wire n_35661;
+   wire n_35662;
+   wire n_35663;
+   wire n_35664;
+   wire n_35665;
+   wire n_35666;
+   wire n_35667;
+   wire n_35668;
+   wire n_35669;
+   wire n_35670;
+   wire n_35671;
+   wire n_35673;
+   wire n_35674;
+   wire n_35675;
+   wire n_35676;
+   wire n_35677;
+   wire n_35678;
+   wire n_35679;
+   wire n_35680;
+   wire n_35682;
+   wire n_35683;
+   wire n_35684;
+   wire n_35685;
+   wire n_35686;
+   wire n_35687;
+   wire n_35688;
+   wire n_35689;
+   wire n_35690;
+   wire n_35691;
+   wire n_35692;
+   wire n_35693;
+   wire n_35694;
+   wire n_35695;
+   wire n_35696;
+   wire n_35697;
+   wire n_35698;
+   wire n_35699;
+   wire n_35700;
+   wire n_35701;
+   wire n_35702;
+   wire n_35703;
+   wire n_35705;
+   wire n_35706;
+   wire n_35707;
+   wire n_35708;
+   wire n_35711;
+   wire n_35712;
+   wire n_35713;
+   wire n_35714;
+   wire n_35715;
+   wire n_35716;
+   wire n_35717;
+   wire n_35718;
+   wire n_35719;
+   wire n_35720;
+   wire n_35721;
+   wire n_35723;
+   wire n_35724;
+   wire n_35726;
+   wire n_35727;
+   wire n_35728;
+   wire n_35731;
+   wire n_35732;
+   wire n_35733;
+   wire n_35734;
+   wire n_35739;
+   wire n_35740;
+   wire n_35741;
+   wire n_35742;
+   wire n_35743;
+   wire n_35744;
+   wire n_35745;
+   wire n_35746;
+   wire n_35747;
+   wire n_35748;
+   wire n_35749;
+   wire n_35750;
+   wire n_35751;
+   wire n_35752;
+   wire n_35753;
+   wire n_35754;
+   wire n_35755;
+   wire n_35756;
+   wire n_35757;
+   wire n_35758;
+   wire n_35759;
+   wire n_35760;
+   wire n_35761;
+   wire n_35762;
+   wire n_35763;
+   wire n_35764;
+   wire n_35765;
+   wire n_35766;
+   wire n_35767;
+   wire n_35768;
+   wire n_35769;
+   wire n_35770;
+   wire n_35771;
+   wire n_35772;
+   wire n_35773;
+   wire n_35774;
+   wire n_35775;
+   wire n_35776;
+   wire n_35777;
+   wire n_35778;
+   wire n_35779;
+   wire n_35780;
+   wire n_35781;
+   wire n_35782;
+   wire n_35783;
+   wire n_35784;
+   wire n_35785;
+   wire n_35786;
+   wire n_35787;
+   wire n_35788;
+   wire n_35789;
+   wire n_35790;
+   wire n_35791;
+   wire n_35792;
+   wire n_35793;
+   wire n_35794;
+   wire n_35795;
+   wire n_35796;
+   wire n_35797;
+   wire n_35798;
+   wire n_35799;
+   wire n_35800;
+   wire n_35801;
+   wire n_35802;
+   wire n_35803;
+   wire n_35804;
+   wire n_35805;
+   wire n_35806;
+   wire n_35807;
+   wire n_35808;
+   wire n_35809;
+   wire n_35810;
+   wire n_35811;
+   wire n_35812;
+   wire n_35813;
+   wire n_35814;
+   wire n_35815;
+   wire n_35816;
+   wire n_35817;
+   wire n_35818;
+   wire n_35819;
+   wire n_35820;
+   wire n_35821;
+   wire n_35822;
+   wire n_35823;
+   wire n_35824;
+   wire n_35825;
+   wire n_35826;
+   wire n_35827;
+   wire n_35828;
+   wire n_35829;
+   wire n_35830;
+   wire n_35831;
+   wire n_35832;
+   wire n_35833;
+   wire n_35834;
+   wire n_35835;
+   wire n_35836;
+   wire n_35837;
+   wire n_35838;
+   wire n_35839;
+   wire n_35840;
+   wire n_35841;
+   wire n_35842;
+   wire n_35843;
+   wire n_35844;
+   wire n_35845;
+   wire n_35846;
+   wire n_35847;
+   wire n_35848;
+   wire n_35849;
+   wire n_35850;
+   wire n_35851;
+   wire n_35852;
+   wire n_35853;
+   wire n_35854;
+   wire n_35855;
+   wire n_35856;
+   wire n_35857;
+   wire n_35858;
+   wire n_35859;
+   wire n_35860;
+   wire n_35861;
+   wire n_35863;
+   wire n_35864;
+   wire n_35866;
+   wire n_35867;
+   wire n_35868;
+   wire n_35870;
+   wire n_35871;
+   wire n_35872;
+   wire n_35873;
+   wire n_35874;
+   wire n_35875;
+   wire n_35877;
+   wire n_35879;
+   wire n_35880;
+   wire n_35881;
+   wire n_35882;
+   wire n_35883;
+   wire n_35884;
+   wire n_35885;
+   wire n_35886;
+   wire n_35887;
+   wire n_35888;
+   wire n_35889;
+   wire n_35890;
+   wire n_35891;
+   wire n_35892;
+   wire n_35893;
+   wire n_35894;
+   wire n_35895;
+   wire n_35896;
+   wire n_35897;
+   wire n_35899;
+   wire n_35900;
+   wire n_35901;
+   wire n_35902;
+   wire n_35903;
+   wire n_35904;
+   wire n_35905;
+   wire n_35906;
+   wire n_35908;
+   wire n_35909;
+   wire n_35910;
+   wire n_35912;
+   wire n_35915;
+   wire n_35916;
+   wire n_35917;
+   wire n_35919;
+   wire n_35920;
+   wire n_35921;
+   wire n_35922;
+   wire n_35925;
+   wire n_35926;
+   wire n_35927;
+   wire n_35928;
+   wire n_35929;
+   wire n_35930;
+   wire n_35931;
+   wire n_35932;
+   wire n_35933;
+   wire n_35934;
+   wire n_35935;
+   wire n_35936;
+   wire n_35937;
+   wire n_35938;
+   wire n_35939;
+   wire n_35940;
+   wire n_35941;
+   wire n_35942;
+   wire n_35943;
+   wire n_35944;
+   wire n_35945;
+   wire n_35946;
+   wire n_35947;
+   wire n_35948;
+   wire n_35949;
+   wire n_35950;
+   wire n_35951;
+   wire n_35952;
+   wire n_35953;
+   wire n_35954;
+   wire n_35955;
+   wire n_35956;
+   wire n_35957;
+   wire n_35958;
+   wire n_35959;
+   wire n_35960;
+   wire n_35961;
+   wire n_35962;
+   wire n_35963;
+   wire n_35964;
+   wire n_35966;
+   wire n_35967;
+   wire n_35968;
+   wire n_35971;
+   wire n_35973;
+   wire n_35975;
+   wire n_35976;
+   wire n_35977;
+   wire n_35984;
+   wire n_35985;
+   wire n_35987;
+   wire n_35989;
+   wire n_35990;
+   wire n_35991;
+   wire n_35992;
+   wire n_35993;
+   wire n_35994;
+   wire n_35995;
+   wire n_35996;
+   wire n_35997;
+   wire n_35999;
+   wire n_36000;
+   wire n_36001;
+   wire n_36002;
+   wire n_36003;
+   wire n_36004;
+   wire n_36005;
+   wire n_36006;
+   wire n_36007;
+   wire n_36008;
+   wire n_36009;
+   wire n_36010;
+   wire n_36011;
+   wire n_36012;
+   wire n_36013;
+   wire n_36014;
+   wire n_36015;
+   wire n_36016;
+   wire n_36017;
+   wire n_36018;
+   wire n_36019;
+   wire n_36020;
+   wire n_36021;
+   wire n_36022;
+   wire n_36023;
+   wire n_36024;
+   wire n_36025;
+   wire n_36026;
+   wire n_36027;
+   wire n_36028;
+   wire n_36029;
+   wire n_36030;
+   wire n_36031;
+   wire n_36032;
+   wire n_36034;
+   wire n_36035;
+   wire n_36037;
+   wire n_36038;
+   wire n_36039;
+   wire n_36040;
+   wire n_36041;
+   wire n_36042;
+   wire n_36043;
+   wire n_36044;
+   wire n_36045;
+   wire n_36046;
+   wire n_36047;
+   wire n_36048;
+   wire n_36049;
+   wire n_36050;
+   wire n_36051;
+   wire n_36052;
+   wire n_36053;
+   wire n_36054;
+   wire n_36055;
+   wire n_36056;
+   wire n_36057;
+   wire n_36058;
+   wire n_36059;
+   wire n_36060;
+   wire n_36061;
+   wire n_36062;
+   wire n_36063;
+   wire n_36064;
+   wire n_36065;
+   wire n_36066;
+   wire n_36067;
+   wire n_36068;
+   wire n_36069;
+   wire n_36070;
+   wire n_36071;
+   wire n_36072;
+   wire n_36073;
+   wire n_36074;
+   wire n_36075;
+   wire n_36076;
+   wire n_36077;
+   wire n_36078;
+   wire n_36079;
+   wire n_36080;
+   wire n_36081;
+   wire n_36082;
+   wire n_36083;
+   wire n_36084;
+   wire n_36085;
+   wire n_36086;
+   wire n_36087;
+   wire n_36088;
+   wire n_36089;
+   wire n_36090;
+   wire n_36091;
+   wire n_36092;
+   wire n_36093;
+   wire n_36094;
+   wire n_36095;
+   wire n_36096;
+   wire n_36097;
+   wire n_36098;
+   wire n_36099;
+   wire n_36100;
+   wire n_36101;
+   wire n_36102;
+   wire n_36103;
+   wire n_36104;
+   wire n_36105;
+   wire n_36106;
+   wire n_36107;
+   wire n_36108;
+   wire n_36109;
+   wire n_36110;
+   wire n_36111;
+   wire n_36112;
+   wire n_36113;
+   wire n_36114;
+   wire n_36115;
+   wire n_36116;
+   wire n_36117;
+   wire n_36118;
+   wire n_36119;
+   wire n_36120;
+   wire n_36121;
+   wire n_36123;
+   wire n_36124;
+   wire n_36125;
+   wire n_36126;
+   wire n_36127;
+   wire n_36128;
+   wire n_36129;
+   wire n_36130;
+   wire n_36131;
+   wire n_36132;
+   wire n_36133;
+   wire n_36134;
+   wire n_36135;
+   wire n_36136;
+   wire n_36137;
+   wire n_36138;
+   wire n_36139;
+   wire n_36140;
+   wire n_36141;
+   wire n_36142;
+   wire n_36143;
+   wire n_36144;
+   wire n_36145;
+   wire n_36146;
+   wire n_36147;
+   wire n_36148;
+   wire n_36149;
+   wire n_36150;
+   wire n_36151;
+   wire n_36152;
+   wire n_36153;
+   wire n_36154;
+   wire n_36155;
+   wire n_36156;
+   wire n_36157;
+   wire n_36158;
+   wire n_36159;
+   wire n_36160;
+   wire n_36161;
+   wire n_36162;
+   wire n_36163;
+   wire n_36164;
+   wire n_36165;
+   wire n_36166;
+   wire n_36167;
+   wire n_36169;
+   wire n_36170;
+   wire n_36171;
+   wire n_36172;
+   wire n_36173;
+   wire n_36174;
+   wire n_36175;
+   wire n_36176;
+   wire n_36177;
+   wire n_36178;
+   wire n_36179;
+   wire n_36180;
+   wire n_36181;
+   wire n_36182;
+   wire n_36183;
+   wire n_36184;
+   wire n_36185;
+   wire n_36186;
+   wire n_36187;
+   wire n_36188;
+   wire n_36189;
+   wire n_36190;
+   wire n_36192;
+   wire n_36193;
+   wire n_36194;
+   wire n_36195;
+   wire n_36196;
+   wire n_36197;
+   wire n_36198;
+   wire n_36199;
+   wire n_36200;
+   wire n_36201;
+   wire n_36202;
+   wire n_36203;
+   wire n_36204;
+   wire n_36205;
+   wire n_36206;
+   wire n_36208;
+   wire n_36209;
+   wire n_36210;
+   wire n_36211;
+   wire n_36212;
+   wire n_36213;
+   wire n_36214;
+   wire n_36215;
+   wire n_36216;
+   wire n_36217;
+   wire n_36218;
+   wire n_36219;
+   wire n_36220;
+   wire n_36221;
+   wire n_36222;
+   wire n_36223;
+   wire n_36224;
+   wire n_36225;
+   wire n_36226;
+   wire n_36227;
+   wire n_36228;
+   wire n_36229;
+   wire n_36230;
+   wire n_36231;
+   wire n_36232;
+   wire n_36233;
+   wire n_36234;
+   wire n_36235;
+   wire n_36236;
+   wire n_36237;
+   wire n_36238;
+   wire n_36239;
+   wire n_36240;
+   wire n_36241;
+   wire n_36242;
+   wire n_36243;
+   wire n_36244;
+   wire n_36245;
+   wire n_36246;
+   wire n_36247;
+   wire n_36248;
+   wire n_36249;
+   wire n_36250;
+   wire n_36251;
+   wire n_36252;
+   wire n_36253;
+   wire n_36254;
+   wire n_36255;
+   wire n_36256;
+   wire n_36257;
+   wire n_36258;
+   wire n_36259;
+   wire n_36260;
+   wire n_36261;
+   wire n_36262;
+   wire n_36263;
+   wire n_36264;
+   wire n_36265;
+   wire n_36266;
+   wire n_36267;
+   wire n_36268;
+   wire n_36269;
+   wire n_36270;
+   wire n_36271;
+   wire n_36272;
+   wire n_36273;
+   wire n_36274;
+   wire n_36275;
+   wire n_36276;
+   wire n_36277;
+   wire n_36278;
+   wire n_36279;
+   wire n_36280;
+   wire n_36281;
+   wire n_36282;
+   wire n_36283;
+   wire n_36284;
+   wire n_36285;
+   wire n_36286;
+   wire n_36287;
+   wire n_36288;
+   wire n_36289;
+   wire n_36290;
+   wire n_36291;
+   wire n_36292;
+   wire n_36293;
+   wire n_36294;
+   wire n_36295;
+   wire n_36296;
+   wire n_36297;
+   wire n_36298;
+   wire n_36299;
+   wire n_36300;
+   wire n_36301;
+   wire n_36302;
+   wire n_36303;
+   wire n_36304;
+   wire n_36305;
+   wire n_36306;
+   wire n_36307;
+   wire n_36308;
+   wire n_36309;
+   wire n_36310;
+   wire n_36311;
+   wire n_36312;
+   wire n_36313;
+   wire n_36314;
+   wire n_36315;
+   wire n_36316;
+   wire n_36317;
+   wire n_36318;
+   wire n_36319;
+   wire n_36320;
+   wire n_36321;
+   wire n_36322;
+   wire n_36323;
+   wire n_36324;
+   wire n_36325;
+   wire n_36326;
+   wire n_36327;
+   wire n_36328;
+   wire n_36329;
+   wire n_36330;
+   wire n_36331;
+   wire n_36332;
+   wire n_36333;
+   wire n_36334;
+   wire n_36335;
+   wire n_36336;
+   wire n_36337;
+   wire n_36338;
+   wire n_36339;
+   wire n_36340;
+   wire n_36341;
+   wire n_36342;
+   wire n_36343;
+   wire n_36345;
+   wire n_36346;
+   wire n_36347;
+   wire n_36348;
+   wire n_36349;
+   wire n_36350;
+   wire n_36351;
+   wire n_36352;
+   wire n_36353;
+   wire n_36354;
+   wire n_36355;
+   wire n_36356;
+   wire n_36357;
+   wire n_36358;
+   wire n_36359;
+   wire n_36360;
+   wire n_36361;
+   wire n_36362;
+   wire n_36363;
+   wire n_36364;
+   wire n_36365;
+   wire n_36366;
+   wire n_36367;
+   wire n_36368;
+   wire n_36369;
+   wire n_36370;
+   wire n_36371;
+   wire n_36372;
+   wire n_36373;
+   wire n_36374;
+   wire n_36375;
+   wire n_36380;
+   wire n_36381;
+   wire n_36382;
+   wire n_36383;
+   wire n_36384;
+   wire n_36385;
+   wire n_36386;
+   wire n_36387;
+   wire n_36388;
+   wire n_36389;
+   wire n_36390;
+   wire n_36391;
+   wire n_36392;
+   wire n_36393;
+   wire n_36394;
+   wire n_36395;
+   wire n_36396;
+   wire n_36397;
+   wire n_36398;
+   wire n_36399;
+   wire n_36400;
+   wire n_36401;
+   wire n_36402;
+   wire n_36403;
+   wire n_36404;
+   wire n_36405;
+   wire n_36406;
+   wire n_36407;
+   wire n_36408;
+   wire n_36409;
+   wire n_36410;
+   wire n_36411;
+   wire n_36601;
+   wire n_36612;
+   wire n_36614;
+   wire n_36758;
+   wire n_36761;
+   wire n_36762;
+   wire n_36763;
+   wire n_36764;
+   wire n_36765;
+   wire n_36766;
+   wire n_36767;
+   wire n_36768;
+   wire n_36769;
+   wire n_36770;
+   wire n_36771;
+   wire n_36772;
+   wire n_36773;
+   wire n_36774;
+   wire n_36775;
+   wire n_36776;
+   wire n_36777;
+   wire n_36778;
+   wire n_36779;
+   wire n_36780;
+   wire n_36781;
+   wire n_36782;
+   wire n_36783;
+   wire n_36784;
+   wire n_36785;
+   wire n_36786;
+   wire n_36787;
+   wire n_36788;
+   wire n_36789;
+   wire n_36790;
+   wire n_36791;
+   wire n_36792;
+   wire n_36793;
+   wire n_36794;
+   wire n_36795;
+   wire n_36796;
+   wire n_36797;
+   wire n_36798;
+   wire n_36799;
+   wire n_36800;
+   wire n_36801;
+   wire n_36802;
+   wire n_36803;
+   wire n_36804;
+   wire n_36805;
+   wire n_36806;
+   wire n_36807;
+   wire n_36808;
+   wire n_36809;
+   wire n_36810;
+   wire n_36811;
+   wire n_36812;
+   wire n_36813;
+   wire n_36814;
+   wire n_36815;
+   wire n_36816;
+   wire n_36817;
+   wire n_36818;
+   wire n_36819;
+   wire n_36820;
+   wire n_36821;
+   wire n_36822;
+   wire n_36823;
+   wire n_36824;
+   wire n_36825;
+   wire n_36826;
+   wire n_36827;
+   wire n_36828;
+   wire n_36829;
+   wire n_36830;
+   wire n_36831;
+   wire n_36832;
+   wire n_36833;
+   wire n_36834;
+   wire n_36835;
+   wire n_36836;
+   wire n_36837;
+   wire n_36838;
+   wire n_36839;
+   wire n_36840;
+   wire n_36841;
+   wire n_36842;
+   wire n_36843;
+   wire n_36844;
+   wire n_36845;
+   wire n_36846;
+   wire n_36847;
+   wire n_36848;
+   wire n_36849;
+   wire n_36850;
+   wire n_36851;
+   wire n_36852;
+   wire n_36853;
+   wire n_36854;
+   wire n_36855;
+   wire n_36856;
+   wire n_36857;
+   wire n_36858;
+   wire n_36859;
+   wire n_36860;
+   wire n_36861;
+   wire n_36862;
+   wire n_36863;
+   wire n_36864;
+   wire n_36865;
+   wire n_36867;
+   wire n_36868;
+   wire n_36869;
+   wire n_36870;
+   wire n_36871;
+   wire n_36872;
+   wire n_36873;
+   wire n_36874;
+   wire n_36875;
+   wire n_36876;
+   wire n_36877;
+   wire n_36878;
+   wire n_36879;
+   wire n_36880;
+   wire n_36881;
+   wire n_36882;
+   wire n_36883;
+   wire n_36884;
+   wire n_36885;
+   wire n_36886;
+   wire n_36887;
+   wire n_36888;
+   wire n_36889;
+   wire n_36890;
+   wire n_36891;
+   wire n_36892;
+   wire n_36907;
+   wire n_36908;
+   wire n_36909;
+   wire n_36910;
+   wire n_36911;
+   wire n_36912;
+   wire n_36913;
+   wire n_36914;
+   wire n_36915;
+   wire n_36916;
+   wire n_36920;
+   wire n_36923;
+   wire n_36924;
+   wire n_36925;
+   wire n_36927;
+   wire n_36928;
+   wire n_36930;
+   wire n_36931;
+   wire n_36936;
+   wire n_36937;
+   wire n_36938;
+   wire n_36939;
+   wire n_36940;
+   wire n_36941;
+   wire n_36942;
+   wire n_36943;
+   wire n_36944;
+   wire n_36945;
+   wire n_36946;
+   wire n_36947;
+   wire n_36948;
+   wire n_36949;
+   wire n_36950;
+   wire n_36951;
+   wire n_36952;
+   wire n_36953;
+   wire n_36954;
+   wire n_36955;
+   wire n_36956;
+   wire n_36957;
+   wire n_36958;
+   wire n_36959;
+   wire n_36960;
+   wire n_36961;
+   wire n_36962;
+   wire n_36963;
+   wire n_36964;
+   wire n_36965;
+   wire n_36966;
+   wire n_36967;
+   wire n_36968;
+   wire n_36969;
+   wire n_36972;
+   wire n_36973;
+   wire n_36974;
+   wire n_36975;
+   wire n_36976;
+   wire n_36977;
+   wire n_36978;
+   wire n_36979;
+   wire n_36980;
+   wire n_36981;
+   wire n_36982;
+   wire n_36983;
+   wire n_36984;
+   wire n_36985;
+   wire n_36986;
+   wire n_36987;
+   wire n_36988;
+   wire n_36989;
+   wire n_36990;
+   wire n_36991;
+   wire n_36992;
+   wire n_36993;
+   wire n_36994;
+   wire n_36995;
+   wire n_36996;
+   wire n_36997;
+   wire n_36998;
+   wire n_36999;
+   wire n_37000;
+   wire n_37001;
+   wire n_37002;
+   wire n_37003;
+   wire n_37005;
+   wire n_37006;
+   wire n_37007;
+   wire n_37008;
+   wire n_37009;
+   wire n_37011;
+   wire n_37012;
+   wire n_37013;
+   wire n_37014;
+   wire n_37015;
+   wire n_37016;
+   wire n_37017;
+   wire n_37018;
+   wire n_37019;
+   wire n_37020;
+   wire n_37021;
+   wire n_37022;
+   wire n_37023;
+   wire n_37024;
+   wire n_37025;
+   wire n_37026;
+   wire n_37027;
+   wire n_37028;
+   wire n_37029;
+   wire n_37030;
+   wire n_37031;
+   wire n_37032;
+   wire n_37033;
+   wire n_37034;
+   wire n_37035;
+   wire n_37036;
+   wire n_37037;
+   wire n_37038;
+   wire n_37039;
+   wire n_37040;
+   wire n_37041;
+   wire n_37042;
+   wire n_37043;
+   wire n_37044;
+   wire n_37045;
+   wire n_37046;
+   wire n_37047;
+   wire n_37048;
+   wire n_37049;
+   wire n_37050;
+   wire n_37051;
+   wire n_37052;
+   wire n_37053;
+   wire n_37054;
+   wire n_37055;
+   wire n_37056;
+   wire n_37057;
+   wire n_37058;
+   wire n_37059;
+   wire n_37060;
+   wire n_37061;
+   wire n_37062;
+   wire n_37063;
+   wire n_37064;
+   wire n_37065;
+   wire n_37066;
+   wire n_37069;
+   wire n_37070;
+   wire n_37071;
+   wire n_37072;
+   wire n_37073;
+   wire n_37074;
+   wire n_37075;
+   wire n_37076;
+   wire n_37077;
+   wire n_37078;
+   wire n_37079;
+   wire n_37080;
+   wire n_37081;
+   wire n_37082;
+   wire n_37083;
+   wire n_37084;
+   wire n_37085;
+   wire n_37086;
+   wire n_37087;
+   wire n_37088;
+   wire n_37089;
+   wire n_37090;
+   wire n_37091;
+   wire n_37092;
+   wire n_37093;
+   wire n_37094;
+   wire n_37095;
+   wire n_37096;
+   wire n_37097;
+   wire n_37098;
+   wire n_37099;
+   wire n_37100;
+   wire n_37101;
+   wire n_37102;
+   wire n_37103;
+   wire n_37104;
+   wire n_37105;
+   wire n_37106;
+   wire n_37107;
+   wire n_37108;
+   wire n_37109;
+   wire n_37110;
+   wire n_37111;
+   wire n_37112;
+   wire n_37113;
+   wire n_37114;
+   wire n_37115;
+   wire n_37116;
+   wire n_37117;
+   wire n_37118;
+   wire n_37119;
+   wire n_37120;
+   wire n_37121;
+   wire n_37122;
+   wire n_37123;
+   wire n_37124;
+   wire n_37125;
+   wire n_37126;
+   wire n_37127;
+   wire n_37128;
+   wire n_37129;
+   wire n_37133;
+   wire n_37134;
+   wire n_37135;
+   wire n_37136;
+   wire n_37137;
+   wire n_37138;
+   wire n_37139;
+   wire n_37140;
+   wire n_37141;
+   wire n_37142;
+   wire n_37143;
+   wire n_37144;
+   wire n_37145;
+   wire n_37146;
+   wire n_37147;
+   wire n_37148;
+   wire n_37149;
+   wire n_37150;
+   wire n_37151;
+   wire n_37152;
+   wire n_37153;
+   wire n_37154;
+   wire n_37155;
+   wire n_37156;
+   wire n_37157;
+   wire n_37158;
+   wire n_37159;
+   wire n_37160;
+   wire n_37161;
+   wire n_37162;
+   wire n_37163;
+   wire n_37166;
+   wire n_37167;
+   wire n_37168;
+   wire n_37169;
+   wire n_37170;
+   wire n_37171;
+   wire n_37172;
+   wire n_37173;
+   wire n_37174;
+   wire n_37175;
+   wire n_37176;
+   wire n_37178;
+   wire n_37179;
+   wire n_37180;
+   wire n_37181;
+   wire n_37183;
+   wire n_37184;
+   wire n_37185;
+   wire n_37186;
+   wire n_37187;
+   wire n_37188;
+   wire n_37189;
+   wire n_37192;
+   wire n_37193;
+   wire n_37194;
+   wire n_37195;
+   wire n_37196;
+   wire n_37197;
+   wire n_37198;
+   wire n_37199;
+   wire n_37200;
+   wire n_37201;
+   wire n_37204;
+   wire n_37205;
+   wire n_37206;
+   wire n_37207;
+   wire n_37208;
+   wire n_37209;
+   wire n_37210;
+   wire n_37211;
+   wire n_37212;
+   wire n_37213;
+   wire n_37214;
+   wire n_37215;
+   wire n_37216;
+   wire n_37217;
+   wire n_37218;
+   wire n_37219;
+   wire n_37220;
+   wire n_37221;
+   wire n_37222;
+   wire n_37223;
+   wire n_37224;
+   wire n_37228;
+   wire n_37229;
+   wire n_37230;
+   wire n_37231;
+   wire n_37232;
+   wire n_37233;
+   wire n_37234;
+   wire n_37235;
+   wire n_37236;
+   wire n_37240;
+   wire n_37241;
+   wire n_37242;
+   wire n_37243;
+   wire n_37244;
+   wire n_37245;
+   wire n_37246;
+   wire n_37247;
+   wire n_37248;
+   wire n_37249;
+   wire n_37250;
+   wire n_37251;
+   wire n_37252;
+   wire n_37253;
+   wire n_37254;
+   wire n_37255;
+   wire n_37256;
+   wire n_37257;
+   wire n_37258;
+   wire n_37259;
+   wire n_37260;
+   wire n_37261;
+   wire n_37262;
+   wire n_37263;
+   wire n_37264;
+   wire n_37265;
+   wire n_37266;
+   wire n_37267;
+   wire n_37268;
+   wire n_37269;
+   wire n_37270;
+   wire n_37271;
+   wire n_37272;
+   wire n_37273;
+   wire n_37274;
+   wire n_37275;
+   wire n_37276;
+   wire n_37277;
+   wire n_37278;
+   wire n_37279;
+   wire n_37280;
+   wire n_37281;
+   wire n_37282;
+   wire n_37283;
+   wire n_37284;
+   wire n_37285;
+   wire n_37286;
+   wire n_37287;
+   wire n_37288;
+   wire n_37289;
+   wire n_37290;
+   wire n_37291;
+   wire n_37292;
+   wire n_37293;
+   wire n_37294;
+   wire n_37295;
+   wire n_37296;
+   wire n_37297;
+   wire n_37298;
+   wire n_37299;
+   wire n_37300;
+   wire n_37301;
+   wire n_37302;
+   wire n_37303;
+   wire n_37304;
+   wire n_37305;
+   wire n_37306;
+   wire n_37307;
+   wire n_37308;
+   wire n_37309;
+   wire n_37310;
+   wire n_37311;
+   wire n_37312;
+   wire n_37313;
+   wire n_37314;
+   wire n_37315;
+   wire n_37316;
+   wire n_37317;
+   wire n_37318;
+   wire n_37319;
+   wire n_37320;
+   wire n_37321;
+   wire n_37322;
+   wire n_37323;
+   wire n_37324;
+   wire n_37325;
+   wire n_37327;
+   wire n_37328;
+   wire n_37329;
+   wire n_37330;
+   wire n_37331;
+   wire n_37332;
+   wire n_37334;
+   wire n_37335;
+   wire n_37336;
+   wire n_37337;
+   wire n_37338;
+   wire n_37339;
+   wire n_37340;
+   wire n_37341;
+   wire n_37342;
+   wire n_37343;
+   wire n_37344;
+   wire n_37345;
+   wire n_37346;
+   wire n_37347;
+   wire n_37348;
+   wire n_37349;
+   wire n_37350;
+   wire n_37351;
+   wire n_37352;
+   wire n_37353;
+   wire n_37355;
+   wire n_37356;
+   wire n_37357;
+   wire n_37358;
+   wire n_37359;
+   wire n_37360;
+   wire n_37361;
+   wire n_37362;
+   wire n_37363;
+   wire n_37364;
+   wire n_37365;
+   wire n_37366;
+   wire n_37367;
+   wire n_37368;
+   wire n_37369;
+   wire n_37370;
+   wire n_37371;
+   wire n_37372;
+   wire n_37373;
+   wire n_37374;
+   wire n_37376;
+   wire n_37378;
+   wire n_37379;
+   wire n_37380;
+   wire n_37382;
+   wire n_37383;
+   wire n_37384;
+   wire n_37387;
+   wire n_37388;
+   wire n_37389;
+   wire n_37390;
+   wire n_37391;
+   wire n_37392;
+   wire n_37393;
+   wire n_37394;
+   wire n_37395;
+   wire n_37396;
+   wire n_37397;
+   wire n_37398;
+   wire n_37399;
+   wire n_37400;
+   wire n_37401;
+   wire n_37402;
+   wire n_37403;
+   wire n_37404;
+   wire n_37405;
+   wire n_37406;
+   wire n_37407;
+   wire n_37408;
+   wire n_37409;
+   wire n_37410;
+   wire n_37411;
+   wire n_37412;
+   wire n_37413;
+   wire n_37414;
+   wire n_37415;
+   wire n_37416;
+   wire n_37417;
+   wire n_37418;
+   wire n_37419;
+   wire n_37420;
+   wire n_37421;
+   wire n_37422;
+   wire n_37423;
+   wire n_37424;
+   wire n_37425;
+   wire n_37426;
+   wire n_37427;
+   wire n_37428;
+   wire n_37429;
+   wire n_37430;
+   wire n_37431;
+   wire n_37432;
+   wire n_37433;
+   wire n_37434;
+   wire n_37435;
+   wire n_37436;
+   wire n_37437;
+   wire n_37438;
+   wire n_37439;
+   wire n_37440;
+   wire n_37441;
+   wire n_37442;
+   wire n_37443;
+   wire n_37444;
+   wire n_37445;
+   wire n_37446;
+   wire n_37447;
+   wire n_37448;
+   wire n_37449;
+   wire n_37450;
+   wire n_37451;
+   wire n_37452;
+   wire n_37453;
+   wire n_37454;
+   wire n_37455;
+   wire n_37456;
+   wire n_37457;
+   wire n_37458;
+   wire n_37459;
+   wire n_37460;
+   wire n_37461;
+   wire n_37462;
+   wire n_37463;
+   wire n_37464;
+   wire n_37465;
+   wire n_37466;
+   wire n_37467;
+   wire n_37468;
+   wire n_37469;
+   wire n_37470;
+   wire n_37471;
+   wire n_37472;
+   wire n_37473;
+   wire n_37474;
+   wire n_37475;
+   wire n_37476;
+   wire n_37477;
+   wire n_37478;
+   wire n_37479;
+   wire n_37480;
+   wire n_37481;
+   wire n_37482;
+   wire n_37483;
+   wire n_37484;
+   wire n_37485;
+   wire n_37486;
+   wire n_37487;
+   wire n_37488;
+   wire n_37489;
+   wire n_37490;
+   wire n_37491;
+   wire n_37492;
+   wire n_37493;
+   wire n_37494;
+   wire n_37495;
+   wire n_37496;
+   wire n_37497;
+   wire n_37498;
+   wire n_37499;
+   wire n_37500;
+   wire n_37501;
+   wire n_37502;
+   wire n_37503;
+   wire n_37504;
+   wire n_37505;
+   wire n_37506;
+   wire n_37507;
+   wire n_37508;
+   wire n_37509;
+   wire n_37510;
+   wire n_37511;
+   wire n_37512;
+   wire n_37513;
+   wire n_37514;
+   wire n_37515;
+   wire n_37516;
+   wire n_37517;
+   wire n_37518;
+   wire n_37519;
+   wire n_37520;
+   wire n_37521;
+   wire n_37522;
+   wire n_37523;
+   wire n_37524;
+   wire n_37525;
+   wire n_37526;
+   wire n_37527;
+   wire n_37528;
+   wire n_37529;
+   wire n_37530;
+   wire n_37531;
+   wire n_37532;
+   wire n_37533;
+   wire n_37534;
+   wire n_37535;
+   wire n_37536;
+   wire n_37537;
+   wire n_37538;
+   wire n_37539;
+   wire n_37540;
+   wire n_37541;
+   wire n_37542;
+   wire n_37543;
+   wire n_37544;
+   wire n_37545;
+   wire n_37546;
+   wire n_37547;
+   wire n_37548;
+   wire n_37549;
+   wire n_37550;
+   wire n_37551;
+   wire n_37552;
+   wire n_37553;
+   wire n_37554;
+   wire n_37555;
+   wire n_37556;
+   wire n_37557;
+   wire n_37558;
+   wire n_37559;
+   wire n_37560;
+   wire n_37561;
+   wire n_37562;
+   wire n_37563;
+   wire n_37564;
+   wire n_37565;
+   wire n_37566;
+   wire n_37567;
+   wire n_37568;
+   wire n_37569;
+   wire n_37570;
+   wire n_37571;
+   wire n_37572;
+   wire n_37573;
+   wire n_37574;
+   wire n_37575;
+   wire n_37576;
+   wire n_37577;
+   wire n_37578;
+   wire n_37579;
+   wire n_37580;
+   wire n_37581;
+   wire n_37582;
+   wire n_37583;
+   wire n_37584;
+   wire n_37585;
+   wire n_37586;
+   wire n_37587;
+   wire n_37588;
+   wire n_37589;
+   wire n_37590;
+   wire n_37591;
+   wire n_37592;
+   wire n_37593;
+   wire n_37594;
+   wire n_37595;
+   wire n_37596;
+   wire n_37597;
+   wire n_37598;
+   wire n_37599;
+   wire n_37600;
+   wire n_37601;
+   wire n_37602;
+   wire n_37603;
+   wire n_37604;
+   wire n_37605;
+   wire n_37606;
+   wire n_37607;
+   wire n_37608;
+   wire n_37609;
+   wire n_37610;
+   wire n_37611;
+   wire n_37612;
+   wire n_37613;
+   wire n_37614;
+   wire n_37615;
+   wire n_37616;
+   wire n_37617;
+   wire n_37618;
+   wire n_37619;
+   wire n_37620;
+   wire n_37621;
+   wire n_37622;
+   wire n_37623;
+   wire n_37624;
+   wire n_37625;
+   wire n_37626;
+   wire n_37627;
+   wire n_37628;
+   wire n_37629;
+   wire n_37630;
+   wire n_37631;
+   wire n_37632;
+   wire n_37633;
+   wire n_37634;
+   wire n_37635;
+   wire n_37636;
+   wire n_37637;
+   wire n_37638;
+   wire n_37639;
+   wire n_37640;
+   wire n_37641;
+   wire n_37642;
+   wire n_37643;
+   wire n_37644;
+   wire n_37645;
+   wire n_37646;
+   wire n_37647;
+   wire n_37648;
+   wire n_37649;
+   wire n_37650;
+   wire n_37651;
+   wire n_37652;
+   wire n_37653;
+   wire n_37654;
+   wire n_37655;
+   wire n_37656;
+   wire n_37657;
+   wire n_37658;
+   wire n_37659;
+   wire n_37660;
+   wire n_37661;
+   wire n_37662;
+   wire n_37663;
+   wire n_37664;
+   wire n_37665;
+   wire n_37666;
+   wire n_37667;
+   wire n_37668;
+   wire n_37669;
+   wire n_37670;
+   wire n_37671;
+   wire n_37672;
+   wire n_37673;
+   wire n_37674;
+   wire n_37675;
+   wire n_37676;
+   wire n_37677;
+   wire n_37678;
+   wire n_37679;
+   wire n_37680;
+   wire n_37681;
+   wire n_37682;
+   wire n_37683;
+   wire n_37684;
+   wire n_37685;
+   wire n_37686;
+   wire n_37687;
+   wire n_37688;
+   wire n_37689;
+   wire n_37690;
+   wire n_37691;
+   wire n_37692;
+   wire n_37693;
+   wire n_37694;
+   wire n_37695;
+   wire n_37696;
+   wire n_37697;
+   wire n_37698;
+   wire n_37699;
+   wire n_37700;
+   wire n_37701;
+   wire n_37702;
+   wire n_37703;
+   wire n_37704;
+   wire n_37705;
+   wire n_37706;
+   wire n_37707;
+   wire n_37708;
+   wire n_37709;
+   wire n_37710;
+   wire n_37711;
+   wire n_37712;
+   wire n_37713;
+   wire n_37714;
+   wire n_37715;
+   wire n_37716;
+   wire n_37717;
+   wire n_37718;
+   wire n_37719;
+   wire n_37720;
+   wire n_37721;
+   wire n_37722;
+   wire n_37723;
+   wire n_37724;
+   wire n_37725;
+   wire n_37726;
+   wire n_37727;
+   wire n_37728;
+   wire n_37729;
+   wire n_37730;
+   wire n_37731;
+   wire n_37732;
+   wire n_37733;
+   wire n_37734;
+   wire n_37735;
+   wire n_37736;
+   wire n_37737;
+   wire n_37738;
+   wire n_37739;
+   wire n_37740;
+   wire n_37741;
+   wire n_37742;
+   wire n_37743;
+   wire n_37744;
+   wire n_37745;
+   wire n_37746;
+   wire n_37747;
+   wire n_37748;
+   wire n_37749;
+   wire n_37750;
+   wire n_37751;
+   wire n_37752;
+   wire n_37753;
+   wire n_37754;
+   wire n_37755;
+   wire n_37756;
+   wire n_37757;
+   wire n_37758;
+   wire n_37759;
+   wire n_37760;
+   wire n_37761;
+   wire n_37762;
+   wire n_37763;
+   wire n_37764;
+   wire n_37765;
+   wire n_37766;
+   wire n_37768;
+   wire n_37769;
+   wire n_37770;
+   wire n_37772;
+   wire n_37773;
+   wire n_37774;
+   wire n_37775;
+   wire n_37776;
+   wire n_37778;
+   wire n_37779;
+   wire n_37780;
+   wire n_37781;
+   wire n_37782;
+   wire n_37783;
+   wire n_37784;
+   wire n_37785;
+   wire n_37786;
+   wire n_37787;
+   wire n_37788;
+   wire n_37789;
+   wire n_37790;
+   wire n_37791;
+   wire n_37792;
+   wire n_37793;
+   wire n_37794;
+   wire n_37795;
+   wire n_37796;
+   wire n_37797;
+   wire n_37798;
+   wire n_37799;
+   wire n_37800;
+   wire n_37801;
+   wire n_37802;
+   wire n_37803;
+   wire n_37804;
+   wire n_37807;
+   wire n_37810;
+   wire n_37819;
+   wire n_37820;
+   wire n_37823;
+   wire n_37824;
+   wire n_37825;
+   wire n_37826;
+   wire n_37827;
+   wire n_37828;
+   wire n_37829;
+   wire n_37830;
+   wire n_37831;
+   wire n_37832;
+   wire n_37833;
+   wire n_37834;
+   wire n_37835;
+   wire n_37836;
+   wire n_37837;
+   wire n_37838;
+   wire n_37839;
+   wire n_37840;
+   wire n_37841;
+   wire n_37842;
+   wire n_37843;
+   wire n_37844;
+   wire n_37845;
+   wire n_37846;
+   wire n_37847;
+   wire n_37848;
+   wire n_37849;
+   wire n_37850;
+   wire n_37851;
+   wire n_37852;
+   wire n_37853;
+   wire n_37854;
+   wire n_37855;
+   wire n_37856;
+   wire n_37857;
+   wire n_37858;
+   wire n_37859;
+   wire n_37860;
+   wire n_37861;
+   wire n_37862;
+   wire n_37863;
+   wire n_37864;
+   wire n_37865;
+   wire n_37866;
+   wire n_37867;
+   wire n_37868;
+   wire n_37869;
+   wire n_37870;
+   wire n_37871;
+   wire n_37872;
+   wire n_37873;
+   wire n_37874;
+   wire n_37875;
+   wire n_37876;
+   wire n_37877;
+   wire n_37878;
+   wire n_37879;
+   wire n_37880;
+   wire n_37881;
+   wire n_37882;
+   wire n_37883;
+   wire n_37884;
+   wire n_37885;
+   wire n_37886;
+   wire n_37887;
+   wire n_37888;
+   wire n_37889;
+   wire n_37890;
+   wire n_37891;
+   wire n_37892;
+   wire n_37893;
+   wire n_37894;
+   wire n_37895;
+   wire n_37899;
+   wire n_37904;
+   wire n_37905;
+   wire n_37906;
+   wire n_37907;
+   wire n_37908;
+   wire n_37909;
+   wire n_37910;
+   wire n_37911;
+   wire n_37912;
+   wire n_37913;
+   wire n_37914;
+   wire n_37915;
+   wire n_37916;
+   wire n_37917;
+   wire n_37918;
+   wire n_37919;
+   wire n_37920;
+   wire n_37921;
+   wire n_37922;
+   wire n_37923;
+   wire n_37924;
+   wire n_37925;
+   wire n_37926;
+   wire n_37927;
+   wire n_37928;
+   wire n_37929;
+   wire n_37930;
+   wire n_37931;
+   wire n_37932;
+   wire n_37933;
+   wire n_37934;
+   wire n_37935;
+   wire n_37936;
+   wire n_37937;
+   wire n_37938;
+   wire n_37939;
+   wire n_37940;
+   wire n_37941;
+   wire n_37942;
+   wire n_37943;
+   wire n_37944;
+   wire n_37950;
+   wire n_37951;
+   wire n_37952;
+   wire n_37953;
+   wire n_37954;
+   wire n_37955;
+   wire n_37956;
+   wire n_37957;
+   wire n_37958;
+   wire n_37959;
+   wire n_37960;
+   wire n_37961;
+   wire n_37962;
+   wire n_37963;
+   wire n_37964;
+   wire n_37965;
+   wire n_37966;
+   wire n_37967;
+   wire n_37969;
+   wire n_37970;
+   wire n_37972;
+   wire n_37973;
+   wire n_37974;
+   wire n_37975;
+   wire n_37976;
+   wire n_37977;
+   wire n_37978;
+   wire n_37979;
+   wire n_37980;
+   wire n_37981;
+   wire n_37982;
+   wire n_37983;
+   wire n_37984;
+   wire n_37985;
+   wire n_37986;
+   wire n_37987;
+   wire n_37988;
+   wire n_37989;
+   wire n_37990;
+   wire n_37991;
+   wire n_37992;
+   wire n_37993;
+   wire n_37994;
+   wire n_37995;
+   wire n_37996;
+   wire n_37997;
+   wire n_37998;
+   wire n_37999;
+   wire n_38000;
+   wire n_38001;
+   wire n_38002;
+   wire n_38003;
+   wire n_38004;
+   wire n_38005;
+   wire n_38006;
+   wire n_38007;
+   wire n_38008;
+   wire n_38009;
+   wire n_38010;
+   wire n_38013;
+   wire n_38014;
+   wire n_38015;
+   wire n_38016;
+   wire n_38017;
+   wire n_38018;
+   wire n_38019;
+   wire n_38020;
+   wire n_38024;
+   wire n_38027;
+   wire n_38028;
+   wire n_38029;
+   wire n_38030;
+   wire n_38031;
+   wire n_38032;
+   wire n_38033;
+   wire n_38034;
+   wire n_38035;
+   wire n_38036;
+   wire n_38037;
+   wire n_38038;
+   wire n_38039;
+   wire n_38040;
+   wire n_38041;
+   wire n_38042;
+   wire n_38043;
+   wire n_38044;
+   wire n_38045;
+   wire n_38046;
+   wire n_38047;
+   wire n_38048;
+   wire n_38049;
+   wire n_38050;
+   wire n_38051;
+   wire n_38052;
+   wire n_38053;
+   wire n_38054;
+   wire n_38055;
+   wire n_38056;
+   wire n_38057;
+   wire n_38058;
+   wire n_38059;
+   wire n_38060;
+   wire n_38061;
+   wire n_38062;
+   wire n_38063;
+   wire n_38064;
+   wire n_38065;
+   wire n_38066;
+   wire n_38067;
+   wire n_38068;
+   wire n_38069;
+   wire n_38070;
+   wire n_38071;
+   wire n_38072;
+   wire n_38073;
+   wire n_38074;
+   wire n_38075;
+   wire n_38076;
+   wire n_38077;
+   wire n_38078;
+   wire n_38079;
+   wire n_38080;
+   wire n_38081;
+   wire n_38082;
+   wire n_38083;
+   wire n_38084;
+   wire n_38085;
+   wire n_38086;
+   wire n_38087;
+   wire n_38088;
+   wire n_38089;
+   wire n_38090;
+   wire n_38091;
+   wire n_38092;
+   wire n_38093;
+   wire n_38094;
+   wire n_38095;
+   wire n_38096;
+   wire n_38097;
+   wire n_38098;
+   wire n_38099;
+   wire n_38100;
+   wire n_38101;
+   wire n_38102;
+   wire n_38103;
+   wire n_38104;
+   wire n_38105;
+   wire n_38106;
+   wire n_38107;
+   wire n_38108;
+   wire n_38109;
+   wire n_38110;
+   wire n_38111;
+   wire n_38112;
+   wire n_38113;
+   wire n_38114;
+   wire n_38115;
+   wire n_38116;
+   wire n_38117;
+   wire n_38118;
+   wire n_38119;
+   wire n_38120;
+   wire n_38121;
+   wire n_38122;
+   wire n_38123;
+   wire n_38124;
+   wire n_38125;
+   wire n_38126;
+   wire n_38127;
+   wire n_38128;
+   wire n_38129;
+   wire n_38130;
+   wire n_38131;
+   wire n_38132;
+   wire n_38133;
+   wire n_38134;
+   wire n_38135;
+   wire n_38136;
+   wire n_38137;
+   wire n_38138;
+   wire n_38139;
+   wire n_38140;
+   wire n_38141;
+   wire n_38142;
+   wire n_38143;
+   wire n_38144;
+   wire n_38145;
+   wire n_38146;
+   wire n_38147;
+   wire n_38148;
+   wire n_38149;
+   wire n_38150;
+   wire n_38151;
+   wire n_38152;
+   wire n_38153;
+   wire n_38154;
+   wire n_38155;
+   wire n_38156;
+   wire n_38157;
+   wire n_38158;
+   wire n_38159;
+   wire n_38160;
+   wire n_38161;
+   wire n_38162;
+   wire n_38163;
+   wire n_38164;
+   wire n_38165;
+   wire n_38166;
+   wire n_38167;
+   wire n_38168;
+   wire n_38169;
+   wire n_38170;
+   wire n_38171;
+   wire n_38172;
+   wire n_38173;
+   wire n_38174;
+   wire n_38175;
+   wire n_38176;
+   wire n_38177;
+   wire n_38178;
+   wire n_38179;
+   wire n_38180;
+   wire n_38181;
+   wire n_38182;
+   wire n_38183;
+   wire n_38184;
+   wire n_38185;
+   wire n_38186;
+   wire n_38187;
+   wire n_38188;
+   wire n_38189;
+   wire n_38190;
+   wire n_38191;
+   wire n_38192;
+   wire n_38193;
+   wire n_38194;
+   wire n_38195;
+   wire n_38196;
+   wire n_38197;
+   wire n_41696;
+   wire n_41700;
+   wire n_41719;
+   wire n_41741;
+   wire n_41757;
+   wire n_41769;
+   wire n_41792;
+   wire n_41853;
+   wire n_41860;
+   wire n_41897;
+   wire n_41898;
+   wire n_41899;
+   wire n_41900;
+   wire n_41901;
+   wire n_41902;
+   wire n_41903;
+   wire n_41904;
+   wire n_41905;
+   wire n_41906;
+   wire n_41913;
+   wire n_41914;
+   wire n_41915;
+   wire n_41916;
+   wire n_41917;
+   wire n_41918;
+   wire n_41919;
+   wire n_41922;
+   wire n_41937;
+   wire n_41938;
+   wire n_41939;
+   wire n_41994;
+   wire n_41995;
+   wire n_41996;
+   wire n_41997;
+   wire n_41998;
+   wire n_41999;
+   wire n_42002;
+   wire n_42003;
+   wire n_42004;
+   wire n_42005;
+   wire n_42006;
+   wire n_42007;
+   wire n_42008;
+   wire n_42009;
+   wire n_42010;
+   wire n_42011;
+   wire n_42012;
+   wire n_42021;
+   wire n_42044;
+   wire n_42045;
+   wire n_42046;
+   wire n_42047;
+   wire n_42064;
+   wire n_42071;
+   wire n_42072;
+   wire n_42073;
+   wire n_42076;
+   wire n_42077;
+   wire n_42078;
+   wire n_42079;
+   wire n_42080;
+   wire n_42081;
+   wire n_42082;
+   wire n_42083;
+   wire n_42084;
+   wire n_42085;
+   wire n_42086;
+   wire n_42087;
+   wire n_42088;
+   wire n_42089;
+   wire n_42090;
+   wire n_42091;
+   wire n_42092;
+   wire n_42093;
+   wire n_42094;
+   wire n_42095;
+   wire n_42096;
+   wire n_42097;
+   wire n_42098;
+   wire n_42099;
+   wire n_42100;
+   wire n_42101;
+   wire n_42108;
+   wire n_42109;
+   wire n_42110;
+   wire n_42111;
+   wire n_42112;
+   wire n_42113;
+   wire n_42114;
+   wire n_42121;
+   wire n_42122;
+   wire n_42123;
+   wire n_42124;
+   wire n_42125;
+   wire n_42126;
+   wire n_42127;
+   wire n_42128;
+   wire n_42129;
+   wire n_42130;
+   wire n_42131;
+   wire n_42132;
+   wire n_42133;
+   wire n_42134;
+   wire n_42149;
+   wire n_42150;
+   wire n_42151;
+   wire n_42162;
+   wire n_42163;
+   wire n_42164;
+   wire n_42165;
+   wire n_42166;
+   wire n_42167;
+   wire n_42168;
+   wire n_42169;
+   wire n_42170;
+   wire n_42171;
+   wire n_42172;
+   wire n_42173;
+   wire n_42174;
+   wire n_42175;
+   wire n_42176;
+   wire n_42177;
+   wire n_42179;
+   wire n_42191;
+   wire n_42206;
+   wire n_42207;
+   wire n_42216;
+   wire n_42217;
+   wire n_42225;
+   wire n_42226;
+   wire n_42227;
+   wire n_42228;
+   wire n_42229;
+   wire n_42230;
+   wire n_42231;
+   wire n_42232;
+   wire n_42233;
+   wire n_42234;
+   wire n_42235;
+   wire n_42236;
+   wire n_42237;
+   wire n_42238;
+   wire n_42239;
+   wire n_42240;
+   wire n_42241;
+   wire n_42242;
+   wire n_42243;
+   wire n_42244;
+   wire n_42245;
+   wire n_42246;
+   wire n_42247;
+   wire n_42248;
+   wire n_42249;
+   wire n_42250;
+   wire n_42251;
+   wire n_42252;
+   wire n_42253;
+   wire n_42254;
+   wire n_42255;
+   wire n_42256;
+   wire n_42257;
+   wire n_42258;
+   wire n_42259;
+   wire n_42260;
+   wire n_42261;
+   wire n_42262;
+   wire n_42263;
+   wire n_42264;
+   wire n_42265;
+   wire n_42266;
+   wire n_42267;
+   wire n_42268;
+   wire n_42269;
+   wire n_42270;
+   wire n_42271;
+   wire n_42272;
+   wire n_42273;
+   wire n_42274;
+   wire n_42275;
+   wire n_42276;
+   wire n_42277;
+   wire n_42278;
+   wire n_42279;
+   wire n_42280;
+   wire n_42281;
+   wire n_42282;
+   wire n_42283;
+   wire n_42285;
+   wire n_42286;
+   wire n_42287;
+   wire n_42288;
+   wire n_42289;
+   wire n_42290;
+   wire n_42291;
+   wire n_42292;
+   wire n_42293;
+   wire n_42294;
+   wire n_42295;
+   wire n_42296;
+   wire n_42297;
+   wire n_42298;
+   wire n_42299;
+   wire n_42300;
+   wire n_42302;
+   wire n_42303;
+   wire n_42304;
+   wire n_42305;
+   wire n_42306;
+   wire n_42307;
+   wire n_42308;
+   wire n_42309;
+   wire n_42310;
+   wire n_42311;
+   wire n_42312;
+   wire n_42313;
+   wire n_42314;
+   wire n_42315;
+   wire n_42316;
+   wire n_42317;
+   wire n_42318;
+   wire n_42319;
+   wire n_42320;
+   wire n_42321;
+   wire n_42322;
+   wire n_42323;
+   wire n_42324;
+   wire n_42325;
+   wire n_42326;
+   wire n_42327;
+   wire n_42328;
+   wire n_42329;
+   wire n_42330;
+   wire n_42331;
+   wire n_42332;
+   wire n_42333;
+   wire n_42334;
+   wire n_42335;
+   wire n_42336;
+   wire n_42337;
+   wire n_42338;
+   wire n_42339;
+   wire n_42340;
+   wire n_42341;
+   wire n_42342;
+   wire n_42343;
+   wire n_42344;
+   wire n_42345;
+   wire n_42346;
+   wire n_42348;
+   wire n_42349;
+   wire n_42350;
+   wire n_42351;
+   wire n_42352;
+   wire n_42353;
+   wire n_42354;
+   wire n_42355;
+   wire n_42356;
+   wire n_42357;
+   wire n_42358;
+   wire n_42359;
+   wire n_42360;
+   wire n_42361;
+   wire n_42362;
+   wire n_42363;
+   wire n_42364;
+   wire n_42365;
+   wire n_42366;
+   wire n_42367;
+   wire n_42368;
+   wire n_42369;
+   wire n_42370;
+   wire n_42371;
+   wire n_42372;
+   wire n_42373;
+   wire n_42374;
+   wire n_42375;
+   wire n_42376;
+   wire n_42377;
+   wire n_42378;
+   wire n_42379;
+   wire n_42380;
+   wire n_42381;
+   wire n_42382;
+   wire n_42383;
+   wire n_42384;
+   wire n_42385;
+   wire n_42386;
+   wire n_42387;
+   wire n_42388;
+   wire n_42389;
+   wire n_42390;
+   wire n_42391;
+   wire n_42392;
+   wire n_42393;
+   wire n_42394;
+   wire n_42395;
+   wire n_42396;
+   wire n_42397;
+   wire n_42398;
+   wire n_42399;
+   wire n_42400;
+   wire n_42401;
+   wire n_42402;
+   wire n_42403;
+   wire n_42404;
+   wire n_42405;
+   wire n_42406;
+   wire n_42407;
+   wire n_42408;
+   wire n_42409;
+   wire n_42410;
+   wire n_42411;
+   wire n_42412;
+   wire n_42413;
+   wire n_42414;
+   wire n_42415;
+   wire n_42416;
+   wire n_42417;
+   wire n_42418;
+   wire n_42419;
+   wire n_42420;
+   wire n_42421;
+   wire n_42422;
+   wire n_42423;
+   wire n_42424;
+   wire n_42425;
+   wire n_42426;
+   wire n_42427;
+   wire n_42428;
+   wire n_42429;
+   wire n_42430;
+   wire n_42431;
+   wire n_42432;
+   wire n_42433;
+   wire n_42434;
+   wire n_42435;
+   wire n_42436;
+   wire n_42437;
+   wire n_42438;
+   wire n_42440;
+   wire n_42441;
+   wire n_42442;
+   wire n_42443;
+   wire n_42444;
+   wire n_42445;
+   wire n_42446;
+   wire n_42447;
+   wire n_42448;
+   wire n_42454;
+   wire n_42457;
+   wire n_42459;
+   wire n_42462;
+   wire n_42463;
+   wire n_42468;
+   wire n_42473;
+   wire n_42474;
+   wire n_42475;
+   wire n_42476;
+   wire n_42477;
+   wire n_42900;
+   wire n_42901;
+   wire n_42908;
+   wire n_42909;
+   wire n_42910;
+   wire n_42911;
+   wire n_42912;
+   wire n_42913;
+   wire n_42914;
+   wire n_42915;
+   wire n_42916;
+   wire n_42917;
+   wire n_42920;
+   wire n_42921;
+   wire n_42922;
+   wire n_42923;
+   wire n_42924;
+   wire n_42925;
+   wire n_42926;
+   wire n_42927;
+   wire n_42928;
+   wire n_42929;
+   wire n_42930;
+   wire n_42931;
+   wire n_42932;
+   wire n_42933;
+   wire n_42934;
+   wire n_42935;
+   wire n_42936;
+   wire n_42937;
+   wire n_42938;
+   wire n_42939;
+   wire n_42940;
+   wire n_42941;
+   wire n_42942;
+   wire n_42943;
+   wire n_42944;
+   wire n_42945;
+   wire n_42946;
+   wire n_42947;
+   wire n_42948;
+   wire n_42949;
+   wire n_42952;
+   wire n_42953;
+   wire n_42954;
+   wire n_42955;
+   wire n_42956;
+   wire n_42957;
+   wire n_42958;
+   wire n_42959;
+   wire n_42960;
+   wire n_42961;
+   wire n_42962;
+   wire n_42963;
+   wire n_42964;
+   wire n_42965;
+   wire n_42966;
+   wire n_42967;
+   wire n_42968;
+   wire n_42969;
+   wire n_42970;
+   wire n_42971;
+   wire n_42972;
+   wire n_42973;
+   wire n_42975;
+   wire n_42976;
+   wire n_42977;
+   wire n_42978;
+   wire n_42979;
+   wire n_42980;
+   wire n_42981;
+   wire n_42982;
+   wire n_42983;
+   wire n_42984;
+   wire n_42985;
+   wire n_42986;
+   wire n_42988;
+   wire n_42989;
+   wire n_42990;
+   wire n_42991;
+   wire n_42992;
+   wire n_42993;
+   wire n_42994;
+   wire n_42995;
+   wire n_42997;
+   wire n_42998;
+   wire n_42999;
+   wire n_43000;
+   wire n_43001;
+   wire n_43002;
+   wire n_43003;
+   wire n_43005;
+   wire n_43006;
+   wire n_43007;
+   wire n_43008;
+   wire n_43009;
+   wire n_43010;
+   wire n_43011;
+   wire n_43012;
+   wire n_43013;
+   wire n_43014;
+   wire n_43015;
+   wire n_43017;
+   wire n_43018;
+   wire n_43019;
+   wire n_43020;
+   wire n_43021;
+   wire n_43022;
+   wire n_43023;
+   wire n_43024;
+   wire n_43026;
+   wire n_43027;
+   wire n_43028;
+   wire n_43029;
+   wire n_43030;
+   wire n_43031;
+   wire n_43032;
+   wire n_43033;
+   wire n_43034;
+   wire n_43035;
+   wire n_43036;
+   wire n_43037;
+   wire n_43040;
+   wire n_43041;
+   wire n_43042;
+   wire n_43043;
+   wire n_43044;
+   wire n_43045;
+   wire n_43046;
+   wire n_43047;
+   wire n_43048;
+   wire n_43049;
+   wire n_43050;
+   wire n_43051;
+   wire n_43052;
+   wire n_43053;
+   wire n_43054;
+   wire n_43055;
+   wire n_43056;
+   wire n_43057;
+   wire n_43058;
+   wire n_43060;
+   wire n_43061;
+   wire n_43062;
+   wire n_43063;
+   wire n_43065;
+   wire n_43066;
+   wire n_43068;
+   wire n_43069;
+   wire n_43070;
+   wire n_43071;
+   wire n_43073;
+   wire n_43074;
+   wire n_43075;
+   wire n_43076;
+   wire n_43077;
+   wire n_43078;
+   wire n_43079;
+   wire n_43080;
+   wire n_43084;
+   wire n_43085;
+   wire n_43086;
+   wire n_43087;
+   wire n_43088;
+   wire n_43089;
+   wire n_43090;
+   wire n_43091;
+   wire n_43092;
+   wire n_43093;
+   wire n_43094;
+   wire n_43095;
+   wire n_43096;
+   wire n_43097;
+   wire n_43098;
+   wire n_43104;
+   wire n_43105;
+   wire n_43106;
+   wire n_43107;
+   wire n_43108;
+   wire n_43109;
+   wire n_43110;
+   wire n_43112;
+   wire n_43114;
+   wire n_43115;
+   wire n_43116;
+   wire n_43117;
+   wire n_43118;
+   wire n_43119;
+   wire n_43120;
+   wire n_43121;
+   wire n_43122;
+   wire n_43124;
+   wire n_43125;
+   wire n_43126;
+   wire n_43127;
+   wire n_43128;
+   wire n_43129;
+   wire n_43130;
+   wire n_43131;
+   wire n_43132;
+   wire n_43133;
+   wire n_43135;
+   wire n_43136;
+   wire n_43137;
+   wire n_43138;
+   wire n_43139;
+   wire n_43140;
+   wire n_43141;
+   wire n_43142;
+   wire n_43143;
+   wire n_43144;
+   wire n_43145;
+   wire n_43146;
+   wire n_43147;
+   wire n_43148;
+   wire n_43149;
+   wire n_43150;
+   wire n_43151;
+   wire n_43152;
+   wire n_43153;
+   wire n_43154;
+   wire n_43155;
+   wire n_43156;
+   wire n_43157;
+   wire n_43158;
+   wire n_43159;
+   wire n_43160;
+   wire n_43161;
+   wire n_43163;
+   wire n_43164;
+   wire n_43165;
+   wire n_43166;
+   wire n_43167;
+   wire n_43168;
+   wire n_43169;
+   wire n_43170;
+   wire n_43171;
+   wire n_43172;
+   wire n_43173;
+   wire n_43174;
+   wire n_43175;
+   wire n_43176;
+   wire n_43177;
+   wire n_43178;
+   wire n_43179;
+   wire n_43180;
+   wire n_43181;
+   wire n_43182;
+   wire n_43183;
+   wire n_43184;
+   wire n_43185;
+   wire n_43186;
+   wire n_43187;
+   wire n_43188;
+   wire n_43189;
+   wire n_43190;
+   wire n_43191;
+   wire n_43197;
+   wire n_43198;
+   wire n_43199;
+   wire n_43200;
+   wire n_43202;
+   wire n_43203;
+   wire n_43204;
+   wire n_43206;
+   wire n_43207;
+   wire n_43208;
+   wire n_43209;
+   wire n_43210;
+   wire n_43211;
+   wire n_43212;
+   wire n_43213;
+   wire n_43214;
+   wire n_43215;
+   wire n_43216;
+   wire n_43217;
+   wire n_43218;
+   wire n_43219;
+   wire n_43221;
+   wire n_43222;
+   wire n_43223;
+   wire n_43224;
+   wire n_43225;
+   wire n_43227;
+   wire n_43228;
+   wire n_43229;
+   wire n_43230;
+   wire n_43231;
+   wire n_43232;
+   wire n_43233;
+   wire n_43234;
+   wire n_43235;
+   wire n_43236;
+   wire n_43237;
+   wire n_43238;
+   wire n_43239;
+   wire n_43240;
+   wire n_43243;
+   wire n_43244;
+   wire n_43245;
+   wire n_43247;
+   wire n_43248;
+   wire n_43249;
+   wire n_43251;
+   wire n_43252;
+   wire n_43253;
+   wire n_43254;
+   wire n_43255;
+   wire n_43256;
+   wire n_43257;
+   wire n_43258;
+   wire n_43259;
+   wire n_43260;
+   wire n_43261;
+   wire n_43262;
+   wire n_43263;
+   wire n_43264;
+   wire n_43265;
+   wire n_43266;
+   wire n_43267;
+   wire n_43268;
+   wire n_43269;
+   wire n_43270;
+   wire n_43271;
+   wire n_43272;
+   wire n_43273;
+   wire n_43274;
+   wire n_43275;
+   wire n_43276;
+   wire n_43277;
+   wire n_43278;
+   wire n_43279;
+   wire n_43280;
+   wire n_43281;
+   wire n_43282;
+   wire n_43283;
+   wire n_43284;
+   wire n_43285;
+   wire n_43286;
+   wire n_43287;
+   wire n_43288;
+   wire n_43289;
+   wire n_43290;
+   wire n_43291;
+   wire n_43292;
+   wire n_43293;
+   wire n_43294;
+   wire n_43295;
+   wire n_43296;
+   wire n_43297;
+   wire n_43298;
+   wire n_43299;
+   wire n_43300;
+   wire n_43301;
+   wire n_43302;
+   wire n_43303;
+   wire n_43304;
+   wire n_43305;
+   wire n_43306;
+   wire n_43307;
+   wire n_43308;
+   wire n_43309;
+   wire n_43310;
+   wire n_43311;
+   wire n_43312;
+   wire n_43313;
+   wire n_43314;
+   wire n_43315;
+   wire n_43316;
+   wire n_43317;
+   wire n_43318;
+   wire n_43319;
+   wire n_43320;
+   wire n_43321;
+   wire n_43322;
+   wire n_43323;
+   wire n_43324;
+   wire n_43325;
+   wire n_43326;
+   wire n_43327;
+   wire n_43328;
+   wire n_43329;
+   wire n_43330;
+   wire n_43331;
+   wire n_43332;
+   wire n_43333;
+   wire n_43334;
+   wire n_43335;
+   wire n_43336;
+   wire n_43337;
+   wire n_43338;
+   wire n_43339;
+   wire n_43340;
+   wire n_43341;
+   wire n_43342;
+   wire n_43343;
+   wire n_43344;
+   wire n_43345;
+   wire n_43346;
+   wire n_43347;
+   wire n_43348;
+   wire n_43349;
+   wire n_43350;
+   wire n_43351;
+   wire n_43352;
+   wire n_43353;
+   wire n_43354;
+   wire n_43355;
+   wire n_43356;
+   wire n_43357;
+   wire n_43358;
+   wire n_43359;
+   wire n_43360;
+   wire n_43361;
+   wire n_43362;
+   wire n_43363;
+   wire n_43364;
+   wire n_43365;
+   wire n_43366;
+   wire n_43367;
+   wire n_43368;
+   wire n_43369;
+   wire n_43370;
+   wire n_43371;
+   wire n_43372;
+   wire n_43373;
+   wire n_43374;
+   wire n_43375;
+   wire n_43376;
+   wire n_43377;
+   wire n_43378;
+   wire n_43379;
+   wire n_43380;
+   wire n_43381;
+   wire n_43382;
+   wire n_43383;
+   wire n_43384;
+   wire n_43385;
+   wire n_43386;
+   wire n_43387;
+   wire n_43388;
+   wire n_43389;
+   wire n_43390;
+   wire n_43391;
+   wire n_43392;
+   wire n_43393;
+   wire n_43394;
+   wire n_43395;
+   wire n_43396;
+   wire n_43397;
+   wire n_43398;
+   wire n_43399;
+   wire n_43400;
+   wire n_43401;
+   wire n_43402;
+   wire n_43403;
+   wire n_43404;
+   wire n_43405;
+   wire n_43406;
+   wire n_43407;
+   wire n_43408;
+   wire n_43409;
+   wire n_43410;
+   wire n_43411;
+   wire n_43412;
+   wire n_43413;
+   wire n_43414;
+   wire n_43415;
+   wire n_43416;
+   wire n_43417;
+   wire n_43418;
+   wire n_43419;
+   wire n_43420;
+   wire n_43422;
+   wire n_43423;
+   wire n_43424;
+   wire n_43425;
+   wire n_43426;
+   wire n_43427;
+   wire n_43429;
+   wire n_43431;
+   wire n_43432;
+   wire n_43433;
+   wire n_43435;
+   wire n_43436;
+   wire n_43437;
+   wire n_43439;
+   wire n_43440;
+   wire n_43441;
+   wire n_43446;
+   wire n_43451;
+   wire n_43452;
+   wire n_43453;
+   wire n_43454;
+   wire n_43455;
+   wire n_43456;
+   wire n_43457;
+   wire n_43458;
+   wire n_43459;
+   wire n_43460;
+   wire n_43461;
+   wire n_43462;
+   wire n_43463;
+   wire n_43464;
+   wire n_43465;
+   wire n_43466;
+   wire n_43467;
+   wire n_43468;
+   wire n_43469;
+   wire n_43470;
+   wire n_43471;
+   wire n_43472;
+   wire n_43473;
+   wire n_43475;
+   wire n_43476;
+   wire n_43477;
+   wire n_43478;
+   wire n_43479;
+   wire n_43480;
+   wire n_43481;
+   wire n_43482;
+   wire n_43483;
+   wire n_43484;
+   wire n_43485;
+   wire n_43486;
+   wire n_43487;
+   wire n_43488;
+   wire n_43489;
+   wire n_43490;
+   wire n_43491;
+   wire n_43492;
+   wire n_43493;
+   wire n_43494;
+   wire n_43495;
+   wire n_43496;
+   wire n_43497;
+   wire n_43498;
+   wire n_43499;
+   wire n_43500;
+   wire n_43501;
+   wire n_43502;
+   wire n_43503;
+   wire n_43504;
+   wire n_43505;
+   wire n_43506;
+   wire n_43507;
+   wire n_43508;
+   wire n_43509;
+   wire n_43510;
+   wire n_43511;
+   wire n_43512;
+   wire n_43513;
+   wire n_43514;
+   wire n_43515;
+   wire n_43516;
+   wire n_43517;
+   wire n_43518;
+   wire n_43519;
+   wire n_43520;
+   wire n_43521;
+   wire n_43522;
+   wire n_43523;
+   wire n_43524;
+   wire n_43525;
+   wire n_43526;
+   wire n_43527;
+   wire n_43528;
+   wire n_43529;
+   wire n_43530;
+   wire n_43531;
+   wire n_43532;
+   wire n_43533;
+   wire n_43534;
+   wire n_43535;
+   wire n_43536;
+   wire n_43537;
+   wire n_43538;
+   wire n_43539;
+   wire n_43540;
+   wire n_43541;
+   wire n_43542;
+   wire n_43543;
+   wire n_43544;
+   wire n_43545;
+   wire n_43546;
+   wire n_43547;
+   wire n_43548;
+   wire n_43549;
+   wire n_43550;
+   wire n_43551;
+   wire n_43552;
+   wire n_43553;
+   wire n_43554;
+   wire n_43555;
+   wire n_43556;
+   wire n_43557;
+   wire n_43558;
+   wire n_43559;
+   wire n_43560;
+   wire n_43561;
+   wire n_43562;
+   wire n_43563;
+   wire n_43564;
+   wire n_43565;
+   wire n_43566;
+   wire n_43567;
+   wire n_43568;
+   wire n_43569;
+   wire n_43570;
+   wire n_43571;
+   wire n_43572;
+   wire n_43573;
+   wire n_43574;
+   wire n_43575;
+   wire n_43576;
+   wire n_43577;
+   wire n_43578;
+   wire n_43579;
+   wire n_43580;
+   wire n_43581;
+   wire n_43582;
+   wire n_43583;
+   wire n_43584;
+   wire n_43585;
+   wire n_43586;
+   wire n_43587;
+   wire n_43588;
+   wire n_43589;
+   wire n_43590;
+   wire n_43591;
+   wire n_43592;
+   wire n_43593;
+   wire n_43608;
+   wire n_43609;
+   wire n_43610;
+   wire n_43611;
+   wire n_43612;
+   wire n_43613;
+   wire n_43627;
+   wire n_43628;
+   wire n_43629;
+   wire n_43630;
+   wire n_43631;
+   wire n_43632;
+   wire n_43633;
+   wire n_43634;
+   wire n_43635;
+   wire n_43636;
+   wire n_43637;
+   wire n_43638;
+   wire n_43639;
+   wire n_43640;
+   wire n_43641;
+   wire n_43642;
+   wire n_43643;
+   wire n_43644;
+   wire n_43645;
+   wire n_43646;
+   wire n_43647;
+   wire n_43648;
+   wire n_43665;
+   wire n_43666;
+   wire n_43667;
+   wire n_43668;
+   wire n_43669;
+   wire n_43670;
+   wire n_43671;
+   wire n_43672;
+   wire n_43673;
+   wire n_43674;
+   wire n_43675;
+   wire n_43676;
+   wire n_43677;
+   wire n_43678;
+   wire n_43679;
+   wire n_43680;
+   wire n_43681;
+   wire n_43682;
+   wire n_43683;
+   wire n_43684;
+   wire n_43685;
+   wire n_43686;
+   wire n_43687;
+   wire n_43688;
+   wire n_43691;
+   wire n_43692;
+   wire n_43693;
+   wire n_43694;
+   wire n_43695;
+   wire n_43696;
+   wire n_43697;
+   wire n_43698;
+   wire n_43699;
+   wire n_43700;
+   wire n_43701;
+   wire n_43702;
+   wire n_43703;
+   wire n_43704;
+   wire n_43705;
+   wire n_43706;
+   wire n_43707;
+   wire n_43708;
+   wire n_43709;
+   wire n_43710;
+   wire n_43711;
+   wire n_43712;
+   wire n_43713;
+   wire n_43714;
+   wire n_43715;
+   wire n_43716;
+   wire n_43717;
+   wire n_43718;
+   wire n_43940;
+   wire n_43944;
+   wire n_43949;
+   wire n_43958;
+   wire n_43959;
+   wire n_43961;
+   wire n_43962;
+   wire n_43963;
+   wire n_43964;
+   wire n_43965;
+   wire n_43976;
+   wire n_43991;
+   wire n_43993;
+   wire n_43999;
+   wire n_44000;
+   wire n_44020;
+   wire n_44030;
+   wire n_44040;
+   wire n_44043;
+   wire n_44045;
+   wire n_44046;
+   wire n_44047;
+   wire n_44050;
+   wire n_44051;
+   wire n_44052;
+   wire n_44058;
+   wire n_44062;
+   wire n_44321;
+   wire n_44322;
+   wire n_44323;
+   wire n_44324;
+   wire n_44325;
+   wire n_44326;
+   wire n_44327;
+   wire n_44328;
+   wire n_44329;
+   wire n_44330;
+   wire n_44331;
+   wire n_44332;
+   wire n_44333;
+   wire n_44334;
+   wire n_44335;
+   wire n_44336;
+   wire n_44337;
+   wire n_44338;
+   wire n_44339;
+   wire n_44340;
+   wire n_44341;
+   wire n_44342;
+   wire n_44343;
+   wire n_44344;
+   wire n_44345;
+   wire n_44346;
+   wire n_44347;
+   wire n_44348;
+   wire n_44349;
+   wire n_44350;
+   wire n_44351;
+   wire n_44352;
+   wire n_44353;
+   wire n_44354;
+   wire n_44355;
+   wire n_44356;
+   wire n_44357;
+   wire n_44358;
+   wire n_44359;
+   wire n_44360;
+   wire n_44361;
+   wire n_44362;
+   wire n_44446;
+   wire n_46008_BAR;
+   wire n_106844_BAR;
+   wire n_259784_BAR;
+   wire n_259993_BAR;
+   wire n_260295_BAR;
+   wire n_260458_BAR;
+   wire n_260480_BAR;
+   wire n_260522_BAR;
+   wire n_264431_BAR;
+   wire n_270071_BAR;
+   wire n_270072_BAR;
+   wire n_270073_BAR;
+   wire n_270074_BAR;
+   wire n_270573_BAR;
+   wire n_270828_BAR;
+   wire n_271080_BAR;
+   wire n_271458_BAR;
+   wire n_271790_BAR;
+   wire n_271794_BAR;
+   wire n_271920_BAR;
+   wire n_272508_BAR;
+   wire n_273088_BAR;
+   wire n_273936_BAR;
+   wire n_275029_BAR;
+   wire n_275143_BAR;
+   wire n_275147_BAR;
+   wire n_275193_BAR;
+   wire n_275218_BAR;
+   wire n_275250_BAR;
+   wire n_275251_BAR;
+   wire n_275490_BAR;
+   wire n_275583_BAR;
+   wire n_281812_BAR;
+   wire n_281893_BAR;
+   wire n_281947_BAR;
+   wire n_281974_BAR;
+   wire n_281996_BAR;
+   wire n_282047_BAR;
+   wire n_282056_BAR;
+   wire n_287214_BAR;
+   wire n_287267_BAR;
+   wire n_287297_BAR;
+   wire n_294487_BAR;
+   wire n_295856_BAR;
+   wire n_309059_BAR;
+   wire n_314459_BAR;
+   wire n_323486_BAR;
+   wire n_323510_BAR;
+   wire n_323534_BAR;
+   wire n_323558_BAR;
+   wire n_323582_BAR;
+   wire n_328488_BAR;
+   wire n_332675_BAR;
+   wire n_332687_BAR;
+   wire n_332699_BAR;
+   wire n_332711_BAR;
+   wire n_337676_BAR;
+   wire n_337703_BAR;
+   wire n_337704_BAR;
+   wire n_337729_BAR;
+   wire n_337731_BAR;
+   wire n_337747_BAR;
+   wire n_337749_BAR;
+   wire n_343724_BAR;
+   wire n_343732_BAR;
+   wire n_343733_BAR;
+   wire n_346215_BAR;
+   wire n_349903_BAR;
+   wire n_349906_BAR;
+   wire n_349908_BAR;
+   wire n_349909_BAR;
+   wire n_349912_BAR;
+   wire n_349913_BAR;
+   wire n_349914_BAR;
+   wire n_349915_BAR;
+   wire n_349918_BAR;
+   wire n_349919_BAR;
+   wire n_349920_BAR;
+   wire n_349921_BAR;
+   wire n_349923_BAR;
+   wire n_349924_BAR;
+   wire n_349925_BAR;
+   wire n_349926_BAR;
+   wire n_349929_BAR;
+   wire n_349931_BAR;
+   wire n_349932_BAR;
+   wire n_349933_BAR;
+   wire n_349934_BAR;
+   wire n_349935_BAR;
+   wire n_349936_BAR;
+   wire n_349937_BAR;
+   wire n_349938_BAR;
+   wire n_349939_BAR;
+   wire n_349940_BAR;
+   wire n_349941_BAR;
+   wire n_349942_BAR;
+   wire n_349943_BAR;
+   wire n_353928_BAR;
+   wire n_354407_BAR;
+   wire n_355049_BAR;
+   wire n_355057_BAR;
+   wire n_356298_BAR;
+   wire n_356299_BAR;
+   wire n_356301_BAR;
+   wire n_356302_BAR;
+   wire n_356303_BAR;
+   wire n_356305_BAR;
+   wire n_356342_BAR;
+   wire n_356343_BAR;
+   wire n_356344_BAR;
+   wire n_356345_BAR;
+   wire n_356347_BAR;
+   wire n_356348_BAR;
+   wire n_356368_BAR;
+   wire n_356373_BAR;
+   wire n_356374_BAR;
+   wire n_356375_BAR;
+   wire n_356421_BAR;
+   wire n_356422_BAR;
+   wire n_357068_BAR;
+   wire n_357070_BAR;
+   wire n_357072_BAR;
+   wire n_357074_BAR;
+   wire n_358663_BAR;
+   wire n_368296_BAR;
+   wire n_372685_BAR;
+   wire n_378490_BAR;
+   wire n_378549_BAR;
+   wire n_379752_BAR;
+   wire n_379788_BAR;
+   wire n_379805_BAR;
+   wire n_398733_BAR;
+   wire n_402475_BAR;
+   wire n_403119_BAR;
+   wire n_403150_BAR;
+   wire n_405541_BAR;
+   wire n_405553_BAR;
+   wire n_405587_BAR;
+   wire n_405612_BAR;
+   wire n_405658_BAR;
+   wire n_405714_BAR;
+   wire n_405725_BAR;
+   wire n_405787_BAR;
+   wire n_405819_BAR;
+   wire n_405823_BAR;
+   wire n_405866_BAR;
+   wire n_405886_BAR;
+   wire n_405930_BAR;
+   wire n_405968_BAR;
+   wire n_406243_BAR;
+   wire n_406257_BAR;
+   wire n_406263_BAR;
+   wire n_406287_BAR;
+   wire n_406301_BAR;
+   wire n_406342_BAR;
+   wire n_406364_BAR;
+   wire n_406724_BAR;
+   wire n_406775_BAR;
+   wire n_406810_BAR;
+   wire n_406824_BAR;
+   wire n_406842_BAR;
+   wire n_406964_BAR;
+   wire rst;
+   wire rx_i;
+
+   assign la_data_out[27] = io_out[35];
+   assign la_data_out[26] = io_out[34];
+   assign la_data_out[25] = io_out[33];
+   assign la_data_out[24] = io_out[32];
+   assign la_data_out[23] = io_out[31];
+   assign la_data_out[22] = io_out[30];
+   assign la_data_out[21] = io_out[29];
+   assign la_data_out[20] = io_out[28];
+   assign la_data_out[19] = io_out[27];
+   assign la_data_out[18] = io_out[26];
+   assign la_data_out[17] = io_out[25];
+   assign la_data_out[16] = io_out[24];
+   assign la_data_out[15] = io_out[23];
+   assign la_data_out[14] = io_out[22];
+   assign la_data_out[13] = io_out[21];
+   assign la_data_out[12] = io_out[20];
+   assign la_data_out[11] = io_out[19];
+   assign la_data_out[10] = io_out[18];
+   assign la_data_out[9] = io_out[17];
+   assign la_data_out[8] = io_out[16];
+   assign la_data_out[7] = io_out[15];
+   assign la_data_out[6] = io_out[14];
+   assign la_data_out[5] = io_out[13];
+   assign la_data_out[4] = io_out[12];
+   assign la_data_out[3] = io_out[11];
+   assign la_data_out[2] = io_out[10];
+   assign la_data_out[1] = io_out[9];
+   assign la_data_out[0] = io_out[8];
+   assign io_oeb[36] = io_oeb[0];
+   assign io_oeb[35] = io_oeb[10];
+   assign io_oeb[34] = io_oeb[10];
+   assign io_oeb[33] = io_oeb[10];
+   assign io_oeb[32] = io_oeb[10];
+   assign io_oeb[31] = io_oeb[10];
+   assign io_oeb[30] = io_oeb[10];
+   assign io_oeb[29] = io_oeb[10];
+   assign io_oeb[28] = io_oeb[10];
+   assign io_oeb[27] = io_oeb[10];
+   assign io_oeb[26] = io_oeb[10];
+   assign io_oeb[25] = io_oeb[10];
+   assign io_oeb[24] = io_oeb[10];
+   assign io_oeb[23] = io_oeb[10];
+   assign io_oeb[22] = io_oeb[10];
+   assign io_oeb[21] = io_oeb[10];
+   assign io_oeb[20] = io_oeb[10];
+   assign io_oeb[19] = io_oeb[10];
+   assign io_oeb[18] = io_oeb[10];
+   assign io_oeb[17] = io_oeb[10];
+   assign io_oeb[16] = io_oeb[10];
+   assign io_oeb[15] = io_oeb[10];
+   assign io_oeb[14] = io_oeb[10];
+   assign io_oeb[13] = io_oeb[10];
+   assign io_oeb[12] = io_oeb[10];
+   assign io_oeb[11] = io_oeb[10];
+   assign io_oeb[9] = io_oeb[10];
+   assign io_oeb[8] = io_oeb[10];
+   assign io_oeb[7] = io_oeb[37];
+   assign io_oeb[6] = io_oeb[0];
+   assign io_oeb[5] = io_oeb[1];
+   assign io_oeb[4] = io_oeb[1];
+   assign io_oeb[3] = io_oeb[0];
+   assign io_oeb[2] = io_oeb[1];
+
+   // Module instantiations
+   sky130_fd_sc_hd__clkbuf_4 HJ_flex_HTREE_14 (
+	.A(CTS_5),
+	.X(CTS_13), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_4 HJ_flex_HTREE_10 (
+	.A(CTS_5),
+	.X(CTS_12), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_cfh_buf_00011 (
+	.A(CTS_6),
+	.X(CTS_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_4 HJ_flex_HTREE_6 (
+	.A(CTS_4),
+	.X(CTS_11), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_4 HJ_flex_HTREE_2 (
+	.A(CTS_4),
+	.X(CTS_10), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_cfh_buf_00008 (
+	.A(CTS_6),
+	.X(CTS_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_4 HJ_flex_HTREE_13 (
+	.A(CTS_3),
+	.X(CTS_9), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_4 HJ_flex_HTREE_9 (
+	.A(CTS_3),
+	.X(CTS_8), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_cfh_buf_00005 (
+	.A(CTS_6),
+	.X(CTS_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_4 HJ_flex_HTREE_5 (
+	.A(CTS_2),
+	.X(CTS_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_4 HJ_flex_HTREE_1 (
+	.A(CTS_2),
+	.X(CTS_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_cfh_buf_00002 (
+	.A(CTS_6),
+	.X(CTS_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_cfh_buf_00001 (
+	.A(wb_clk_i),
+	.X(CTS_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 FE_DBTC45_brqrv_top_brqrv_ifu_ifc_fetch_addr_bf_30 (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[30]),
+	.Y(FE_DBTN45_brqrv_top_brqrv_ifu_ifc_fetch_addr_bf_30), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 FE_DBTC44_brqrv_top_brqrv_ifu_ifc_fetch_addr_bf_28 (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[28]),
+	.Y(FE_DBTN44_brqrv_top_brqrv_ifu_ifc_fetch_addr_bf_28), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_DBTC43_n_33126 (
+	.A(n_33126),
+	.Y(FE_DBTN43_n_33126), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_DBTC42_n_33122 (
+	.A(n_33122),
+	.Y(FE_DBTN42_n_33122), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 FE_DBTC41_brqrv_top_brqrv_trigger_pkt_any_137 (
+	.A(brqrv_top_brqrv_trigger_pkt_any[137]),
+	.Y(FE_DBTN41_brqrv_top_brqrv_trigger_pkt_any_137), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 FE_DBTC40_brqrv_top_brqrv_trigger_pkt_any_99 (
+	.A(brqrv_top_brqrv_trigger_pkt_any[99]),
+	.Y(FE_DBTN40_brqrv_top_brqrv_trigger_pkt_any_99), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 FE_DBTC39_brqrv_top_brqrv_trigger_pkt_any_61 (
+	.A(brqrv_top_brqrv_trigger_pkt_any[61]),
+	.Y(FE_DBTN39_brqrv_top_brqrv_trigger_pkt_any_61), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_DBTC38_brqrv_top_brqrv_exu_i_mul_rs2_x_31 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs2_x[31]),
+	.Y(FE_DBTN38_brqrv_top_brqrv_exu_i_mul_rs2_x_31), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 FE_DBTC37_brqrv_top_brqrv_exu_i_mul_rs2_x_25 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs2_x[25]),
+	.Y(FE_DBTN37_brqrv_top_brqrv_exu_i_mul_rs2_x_25), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_DBTC36_brqrv_top_brqrv_exu_i_mul_rs2_x_23 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs2_x[23]),
+	.Y(FE_DBTN36_brqrv_top_brqrv_exu_i_mul_rs2_x_23), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_DBTC35_brqrv_top_brqrv_exu_i_mul_rs2_x_19 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs2_x[19]),
+	.Y(FE_DBTN35_brqrv_top_brqrv_exu_i_mul_rs2_x_19), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_DBTC34_brqrv_top_brqrv_exu_i_mul_rs2_x_17 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs2_x[17]),
+	.Y(FE_DBTN34_brqrv_top_brqrv_exu_i_mul_rs2_x_17), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_DBTC33_brqrv_top_brqrv_exu_i_mul_rs2_x_15 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs2_x[15]),
+	.Y(FE_DBTN33_brqrv_top_brqrv_exu_i_mul_rs2_x_15), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_DBTC32_brqrv_top_brqrv_exu_i_mul_rs2_x_11 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs2_x[11]),
+	.Y(FE_DBTN32_brqrv_top_brqrv_exu_i_mul_rs2_x_11), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 FE_DBTC31_brqrv_top_brqrv_exu_i_mul_rs2_x_9 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs2_x[9]),
+	.Y(FE_DBTN31_brqrv_top_brqrv_exu_i_mul_rs2_x_9), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 FE_DBTC30_brqrv_top_brqrv_exu_i_mul_rs2_x_7 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs2_x[7]),
+	.Y(FE_DBTN30_brqrv_top_brqrv_exu_i_mul_rs2_x_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_DBTC29_brqrv_top_brqrv_exu_i_mul_rs2_x_5 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs2_x[5]),
+	.Y(FE_DBTN29_brqrv_top_brqrv_exu_i_mul_rs2_x_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_DBTC28_brqrv_top_brqrv_exu_i_mul_rs2_x_3 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs2_x[3]),
+	.Y(FE_DBTN28_brqrv_top_brqrv_exu_i_mul_rs2_x_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_DBTC27_brqrv_top_brqrv_exu_i_mul_rs2_x_1 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs2_x[1]),
+	.Y(FE_DBTN27_brqrv_top_brqrv_exu_i_mul_rs2_x_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 FE_DBTC26_brqrv_top_brqrv_dec_tlu_nmi_lsu_load_type_f (
+	.A(brqrv_top_brqrv_dec_tlu_nmi_lsu_load_type_f),
+	.Y(FE_DBTN26_brqrv_top_brqrv_dec_tlu_nmi_lsu_load_type_f), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 FE_DBTC25_brqrv_top_brqrv_ifu_aln_first2B (
+	.A(brqrv_top_brqrv_ifu_aln_first2B),
+	.Y(FE_DBTN25_brqrv_top_brqrv_ifu_aln_first2B), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_DBTC24_brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_en (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_en),
+	.Y(FE_DBTN24_brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_en), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 FE_DBTC23_n_36373 (
+	.A(n_36373),
+	.Y(FE_DBTN23_n_36373), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_DBTC22_n_54 (
+	.A(n_54),
+	.Y(FE_DBTN22_n_54), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_2 FE_DBTC21_n_34786 (
+	.A(n_34786),
+	.Y(FE_DBTN21_n_34786), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_DBTC20_n_34692 (
+	.A(n_34692),
+	.Y(FE_DBTN20_n_34692), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 FE_DBTC19_n_35903 (
+	.A(n_35903),
+	.Y(FE_DBTN19_n_35903), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 FE_DBTC18_n_35901 (
+	.A(n_35901),
+	.Y(FE_DBTN18_n_35901), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 FE_DBTC17_n_35916 (
+	.A(n_35916),
+	.Y(FE_DBTN17_n_35916), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 FE_DBTC16_n_10224 (
+	.A(n_10224),
+	.Y(FE_DBTN16_n_10224), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_2 FE_DBTC15_n_36150 (
+	.A(n_36150),
+	.Y(FE_DBTN15_n_36150), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_DBTC14_n_11528 (
+	.A(n_11528),
+	.Y(FE_DBTN14_n_11528), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_DBTC13_n_36183 (
+	.A(n_36183),
+	.Y(FE_DBTN13_n_36183), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_DBTC12_n_35713 (
+	.A(n_35713),
+	.Y(FE_DBTN12_n_35713), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_DBTC11_n_35987 (
+	.A(n_35987),
+	.Y(FE_DBTN11_n_35987), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_DBTC10_n_34088 (
+	.A(n_34088),
+	.Y(FE_DBTN10_n_34088), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_DBTC9_n_11562 (
+	.A(n_11562),
+	.Y(FE_DBTN9_n_11562), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_DBTC8_n_11566 (
+	.A(n_11566),
+	.Y(FE_DBTN8_n_11566), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 FE_DBTC7_n_36251 (
+	.A(n_36251),
+	.Y(FE_DBTN7_n_36251), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_DBTC6_n_41918 (
+	.A(n_41918),
+	.Y(FE_DBTN6_n_41918), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_DBTC5_n_35627 (
+	.A(n_35627),
+	.Y(FE_DBTN5_n_35627), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_DBTC4_n_35603 (
+	.A(n_35603),
+	.Y(FE_DBTN4_n_35603), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_DBTC3_n_35605 (
+	.A(n_35605),
+	.Y(FE_DBTN3_n_35605), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 FE_DBTC2_n_35618 (
+	.A(n_35618),
+	.Y(FE_DBTN2_n_35618), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_DBTC1_n_41901 (
+	.A(n_41901),
+	.Y(FE_DBTN1_n_41901), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_DBTC0_n_41903 (
+	.A(n_41903),
+	.Y(FE_DBTN0_n_41903), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_sram_1kbyte_1rw1r_32x256_8 \brqrv_top_mem_Gen_dccm_enable.dccm_mem_bank[0].dccm.sram  (
+	.wmask0({ n_44324,
+		n_44325,
+		n_44326,
+		n_44327 }),
+	.web0(\brqrv_top_mem_Gen_dccm_enable.dccm_n_271 ),
+	.dout0({ \brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [31],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [30],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [29],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [28],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [27],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [26],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [25],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [24],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [23],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [22],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [21],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [20],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [19],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [18],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [17],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [16],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [15],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [14],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [13],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [12],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [11],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [10],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [9],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [8],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [7],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [6],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [5],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [4],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [3],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [2],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [1],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [0] }),
+	.din0({ n_43258,
+		n_43257,
+		n_43340,
+		n_43292,
+		n_43293,
+		n_43294,
+		n_43295,
+		n_43296,
+		n_43297,
+		n_43298,
+		n_43299,
+		n_43300,
+		n_43301,
+		n_43302,
+		n_43303,
+		n_43304,
+		n_43305,
+		n_43306,
+		n_43307,
+		n_43308,
+		n_43309,
+		n_43310,
+		n_43311,
+		n_43312,
+		n_43382,
+		n_43313,
+		n_43314,
+		n_43315,
+		n_43316,
+		n_43317,
+		n_43318,
+		n_43319 }),
+	.csb1(n_44323),
+	.csb0(\brqrv_top_mem_Gen_dccm_enable.dccm_n_270 ),
+	.clk1(brqrv_top_active_l2clk),
+	.clk0(brqrv_top_active_l2clk),
+	.addr1({ logic_0_1_net,
+		logic_0_2_net,
+		logic_0_3_net,
+		logic_0_4_net,
+		logic_0_5_net,
+		logic_0_6_net,
+		logic_0_7_net,
+		logic_0_8_net }),
+	.addr0({ \brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [11],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [10],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [9],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [8],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [7],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [6],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [5],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [4] }), 
+	.vssd1(vssd1), 
+	.vccd1(vccd1));
+   sky130_sram_1kbyte_1rw1r_32x256_8 \brqrv_top_mem_Gen_dccm_enable.dccm_mem_bank[1].dccm.sram  (
+	.wmask0({ n_44329,
+		n_44330,
+		n_44331,
+		n_44332 }),
+	.web0(\brqrv_top_mem_Gen_dccm_enable.dccm_n_314 ),
+	.dout0({ \brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [70],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [69],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [68],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [67],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [66],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [65],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [64],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [63],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [62],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [61],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [60],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [59],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [58],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [57],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [56],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [55],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [54],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [53],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [52],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [51],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [50],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [49],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [48],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [47],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [46],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [45],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [44],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [43],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [42],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [41],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [40],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [39] }),
+	.din0({ n_43273,
+		n_43274,
+		n_43275,
+		n_43276,
+		n_43277,
+		n_43278,
+		n_43279,
+		n_43280,
+		n_43281,
+		n_43282,
+		n_43283,
+		n_43284,
+		n_43285,
+		n_43286,
+		n_43287,
+		n_43288,
+		n_43289,
+		n_43290,
+		n_43271,
+		n_43270,
+		n_43269,
+		n_43268,
+		n_43267,
+		n_43266,
+		n_43384,
+		n_43265,
+		n_43264,
+		n_43263,
+		n_43262,
+		n_43261,
+		n_43260,
+		n_43259 }),
+	.csb1(n_44328),
+	.csb0(\brqrv_top_mem_Gen_dccm_enable.dccm_n_313 ),
+	.clk1(brqrv_top_active_l2clk),
+	.clk0(brqrv_top_active_l2clk),
+	.addr1({ logic_0_9_net,
+		logic_0_10_net,
+		logic_0_11_net,
+		logic_0_12_net,
+		logic_0_13_net,
+		logic_0_14_net,
+		logic_0_15_net,
+		logic_0_16_net }),
+	.addr0({ \brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [19],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [18],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [17],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [16],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [15],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [14],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [13],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [12] }), 
+	.vssd1(vssd1), 
+	.vccd1(vccd1));
+   sky130_sram_1kbyte_1rw1r_32x256_8 \brqrv_top_mem_Gen_dccm_enable.dccm_mem_bank[2].dccm.sram  (
+	.wmask0({ n_44334,
+		n_44335,
+		n_44336,
+		n_44337 }),
+	.web0(\brqrv_top_mem_Gen_dccm_enable.dccm_n_356 ),
+	.dout0({ \brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [109],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [108],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [107],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [106],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [105],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [104],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [103],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [102],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [101],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [100],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [99],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [98],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [97],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [96],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [95],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [94],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [93],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [92],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [91],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [90],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [89],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [88],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [87],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [86],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [85],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [84],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [83],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [82],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [81],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [80],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [79],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [78] }),
+	.din0({ n_43320,
+		n_43321,
+		n_43322,
+		n_43323,
+		n_43324,
+		n_43325,
+		n_43326,
+		n_43327,
+		n_43328,
+		n_43329,
+		n_43330,
+		n_43331,
+		n_43332,
+		n_43333,
+		n_43334,
+		n_43335,
+		n_43336,
+		n_43337,
+		n_43338,
+		n_43339,
+		n_43291,
+		n_43341,
+		n_43342,
+		n_43343,
+		n_43385,
+		n_43344,
+		n_43345,
+		n_43346,
+		n_43347,
+		n_43348,
+		n_43349,
+		n_43350 }),
+	.csb1(n_44333),
+	.csb0(\brqrv_top_mem_Gen_dccm_enable.dccm_n_355 ),
+	.clk1(brqrv_top_active_l2clk),
+	.clk0(brqrv_top_active_l2clk),
+	.addr1({ logic_0_17_net,
+		logic_0_18_net,
+		logic_0_19_net,
+		logic_0_20_net,
+		logic_0_21_net,
+		logic_0_22_net,
+		logic_0_23_net,
+		logic_0_24_net }),
+	.addr0({ \brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [27],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [26],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [25],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [24],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [23],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [22],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [21],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [20] }), 
+	.vssd1(vssd1), 
+	.vccd1(vccd1));
+   sky130_sram_1kbyte_1rw1r_32x256_8 \brqrv_top_mem_Gen_dccm_enable.dccm_mem_bank[3].dccm.sram  (
+	.wmask0({ n_44339,
+		n_44340,
+		n_44341,
+		n_44342 }),
+	.web0(\brqrv_top_mem_Gen_dccm_enable.dccm_n_398 ),
+	.dout0({ \brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [148],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [147],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [146],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [145],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [144],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [143],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [142],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [141],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [140],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [139],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [138],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [137],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [136],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [135],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [134],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [133],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [132],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [131],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [130],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [129],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [128],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [127],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [126],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [125],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [124],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [123],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [122],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [121],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [120],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [119],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [118],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [117] }),
+	.din0({ n_43351,
+		n_43352,
+		n_43353,
+		n_43354,
+		n_43355,
+		n_43356,
+		n_43357,
+		n_43358,
+		n_43359,
+		n_43360,
+		n_43361,
+		n_43362,
+		n_43363,
+		n_43364,
+		n_43365,
+		n_43366,
+		n_43367,
+		n_43368,
+		n_43369,
+		n_43370,
+		n_43371,
+		n_43372,
+		n_43373,
+		n_43374,
+		n_43383,
+		n_43375,
+		n_43376,
+		n_43377,
+		n_43378,
+		n_43379,
+		n_43380,
+		n_43381 }),
+	.csb1(n_44338),
+	.csb0(\brqrv_top_mem_Gen_dccm_enable.dccm_n_397 ),
+	.clk1(brqrv_top_active_l2clk),
+	.clk0(brqrv_top_active_l2clk),
+	.addr1({ logic_0_25_net,
+		logic_0_26_net,
+		logic_0_27_net,
+		logic_0_28_net,
+		logic_0_29_net,
+		logic_0_30_net,
+		logic_0_31_net,
+		logic_0_32_net }),
+	.addr0({ \brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [35],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [34],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [33],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [32],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [31],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [30],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [29],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [28] }), 
+	.vssd1(vssd1), 
+	.vccd1(vccd1));
+   sky130_sram_1kbyte_1rw1r_32x256_8 \brqrv_top_mem_iccm.iccm_mem_bank[0].iccm.sram  (
+	.wmask0({ n_44344,
+		n_44345,
+		n_44346,
+		n_44347 }),
+	.web0(\brqrv_top_mem_iccm.iccm_n_373 ),
+	.dout0({ \brqrv_top_mem_iccm.iccm_iccm_bank_dout [31],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [30],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [29],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [28],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [27],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [26],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [25],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [24],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [23],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [22],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [21],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [20],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [19],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [18],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [17],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [16],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [15],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [14],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [13],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [12],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [11],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [10],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [9],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [8],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [7],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [6],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [5],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [4],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [3],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [2],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [1],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [0] }),
+	.din0({ brqrv_top_n_1019,
+		brqrv_top_n_1018,
+		brqrv_top_n_1017,
+		brqrv_top_n_1016,
+		brqrv_top_n_1015,
+		brqrv_top_n_1014,
+		brqrv_top_n_1013,
+		brqrv_top_n_1012,
+		brqrv_top_n_1011,
+		brqrv_top_n_1010,
+		brqrv_top_n_1009,
+		brqrv_top_n_1008,
+		brqrv_top_n_1007,
+		brqrv_top_n_1006,
+		brqrv_top_n_1005,
+		brqrv_top_n_1004,
+		brqrv_top_n_1003,
+		brqrv_top_n_1002,
+		brqrv_top_n_1001,
+		brqrv_top_n_1000,
+		brqrv_top_n_999,
+		brqrv_top_n_998,
+		brqrv_top_n_997,
+		brqrv_top_n_996,
+		brqrv_top_n_995,
+		brqrv_top_n_994,
+		brqrv_top_n_993,
+		brqrv_top_n_992,
+		brqrv_top_n_991,
+		brqrv_top_n_990,
+		brqrv_top_n_989,
+		brqrv_top_n_988 }),
+	.csb1(n_44343),
+	.csb0(\brqrv_top_mem_iccm.iccm_n_372 ),
+	.clk1(brqrv_top_active_l2clk),
+	.clk0(brqrv_top_active_l2clk),
+	.addr1({ logic_0_33_net,
+		logic_0_34_net,
+		logic_0_35_net,
+		logic_0_36_net,
+		logic_0_37_net,
+		logic_0_38_net,
+		logic_0_39_net,
+		logic_0_40_net }),
+	.addr0({ \brqrv_top_mem_iccm.iccm_addr_bank [11],
+		\brqrv_top_mem_iccm.iccm_addr_bank [10],
+		\brqrv_top_mem_iccm.iccm_addr_bank [9],
+		\brqrv_top_mem_iccm.iccm_addr_bank [8],
+		\brqrv_top_mem_iccm.iccm_addr_bank [7],
+		\brqrv_top_mem_iccm.iccm_addr_bank [6],
+		\brqrv_top_mem_iccm.iccm_addr_bank [5],
+		\brqrv_top_mem_iccm.iccm_addr_bank [4] }), 
+	.vssd1(vssd1), 
+	.vccd1(vccd1));
+   sky130_sram_1kbyte_1rw1r_32x256_8 \brqrv_top_mem_iccm.iccm_mem_bank[1].iccm.sram  (
+	.wmask0({ n_44349,
+		n_44350,
+		n_44351,
+		n_44352 }),
+	.web0(\brqrv_top_mem_iccm.iccm_n_386 ),
+	.dout0({ \brqrv_top_mem_iccm.iccm_iccm_bank_dout [70],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [69],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [68],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [67],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [66],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [65],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [64],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [63],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [62],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [61],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [60],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [59],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [58],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [57],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [56],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [55],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [54],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [53],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [52],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [51],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [50],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [49],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [48],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [47],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [46],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [45],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [44],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [43],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [42],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [41],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [40],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [39] }),
+	.din0({ brqrv_top_n_1058,
+		brqrv_top_n_1057,
+		brqrv_top_n_1056,
+		brqrv_top_n_1055,
+		brqrv_top_n_1054,
+		brqrv_top_n_1053,
+		brqrv_top_n_1052,
+		brqrv_top_n_1051,
+		brqrv_top_n_1050,
+		brqrv_top_n_1049,
+		brqrv_top_n_1048,
+		brqrv_top_n_1047,
+		brqrv_top_n_1046,
+		brqrv_top_n_1045,
+		brqrv_top_n_1044,
+		brqrv_top_n_1043,
+		brqrv_top_n_1042,
+		brqrv_top_n_1041,
+		brqrv_top_n_1040,
+		brqrv_top_n_1039,
+		brqrv_top_n_1038,
+		brqrv_top_n_1037,
+		brqrv_top_n_1036,
+		brqrv_top_n_1035,
+		brqrv_top_n_1034,
+		brqrv_top_n_1033,
+		brqrv_top_n_1032,
+		brqrv_top_n_1031,
+		brqrv_top_n_1030,
+		brqrv_top_n_1029,
+		brqrv_top_n_1028,
+		brqrv_top_n_1027 }),
+	.csb1(n_44348),
+	.csb0(\brqrv_top_mem_iccm.iccm_n_385 ),
+	.clk1(brqrv_top_active_l2clk),
+	.clk0(brqrv_top_active_l2clk),
+	.addr1({ logic_0_41_net,
+		logic_0_42_net,
+		logic_0_43_net,
+		logic_0_44_net,
+		logic_0_45_net,
+		logic_0_46_net,
+		logic_0_47_net,
+		logic_0_48_net }),
+	.addr0({ \brqrv_top_mem_iccm.iccm_addr_bank [27],
+		\brqrv_top_mem_iccm.iccm_addr_bank [26],
+		\brqrv_top_mem_iccm.iccm_addr_bank [25],
+		\brqrv_top_mem_iccm.iccm_addr_bank [24],
+		n_4849,
+		n_6704,
+		n_6705,
+		n_6703 }), 
+	.vssd1(vssd1), 
+	.vccd1(vccd1));
+   sky130_sram_1kbyte_1rw1r_32x256_8 \brqrv_top_mem_iccm.iccm_mem_bank[2].iccm.sram  (
+	.wmask0({ n_44354,
+		n_44355,
+		n_44356,
+		n_44357 }),
+	.web0(\brqrv_top_mem_iccm.iccm_n_396 ),
+	.dout0({ \brqrv_top_mem_iccm.iccm_iccm_bank_dout [109],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [108],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [107],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [106],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [105],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [104],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [103],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [102],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [101],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [100],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [99],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [98],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [97],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [96],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [95],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [94],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [93],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [92],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [91],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [90],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [89],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [88],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [87],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [86],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [85],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [84],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [83],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [82],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [81],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [80],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [79],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [78] }),
+	.din0({ brqrv_top_n_1019,
+		brqrv_top_n_1018,
+		brqrv_top_n_1017,
+		brqrv_top_n_1016,
+		brqrv_top_n_1015,
+		brqrv_top_n_1014,
+		brqrv_top_n_1013,
+		brqrv_top_n_1012,
+		brqrv_top_n_1011,
+		brqrv_top_n_1010,
+		brqrv_top_n_1009,
+		brqrv_top_n_1008,
+		brqrv_top_n_1007,
+		brqrv_top_n_1006,
+		brqrv_top_n_1005,
+		brqrv_top_n_1004,
+		brqrv_top_n_1003,
+		brqrv_top_n_1002,
+		brqrv_top_n_1001,
+		brqrv_top_n_1000,
+		brqrv_top_n_999,
+		brqrv_top_n_998,
+		brqrv_top_n_997,
+		brqrv_top_n_996,
+		brqrv_top_n_995,
+		brqrv_top_n_994,
+		brqrv_top_n_993,
+		brqrv_top_n_992,
+		brqrv_top_n_991,
+		brqrv_top_n_990,
+		brqrv_top_n_989,
+		brqrv_top_n_988 }),
+	.csb1(n_44353),
+	.csb0(\brqrv_top_mem_iccm.iccm_n_395 ),
+	.clk1(brqrv_top_active_l2clk),
+	.clk0(brqrv_top_active_l2clk),
+	.addr1({ logic_0_49_net,
+		logic_0_50_net,
+		logic_0_51_net,
+		logic_0_52_net,
+		logic_0_53_net,
+		logic_0_54_net,
+		logic_0_55_net,
+		logic_0_56_net }),
+	.addr0({ \brqrv_top_mem_iccm.iccm_addr_bank [27],
+		\brqrv_top_mem_iccm.iccm_addr_bank [26],
+		\brqrv_top_mem_iccm.iccm_addr_bank [25],
+		\brqrv_top_mem_iccm.iccm_addr_bank [24],
+		n_4849,
+		n_6704,
+		n_6705,
+		n_6703 }), 
+	.vssd1(vssd1), 
+	.vccd1(vccd1));
+   sky130_sram_1kbyte_1rw1r_32x256_8 \brqrv_top_mem_iccm.iccm_mem_bank[3].iccm.sram  (
+	.wmask0({ n_44359,
+		n_44360,
+		n_44361,
+		n_44362 }),
+	.web0(\brqrv_top_mem_iccm.iccm_n_406 ),
+	.dout0({ \brqrv_top_mem_iccm.iccm_iccm_bank_dout [148],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [147],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [146],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [145],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [144],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [143],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [142],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [141],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [140],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [139],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [138],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [137],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [136],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [135],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [134],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [133],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [132],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [131],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [130],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [129],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [128],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [127],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [126],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [125],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [124],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [123],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [122],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [121],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [120],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [119],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [118],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [117] }),
+	.din0({ brqrv_top_n_1058,
+		brqrv_top_n_1057,
+		brqrv_top_n_1056,
+		brqrv_top_n_1055,
+		brqrv_top_n_1054,
+		brqrv_top_n_1053,
+		brqrv_top_n_1052,
+		brqrv_top_n_1051,
+		brqrv_top_n_1050,
+		brqrv_top_n_1049,
+		brqrv_top_n_1048,
+		brqrv_top_n_1047,
+		brqrv_top_n_1046,
+		brqrv_top_n_1045,
+		brqrv_top_n_1044,
+		brqrv_top_n_1043,
+		brqrv_top_n_1042,
+		brqrv_top_n_1041,
+		brqrv_top_n_1040,
+		brqrv_top_n_1039,
+		brqrv_top_n_1038,
+		brqrv_top_n_1037,
+		brqrv_top_n_1036,
+		brqrv_top_n_1035,
+		brqrv_top_n_1034,
+		brqrv_top_n_1033,
+		brqrv_top_n_1032,
+		brqrv_top_n_1031,
+		brqrv_top_n_1030,
+		brqrv_top_n_1029,
+		brqrv_top_n_1028,
+		brqrv_top_n_1027 }),
+	.csb1(n_44358),
+	.csb0(\brqrv_top_mem_iccm.iccm_n_405 ),
+	.clk1(brqrv_top_active_l2clk),
+	.clk0(brqrv_top_active_l2clk),
+	.addr1({ logic_0_57_net,
+		logic_0_58_net,
+		logic_0_59_net,
+		logic_0_60_net,
+		logic_0_61_net,
+		logic_0_62_net,
+		logic_0_63_net,
+		logic_0_64_net }),
+	.addr0({ \brqrv_top_mem_iccm.iccm_addr_bank [27],
+		\brqrv_top_mem_iccm.iccm_addr_bank [26],
+		\brqrv_top_mem_iccm.iccm_addr_bank [25],
+		\brqrv_top_mem_iccm.iccm_addr_bank [24],
+		n_4849,
+		n_6704,
+		n_6705,
+		n_6703 }), 
+	.vssd1(vssd1), 
+	.vccd1(vccd1));
+   sky130_fd_sc_hd__inv_1 g613729 (
+	.A(brqrv_top_brqrv_dbg_sbdata1_reg_wren_BAR),
+	.Y(n_36614), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g613810 (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_misaligned_fault_m),
+	.Y(n_36601), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g613834 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [17]),
+	.Y(n_13315), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g613848 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [1]),
+	.Y(n_1567), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g613946 (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[8]),
+	.Y(n_19491), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g613975 (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[9]),
+	.Y(n_19477), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g614010 (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[6]),
+	.Y(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_28 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g614005 (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[18]),
+	.Y(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_30 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g613842 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [2]),
+	.Y(n_1858), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g614031 (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[12]),
+	.Y(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_21 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g614038 (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[15]),
+	.Y(n_19490), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g613957 (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[5]),
+	.Y(n_19485), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g613988 (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[13]),
+	.Y(n_19489), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g613862 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [3]),
+	.Y(n_1878), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g614050 (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[14]),
+	.Y(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_22 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g614015 (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[3]),
+	.Y(n_19473), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g614043 (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[21]),
+	.Y(n_19487), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g614055 (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[20]),
+	.Y(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_29 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g613952 (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[2]),
+	.Y(n_19482), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g614068 (
+	.A(lsu_axi_wvalid),
+	.Y(io_oeb[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g614069 (
+	.A(n_35364),
+	.Y(n_33272), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g614071 (
+	.A(n_34222),
+	.Y(brqrv_top_brqrv_dec_tlu_icache_wr_valid), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g614072 (
+	.A(n_34249),
+	.Y(brqrv_top_brqrv_dec_decode_csr_ren_qual_d), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g614073 (
+	.A(n_34412),
+	.Y(brqrv_top_brqrv_dec_dec_tlu_wr_pause_r), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g614074 (
+	.A(brqrv_top_brqrv_dbg_n_4432),
+	.Y(brqrv_top_brqrv_dbg_sbaddress0_incr[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g614075 (
+	.A(brqrv_top_brqrv_dbg_n_4428),
+	.Y(brqrv_top_brqrv_dbg_sbaddress0_incr[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g614076 (
+	.A(brqrv_top_brqrv_dbg_n_4412),
+	.Y(brqrv_top_brqrv_dbg_sbaddress0_incr[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g614078 (
+	.A(n_43090),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc_inc_r[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g614079 (
+	.A(n_34529),
+	.Y(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.iccm_dma_rden ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g614081 (
+	.A(n_43089),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc_inc_r[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g614125 (
+	.A(n_33992),
+	.Y(n_37967), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g614126 (
+	.A(n_33887),
+	.Y(n_37966), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g614128 (
+	.A(n_33890),
+	.Y(n_37970), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g614131 (
+	.A(n_33891),
+	.Y(n_37969), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g614184 (
+	.A(n_35180),
+	.Y(brqrv_top_brqrv_ifu_mem_ctl_ifu_pmu_bus_busy_in), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g614191 (
+	.A(n_36368),
+	.Y(n_33151), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g614192 (
+	.A(n_34694),
+	.Y(brqrv_top_brqrv_dma_iccm_stall_any), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g614200 (
+	.A(n_43026),
+	.Y(brqrv_top_brqrv_dec_decode_i0_br_unpred), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g614232 (
+	.A(n_36355),
+	.Y(n_33142), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g614233 (
+	.A(n_36359),
+	.Y(n_33141), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g614234 (
+	.A(n_36361),
+	.Y(n_33140), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g614235 (
+	.A(n_36362),
+	.Y(n_33139), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g614245 (
+	.A(n_34633),
+	.Y(brqrv_top_brqrv_div_p[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g614246 (
+	.A(n_35394),
+	.Y(brqrv_top_brqrv_mul_p[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g614247 (
+	.A(n_35641),
+	.Y(n_33128), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g614248 (
+	.A(n_35640),
+	.Y(n_33127), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 g614265 (
+	.A(n_35315),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 g614266 (
+	.A(n_35320),
+	.Y(brqrv_top_brqrv_exu_mp_btag[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 g614267 (
+	.A(n_34436),
+	.Y(brqrv_top_brqrv_exu_mp_btag[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g614276 (
+	.A(n_33837),
+	.Y(brqrv_top_brqrv_dec_tlu_force_halt_4648), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g614278 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[14]),
+	.Y(n_110), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g614279 (
+	.A(n_35631),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g614288 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_miss_pending),
+	.Y(brqrv_top_brqrv_ifu_miss_state_idle), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g614310 (
+	.A(n_34820),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g614318 (
+	.A(n_35596),
+	.Y(n_32908), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g614329 (
+	.A(n_34423),
+	.Y(brqrv_top_brqrv_dbg_dmcontrol_wren), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g614336 (
+	.A(brqrv_top_brqrv_dec_decode_r_d[0]),
+	.Y(n_11540), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 g614359 (
+	.A(la_oenb[65]),
+	.Y(n_33048), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g613711 (
+	.A(n_33048),
+	.B(la_data_in[65]),
+	.Y(n_36758), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g613721 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_valid_ff ),
+	.A2(n_33046),
+	.B1(n_34515),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_in [20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g613722 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [17]),
+	.Y(n_33046), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g614368 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_valid_ff ),
+	.A2(n_33045),
+	.B1(n_34515),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_in [23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g613723 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [20]),
+	.Y(n_33045), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g614369 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_valid_ff ),
+	.A2(n_33044),
+	.B1(n_34515),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_in [26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g614370 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_valid_ff ),
+	.A2(n_33043),
+	.B1(n_34515),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_in [19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g614371 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [23]),
+	.Y(n_33044), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g614372 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [16]),
+	.Y(n_33043), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g614377 (
+	.A(n_33039),
+	.B(n_33038),
+	.Y(n_35587), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g614378 (
+	.A(n_35567),
+	.B(n_35597),
+	.Y(n_33039), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g614379 (
+	.A_N(n_35597),
+	.B(n_33038),
+	.Y(n_35588), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g614380 (
+	.A(n_35591),
+	.Y(n_33038), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g614385 (
+	.A(n_33033),
+	.B(n_33037),
+	.Y(n_36003), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g614386 (
+	.A(n_33032),
+	.B(n_33035),
+	.Y(n_36012), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g614387 (
+	.A(n_33031),
+	.B(n_33034),
+	.Y(n_36008), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g614388 (
+	.A(n_33030),
+	.B(n_33036),
+	.Y(n_36013), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g614389 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_valid_ff ),
+	.A2(n_33023),
+	.B1(n_34515),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_in [15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g614390 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_valid_ff ),
+	.A2(n_33022),
+	.B1(n_34515),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_in [27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g614391 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_valid_ff ),
+	.A2(n_33025),
+	.B1(n_34515),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_in [16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g614392 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_valid_ff ),
+	.A2(n_33026),
+	.B1(n_34515),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_in [28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g614393 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_valid_ff ),
+	.A2(n_33021),
+	.B1(n_34515),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_in [21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g614394 (
+	.A1(n_38027),
+	.A2(n_42968),
+	.B1(n_8147),
+	.B2(brqrv_top_brqrv_exu_alu_result_x[12]),
+	.Y(n_33037), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g614395 (
+	.A1(n_38037),
+	.A2(n_42968),
+	.B1(n_8147),
+	.B2(brqrv_top_brqrv_exu_alu_result_x[22]),
+	.Y(n_33036), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g614396 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_valid_ff ),
+	.A2(n_33024),
+	.B1(n_34515),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_in [17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g614397 (
+	.A1(n_38036),
+	.A2(n_42968),
+	.B1(n_8147),
+	.B2(brqrv_top_brqrv_exu_alu_result_x[21]),
+	.Y(n_33035), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g614398 (
+	.A1(n_38032),
+	.A2(n_42968),
+	.B1(n_8147),
+	.B2(brqrv_top_brqrv_exu_alu_result_x[17]),
+	.Y(n_33034), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g614399 (
+	.A(n_37996),
+	.B(n_8248),
+	.Y(n_33033), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g614400 (
+	.A(n_38005),
+	.B(n_8248),
+	.Y(n_33032), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g614401 (
+	.A(n_38001),
+	.B(n_8248),
+	.Y(n_33031), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g614402 (
+	.A(n_38006),
+	.B(n_8248),
+	.Y(n_33030), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g614403 (
+	.A(n_33027),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_valid_ff ),
+	.Y(n_34515), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g614407 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [0]),
+	.B(n_34516),
+	.Y(n_33027), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g614408 (
+	.A(brqrv_top_brqrv_exu_i_mul_low_x),
+	.B(n_8147),
+	.Y(n_8248), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g614409 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [25]),
+	.Y(n_33026), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g614410 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [13]),
+	.Y(n_33025), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g614411 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [14]),
+	.Y(n_33024), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g614412 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [12]),
+	.Y(n_33023), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g614413 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [24]),
+	.Y(n_33022), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g614414 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [18]),
+	.Y(n_33021), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g614416 (
+	.A(n_34424),
+	.B(n_35374),
+	.X(n_34423), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g614417 (
+	.A(brqrv_top_dmi_reg_addr[3]),
+	.B(n_34148),
+	.X(n_34424), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_2 g614418 (
+	.A0(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_m[7]),
+	.A1(brqrv_top_brqrv_lsu_lsu_addr_m[7]),
+	.S(n_41918),
+	.X(brqrv_top_brqrv_lsu_end_addr_m[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g614419 (
+	.A(n_34616),
+	.B(n_35375),
+	.X(n_34148), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g614420 (
+	.A(brqrv_top_dmi_reg_addr[2]),
+	.B(n_35376),
+	.X(n_35375), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3b_2 g614421 (
+	.A(brqrv_top_dmi_reg_addr[5]),
+	.B(brqrv_top_dmi_reg_addr[6]),
+	.C_N(brqrv_top_dmi_reg_addr[4]),
+	.X(n_34616), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g614423 (
+	.A(wb_rst_i),
+	.B_N(brqrv_top_brqrv_dbg_dmcontrol_reg[0]),
+	.Y(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g614425 (
+	.A(brqrv_top_dmi_reg_addr[0]),
+	.B(brqrv_top_dmi_reg_addr[1]),
+	.X(n_35376), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g614426 (
+	.A(brqrv_top_brqrv_dbg_dmcontrol_reg[1]),
+	.B_N(brqrv_top_core_rst),
+	.Y(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g614427 (
+	.A(brqrv_top_dmi_reg_en),
+	.B(brqrv_top_dmi_reg_wr_en),
+	.Y(n_35374), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g614428 (
+	.A(n_33017),
+	.B(n_36758),
+	.Y(clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g613724 (
+	.A(la_oenb[65]),
+	.B(CTS_1),
+	.Y(n_33017), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g615617 (
+	.A1(n_32993),
+	.A2(n_33013),
+	.B1(brqrv_top_brqrv_dec_tlu_mcountinhibit[4]),
+	.X(n_35137), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g615623 (
+	.A1(n_32981),
+	.A2(n_281996_BAR),
+	.B1(n_33008),
+	.Y(n_33014), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g615624 (
+	.A(n_33009),
+	.B(n_43086),
+	.Y(n_33013), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g615625 (
+	.A1(n_32981),
+	.A2(n_282047_BAR),
+	.B1(n_33010),
+	.Y(n_33012), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g615627 (
+	.A(n_275147_BAR),
+	.B(n_33004),
+	.Y(n_33010), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g615628 (
+	.A(n_35069),
+	.B(n_33006),
+	.Y(n_33009), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g615629 (
+	.A(n_35070),
+	.B(n_33003),
+	.C(n_34930),
+	.Y(n_33008), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g615630 (
+	.A1(n_32981),
+	.A2(n_282056_BAR),
+	.B1(n_33005),
+	.Y(n_33007), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g615631 (
+	.A(n_33001),
+	.B(n_343733_BAR),
+	.C(n_337703_BAR),
+	.Y(n_33006), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g615632 (
+	.A(n_275251_BAR),
+	.B(n_33002),
+	.Y(n_33005), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g615633 (
+	.A(n_32999),
+	.B(n_337747_BAR),
+	.C(n_35146),
+	.D(n_337749_BAR),
+	.Y(n_33004), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g615634 (
+	.A(n_32998),
+	.B(n_281812_BAR),
+	.C(n_35071),
+	.D(n_343724_BAR),
+	.Y(n_33003), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g615635 (
+	.A(n_33000),
+	.B(n_337729_BAR),
+	.C(n_35132),
+	.D(n_337731_BAR),
+	.Y(n_33002), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g615636 (
+	.A(n_287214_BAR),
+	.B(n_35077),
+	.C(n_32991),
+	.D(n_34920),
+	.Y(n_33001), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g615637 (
+	.A(n_32997),
+	.B(n_34922),
+	.C(n_35074),
+	.D(n_34928),
+	.Y(n_33000), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g615638 (
+	.A(n_32996),
+	.B(n_34923),
+	.C(n_35075),
+	.D(n_34927),
+	.Y(n_32999), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g615639 (
+	.A(n_34921),
+	.B(n_32990),
+	.C(n_34924),
+	.D(n_379788_BAR),
+	.Y(n_32998), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111oi_0 g615640 (
+	.A1(brqrv_top_brqrv_ifu_pmu_bus_error),
+	.A2(n_32962),
+	.B1(n_332711_BAR),
+	.C1(n_32989),
+	.D1(n_34100),
+	.Y(n_32997), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111oi_0 g615641 (
+	.A1(brqrv_top_brqrv_ifu_pmu_bus_error),
+	.A2(n_32956),
+	.B1(n_332699_BAR),
+	.C1(n_32988),
+	.D1(n_34096),
+	.Y(n_32996), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g615642 (
+	.A1(n_357070_BAR),
+	.A2(n_32986),
+	.B1_N(n_34211),
+	.Y(n_32995), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g615643 (
+	.A1(n_357074_BAR),
+	.A2(n_32987),
+	.B1_N(n_34215),
+	.Y(n_32994), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g615644 (
+	.A1(n_357068_BAR),
+	.A2(n_32985),
+	.B1_N(n_34213),
+	.Y(n_32993), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g615645 (
+	.A1(n_357072_BAR),
+	.A2(n_32984),
+	.B1_N(n_34218),
+	.Y(n_32992), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g615646 (
+	.A(n_337704_BAR),
+	.B(n_343732_BAR),
+	.C(n_34098),
+	.D(n_32982),
+	.Y(n_32991), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g615647 (
+	.A(n_32971),
+	.B(n_32980),
+	.C(n_34929),
+	.X(n_32990), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g615648 (
+	.A(n_379752_BAR),
+	.B(n_32979),
+	.C(n_275250_BAR),
+	.D(n_287297_BAR),
+	.Y(n_32989), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g615649 (
+	.A(n_379805_BAR),
+	.B(n_32978),
+	.C(n_275143_BAR),
+	.D(n_287267_BAR),
+	.Y(n_32988), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g615650 (
+	.A(n_34233),
+	.B(n_34628),
+	.Y(n_32987), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g615651 (
+	.A(n_35133),
+	.B(n_34628),
+	.Y(n_32986), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g615652 (
+	.A(n_35140),
+	.B(n_34628),
+	.Y(n_32985), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g615653 (
+	.A(n_34236),
+	.B(n_34628),
+	.Y(n_32984), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g615655 (
+	.A1(n_35141),
+	.A2(n_32968),
+	.B1(n_34167),
+	.C1(n_35139),
+	.D1(n_32970),
+	.Y(n_32982), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g615656 (
+	.A(n_34629),
+	.Y(n_32981), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g615657 (
+	.A(n_32973),
+	.B(n_281947_BAR),
+	.C(n_355049_BAR),
+	.Y(n_32980), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g615658 (
+	.A(n_32972),
+	.B(n_281893_BAR),
+	.C(n_35076),
+	.Y(n_32979), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g615659 (
+	.A(n_34630),
+	.B(brqrv_top_brqrv_dma_mem_write),
+	.Y(n_34628), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g615660 (
+	.A(n_34630),
+	.B(n_32949),
+	.Y(n_34629), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g615663 (
+	.A(n_32974),
+	.B(n_281974_BAR),
+	.C(n_34168),
+	.Y(n_32978), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g615665 (
+	.A(brqrv_top_brqrv_dma_iccm_req),
+	.B(n_32949),
+	.Y(n_34529), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_4 g615669 (
+	.A(n_32969),
+	.B(n_35388),
+	.Y(n_34630), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g615670 (
+	.A1(n_32964),
+	.A2(n_34217),
+	.B1(n_34237),
+	.Y(n_32974), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g615671 (
+	.A1(n_32965),
+	.A2(n_34214),
+	.B1(n_34234),
+	.Y(n_32973), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g615672 (
+	.A1(n_32966),
+	.A2(n_34210),
+	.B1(n_35134),
+	.Y(n_32972), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111oi_0 g615673 (
+	.A1(brqrv_top_brqrv_ifu_pmu_bus_error),
+	.A2(n_32959),
+	.B1(n_332687_BAR),
+	.C1(n_34097),
+	.D1(n_43087),
+	.Y(n_32971), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111oi_0 g615674 (
+	.A1(brqrv_top_brqrv_ifu_pmu_bus_error),
+	.A2(n_32961),
+	.B1(n_332675_BAR),
+	.C1(n_34099),
+	.D1(n_43088),
+	.Y(n_32970), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g615675 (
+	.A(brqrv_top_brqrv_dma_iccm_req),
+	.Y(n_32969), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g615676 (
+	.A(n_32967),
+	.B(n_34094),
+	.Y(brqrv_top_brqrv_dma_iccm_req), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g615677 (
+	.A1(brqrv_top_brqrv_ifu_pmu_ic_miss),
+	.A2(n_32963),
+	.B1_N(n_34212),
+	.Y(n_32968), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g615679 (
+	.A(brqrv_top_brqrv_ifu_pmu_ic_miss),
+	.B(n_32960),
+	.Y(n_32966), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g615680 (
+	.A(n_34199),
+	.B(n_398733_BAR),
+	.Y(n_32967), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g615681 (
+	.A(brqrv_top_brqrv_ifu_pmu_ic_miss),
+	.B(n_32958),
+	.Y(n_32965), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g615682 (
+	.A(brqrv_top_brqrv_ifu_pmu_ic_miss),
+	.B(n_32955),
+	.Y(n_32964), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g615683 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpme4[2]),
+	.B(n_35142),
+	.Y(n_32963), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g615684 (
+	.A(n_35136),
+	.B(n_34209),
+	.Y(n_32962), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g615685 (
+	.A(n_35143),
+	.B(n_35138),
+	.Y(n_32961), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g615686 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpme5[2]),
+	.B(n_35135),
+	.Y(n_32960), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g615687 (
+	.A(n_35144),
+	.B(n_34232),
+	.Y(n_32959), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g615688 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpme3[2]),
+	.B(n_34235),
+	.Y(n_32958), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g615689 (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_end_addr_in_pic_d),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_start_addr_in_pic_d),
+	.Y(n_35378), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g615691 (
+	.A(n_34239),
+	.B(n_34216),
+	.Y(n_32956), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g615692 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpme6[2]),
+	.B(n_34238),
+	.Y(n_32955), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g615694 (
+	.A(brqrv_top_brqrv_ifu_ifc_iccm_access_bf),
+	.B(n_34707),
+	.Y(n_34199), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g615701 (
+	.A(brqrv_top_brqrv_dma_mem_write),
+	.Y(n_32949), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 g614430 (
+	.A(brqrv_top_brqrv_exu_i0_flush_upper_x),
+	.B(brqrv_top_brqrv_exu_i0_predict_p_x[48]),
+	.X(brqrv_top_brqrv_exu_mp_pkt[48]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 g614431 (
+	.A(brqrv_top_brqrv_exu_i0_flush_upper_x),
+	.B(brqrv_top_brqrv_exu_i0_predict_p_x[41]),
+	.X(brqrv_top_brqrv_exu_mp_pkt[41]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 g614432 (
+	.A(brqrv_top_brqrv_exu_i0_flush_upper_x),
+	.B(brqrv_top_brqrv_exu_i0_predict_p_x[39]),
+	.X(brqrv_top_brqrv_exu_mp_pkt[39]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 g614433 (
+	.A(brqrv_top_brqrv_exu_i0_flush_upper_x),
+	.B(brqrv_top_brqrv_exu_i0_predict_p_x[38]),
+	.X(brqrv_top_brqrv_exu_mp_pkt[38]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 g614434 (
+	.A(brqrv_top_brqrv_exu_i0_flush_upper_x),
+	.B(brqrv_top_brqrv_exu_i0_predict_p_x[40]),
+	.X(brqrv_top_brqrv_exu_mp_pkt[40]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g615703 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_n_3477),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_uncacheable_miss_ff),
+	.C_N(brqrv_top_brqrv_ifu_mem_ctl_ic_act_miss_f_delayed),
+	.Y(brqrv_top_brqrv_ifu_mem_ctl_reset_tag_valid_for_miss), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_4 g615704 (
+	.A(n_32944),
+	.B(n_32945),
+	.C(n_32947),
+	.Y(brqrv_top_brqrv_ifu_aln_uncompress0[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g615705 (
+	.A(n_32946),
+	.Y(n_32947), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g615706 (
+	.A(n_32943),
+	.B(n_32934),
+	.C(n_43944),
+	.D(n_32933),
+	.Y(n_32946), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211a_1 g615707 (
+	.A1(n_32913),
+	.A2(n_32936),
+	.B1(n_32937),
+	.C1(n_32938),
+	.X(n_32945), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_2 g615708 (
+	.A(n_32942),
+	.B(n_32941),
+	.Y(n_32944), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g615709 (
+	.A(brqrv_top_brqrv_dbg_n_4269),
+	.B_N(brqrv_top_dmi_reg_wdata[17]),
+	.Y(brqrv_top_brqrv_dbg_command_transfer_din), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g615710 (
+	.A(n_32925),
+	.B(n_43964),
+	.Y(n_32943), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g615711 (
+	.A(n_32935),
+	.B(n_32939),
+	.Y(n_32942), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g615712 (
+	.A(n_32940),
+	.B(n_32932),
+	.Y(n_32941), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g615713 (
+	.A(n_32925),
+	.B(n_35627),
+	.Y(n_32940), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g615714 (
+	.A(n_32923),
+	.B(n_35618),
+	.Y(n_32939), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g615715 (
+	.A(n_32925),
+	.B(n_35591),
+	.Y(n_32938), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g615716 (
+	.A(n_32930),
+	.B(n_32918),
+	.Y(n_32937), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g615717 (
+	.A(n_32921),
+	.B(n_32920),
+	.Y(brqrv_top_brqrv_dbg_n_4269), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g615718 (
+	.A1(n_32916),
+	.A2(FE_DBTN4_n_35603),
+	.B1(n_32909),
+	.Y(n_32936), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g615719 (
+	.A(n_32930),
+	.B(n_32914),
+	.Y(n_32935), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g615720 (
+	.A(n_32930),
+	.B(n_32924),
+	.Y(n_32934), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g615721 (
+	.A1(FE_DBTN5_n_35627),
+	.A2(n_35597),
+	.B1(n_32929),
+	.Y(n_32933), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g615722 (
+	.A(n_32922),
+	.B(n_32927),
+	.Y(n_32932), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g615724 (
+	.A(n_32926),
+	.Y(n_32930), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g615725 (
+	.A(FE_DBTN4_n_35603),
+	.B(n_32913),
+	.Y(n_32929), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 g615727 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_miss_state[0]),
+	.B(n_33900),
+	.X(brqrv_top_brqrv_ifu_mem_ctl_miss_pending), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g615728 (
+	.A(n_35603),
+	.B(n_42071),
+	.C(n_32911),
+	.Y(n_32927), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g615729 (
+	.A(n_35605),
+	.B(n_35627),
+	.C(n_35618),
+	.Y(n_32926), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g615730 (
+	.A(n_35621),
+	.B(n_35626),
+	.C(n_35616),
+	.D(n_35619),
+	.Y(n_32924), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g615731 (
+	.A1(FE_DBTN4_n_35603),
+	.A2(n_35594),
+	.B1(n_35607),
+	.B2(FE_DBTN3_n_35605),
+	.Y(n_32923), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g615732 (
+	.A(n_32915),
+	.B(n_32913),
+	.Y(n_32922), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g615733 (
+	.A(brqrv_top_dmi_reg_wdata[27]),
+	.B(brqrv_top_dmi_reg_wdata[26]),
+	.C(brqrv_top_dmi_reg_wdata[25]),
+	.D(brqrv_top_dmi_reg_wdata[28]),
+	.Y(n_32921), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g615734 (
+	.A(brqrv_top_dmi_reg_wdata[29]),
+	.B(brqrv_top_dmi_reg_wdata[31]),
+	.C(brqrv_top_dmi_reg_wdata[24]),
+	.D(brqrv_top_dmi_reg_wdata[30]),
+	.Y(n_32920), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_2 g615735 (
+	.A(n_32910),
+	.B(n_32911),
+	.Y(n_32925), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g615736 (
+	.A(n_32918),
+	.Y(n_32919), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g615738 (
+	.A(n_35534),
+	.B(n_35605),
+	.Y(n_32916), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g615739 (
+	.A(n_35532),
+	.B(FE_DBTN3_n_35605),
+	.Y(n_32915), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g615740 (
+	.A(n_35601),
+	.B(n_35603),
+	.Y(n_32914), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g615741 (
+	.A(n_35599),
+	.B(n_35602),
+	.Y(n_32918), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g615743 (
+	.A(n_35603),
+	.B(FE_DBTN2_n_35618),
+	.Y(n_32910), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g615744 (
+	.A(n_35605),
+	.B(n_35624),
+	.Y(n_32909), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g615745 (
+	.A(n_35605),
+	.B(n_35627),
+	.Y(n_35595), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g615746 (
+	.A(n_32908),
+	.B(n_35618),
+	.Y(n_32913), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g615747 (
+	.A(n_35605),
+	.B(n_35596),
+	.Y(n_32911), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g615757 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_miss_state[2]),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_miss_state[1]),
+	.X(n_33900), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g616760 (
+	.A(n_32894),
+	.B(n_32888),
+	.C(n_32881),
+	.D(n_32886),
+	.X(n_35952), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g616761 (
+	.A(n_32896),
+	.B(n_32887),
+	.C(n_32883),
+	.D(n_32876),
+	.X(n_35948), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g616762 (
+	.A(n_32895),
+	.B(n_32882),
+	.C(n_32880),
+	.D(n_32878),
+	.X(n_35936), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g616763 (
+	.A(n_32897),
+	.B(n_32790),
+	.C(n_32786),
+	.D(n_32874),
+	.Y(n_35953), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g616764 (
+	.A(n_32898),
+	.B(n_32805),
+	.C(n_32800),
+	.D(n_32871),
+	.Y(n_35951), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g616765 (
+	.A(n_32899),
+	.B(n_32791),
+	.C(n_32788),
+	.D(n_32870),
+	.Y(n_35950), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g616766 (
+	.A(n_32892),
+	.B(n_32889),
+	.C(n_32891),
+	.Y(n_32899), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g616767 (
+	.A(n_32879),
+	.B(n_32890),
+	.C(n_32885),
+	.Y(n_32898), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g616768 (
+	.A(n_32877),
+	.B(n_32884),
+	.C(n_32893),
+	.Y(n_32897), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g616769 (
+	.A(n_32873),
+	.B(n_32844),
+	.C(n_32841),
+	.Y(n_32896), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g616770 (
+	.A(n_32872),
+	.B(n_32815),
+	.C(n_32813),
+	.Y(n_32895), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g616771 (
+	.A(n_32875),
+	.B(n_32827),
+	.C(n_32864),
+	.Y(n_32894), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g616772 (
+	.A(n_32822),
+	.B(n_32807),
+	.C(n_32801),
+	.D(n_32820),
+	.Y(n_32893), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g616773 (
+	.A(n_32828),
+	.B(n_32867),
+	.C(n_32851),
+	.D(n_32862),
+	.Y(n_32892), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g616774 (
+	.A(n_32858),
+	.B(n_32859),
+	.C(n_32855),
+	.D(n_32868),
+	.Y(n_32891), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g616775 (
+	.A(n_32847),
+	.B(n_32852),
+	.C(n_32846),
+	.D(n_32849),
+	.Y(n_32890), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g616776 (
+	.A(n_32789),
+	.B(n_32816),
+	.C(n_32850),
+	.D(n_32853),
+	.Y(n_32889), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g616777 (
+	.A(n_32840),
+	.B(n_32845),
+	.C(n_32848),
+	.D(n_32839),
+	.Y(n_32888), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g616778 (
+	.A(n_32837),
+	.B(n_32838),
+	.C(n_32835),
+	.D(n_32833),
+	.Y(n_32887), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g616779 (
+	.A(n_32829),
+	.B(n_32832),
+	.C(n_32834),
+	.D(n_32824),
+	.Y(n_32886), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g616780 (
+	.A(n_32863),
+	.B(n_32856),
+	.C(n_32860),
+	.D(n_32854),
+	.Y(n_32885), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g616781 (
+	.A(n_32857),
+	.B(n_32842),
+	.C(n_32861),
+	.D(n_32836),
+	.Y(n_32884), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g616782 (
+	.A(n_32821),
+	.B(n_32818),
+	.C(n_32823),
+	.D(n_32817),
+	.Y(n_32883), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g616783 (
+	.A(n_32809),
+	.B(n_32806),
+	.C(n_32810),
+	.D(n_32804),
+	.Y(n_32882), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g616784 (
+	.A(n_32814),
+	.B(n_32811),
+	.C(n_32812),
+	.D(n_32819),
+	.Y(n_32881), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g616785 (
+	.A(n_32802),
+	.B(n_32803),
+	.C(n_32799),
+	.D(n_32798),
+	.Y(n_32880), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g616786 (
+	.A(n_32787),
+	.B(n_32865),
+	.C(n_32795),
+	.D(n_32792),
+	.Y(n_32879), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g616787 (
+	.A(n_32797),
+	.B(n_32796),
+	.C(n_32794),
+	.D(n_32793),
+	.Y(n_32878), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g616788 (
+	.A(n_32843),
+	.B(n_32808),
+	.C(n_32866),
+	.D(n_32825),
+	.Y(n_32877), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g616789 (
+	.A(n_32830),
+	.B(n_32831),
+	.C(n_32869),
+	.D(n_32826),
+	.Y(n_32876), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g616790 (
+	.A1(brqrv_top_brqrv_dec_arf_gpr_out[91]),
+	.A2(n_42953),
+	.B1(brqrv_top_brqrv_dec_arf_n_744),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[59]),
+	.C1(n_8164),
+	.C2(brqrv_top_brqrv_dec_arf_gpr_out[187]),
+	.Y(n_32875), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g616791 (
+	.A1(brqrv_top_brqrv_dec_arf_gpr_out[124]),
+	.A2(n_8160),
+	.B1(brqrv_top_brqrv_dec_arf_n_744),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[60]),
+	.C1(n_8164),
+	.C2(brqrv_top_brqrv_dec_arf_gpr_out[188]),
+	.Y(n_32874), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g616792 (
+	.A1(brqrv_top_brqrv_dec_arf_gpr_out[81]),
+	.A2(n_42953),
+	.B1(brqrv_top_brqrv_dec_arf_n_744),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[49]),
+	.C1(n_8164),
+	.C2(brqrv_top_brqrv_dec_arf_gpr_out[177]),
+	.Y(n_32873), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g616793 (
+	.A1(brqrv_top_brqrv_dec_arf_gpr_out[98]),
+	.A2(n_8160),
+	.B1(brqrv_top_brqrv_dec_arf_n_744),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[34]),
+	.C1(n_8164),
+	.C2(brqrv_top_brqrv_dec_arf_gpr_out[162]),
+	.Y(n_32872), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g616794 (
+	.A1(brqrv_top_brqrv_dec_arf_gpr_out[122]),
+	.A2(n_8160),
+	.B1(brqrv_top_brqrv_dec_arf_n_744),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[58]),
+	.C1(n_8164),
+	.C2(brqrv_top_brqrv_dec_arf_gpr_out[186]),
+	.Y(n_32871), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g616795 (
+	.A1(brqrv_top_brqrv_dec_arf_gpr_out[119]),
+	.A2(n_8160),
+	.B1(brqrv_top_brqrv_dec_arf_n_744),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[55]),
+	.C1(n_8164),
+	.C2(brqrv_top_brqrv_dec_arf_gpr_out[183]),
+	.Y(n_32870), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g616796 (
+	.A1(n_8260),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[977]),
+	.B1(n_42961),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[881]),
+	.Y(n_32869), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g616797 (
+	.A1(n_42929),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[823]),
+	.B1(n_42955),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[855]),
+	.Y(n_32868), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g616798 (
+	.A1(n_42932),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[535]),
+	.B1(n_8256),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[695]),
+	.Y(n_32867), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g616799 (
+	.A1(n_8252),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[444]),
+	.B1(n_42959),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[380]),
+	.Y(n_32866), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g616800 (
+	.A1(n_42930),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[410]),
+	.B1(n_42924),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[282]),
+	.Y(n_32865), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g616801 (
+	.A1(n_42957),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[1019]),
+	.B1(n_8160),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[123]),
+	.Y(n_32864), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g616802 (
+	.A1(n_42923),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[570]),
+	.B1(n_42960),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[602]),
+	.Y(n_32863), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g616803 (
+	.A1(n_42921),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[663]),
+	.B1(n_8151),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[631]),
+	.Y(n_32862), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g616804 (
+	.A1(n_42958),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[732]),
+	.B1(n_42954),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[508]),
+	.Y(n_32861), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g616805 (
+	.A1(n_8256),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[698]),
+	.B1(n_42954),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[506]),
+	.Y(n_32860), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g616806 (
+	.A1(n_8260),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[983]),
+	.B1(n_42961),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[887]),
+	.Y(n_32859), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g616807 (
+	.A1(n_42922),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[791]),
+	.B1(n_42956),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[759]),
+	.Y(n_32858), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g616808 (
+	.A1(n_42923),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[572]),
+	.B1(n_42960),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[604]),
+	.Y(n_32857), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g616809 (
+	.A1(n_42958),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[730]),
+	.B1(n_8151),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[634]),
+	.Y(n_32856), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g616810 (
+	.A1(n_42928),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[919]),
+	.B1(n_42925),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[951]),
+	.Y(n_32855), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g616811 (
+	.A1(n_42921),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[666]),
+	.B1(n_42932),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[538]),
+	.Y(n_32854), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g616812 (
+	.A1(n_8252),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[439]),
+	.B1(n_42926),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[247]),
+	.Y(n_32853), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g616813 (
+	.A1(n_42929),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[826]),
+	.B1(n_42955),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[858]),
+	.Y(n_32852), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g616814 (
+	.A1(n_42958),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[727]),
+	.B1(n_42954),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[503]),
+	.Y(n_32851), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g616815 (
+	.A1(n_42930),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[407]),
+	.B1(n_8262),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[343]),
+	.Y(n_32850), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g616816 (
+	.A1(n_42922),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[794]),
+	.B1(n_42961),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[890]),
+	.Y(n_32849), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g616817 (
+	.A1(n_8253),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[475]),
+	.B1(n_42959),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[379]),
+	.Y(n_32848), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g616818 (
+	.A1(n_42925),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[954]),
+	.B1(n_42956),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[762]),
+	.Y(n_32847), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g616819 (
+	.A1(n_42928),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[922]),
+	.B1(n_8260),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[986]),
+	.Y(n_32846), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g616820 (
+	.A1(n_42927),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[315]),
+	.B1(n_42926),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[251]),
+	.Y(n_32845), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g616821 (
+	.A1(n_42931),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[209]),
+	.B1(n_42933),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[145]),
+	.Y(n_32844), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g616822 (
+	.A1(n_42924),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[284]),
+	.B1(n_42927),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[316]),
+	.Y(n_32843), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g616823 (
+	.A1(n_42932),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[540]),
+	.B1(n_8256),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[700]),
+	.Y(n_32842), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g616824 (
+	.A1(n_42957),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[1009]),
+	.B1(n_8160),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[113]),
+	.Y(n_32841), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g616825 (
+	.A1(n_42924),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[283]),
+	.B1(n_8262),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[347]),
+	.Y(n_32840), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g616826 (
+	.A1(n_42930),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[411]),
+	.B1(n_8252),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[443]),
+	.Y(n_32839), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g616827 (
+	.A1(n_42960),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[593]),
+	.B1(n_8151),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[625]),
+	.Y(n_32838), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g616828 (
+	.A1(n_42932),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[529]),
+	.B1(n_42923),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[561]),
+	.Y(n_32837), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g616829 (
+	.A1(n_42921),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[668]),
+	.B1(n_8151),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[636]),
+	.Y(n_32836), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g616830 (
+	.A1(n_42958),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[721]),
+	.B1(n_42954),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[497]),
+	.Y(n_32835), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g616831 (
+	.A1(n_42958),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[731]),
+	.B1(n_42954),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[507]),
+	.Y(n_32834), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g616832 (
+	.A1(n_42921),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[657]),
+	.B1(n_8256),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[689]),
+	.Y(n_32833), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g616833 (
+	.A1(n_42932),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[539]),
+	.B1(n_8256),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[699]),
+	.Y(n_32832), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g616834 (
+	.A1(n_42929),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[817]),
+	.B1(n_42955),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[849]),
+	.Y(n_32831), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g616835 (
+	.A1(n_42922),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[785]),
+	.B1(n_42956),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[753]),
+	.Y(n_32830), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g616836 (
+	.A1(n_42923),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[571]),
+	.B1(n_42960),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[603]),
+	.Y(n_32829), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g616837 (
+	.A1(n_42923),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[567]),
+	.B1(n_42960),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[599]),
+	.Y(n_32828), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g616838 (
+	.A1(n_42931),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[219]),
+	.B1(n_42933),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[155]),
+	.Y(n_32827), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g616839 (
+	.A1(n_42928),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[913]),
+	.B1(n_42925),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[945]),
+	.Y(n_32826), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g616840 (
+	.A1(n_8253),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[476]),
+	.B1(n_8262),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[348]),
+	.Y(n_32825), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g616841 (
+	.A1(n_42921),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[667]),
+	.B1(n_8151),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[635]),
+	.Y(n_32824), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g616842 (
+	.A1(n_8253),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[465]),
+	.B1(n_42959),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[369]),
+	.Y(n_32823), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g616843 (
+	.A1(n_42955),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[860]),
+	.B1(n_42956),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[764]),
+	.Y(n_32822), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g616844 (
+	.A1(n_42924),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[273]),
+	.B1(n_8262),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[337]),
+	.Y(n_32821), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g616845 (
+	.A1(n_42929),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[828]),
+	.B1(n_8260),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[988]),
+	.Y(n_32820), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g616846 (
+	.A1(n_42955),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[859]),
+	.B1(n_42961),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[891]),
+	.Y(n_32819), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g616847 (
+	.A1(n_42927),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[305]),
+	.B1(n_42926),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[241]),
+	.Y(n_32818), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g616848 (
+	.A1(n_42930),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[401]),
+	.B1(n_8252),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[433]),
+	.Y(n_32817), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g616849 (
+	.A1(n_42924),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[279]),
+	.B1(n_8253),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[471]),
+	.Y(n_32816), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g616850 (
+	.A1(n_42931),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[194]),
+	.B1(n_42933),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[130]),
+	.Y(n_32815), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g616851 (
+	.A1(n_42922),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[795]),
+	.B1(n_42956),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[763]),
+	.Y(n_32814), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g616852 (
+	.A1(n_42957),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[994]),
+	.B1(n_42953),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[66]),
+	.Y(n_32813), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g616853 (
+	.A1(n_42929),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[827]),
+	.B1(n_8260),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[987]),
+	.Y(n_32812), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g616854 (
+	.A1(n_42928),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[923]),
+	.B1(n_42925),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[955]),
+	.Y(n_32811), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g616855 (
+	.A1(n_8252),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[418]),
+	.B1(n_8253),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[450]),
+	.Y(n_32810), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g616856 (
+	.A1(n_42924),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[258]),
+	.B1(n_42926),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[226]),
+	.Y(n_32809), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g616857 (
+	.A1(n_42930),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[412]),
+	.B1(n_42926),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[252]),
+	.Y(n_32808), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g616858 (
+	.A1(n_42922),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[796]),
+	.B1(n_42925),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[956]),
+	.Y(n_32807), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g616859 (
+	.A1(n_42927),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[290]),
+	.B1(n_8262),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[322]),
+	.Y(n_32806), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g616860 (
+	.A1(n_42931),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[218]),
+	.B1(n_42933),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[154]),
+	.Y(n_32805), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g616861 (
+	.A1(n_42930),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[386]),
+	.B1(n_42959),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[354]),
+	.Y(n_32804), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g616862 (
+	.A1(n_42923),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[546]),
+	.B1(n_42960),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[578]),
+	.Y(n_32803), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g616863 (
+	.A1(n_42932),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[514]),
+	.B1(n_8151),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[610]),
+	.Y(n_32802), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g616864 (
+	.A1(n_42928),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[924]),
+	.B1(n_42961),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[892]),
+	.Y(n_32801), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g616865 (
+	.A1(n_42957),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[1018]),
+	.B1(n_42953),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[90]),
+	.Y(n_32800), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g616866 (
+	.A1(n_42958),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[706]),
+	.B1(n_42954),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[482]),
+	.Y(n_32799), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g616867 (
+	.A1(n_42921),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[642]),
+	.B1(n_8256),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[674]),
+	.Y(n_32798), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g616868 (
+	.A1(n_42955),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[834]),
+	.B1(n_42956),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[738]),
+	.Y(n_32797), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g616869 (
+	.A1(n_42922),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[770]),
+	.B1(n_42929),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[802]),
+	.Y(n_32796), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g616870 (
+	.A1(n_8253),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[474]),
+	.B1(n_42959),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[378]),
+	.Y(n_32795), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g616871 (
+	.A1(n_8260),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[962]),
+	.B1(n_42961),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[866]),
+	.Y(n_32794), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g616872 (
+	.A1(n_42928),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[898]),
+	.B1(n_42925),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[930]),
+	.Y(n_32793), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g616873 (
+	.A1(n_8252),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[442]),
+	.B1(n_8262),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[346]),
+	.Y(n_32792), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g616874 (
+	.A1(n_42931),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[215]),
+	.B1(n_42933),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[151]),
+	.Y(n_32791), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g616875 (
+	.A1(n_42931),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[220]),
+	.B1(n_42933),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[156]),
+	.Y(n_32790), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g616876 (
+	.A1(n_42927),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[311]),
+	.B1(n_42959),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[375]),
+	.Y(n_32789), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g616877 (
+	.A1(n_42957),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[1015]),
+	.B1(n_42953),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[87]),
+	.Y(n_32788), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g616878 (
+	.A1(n_42927),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[314]),
+	.B1(n_42926),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[250]),
+	.Y(n_32787), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g616879 (
+	.A1(n_42957),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[1020]),
+	.B1(n_42953),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[92]),
+	.Y(n_32786), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g614435 (
+	.A(n_32753),
+	.B(n_32755),
+	.Y(brqrv_top_brqrv_lsu_lsu_lsc_ctl_rs1_d[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g614436 (
+	.A1(n_35985),
+	.A2(n_9841),
+	.B1(n_32754),
+	.Y(n_32755), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g614437 (
+	.A1(n_32752),
+	.A2(n_34059),
+	.B1(n_32750),
+	.B2(n_34055),
+	.C1(n_33973),
+	.Y(n_32754), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g614438 (
+	.A_N(n_34057),
+	.B(brqrv_top_brqrv_exu_n_917),
+	.Y(n_32753), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g614439 (
+	.A(n_35956),
+	.Y(n_32752), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g614441 (
+	.A(brqrv_top_brqrv_dec_tlu_meihap[31]),
+	.Y(n_32750), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 g613719 (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_fir_dccm_access_error_m),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_fir_nondccm_access_error_m),
+	.X(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_fir_error_m [1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g616910 (
+	.A_N(n_34648),
+	.B(n_35573),
+	.Y(n_35315), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g616911 (
+	.A1(FE_DBTN4_n_35603),
+	.A2(n_35587),
+	.B1(n_32749),
+	.Y(n_35562), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g616912 (
+	.A_N(n_36410),
+	.B(brqrv_top_brqrv_exu_i0_flush_upper_x),
+	.C(brqrv_top_brqrv_exu_i0_predict_p_x[55]),
+	.Y(n_34648), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g616913 (
+	.A1(n_35567),
+	.A2(n_32747),
+	.B1(n_35594),
+	.B2(n_35595),
+	.X(n_32749), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g616914 (
+	.A(n_33844),
+	.B(n_32748),
+	.Y(n_36410), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_4 g616915 (
+	.A1(brqrv_top_brqrv_exu_i0_predict_p_x[33]),
+	.A2(brqrv_top_brqrv_exu_i0_predict_p_x[34]),
+	.B1(brqrv_top_brqrv_exu_i0_flush_upper_x),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g616916 (
+	.A1(brqrv_top_brqrv_exu_i0_predict_p_x[31]),
+	.A2(brqrv_top_brqrv_exu_i0_predict_p_x[33]),
+	.B1(brqrv_top_brqrv_exu_i0_flush_upper_x),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 g616917 (
+	.A(brqrv_top_brqrv_exu_i0_flush_upper_x),
+	.B(brqrv_top_brqrv_exu_i0_predict_p_x[42]),
+	.X(brqrv_top_brqrv_exu_mp_pkt[42]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 g616918 (
+	.A(brqrv_top_brqrv_exu_i0_flush_upper_x),
+	.B(brqrv_top_brqrv_exu_i0_predict_p_x[43]),
+	.X(brqrv_top_brqrv_exu_mp_pkt[43]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g616919 (
+	.A_N(n_32502),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_leak_one_f_d1 ),
+	.Y(n_32748), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 g616920 (
+	.A(brqrv_top_brqrv_exu_i0_flush_upper_x),
+	.B(brqrv_top_brqrv_exu_predpipe_x[0]),
+	.X(brqrv_top_brqrv_exu_mp_btag[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 g616921 (
+	.A(brqrv_top_brqrv_exu_i0_flush_upper_x),
+	.B(brqrv_top_brqrv_exu_i0_predict_p_x[47]),
+	.X(brqrv_top_brqrv_exu_mp_pkt[47]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 g616922 (
+	.A(brqrv_top_brqrv_exu_i0_flush_upper_x),
+	.B(brqrv_top_brqrv_exu_i0_predict_p_x[46]),
+	.X(brqrv_top_brqrv_exu_mp_pkt[46]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 g616923 (
+	.A(brqrv_top_brqrv_exu_i0_flush_upper_x),
+	.B(brqrv_top_brqrv_exu_i0_predict_p_x[52]),
+	.X(brqrv_top_brqrv_exu_mp_pkt[52]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 g616924 (
+	.A(brqrv_top_brqrv_exu_i0_flush_upper_x),
+	.B(brqrv_top_brqrv_exu_i0_predict_p_x[53]),
+	.X(brqrv_top_brqrv_exu_mp_pkt[53]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g616925 (
+	.A(brqrv_top_brqrv_exu_i0_flush_upper_x),
+	.B(brqrv_top_brqrv_exu_predpipe_x[4]),
+	.Y(n_35320), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 g616926 (
+	.A(brqrv_top_brqrv_exu_i0_flush_upper_x),
+	.B(brqrv_top_brqrv_exu_i0_predict_p_x[45]),
+	.X(brqrv_top_brqrv_exu_mp_pkt[45]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 g616927 (
+	.A(brqrv_top_brqrv_exu_i0_flush_upper_x),
+	.B(brqrv_top_brqrv_exu_i0_predict_p_x[49]),
+	.X(brqrv_top_brqrv_exu_mp_pkt[49]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g616928 (
+	.A(brqrv_top_brqrv_exu_i0_flush_upper_x),
+	.B(brqrv_top_brqrv_exu_predpipe_x[2]),
+	.Y(n_33122), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 g616929 (
+	.A(brqrv_top_brqrv_exu_i0_flush_upper_x),
+	.B(brqrv_top_brqrv_exu_predpipe_x[1]),
+	.X(brqrv_top_brqrv_exu_mp_btag[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 g616930 (
+	.A(brqrv_top_brqrv_exu_i0_flush_upper_x),
+	.B(brqrv_top_brqrv_exu_i0_predict_p_x[44]),
+	.X(brqrv_top_brqrv_exu_mp_pkt[44]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g616931 (
+	.A(brqrv_top_brqrv_exu_i0_flush_upper_x),
+	.B(brqrv_top_brqrv_exu_predpipe_x[3]),
+	.Y(n_33126), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g616932 (
+	.A(brqrv_top_brqrv_exu_i0_flush_upper_x),
+	.B(brqrv_top_brqrv_exu_predpipe_x[5]),
+	.Y(n_34436), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g616933 (
+	.A(n_35627),
+	.B(FE_DBTN4_n_35603),
+	.Y(n_32747), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g616935 (
+	.A1(n_32718),
+	.A2(brqrv_top_brqrv_dec_dec_i0_instr_d[20]),
+	.B1(n_43965),
+	.B2(brqrv_top_brqrv_dec_dec_i0_instr_d[7]),
+	.X(brqrv_top_brqrv_dec_i0_predict_p_d[48]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g616936 (
+	.A1(n_32718),
+	.A2(brqrv_top_brqrv_dec_dec_i0_instr_d[24]),
+	.B1(n_43965),
+	.B2(brqrv_top_brqrv_dec_dec_i0_instr_d[11]),
+	.X(brqrv_top_brqrv_dec_i0_predict_p_d[41]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g616937 (
+	.A1(n_32718),
+	.A2(brqrv_top_brqrv_dec_dec_i0_instr_d[23]),
+	.B1(n_43965),
+	.B2(n_32409),
+	.X(brqrv_top_brqrv_dec_i0_predict_p_d[40]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g616938 (
+	.A1(n_32718),
+	.A2(brqrv_top_brqrv_dec_dec_i0_instr_d[22]),
+	.B1(n_43965),
+	.B2(brqrv_top_brqrv_dec_dec_i0_instr_d[9]),
+	.X(brqrv_top_brqrv_dec_i0_predict_p_d[39]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g616939 (
+	.A1(n_32718),
+	.A2(brqrv_top_brqrv_dec_dec_i0_instr_d[21]),
+	.B1(n_43965),
+	.B2(brqrv_top_brqrv_dec_dec_i0_instr_d[8]),
+	.X(brqrv_top_brqrv_dec_i0_predict_p_d[38]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g616940 (
+	.A_N(n_35500),
+	.B(n_32744),
+	.Y(n_35546), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g616941 (
+	.A_N(n_35502),
+	.B(n_32744),
+	.Y(n_35547), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g616945 (
+	.A(n_32743),
+	.B(n_32742),
+	.Y(n_32744), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g616946 (
+	.A(n_32734),
+	.B(n_43094),
+	.C(n_32740),
+	.Y(n_32743), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g616947 (
+	.A(n_32741),
+	.B(n_260295_BAR),
+	.C(brqrv_top_brqrv_dec_dec_i0_instr_d[17]),
+	.D(brqrv_top_brqrv_dec_dec_i0_instr_d[16]),
+	.Y(n_32742), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g616948 (
+	.A(n_35436),
+	.B(n_32739),
+	.Y(n_32741), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g616949 (
+	.A(n_32740),
+	.Y(n_35552), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g616950 (
+	.A(n_32738),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[16]),
+	.C(brqrv_top_brqrv_dec_dec_i0_instr_d[14]),
+	.Y(n_32740), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g616951 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[31]),
+	.B(n_32738),
+	.Y(n_32739), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_4 g616952 (
+	.A(n_32737),
+	.B(n_32716),
+	.Y(brqrv_top_brqrv_dec_dec_i0_instr_d[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g616954 (
+	.A(n_35561),
+	.B(n_35505),
+	.C(n_32731),
+	.Y(n_32738), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g616955 (
+	.A(n_32736),
+	.Y(n_32737), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g616956 (
+	.A(n_35561),
+	.B(n_43940),
+	.Y(n_32736), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g616957 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[18]),
+	.B(n_32720),
+	.Y(n_35436), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g616959 (
+	.A(n_32734),
+	.Y(n_35549), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_2 g616961 (
+	.A(n_32720),
+	.B(n_35550),
+	.Y(n_32734), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g616963 (
+	.A(n_32720),
+	.Y(n_8171), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g616964 (
+	.A(n_35506),
+	.B(n_32727),
+	.Y(n_32731), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g616965 (
+	.A(n_35551),
+	.B(n_32730),
+	.Y(n_32720), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g616966 (
+	.A(n_35478),
+	.B(n_32725),
+	.Y(n_32730), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g616967 (
+	.A1(n_32723),
+	.A2(n_32721),
+	.B1(n_35467),
+	.Y(n_32729), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__bufinv_16 g616968 (
+	.A(n_32728),
+	.Y(brqrv_top_brqrv_dec_dec_i0_instr_d[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g616969 (
+	.A(n_32726),
+	.B(n_35590),
+	.Y(n_32727), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g616970 (
+	.A1(n_32724),
+	.A2(n_35565),
+	.B1(n_260480_BAR),
+	.X(n_32728), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g616971 (
+	.A_N(n_35601),
+	.B(n_32723),
+	.Y(n_32726), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g616972 (
+	.A(n_35619),
+	.B(n_32719),
+	.C(n_35590),
+	.Y(n_32725), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_4 g616973 (
+	.A(n_32714),
+	.B(n_260458_BAR),
+	.Y(brqrv_top_brqrv_dec_dec_i0_instr_d[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g616974 (
+	.A(n_32723),
+	.Y(n_32724), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g616975 (
+	.A_N(n_35563),
+	.B(n_32719),
+	.Y(n_32723), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g616976 (
+	.A(n_35500),
+	.B(n_35502),
+	.Y(n_32722), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g616977 (
+	.A(n_35602),
+	.Y(n_32721), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g616978 (
+	.A(n_35562),
+	.Y(n_32719), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 fopt1 (
+	.A(n_43965),
+	.Y(n_32718), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g616979 (
+	.A(n_35482),
+	.B(n_35481),
+	.X(n_32716), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g616980 (
+	.A_N(brqrv_top_brqrv_dec_dec_i0_instr_d[18]),
+	.B(n_32728),
+	.Y(n_35550), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g616982 (
+	.A_N(n_32719),
+	.B(n_31929),
+	.Y(n_32714), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g616983 (
+	.A(n_32712),
+	.B(n_32713),
+	.C(n_35636),
+	.Y(n_35632), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g616984 (
+	.A(n_32713),
+	.Y(n_36370), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g616985 (
+	.A1(n_43091),
+	.A2(n_32696),
+	.B1(n_43591),
+	.Y(n_32713), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g616986 (
+	.A(n_43204),
+	.B(n_32710),
+	.Y(n_32712), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g616989 (
+	.A(n_43092),
+	.B(n_35633),
+	.Y(n_32710), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g616990 (
+	.A(n_12030),
+	.B(n_32707),
+	.Y(n_35636), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g616991 (
+	.A(n_43091),
+	.Y(brqrv_top_brqrv_dbg_n_4261), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g616993 (
+	.A(brqrv_top_brqrv_dma_ctrl_bus_cmd_valid),
+	.B(n_32703),
+	.Y(n_35633), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g616994 (
+	.A(n_43092),
+	.Y(brqrv_top_brqrv_dma_ctrl_n_2635), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g616995 (
+	.A(n_12030),
+	.Y(n_36371), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g616996 (
+	.A(n_32704),
+	.B(n_32700),
+	.Y(n_32707), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g616998 (
+	.A(n_32690),
+	.B(n_32693),
+	.Y(n_32705), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g616999 (
+	.A1_N(brqrv_top_brqrv_dbg_data1_reg[28]),
+	.A2_N(brqrv_top_brqrv_dbg_data1_reg[30]),
+	.B1(brqrv_top_brqrv_dbg_data1_reg[28]),
+	.B2(brqrv_top_brqrv_dbg_data1_reg[30]),
+	.Y(n_32704), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g617000 (
+	.A(n_32698),
+	.B(n_32702),
+	.Y(n_32706), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g617001 (
+	.A(brqrv_top_brqrv_dma_ctrl_dbg_dma_bubble_bus),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_full_spec_bus),
+	.Y(n_32703), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g617002 (
+	.A(brqrv_top_brqrv_dbg_command_reg[28]),
+	.B(brqrv_top_brqrv_dbg_command_reg[29]),
+	.Y(n_32702), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g617003 (
+	.A(brqrv_top_brqrv_dma_ctrl_wrbuf_vld),
+	.B(brqrv_top_brqrv_dma_ctrl_wrbuf_data_vld),
+	.Y(n_35634), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g617005 (
+	.A(brqrv_top_brqrv_dbg_data1_reg[29]),
+	.B(brqrv_top_brqrv_dbg_data1_reg[31]),
+	.X(n_32700), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g617007 (
+	.A(brqrv_top_brqrv_dbg_command_reg[30]),
+	.B(brqrv_top_brqrv_dbg_command_reg[31]),
+	.Y(n_32698), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g617008 (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_valid[3]),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_valid[4]),
+	.Y(n_32701), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g617010 (
+	.A(brqrv_top_brqrv_dbg_command_reg[17]),
+	.Y(n_32696), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2b_2 g617011 (
+	.A_N(n_32706),
+	.B(n_32705),
+	.X(n_12030), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g617013 (
+	.A(brqrv_top_brqrv_dbg_command_reg[26]),
+	.B(brqrv_top_brqrv_dbg_command_reg[27]),
+	.X(n_32693), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g617016 (
+	.A_N(brqrv_top_brqrv_dma_ctrl_rdbuf_vld),
+	.B(n_35634),
+	.Y(brqrv_top_brqrv_dma_ctrl_bus_cmd_valid), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g617017 (
+	.A_N(brqrv_top_brqrv_dbg_command_reg[24]),
+	.B(brqrv_top_brqrv_dbg_command_reg[25]),
+	.Y(n_32690), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g634492 (
+	.A1(n_32340),
+	.A2(n_34603),
+	.B1(n_32642),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[31]_3301 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g634493 (
+	.A1(n_17464),
+	.A2(n_34603),
+	.B1(n_32645),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[30]_3300 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g634494 (
+	.A1(n_32307),
+	.A2(n_34603),
+	.B1(n_32688),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[29]_3299 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g634495 (
+	.A1(n_32308),
+	.A2(n_34603),
+	.B1(n_32687),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[28]_3298 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g634496 (
+	.A1(n_32311),
+	.A2(n_34603),
+	.B1(n_32686),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[27]_3297 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g634497 (
+	.A1(n_32310),
+	.A2(n_34603),
+	.B1(n_32685),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[26]_3296 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g634498 (
+	.A1(n_32309),
+	.A2(n_34603),
+	.B1(n_32684),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[24]_3294 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g634499 (
+	.A1(n_32338),
+	.A2(n_35360),
+	.B1(n_32683),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[23]_3293 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g634500 (
+	.A1(n_28888),
+	.A2(n_35357),
+	.B1(n_32682),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[15]_3285 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g634501 (
+	.A1(n_17536),
+	.A2(n_35357),
+	.B1(n_32681),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[9]_3279 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g634502 (
+	.A1(n_13888),
+	.A2(n_35357),
+	.B1(n_32680),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[8]_3278 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g634503 (
+	.A1(n_32172),
+	.A2(n_35354),
+	.B1(n_32679),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[2]_3272 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g634504 (
+	.A1(n_32340),
+	.A2(n_34595),
+	.B1(n_32677),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[31]_3385 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g634505 (
+	.A1(n_17464),
+	.A2(n_34595),
+	.B1(n_32676),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[30]_3384 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g634506 (
+	.A1(n_32307),
+	.A2(n_34595),
+	.B1(n_32678),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[29]_3383 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g634507 (
+	.A1(n_32308),
+	.A2(n_34595),
+	.B1(n_32675),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[28]_3382 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g634508 (
+	.A1(n_32311),
+	.A2(n_34595),
+	.B1(n_32674),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[27]_3381 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g634509 (
+	.A1(n_32310),
+	.A2(n_34595),
+	.B1(n_32673),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[26]_3380 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g634510 (
+	.A1(n_32309),
+	.A2(n_34595),
+	.B1(n_32672),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[24]_3378 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g634511 (
+	.A1(n_32338),
+	.A2(n_34593),
+	.B1(n_32671),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[23]_3377 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g634512 (
+	.A1(n_28888),
+	.A2(n_34591),
+	.B1(n_32670),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[15]_3369 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g634513 (
+	.A1(n_17536),
+	.A2(n_34591),
+	.B1(n_32669),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[9]_3363 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g634514 (
+	.A1(n_13888),
+	.A2(n_34591),
+	.B1(n_32668),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[8]_3362 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g634515 (
+	.A1(n_32172),
+	.A2(n_34589),
+	.B1(n_32667),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[2]_3356 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g634516 (
+	.A1(n_32340),
+	.A2(n_34342),
+	.B1(n_32666),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[127]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g634517 (
+	.A1(n_17464),
+	.A2(n_34342),
+	.B1(n_32665),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[126]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g634518 (
+	.A1(n_32307),
+	.A2(n_34342),
+	.B1(n_32664),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[125]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g634519 (
+	.A1(n_32308),
+	.A2(n_34342),
+	.B1(n_32663),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[124]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g634520 (
+	.A1(n_32311),
+	.A2(n_34342),
+	.B1(n_32661),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[123]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g634521 (
+	.A1(n_32310),
+	.A2(n_34342),
+	.B1(n_32662),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[122]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g634522 (
+	.A1(n_32309),
+	.A2(n_34342),
+	.B1(n_32660),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[120]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g634523 (
+	.A1(n_32338),
+	.A2(n_34340),
+	.B1(n_32659),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[119]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g634524 (
+	.A1(n_28888),
+	.A2(n_35291),
+	.B1(n_32657),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[111]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g634525 (
+	.A1(n_17536),
+	.A2(n_35291),
+	.B1(n_32658),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[105]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g634526 (
+	.A1(n_13888),
+	.A2(n_35291),
+	.B1(n_32656),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[104]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g634527 (
+	.A1(n_32172),
+	.A2(n_35289),
+	.B1(n_32655),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[98]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g634528 (
+	.A1(n_32340),
+	.A2(n_34348),
+	.B1(n_32654),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g634529 (
+	.A1(n_17464),
+	.A2(n_34348),
+	.B1(n_32653),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g634530 (
+	.A1(n_32307),
+	.A2(n_34348),
+	.B1(n_32652),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g634531 (
+	.A1(n_32308),
+	.A2(n_34348),
+	.B1(n_32651),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g634532 (
+	.A1(n_32311),
+	.A2(n_34348),
+	.B1(n_32650),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g634533 (
+	.A1(n_32310),
+	.A2(n_34348),
+	.B1(n_32649),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g634534 (
+	.A1(n_32309),
+	.A2(n_34348),
+	.B1(n_32648),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g634535 (
+	.A1(n_32338),
+	.A2(n_34346),
+	.B1(n_32647),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g634536 (
+	.A1(n_28888),
+	.A2(n_35293),
+	.B1(n_32646),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g634537 (
+	.A1(n_17536),
+	.A2(n_35293),
+	.B1(n_32689),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g634538 (
+	.A1(n_13888),
+	.A2(n_35293),
+	.B1(n_32644),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g634539 (
+	.A1(n_32172),
+	.A2(n_34344),
+	.B1(n_32643),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634540 (
+	.A(n_32638),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[9]),
+	.Y(n_32689), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634541 (
+	.A(n_32637),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[29]),
+	.Y(n_32688), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634542 (
+	.A(n_32637),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[28]),
+	.Y(n_32687), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634543 (
+	.A(n_32637),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[27]),
+	.Y(n_32686), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634544 (
+	.A(n_32637),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[26]),
+	.Y(n_32685), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634545 (
+	.A(n_32637),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[24]),
+	.Y(n_32684), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g634546 (
+	.A_N(n_34601),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[23]),
+	.Y(n_32683), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634547 (
+	.A(n_32640),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[15]),
+	.Y(n_32682), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634548 (
+	.A(n_32640),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[9]),
+	.Y(n_32681), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634549 (
+	.A(n_32640),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[8]),
+	.Y(n_32680), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g634550 (
+	.A_N(n_35355),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[2]),
+	.Y(n_32679), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634551 (
+	.A(n_32634),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[29]),
+	.Y(n_32678), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634552 (
+	.A(n_32634),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[31]),
+	.Y(n_32677), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634553 (
+	.A(n_32634),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[30]),
+	.Y(n_32676), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634554 (
+	.A(n_32634),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[28]),
+	.Y(n_32675), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634555 (
+	.A(n_32634),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[27]),
+	.Y(n_32674), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634556 (
+	.A(n_32634),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[26]),
+	.Y(n_32673), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634557 (
+	.A(n_32634),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[24]),
+	.Y(n_32672), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g634558 (
+	.A_N(n_34594),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[23]),
+	.Y(n_32671), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634559 (
+	.A(n_32639),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[15]),
+	.Y(n_32670), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634560 (
+	.A(n_32639),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[9]),
+	.Y(n_32669), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634561 (
+	.A(n_32639),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[8]),
+	.Y(n_32668), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g634562 (
+	.A_N(n_34590),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[2]),
+	.Y(n_32667), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634563 (
+	.A(n_32635),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[31]),
+	.Y(n_32666), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634564 (
+	.A(n_32635),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[30]),
+	.Y(n_32665), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634565 (
+	.A(n_32635),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[29]),
+	.Y(n_32664), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634566 (
+	.A(n_32635),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[28]),
+	.Y(n_32663), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634567 (
+	.A(n_32635),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[26]),
+	.Y(n_32662), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634568 (
+	.A(n_32635),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[27]),
+	.Y(n_32661), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634569 (
+	.A(n_32635),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[24]),
+	.Y(n_32660), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g634570 (
+	.A_N(n_34341),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[23]),
+	.Y(n_32659), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634571 (
+	.A(n_32641),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[9]),
+	.Y(n_32658), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634572 (
+	.A(n_32641),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[15]),
+	.Y(n_32657), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634573 (
+	.A(n_32641),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[8]),
+	.Y(n_32656), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g634574 (
+	.A_N(n_35290),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[2]),
+	.Y(n_32655), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634575 (
+	.A(n_32636),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[31]),
+	.Y(n_32654), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634576 (
+	.A(n_32636),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[30]),
+	.Y(n_32653), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634577 (
+	.A(n_32636),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[29]),
+	.Y(n_32652), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634578 (
+	.A(n_32636),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[28]),
+	.Y(n_32651), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634579 (
+	.A(n_32636),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[27]),
+	.Y(n_32650), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634580 (
+	.A(n_32636),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[26]),
+	.Y(n_32649), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634581 (
+	.A(n_32636),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[24]),
+	.Y(n_32648), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g634582 (
+	.A_N(n_34347),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[23]),
+	.Y(n_32647), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634583 (
+	.A(n_32638),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[15]),
+	.Y(n_32646), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634584 (
+	.A(n_32637),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[30]),
+	.Y(n_32645), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634585 (
+	.A(n_32638),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[8]),
+	.Y(n_32644), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g634586 (
+	.A_N(n_34345),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[2]),
+	.Y(n_32643), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634587 (
+	.A(n_32637),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[31]),
+	.Y(n_32642), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g634588 (
+	.A1(n_34833),
+	.A2(n_35316),
+	.B1(n_32606),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[41]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g634589 (
+	.A1(n_34832),
+	.A2(n_35316),
+	.B1(n_32608),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[43]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g634590 (
+	.A1(n_35488),
+	.A2(n_35316),
+	.B1(n_32609),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[44]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g634591 (
+	.A1(n_34913),
+	.A2(n_35316),
+	.B1(n_32633),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[45]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g634592 (
+	.A1(n_34829),
+	.A2(n_35316),
+	.B1(n_32611),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[46]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g634593 (
+	.A1(n_35485),
+	.A2(n_35316),
+	.B1(n_32612),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[47]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g634594 (
+	.A1(n_35492),
+	.A2(n_35316),
+	.B1(n_32613),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[48]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g634595 (
+	.A1(n_34911),
+	.A2(n_35316),
+	.B1(n_32614),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[49]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g634596 (
+	.A1(n_34837),
+	.A2(n_35316),
+	.B1(n_32615),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[50]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g634597 (
+	.A1(n_35483),
+	.A2(n_35316),
+	.B1(n_32528),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[51]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g634598 (
+	.A1(n_35486),
+	.A2(n_35316),
+	.B1(n_32616),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[52]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g634599 (
+	.A1(n_34909),
+	.A2(n_35316),
+	.B1(n_32618),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[53]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g634600 (
+	.A1(n_35494),
+	.A2(n_35316),
+	.B1(n_32617),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[56]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g634601 (
+	.A1(n_34891),
+	.A2(n_35316),
+	.B1(n_32619),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[57]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g634602 (
+	.A1(n_34834),
+	.A2(n_35316),
+	.B1(n_32620),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[58]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g634603 (
+	.A1(n_35490),
+	.A2(n_35316),
+	.B1(n_32621),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[59]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g634604 (
+	.A1(n_34839),
+	.A2(n_34418),
+	.B1(n_34820),
+	.B2(n_34420),
+	.C1(n_32520),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g634605 (
+	.A1(n_34839),
+	.A2(n_35316),
+	.B1(n_34820),
+	.B2(n_34422),
+	.C1(n_32522),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[34]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g634606 (
+	.A1(n_34839),
+	.A2(n_35160),
+	.B1(n_34820),
+	.B2(n_34421),
+	.C1(n_32521),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[66]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g634607 (
+	.A1(n_34839),
+	.A2(n_35161),
+	.B1(n_34820),
+	.B2(n_34641),
+	.C1(n_32523),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[98]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g634608 (
+	.A1(n_34890),
+	.A2(n_35316),
+	.B1(n_32625),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[63]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g634609 (
+	.A1(n_34905),
+	.A2(n_35316),
+	.B1(n_32624),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[62]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g634610 (
+	.A1(n_34907),
+	.A2(n_35316),
+	.B1(n_32623),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[61]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g634611 (
+	.A1(n_35484),
+	.A2(n_35316),
+	.B1(n_32622),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[60]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634612 (
+	.A(n_34347),
+	.B(n_31816),
+	.Y(n_34346), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634613 (
+	.A(n_34345),
+	.B(n_31816),
+	.Y(n_34344), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634614 (
+	.A(n_34601),
+	.B(n_31814),
+	.Y(n_35360), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634615 (
+	.A(n_35355),
+	.B(n_31814),
+	.Y(n_35354), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634616 (
+	.A(n_34594),
+	.B(n_31665),
+	.Y(n_34593), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634617 (
+	.A(n_34590),
+	.B(n_31665),
+	.Y(n_34589), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634618 (
+	.A(n_34341),
+	.B(n_31813),
+	.Y(n_34340), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634619 (
+	.A(n_35290),
+	.B(n_31813),
+	.Y(n_35289), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634620 (
+	.A(n_35358),
+	.B(n_31814),
+	.Y(n_35357), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634621 (
+	.A(n_35292),
+	.B(n_31813),
+	.Y(n_35291), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634622 (
+	.A(n_35294),
+	.B(n_31816),
+	.Y(n_35293), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634623 (
+	.A(n_34592),
+	.B(n_31665),
+	.Y(n_34591), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634624 (
+	.A(n_34596),
+	.B(n_31665),
+	.Y(n_34595), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634625 (
+	.A(n_34343),
+	.B(n_31813),
+	.Y(n_34342), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634626 (
+	.A(n_34349),
+	.B(n_31816),
+	.Y(n_34348), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634627 (
+	.A(n_34604),
+	.B(n_31814),
+	.Y(n_34603), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g634628 (
+	.A1(n_35483),
+	.A2(n_34418),
+	.B1(n_32526),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g634629 (
+	.A1(n_34831),
+	.A2(n_35316),
+	.B1(n_32603),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[38]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g634630 (
+	.A1(n_35487),
+	.A2(n_35316),
+	.B1(n_32604),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[39]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g634631 (
+	.A1(n_34830),
+	.A2(n_35316),
+	.B1(n_32601),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[36]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g634632 (
+	.A1(n_35491),
+	.A2(n_35316),
+	.B1(n_32600),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[35]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g634633 (
+	.A1(n_34918),
+	.A2(n_35316),
+	.B1(n_32602),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[37]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g634634 (
+	.A1(n_34890),
+	.A2(n_35161),
+	.B1(n_32598),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[127]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g634635 (
+	.A1(n_34905),
+	.A2(n_35161),
+	.B1(n_32599),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[126]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g634636 (
+	.A1(n_34907),
+	.A2(n_35161),
+	.B1(n_32597),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[125]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g634637 (
+	.A1(n_35484),
+	.A2(n_35161),
+	.B1(n_32596),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[124]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g634638 (
+	.A1(n_35490),
+	.A2(n_35161),
+	.B1(n_32595),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[123]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g634639 (
+	.A1(n_34834),
+	.A2(n_35161),
+	.B1(n_32594),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[122]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g634640 (
+	.A1(n_34891),
+	.A2(n_35161),
+	.B1(n_32593),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[121]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g634641 (
+	.A1(n_35494),
+	.A2(n_35161),
+	.B1(n_32592),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[120]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g634642 (
+	.A1(n_34909),
+	.A2(n_35161),
+	.B1(n_32591),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[117]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g634643 (
+	.A1(n_35486),
+	.A2(n_35161),
+	.B1(n_32590),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[116]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g634644 (
+	.A1(n_35483),
+	.A2(n_35161),
+	.B1(n_32529),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[115]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g634645 (
+	.A1(n_34837),
+	.A2(n_35161),
+	.B1(n_32589),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[114]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g634646 (
+	.A1(n_34911),
+	.A2(n_35161),
+	.B1(n_32588),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[113]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g634647 (
+	.A1(n_35492),
+	.A2(n_35161),
+	.B1(n_32627),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[112]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g634648 (
+	.A1(n_34835),
+	.A2(n_35316),
+	.B1(n_32607),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[42]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g634649 (
+	.A1(n_34829),
+	.A2(n_35161),
+	.B1(n_32628),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[110]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g634650 (
+	.A1(n_34913),
+	.A2(n_35161),
+	.B1(n_32629),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[109]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g634651 (
+	.A1(n_35488),
+	.A2(n_35161),
+	.B1(n_32630),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[108]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g634652 (
+	.A1(n_34832),
+	.A2(n_35161),
+	.B1(n_32631),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[107]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g634653 (
+	.A1(n_34835),
+	.A2(n_35161),
+	.B1(n_32632),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[106]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g634654 (
+	.A1(n_34833),
+	.A2(n_35161),
+	.B1(n_32610),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[105]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g634655 (
+	.A1(n_34915),
+	.A2(n_35161),
+	.B1(n_32587),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[104]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g634656 (
+	.A1(n_35487),
+	.A2(n_35161),
+	.B1(n_32586),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[103]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g634657 (
+	.A1(n_34831),
+	.A2(n_35161),
+	.B1(n_32585),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[102]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g634658 (
+	.A1(n_34918),
+	.A2(n_35161),
+	.B1(n_32584),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[101]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g634659 (
+	.A1(n_34830),
+	.A2(n_35161),
+	.B1(n_32583),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[100]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g634660 (
+	.A1(n_35485),
+	.A2(n_35161),
+	.B1(n_32626),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[111]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g634661 (
+	.A1(n_35491),
+	.A2(n_35161),
+	.B1(n_32582),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[99]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g634662 (
+	.A1(n_34890),
+	.A2(n_34418),
+	.B1(n_32581),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g634663 (
+	.A1(n_34905),
+	.A2(n_34418),
+	.B1(n_32580),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g634664 (
+	.A1(n_34907),
+	.A2(n_34418),
+	.B1(n_32579),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g634665 (
+	.A1(n_35484),
+	.A2(n_34418),
+	.B1(n_32578),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g634666 (
+	.A1(n_35490),
+	.A2(n_34418),
+	.B1(n_32577),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g634667 (
+	.A1(n_34834),
+	.A2(n_34418),
+	.B1(n_32576),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g634668 (
+	.A1(n_34891),
+	.A2(n_34418),
+	.B1(n_32575),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g634669 (
+	.A1(n_35494),
+	.A2(n_34418),
+	.B1(n_32574),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g634670 (
+	.A1(n_34909),
+	.A2(n_34418),
+	.B1(n_32573),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g634671 (
+	.A1(n_35486),
+	.A2(n_34418),
+	.B1(n_32572),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g634672 (
+	.A1(n_34915),
+	.A2(n_35316),
+	.B1(n_32605),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[40]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g634673 (
+	.A1(n_34837),
+	.A2(n_34418),
+	.B1(n_32570),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g634674 (
+	.A1(n_35492),
+	.A2(n_34418),
+	.B1(n_32569),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g634675 (
+	.A1(n_35485),
+	.A2(n_34418),
+	.B1(n_32568),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g634676 (
+	.A1(n_34829),
+	.A2(n_34418),
+	.B1(n_32567),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g634677 (
+	.A1(n_34913),
+	.A2(n_34418),
+	.B1(n_32566),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g634678 (
+	.A1(n_35488),
+	.A2(n_34418),
+	.B1(n_32565),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g634679 (
+	.A1(n_34832),
+	.A2(n_34418),
+	.B1(n_32564),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g634680 (
+	.A1(n_34835),
+	.A2(n_34418),
+	.B1(n_32563),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g634681 (
+	.A1(n_34833),
+	.A2(n_34418),
+	.B1(n_32562),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g634682 (
+	.A1(n_34915),
+	.A2(n_34418),
+	.B1(n_32561),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g634683 (
+	.A1(n_35487),
+	.A2(n_34418),
+	.B1(n_32560),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g634684 (
+	.A1(n_34911),
+	.A2(n_34418),
+	.B1(n_32571),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g634685 (
+	.A1(n_34831),
+	.A2(n_34418),
+	.B1(n_32559),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g634686 (
+	.A1(n_34830),
+	.A2(n_34418),
+	.B1(n_32557),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g634687 (
+	.A1(n_35491),
+	.A2(n_34418),
+	.B1(n_32556),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g634688 (
+	.A1(n_34918),
+	.A2(n_34418),
+	.B1(n_32558),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g634689 (
+	.A1(n_34890),
+	.A2(n_35160),
+	.B1(n_32555),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[95]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g634690 (
+	.A1(n_34905),
+	.A2(n_35160),
+	.B1(n_32554),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[94]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g634691 (
+	.A1(n_34907),
+	.A2(n_35160),
+	.B1(n_32553),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[93]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g634692 (
+	.A1(n_35484),
+	.A2(n_35160),
+	.B1(n_32552),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[92]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g634693 (
+	.A1(n_35490),
+	.A2(n_35160),
+	.B1(n_32551),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[91]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g634694 (
+	.A1(n_34834),
+	.A2(n_35160),
+	.B1(n_32550),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[90]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g634695 (
+	.A1(n_34891),
+	.A2(n_35160),
+	.B1(n_32549),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[89]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g634696 (
+	.A1(n_35494),
+	.A2(n_35160),
+	.B1(n_32547),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[88]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g634697 (
+	.A1(n_35486),
+	.A2(n_35160),
+	.B1(n_32546),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[84]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g634698 (
+	.A1(n_35483),
+	.A2(n_35160),
+	.B1(n_32527),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[83]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g634699 (
+	.A1(n_34837),
+	.A2(n_35160),
+	.B1(n_32545),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[82]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g634700 (
+	.A1(n_34911),
+	.A2(n_35160),
+	.B1(n_32544),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[81]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g634701 (
+	.A1(n_35492),
+	.A2(n_35160),
+	.B1(n_32543),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[80]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g634702 (
+	.A1(n_35485),
+	.A2(n_35160),
+	.B1(n_32542),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[79]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g634703 (
+	.A1(n_34829),
+	.A2(n_35160),
+	.B1(n_32541),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[78]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g634704 (
+	.A1(n_34913),
+	.A2(n_35160),
+	.B1(n_32540),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[77]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g634705 (
+	.A1(n_35488),
+	.A2(n_35160),
+	.B1(n_32539),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[76]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g634706 (
+	.A1(n_34832),
+	.A2(n_35160),
+	.B1(n_32538),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[75]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g634707 (
+	.A1(n_34835),
+	.A2(n_35160),
+	.B1(n_32537),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[74]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g634708 (
+	.A1(n_34909),
+	.A2(n_35160),
+	.B1(n_32548),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[85]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g634709 (
+	.A1(n_34915),
+	.A2(n_35160),
+	.B1(n_32535),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[72]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g634710 (
+	.A1(n_35487),
+	.A2(n_35160),
+	.B1(n_32534),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[71]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g634711 (
+	.A1(n_34831),
+	.A2(n_35160),
+	.B1(n_32533),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[70]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g634712 (
+	.A1(n_34918),
+	.A2(n_35160),
+	.B1(n_32532),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[69]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g634713 (
+	.A1(n_34830),
+	.A2(n_35160),
+	.B1(n_32531),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[68]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g634714 (
+	.A1(n_35491),
+	.A2(n_35160),
+	.B1(n_32530),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[67]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g634715 (
+	.A1(n_34833),
+	.A2(n_35160),
+	.B1(n_32536),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[73]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g634716 (
+	.A(n_35292),
+	.Y(n_32641), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g634717 (
+	.A(n_35358),
+	.Y(n_32640), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g634718 (
+	.A(n_34592),
+	.Y(n_32639), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g634719 (
+	.A(n_35294),
+	.Y(n_32638), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g634720 (
+	.A(n_32637),
+	.Y(n_34604), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g634721 (
+	.A(n_32636),
+	.Y(n_34349), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g634722 (
+	.A(n_32635),
+	.Y(n_34343), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g634723 (
+	.A(n_32634),
+	.Y(n_34596), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634724 (
+	.A1(n_1893),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[13]),
+	.B1(n_1572),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[13]),
+	.Y(n_32633), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634725 (
+	.A1(n_1882),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[10]),
+	.B1(n_1573),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[10]),
+	.Y(n_32632), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634726 (
+	.A1(n_1882),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[11]),
+	.B1(n_1573),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[11]),
+	.Y(n_32631), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634727 (
+	.A1(n_1882),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[12]),
+	.B1(n_1573),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[12]),
+	.Y(n_32630), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634728 (
+	.A1(n_1882),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[13]),
+	.B1(n_1573),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[13]),
+	.Y(n_32629), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634729 (
+	.A1(n_1882),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[14]),
+	.B1(n_1573),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[14]),
+	.Y(n_32628), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634730 (
+	.A1(n_1882),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[16]),
+	.B1(n_1573),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[16]),
+	.Y(n_32627), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634731 (
+	.A1(n_1882),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[15]),
+	.B1(n_1573),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[15]),
+	.Y(n_32626), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634732 (
+	.A1(n_1893),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[31]),
+	.B1(n_1572),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[31]),
+	.Y(n_32625), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634733 (
+	.A1(n_1893),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[30]),
+	.B1(n_1572),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[30]),
+	.Y(n_32624), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634734 (
+	.A1(n_1893),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[29]),
+	.B1(n_1572),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[29]),
+	.Y(n_32623), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634735 (
+	.A1(n_1893),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[28]),
+	.B1(n_1572),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[28]),
+	.Y(n_32622), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634736 (
+	.A1(n_1893),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[27]),
+	.B1(n_1572),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[27]),
+	.Y(n_32621), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634737 (
+	.A1(n_1893),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[26]),
+	.B1(n_1572),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[26]),
+	.Y(n_32620), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634738 (
+	.A1(n_1893),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[25]),
+	.B1(n_1572),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[25]),
+	.Y(n_32619), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634739 (
+	.A1(n_1893),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[21]),
+	.B1(n_1572),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[21]),
+	.Y(n_32618), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634740 (
+	.A1(n_1893),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[24]),
+	.B1(n_1572),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[24]),
+	.Y(n_32617), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634741 (
+	.A1(n_1893),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[20]),
+	.B1(n_1572),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[20]),
+	.Y(n_32616), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634742 (
+	.A1(n_1893),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[18]),
+	.B1(n_1572),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[18]),
+	.Y(n_32615), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634743 (
+	.A1(n_1893),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[17]),
+	.B1(n_1572),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[17]),
+	.Y(n_32614), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634744 (
+	.A1(n_1893),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[16]),
+	.B1(n_1572),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[16]),
+	.Y(n_32613), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634745 (
+	.A1(n_1893),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[15]),
+	.B1(n_1572),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[15]),
+	.Y(n_32612), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634746 (
+	.A1(n_1893),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[14]),
+	.B1(n_1572),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[14]),
+	.Y(n_32611), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634747 (
+	.A1(n_1882),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[9]),
+	.B1(n_1573),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[9]),
+	.Y(n_32610), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634748 (
+	.A1(n_1893),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[12]),
+	.B1(n_1572),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[12]),
+	.Y(n_32609), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634749 (
+	.A1(n_1893),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[11]),
+	.B1(n_1572),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[11]),
+	.Y(n_32608), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634750 (
+	.A1(n_1893),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[10]),
+	.B1(n_1572),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[10]),
+	.Y(n_32607), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634751 (
+	.A1(n_1893),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[9]),
+	.B1(n_1572),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[9]),
+	.Y(n_32606), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634752 (
+	.A1(n_1893),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[8]),
+	.B1(n_1572),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[8]),
+	.Y(n_32605), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634753 (
+	.A1(n_1893),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[7]),
+	.B1(n_1572),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[7]),
+	.Y(n_32604), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634754 (
+	.A1(n_1893),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[6]),
+	.B1(n_1572),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[6]),
+	.Y(n_32603), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634755 (
+	.A1(n_1893),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[5]),
+	.B1(n_1572),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[5]),
+	.Y(n_32602), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634756 (
+	.A1(n_1893),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[4]),
+	.B1(n_1572),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[4]),
+	.Y(n_32601), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634757 (
+	.A1(n_1893),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[3]),
+	.B1(n_1572),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[3]),
+	.Y(n_32600), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634758 (
+	.A1(n_1882),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[30]),
+	.B1(n_1573),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[30]),
+	.Y(n_32599), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634759 (
+	.A1(n_1882),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[31]),
+	.B1(n_1573),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[31]),
+	.Y(n_32598), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634760 (
+	.A1(n_1882),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[29]),
+	.B1(n_1573),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[29]),
+	.Y(n_32597), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634761 (
+	.A1(n_1882),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[28]),
+	.B1(n_1573),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[28]),
+	.Y(n_32596), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634762 (
+	.A1(n_1882),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[27]),
+	.B1(n_1573),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[27]),
+	.Y(n_32595), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634763 (
+	.A1(n_1882),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[26]),
+	.B1(n_1573),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[26]),
+	.Y(n_32594), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634764 (
+	.A1(n_1882),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[25]),
+	.B1(n_1573),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[25]),
+	.Y(n_32593), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634765 (
+	.A1(n_1882),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[24]),
+	.B1(n_1573),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[24]),
+	.Y(n_32592), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634766 (
+	.A1(n_1882),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[21]),
+	.B1(n_1573),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[21]),
+	.Y(n_32591), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634767 (
+	.A1(n_1882),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[20]),
+	.B1(n_1573),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[20]),
+	.Y(n_32590), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634768 (
+	.A1(n_1882),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[18]),
+	.B1(n_1573),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[18]),
+	.Y(n_32589), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634769 (
+	.A1(n_1882),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[17]),
+	.B1(n_1573),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[17]),
+	.Y(n_32588), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634770 (
+	.A(n_1852),
+	.B(n_34602),
+	.Y(n_34347), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634771 (
+	.A(n_1852),
+	.B(n_35356),
+	.Y(n_34345), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634772 (
+	.A(n_1850),
+	.B(n_34602),
+	.Y(n_34601), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634773 (
+	.A(n_1850),
+	.B(n_35356),
+	.Y(n_35355), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634774 (
+	.A(n_1546),
+	.B(n_34602),
+	.Y(n_34594), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634775 (
+	.A(n_1546),
+	.B(n_35356),
+	.Y(n_34590), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634776 (
+	.A(n_1545),
+	.B(n_34602),
+	.Y(n_34341), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634777 (
+	.A(n_1545),
+	.B(n_35356),
+	.Y(n_35290), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634778 (
+	.A(n_1545),
+	.B(n_35359),
+	.Y(n_35292), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634779 (
+	.A(n_1850),
+	.B(n_35359),
+	.Y(n_35358), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634780 (
+	.A(n_1546),
+	.B(n_35359),
+	.Y(n_34592), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634781 (
+	.A(n_1852),
+	.B(n_35359),
+	.Y(n_35294), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g634782 (
+	.A(n_32465),
+	.B(n_34606),
+	.Y(n_32637), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g634783 (
+	.A(n_32465),
+	.B(n_34417),
+	.Y(n_32636), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g634784 (
+	.A(n_32465),
+	.B(n_34378),
+	.Y(n_32635), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g634785 (
+	.A(n_32465),
+	.B(n_34597),
+	.Y(n_32634), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634786 (
+	.A1(n_1882),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[8]),
+	.B1(n_1573),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[8]),
+	.Y(n_32587), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634787 (
+	.A1(n_1882),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[7]),
+	.B1(n_1573),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[7]),
+	.Y(n_32586), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634788 (
+	.A1(n_1882),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[6]),
+	.B1(n_1573),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[6]),
+	.Y(n_32585), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634789 (
+	.A1(n_1882),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[5]),
+	.B1(n_1573),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[5]),
+	.Y(n_32584), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634790 (
+	.A1(n_1882),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[4]),
+	.B1(n_1573),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[4]),
+	.Y(n_32583), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634791 (
+	.A1(n_1882),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[3]),
+	.B1(n_1573),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[3]),
+	.Y(n_32582), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634792 (
+	.A1(n_1884),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[31]),
+	.B1(n_1879),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[31]),
+	.Y(n_32581), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634793 (
+	.A1(n_1884),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[30]),
+	.B1(n_1879),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[30]),
+	.Y(n_32580), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634794 (
+	.A1(n_1884),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[29]),
+	.B1(n_1879),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[29]),
+	.Y(n_32579), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634795 (
+	.A1(n_1884),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[28]),
+	.B1(n_1879),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[28]),
+	.Y(n_32578), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634796 (
+	.A1(n_1884),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[27]),
+	.B1(n_1879),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[27]),
+	.Y(n_32577), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634797 (
+	.A1(n_1884),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[26]),
+	.B1(n_1879),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[26]),
+	.Y(n_32576), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634798 (
+	.A1(n_1884),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[25]),
+	.B1(n_1879),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[25]),
+	.Y(n_32575), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634799 (
+	.A1(n_1884),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[24]),
+	.B1(n_1879),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[24]),
+	.Y(n_32574), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634800 (
+	.A1(n_1884),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[21]),
+	.B1(n_1879),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[21]),
+	.Y(n_32573), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634801 (
+	.A1(n_1884),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[20]),
+	.B1(n_1879),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[20]),
+	.Y(n_32572), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634802 (
+	.A1(n_1884),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[17]),
+	.B1(n_1879),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[17]),
+	.Y(n_32571), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634803 (
+	.A1(n_1884),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[18]),
+	.B1(n_1879),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[18]),
+	.Y(n_32570), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634804 (
+	.A1(n_1884),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[16]),
+	.B1(n_1879),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[16]),
+	.Y(n_32569), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634805 (
+	.A1(n_1884),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[15]),
+	.B1(n_1879),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[15]),
+	.Y(n_32568), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634806 (
+	.A1(n_1884),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[14]),
+	.B1(n_1879),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[14]),
+	.Y(n_32567), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634807 (
+	.A1(n_1884),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[13]),
+	.B1(n_1879),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[13]),
+	.Y(n_32566), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634808 (
+	.A1(n_1884),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[12]),
+	.B1(n_1879),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[12]),
+	.Y(n_32565), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634809 (
+	.A1(n_1884),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[11]),
+	.B1(n_1879),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[11]),
+	.Y(n_32564), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634810 (
+	.A1(n_1884),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[10]),
+	.B1(n_1879),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[10]),
+	.Y(n_32563), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634811 (
+	.A1(n_1884),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[9]),
+	.B1(n_1879),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[9]),
+	.Y(n_32562), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634812 (
+	.A1(n_1884),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[8]),
+	.B1(n_1879),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[8]),
+	.Y(n_32561), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634813 (
+	.A1(n_1884),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[7]),
+	.B1(n_1879),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[7]),
+	.Y(n_32560), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634814 (
+	.A1(n_1884),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[6]),
+	.B1(n_1879),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[6]),
+	.Y(n_32559), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634815 (
+	.A1(n_1884),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[5]),
+	.B1(n_1879),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[5]),
+	.Y(n_32558), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634816 (
+	.A1(n_1884),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[4]),
+	.B1(n_1879),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[4]),
+	.Y(n_32557), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634817 (
+	.A1(n_1884),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[3]),
+	.B1(n_1879),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[3]),
+	.Y(n_32556), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634818 (
+	.A1(n_1582),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[31]),
+	.B1(n_1577),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[31]),
+	.Y(n_32555), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634819 (
+	.A1(n_1582),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[30]),
+	.B1(n_1577),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[30]),
+	.Y(n_32554), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634820 (
+	.A1(n_1582),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[29]),
+	.B1(n_1577),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[29]),
+	.Y(n_32553), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634821 (
+	.A1(n_1582),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[28]),
+	.B1(n_1577),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[28]),
+	.Y(n_32552), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634822 (
+	.A1(n_1582),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[27]),
+	.B1(n_1577),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[27]),
+	.Y(n_32551), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634823 (
+	.A1(n_1582),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[26]),
+	.B1(n_1577),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[26]),
+	.Y(n_32550), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634824 (
+	.A1(n_1582),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[25]),
+	.B1(n_1577),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[25]),
+	.Y(n_32549), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634825 (
+	.A1(n_1582),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[21]),
+	.B1(n_1577),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[21]),
+	.Y(n_32548), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634826 (
+	.A1(n_1582),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[24]),
+	.B1(n_1577),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[24]),
+	.Y(n_32547), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634827 (
+	.A1(n_1582),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[20]),
+	.B1(n_1577),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[20]),
+	.Y(n_32546), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634828 (
+	.A1(n_1582),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[18]),
+	.B1(n_1577),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[18]),
+	.Y(n_32545), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634829 (
+	.A1(n_1582),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[17]),
+	.B1(n_1577),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[17]),
+	.Y(n_32544), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634830 (
+	.A1(n_1582),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[16]),
+	.B1(n_1577),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[16]),
+	.Y(n_32543), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634831 (
+	.A1(n_1582),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[15]),
+	.B1(n_1577),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[15]),
+	.Y(n_32542), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634832 (
+	.A1(n_1582),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[14]),
+	.B1(n_1577),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[14]),
+	.Y(n_32541), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634833 (
+	.A1(n_1582),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[13]),
+	.B1(n_1577),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[13]),
+	.Y(n_32540), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634834 (
+	.A1(n_1582),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[12]),
+	.B1(n_1577),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[12]),
+	.Y(n_32539), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634835 (
+	.A1(n_1582),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[11]),
+	.B1(n_1577),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[11]),
+	.Y(n_32538), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634836 (
+	.A1(n_1582),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[10]),
+	.B1(n_1577),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[10]),
+	.Y(n_32537), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634837 (
+	.A1(n_1582),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[9]),
+	.B1(n_1577),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[9]),
+	.Y(n_32536), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634838 (
+	.A1(n_1582),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[8]),
+	.B1(n_1577),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[8]),
+	.Y(n_32535), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634839 (
+	.A1(n_1582),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[7]),
+	.B1(n_1577),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[7]),
+	.Y(n_32534), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634840 (
+	.A1(n_1582),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[6]),
+	.B1(n_1577),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[6]),
+	.Y(n_32533), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634841 (
+	.A1(n_1582),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[5]),
+	.B1(n_1577),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[5]),
+	.Y(n_32532), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634842 (
+	.A1(n_1582),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[4]),
+	.B1(n_1577),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[4]),
+	.Y(n_32531), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634843 (
+	.A1(n_1582),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[3]),
+	.B1(n_1577),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[3]),
+	.Y(n_32530), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634844 (
+	.A1(n_1882),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[19]),
+	.B1(n_1573),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[19]),
+	.Y(n_32529), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634845 (
+	.A1(n_1893),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[19]),
+	.B1(n_1572),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[19]),
+	.Y(n_32528), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634846 (
+	.A1(n_1582),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[19]),
+	.B1(n_1577),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[19]),
+	.Y(n_32527), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634847 (
+	.A1(n_1884),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[19]),
+	.B1(n_1879),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[19]),
+	.Y(n_32526), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634850 (
+	.A(n_1882),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[2]),
+	.Y(n_32523), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634851 (
+	.A(n_1893),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[2]),
+	.Y(n_32522), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634852 (
+	.A(n_1582),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[2]),
+	.Y(n_32521), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634853 (
+	.A(n_1884),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[2]),
+	.Y(n_32520), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634854 (
+	.A(n_1582),
+	.B(n_31665),
+	.Y(n_34597), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634855 (
+	.A(n_1882),
+	.B(n_31813),
+	.Y(n_34378), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634858 (
+	.A(n_1893),
+	.B(n_31814),
+	.Y(n_34606), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634859 (
+	.A(n_1884),
+	.B(n_31816),
+	.Y(n_34417), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g634860 (
+	.A(n_1577),
+	.B(n_1582),
+	.X(n_35160), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g634861 (
+	.A(n_1572),
+	.B(n_1893),
+	.X(n_35316), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g634862 (
+	.A(n_1573),
+	.B(n_1882),
+	.X(n_35161), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g634863 (
+	.A(n_1879),
+	.B(n_1884),
+	.X(n_34418), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g634864 (
+	.A(n_1882),
+	.Y(n_34634), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g634865 (
+	.A(n_1884),
+	.Y(n_34419), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g634866 (
+	.A(n_34640),
+	.B(n_34635),
+	.Y(n_1882), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g634867 (
+	.A(n_34708),
+	.B(n_34635),
+	.Y(n_1884), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g634868 (
+	.A(n_1582),
+	.Y(n_34598), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g634869 (
+	.A(n_1893),
+	.Y(n_34607), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g634870 (
+	.A(n_34599),
+	.B(n_34635),
+	.Y(n_1582), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g634871 (
+	.A(n_34709),
+	.B(n_34635),
+	.Y(n_1893), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634872 (
+	.A(n_32513),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_valid),
+	.Y(n_34635), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g634873 (
+	.A(n_34637),
+	.B(n_34636),
+	.C(n_31772),
+	.D(n_32462),
+	.Y(n_32513), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g634874 (
+	.A(n_1879),
+	.Y(n_34420), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g634875 (
+	.A_N(n_34645),
+	.B(n_34638),
+	.Y(n_34637), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g634876 (
+	.A(n_34207),
+	.B(n_32508),
+	.Y(n_1879), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g634877 (
+	.A(n_1572),
+	.Y(n_34422), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g634878 (
+	.A(n_1577),
+	.Y(n_34421), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g634879 (
+	.A(n_1573),
+	.Y(n_34641), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g634880 (
+	.A(n_34953),
+	.B(n_32508),
+	.Y(n_1572), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g634881 (
+	.A(n_34952),
+	.B(n_32508),
+	.Y(n_1577), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g634882 (
+	.A(n_31732),
+	.B(n_32508),
+	.Y(n_1573), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g634883 (
+	.A(n_35662),
+	.B(n_34646),
+	.X(n_32508), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g634884 (
+	.A(n_33843),
+	.B(n_32505),
+	.C(n_32503),
+	.Y(n_33844), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g634885 (
+	.A_N(n_34647),
+	.B(n_34646),
+	.Y(n_34645), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634886 (
+	.A(n_33843),
+	.B(n_32505),
+	.Y(brqrv_top_brqrv_dec_tlu_flush_noredir_r), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g634887 (
+	.A1(brqrv_top_brqrv_lsu_lsu_pkt_r[7]),
+	.A2(n_32506),
+	.B1(n_31704),
+	.Y(n_34646), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634888 (
+	.A(n_32507),
+	.B(brqrv_top_brqrv_dec_tlu_dec_tlu_wr_pause_r_d1),
+	.Y(n_33843), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g634889 (
+	.A1(brqrv_top_brqrv_lsu_lsu_pkt_m[7]),
+	.A2(n_35822),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_valid),
+	.C1(n_1885),
+	.D1(n_28911),
+	.Y(n_34636), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_2 g634890 (
+	.A(n_32507),
+	.Y(brqrv_top_brqrv_dec_tlu_interrupt_valid_r), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g634891 (
+	.A(n_33857),
+	.B(n_32424),
+	.C(n_34870),
+	.Y(n_32506), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4_4 g634892 (
+	.A(n_33842),
+	.B(n_32501),
+	.C(n_43110),
+	.D(n_33840),
+	.X(n_32507), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o311a_1 g634893 (
+	.A1(n_31796),
+	.A2(n_32387),
+	.A3(n_32483),
+	.B1(n_32488),
+	.C1(n_33839),
+	.X(n_32505), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g634895 (
+	.A(n_32502),
+	.B(n_31908),
+	.C(brqrv_top_brqrv_dec_tlu_dcsr[2]),
+	.X(n_32503), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634901 (
+	.A(n_32500),
+	.B(n_32481),
+	.Y(n_32501), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g634902 (
+	.A(n_43093),
+	.B(n_32498),
+	.Y(n_32502), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g634903 (
+	.A(n_32500),
+	.Y(n_36277), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g634905 (
+	.A(n_32495),
+	.B(brqrv_top_brqrv_dec_tlu_internal_pmu_fw_halt_mode),
+	.Y(n_32500), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g634908 (
+	.A1(n_32492),
+	.A2(n_32484),
+	.A3(n_32489),
+	.B1(n_32482),
+	.Y(n_32498), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634911 (
+	.A(n_32492),
+	.B(n_32464),
+	.Y(brqrv_top_brqrv_dec_tlu_internal_pmu_fw_halt_mode), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g634913 (
+	.A_N(n_43095),
+	.B(n_32493),
+	.C(n_32489),
+	.Y(n_32495), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634914 (
+	.A(n_32485),
+	.B(n_32489),
+	.Y(n_32494), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g634915 (
+	.A(n_32492),
+	.Y(brqrv_top_brqrv_dec_tlu_pmu_fw_halt_req_ns), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 g634916 (
+	.A(n_32387),
+	.B(n_32491),
+	.X(n_32493), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634917 (
+	.A(n_32490),
+	.B(n_8239),
+	.Y(n_32492), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634918 (
+	.A(n_32487),
+	.B(n_33836),
+	.Y(n_32490), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g634919 (
+	.A(n_32486),
+	.B(n_33330),
+	.C(n_31658),
+	.Y(n_32491), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g634920 (
+	.A1(brqrv_top_brqrv_dec_tlu_internal_dbg_halt_mode),
+	.A2(brqrv_top_brqrv_dec_tlu_fence_i_r),
+	.B1(brqrv_top_brqrv_dec_tlu_trigger_hit_dmode_r),
+	.Y(n_32488), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g634921 (
+	.A1(brqrv_top_brqrv_dec_tlu_internal_dbg_halt_mode),
+	.A2(n_31832),
+	.B1(n_43098),
+	.Y(n_32489), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g634922 (
+	.A1(brqrv_top_brqrv_dec_dec_tlu_debug_stall),
+	.A2(n_32479),
+	.B1(brqrv_top_brqrv_dec_tlu_pmu_fw_halt_req_f),
+	.Y(n_32487), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634923 (
+	.A(brqrv_top_brqrv_dec_tlu_internal_dbg_halt_mode),
+	.B(n_31902),
+	.Y(n_32486), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g634924 (
+	.A1(n_35458),
+	.A2(n_43095),
+	.B1(brqrv_top_brqrv_dec_tlu_exc_or_int_valid_r_d1),
+	.B2(n_32476),
+	.Y(n_32485), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g634925 (
+	.A(brqrv_top_brqrv_dec_dec_tlu_debug_stall),
+	.B(n_32479),
+	.Y(brqrv_top_brqrv_dec_tlu_pmu_fw_tlu_halted), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634926 (
+	.A(n_32478),
+	.B(n_31769),
+	.Y(brqrv_top_brqrv_dec_tlu_internal_dbg_halt_mode), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g634927 (
+	.A(n_32477),
+	.B(n_32003),
+	.Y(brqrv_top_brqrv_dec_i0_predict_p_d[47]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g634929 (
+	.A1(n_35452),
+	.A2(n_43097),
+	.B1(n_32475),
+	.Y(n_32484), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g634930 (
+	.A(n_32471),
+	.B(n_35457),
+	.C(n_32390),
+	.Y(n_32482), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634931 (
+	.A(n_32470),
+	.B(n_31666),
+	.Y(brqrv_top_brqrv_dec_i0_predict_p_d[45]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634932 (
+	.A(n_35452),
+	.B(n_35458),
+	.Y(n_32481), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634934 (
+	.A(n_32471),
+	.B(n_35457),
+	.Y(n_32483), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g634935 (
+	.A(n_32478),
+	.Y(brqrv_top_brqrv_dec_tlu_debug_halt_req_ns), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_2 g634936 (
+	.A1(n_31928),
+	.A2(n_32024),
+	.B1(n_32473),
+	.X(brqrv_top_brqrv_dec_dec_i0_instr_d[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g634937 (
+	.A(n_32461),
+	.B(n_32339),
+	.C(n_32251),
+	.X(n_32477), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g634938 (
+	.A(n_32469),
+	.B(n_32251),
+	.Y(brqrv_top_brqrv_dec_i0_predict_p_d[46]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g634939 (
+	.A1(n_106),
+	.A2(brqrv_top_brqrv_dec_tlu_dec_tlu_pmu_fw_halted),
+	.B1(n_43096),
+	.Y(n_32479), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g634940 (
+	.A1(n_35123),
+	.A2(n_35463),
+	.A3(brqrv_top_brqrv_dec_dec_tlu_debug_stall),
+	.B1(brqrv_top_brqrv_dec_tlu_enter_debug_halt_req),
+	.Y(n_32478), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g634943 (
+	.A_N(brqrv_top_brqrv_dec_tlu_exc_or_int_valid_r_d1),
+	.B(n_32464),
+	.Y(n_32475), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634945 (
+	.A(n_32467),
+	.B(n_36250),
+	.Y(n_35500), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634946 (
+	.A(n_32466),
+	.B(n_36250),
+	.Y(n_35502), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634947 (
+	.A(n_32459),
+	.B(n_35551),
+	.Y(n_32473), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g634948 (
+	.A(n_32458),
+	.B(n_35477),
+	.C(n_32439),
+	.D(n_32428),
+	.Y(n_32476), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g634950 (
+	.A1(n_31932),
+	.A2(n_31924),
+	.B1(n_32460),
+	.Y(n_32470), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g634951 (
+	.A(n_32339),
+	.B(n_32006),
+	.C(n_32443),
+	.X(n_32469), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g634953 (
+	.A(n_31660),
+	.B(n_32251),
+	.Y(brqrv_top_brqrv_dec_dec_i0_instr_d[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g634954 (
+	.A(n_32452),
+	.B(brqrv_top_brqrv_dec_tlu_pause_expired_r),
+	.Y(n_32471), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g634955 (
+	.A(n_32454),
+	.B(n_32458),
+	.C(n_32439),
+	.Y(n_35452), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g634957 (
+	.A(n_32466),
+	.Y(n_32467), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g634958 (
+	.A(n_34605),
+	.Y(n_32465), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2b_2 g634961 (
+	.A(n_35455),
+	.B_N(n_35454),
+	.X(brqrv_top_brqrv_dec_dec_i0_instr_d[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634962 (
+	.A(n_32451),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[7]),
+	.Y(n_32466), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634963 (
+	.A(brqrv_top_brqrv_dec_tlu_core_empty),
+	.B(brqrv_top_brqrv_dec_tlu_halt_taken),
+	.Y(n_35123), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g634964 (
+	.A_N(n_32439),
+	.B(n_32458),
+	.Y(n_35458), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634965 (
+	.A(brqrv_top_brqrv_dec_tlu_i_cpu_run_req_d1),
+	.B(brqrv_top_brqrv_dec_tlu_dec_tlu_pmu_fw_halted),
+	.Y(n_35457), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g634966 (
+	.A_N(n_35361),
+	.B(n_32457),
+	.Y(n_35359), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634967 (
+	.A(n_32457),
+	.B(n_34608),
+	.Y(n_34605), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634968 (
+	.A(n_32457),
+	.B(n_34609),
+	.Y(n_34602), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634969 (
+	.A(n_32457),
+	.B(n_1866),
+	.Y(n_35356), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g634970 (
+	.A(brqrv_top_brqrv_dec_tlu_pause_expired_r),
+	.Y(n_33838), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634971 (
+	.A(n_32339),
+	.B(n_32456),
+	.Y(brqrv_top_brqrv_dec_dec_i0_instr_d[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g634972 (
+	.A1(n_32372),
+	.A2(n_35590),
+	.B1(n_32446),
+	.X(n_260458_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_4 g634973 (
+	.A(n_32436),
+	.B(n_32442),
+	.Y(brqrv_top_brqrv_dec_i0_predict_p_d[42]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g634974 (
+	.A_N(n_34161),
+	.B(n_34638),
+	.C(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_timer[0]),
+	.Y(n_32462), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g634975 (
+	.A1(n_35602),
+	.A2(n_31921),
+	.B1(n_32449),
+	.X(n_32461), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g634976 (
+	.A1(n_32377),
+	.A2(n_35590),
+	.B1(n_32447),
+	.Y(n_32460), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g634977 (
+	.A1(n_31779),
+	.A2(n_32379),
+	.B1(n_32445),
+	.X(brqrv_top_brqrv_dec_i0_predict_p_d[43]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_4 g634978 (
+	.A(n_32432),
+	.B(n_32444),
+	.Y(brqrv_top_brqrv_dec_i0_predict_p_d[44]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g634979 (
+	.A1(n_31931),
+	.A2(n_32258),
+	.B1(n_32448),
+	.Y(n_32459), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g634980 (
+	.A(n_32453),
+	.B(n_32339),
+	.Y(brqrv_top_brqrv_dec_dec_i0_instr_d[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g634981 (
+	.A(n_106),
+	.B(brqrv_top_brqrv_dec_tlu_internal_pmu_fw_halt_mode_f),
+	.C(n_8239),
+	.Y(n_32464), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g634982 (
+	.A1(n_32438),
+	.A2(n_32247),
+	.B1(n_32455),
+	.Y(brqrv_top_brqrv_dec_tlu_pause_expired_r), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g634983 (
+	.A1(n_31779),
+	.A2(n_32371),
+	.B1(n_32430),
+	.Y(n_32456), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g634984 (
+	.A(n_28904),
+	.B(n_35503),
+	.Y(n_260295_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g634985 (
+	.A(n_43097),
+	.B(n_32425),
+	.C(n_31758),
+	.D(n_31889),
+	.Y(n_32455), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634986 (
+	.A(n_35477),
+	.B(n_32434),
+	.Y(n_32454), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g634987 (
+	.A1(n_31779),
+	.A2(n_32381),
+	.B1(n_32426),
+	.Y(n_32453), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_2 g634988 (
+	.A1(n_31779),
+	.A2(n_32392),
+	.B1(n_32427),
+	.X(brqrv_top_brqrv_dec_dec_i0_instr_d[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g634989 (
+	.A(n_33834),
+	.B(n_43104),
+	.C(n_33311),
+	.Y(n_32452), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634990 (
+	.A(n_33837),
+	.B(n_32326),
+	.Y(brqrv_top_brqrv_dec_tlu_core_empty), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g634991 (
+	.A(n_32157),
+	.B(n_32431),
+	.Y(brqrv_top_brqrv_dec_dec_i0_instr_d[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634992 (
+	.A(n_32339),
+	.B(n_32429),
+	.Y(n_35455), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g634993 (
+	.A_N(n_34639),
+	.B(n_35662),
+	.Y(n_34638), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g634994 (
+	.A(n_43097),
+	.B(n_32247),
+	.X(n_32458), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g634995 (
+	.A(n_35662),
+	.B(n_34639),
+	.Y(n_32457), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g634996 (
+	.A(n_32451),
+	.Y(n_35566), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g634997 (
+	.A(brqrv_top_brqrv_dec_tlu_i_cpu_run_req_d1),
+	.Y(n_106), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g634998 (
+	.A1(n_32171),
+	.A2(n_35608),
+	.B1(n_32041),
+	.B2(brqrv_top_brqrv_dbg_command_reg[10]),
+	.C1(n_32422),
+	.Y(n_32449), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g634999 (
+	.A1(n_32171),
+	.A2(n_35625),
+	.B1(n_32041),
+	.B2(brqrv_top_brqrv_dbg_cmd_addr[0]),
+	.C1(n_32417),
+	.X(n_32448), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g635000 (
+	.A1(n_32171),
+	.A2(n_35604),
+	.B1(n_32041),
+	.B2(brqrv_top_brqrv_dbg_cmd_addr[8]),
+	.C1(n_32416),
+	.Y(n_32447), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g635001 (
+	.A1(n_32171),
+	.A2(n_35623),
+	.B1(n_32173),
+	.B2(brqrv_top_brqrv_dbg_cmd_addr[4]),
+	.C1(n_32414),
+	.X(n_35478), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g635002 (
+	.A1(n_32173),
+	.A2(brqrv_top_brqrv_dbg_cmd_addr[1]),
+	.B1(n_32171),
+	.B2(brqrv_top_brqrv_ifu_aln_q0eff[16]),
+	.C1(n_32413),
+	.Y(n_260522_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g635003 (
+	.A1(n_32173),
+	.A2(brqrv_top_brqrv_dbg_cmd_addr[3]),
+	.B1(n_32171),
+	.B2(n_35592),
+	.C1(n_32411),
+	.Y(n_32446), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g635004 (
+	.A1(n_32171),
+	.A2(n_35617),
+	.B1(n_32041),
+	.B2(brqrv_top_brqrv_dbg_command_reg[6]),
+	.C1(n_32420),
+	.X(n_32445), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g635005 (
+	.A1(n_32171),
+	.A2(n_35620),
+	.B1(n_32041),
+	.B2(brqrv_top_brqrv_dbg_command_reg[7]),
+	.C1(n_32410),
+	.Y(n_32444), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g635006 (
+	.A1(n_32171),
+	.A2(n_35606),
+	.B1(n_32041),
+	.B2(brqrv_top_brqrv_dbg_command_reg[9]),
+	.C1(n_32419),
+	.Y(n_32443), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g635007 (
+	.A1(n_32041),
+	.A2(brqrv_top_brqrv_dbg_command_reg[5]),
+	.B1(n_32171),
+	.B2(n_35600),
+	.C1(n_32418),
+	.Y(n_32442), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g635008 (
+	.A1(n_32041),
+	.A2(brqrv_top_brqrv_dbg_command_reg[11]),
+	.B1(n_32171),
+	.B2(n_35628),
+	.C1(n_32421),
+	.Y(n_32441), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g635009 (
+	.A1(n_32171),
+	.A2(brqrv_top_brqrv_ifu_aln_q0eff[17]),
+	.B1(n_32173),
+	.B2(n_41919),
+	.C1(n_32412),
+	.X(n_32440), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g635010 (
+	.A(n_32409),
+	.B(n_32435),
+	.Y(n_32451), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_2 g635011 (
+	.A1(n_31698),
+	.A2(n_32425),
+	.B1(n_32437),
+	.Y(brqrv_top_brqrv_dec_tlu_i_cpu_run_req_d1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g635012 (
+	.A(n_32439),
+	.Y(n_32438), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635013 (
+	.A(brqrv_top_brqrv_dec_tlu_mie_ns[2]),
+	.B(n_32323),
+	.Y(n_32437), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635014 (
+	.A(n_32402),
+	.B(n_35609),
+	.Y(n_32436), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635015 (
+	.A(n_32408),
+	.B(n_16969),
+	.Y(n_32435), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635016 (
+	.A(brqrv_top_brqrv_dec_tlu_mie_ns[4]),
+	.B(brqrv_top_brqrv_dec_tlu_int_timer0_int_hold_f),
+	.Y(n_32434), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g635017 (
+	.A1(brqrv_top_brqrv_dec_tlu_n_13200),
+	.A2(n_32395),
+	.B1_N(brqrv_top_brqrv_dec_tlu_dbg_halted),
+	.Y(n_33834), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g635018 (
+	.A1(n_32010),
+	.A2(n_32400),
+	.B1(n_31779),
+	.Y(n_35454), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635019 (
+	.A(n_32406),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[14]),
+	.Y(n_35503), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635020 (
+	.A(n_35586),
+	.B(n_35589),
+	.Y(n_36250), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635021 (
+	.A(brqrv_top_brqrv_dec_tlu_mie_ns[4]),
+	.B(brqrv_top_brqrv_dec_tlu_mip[4]),
+	.Y(n_35477), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635022 (
+	.A(brqrv_top_brqrv_dec_tlu_mie_ns[5]),
+	.B(brqrv_top_brqrv_dec_tlu_mip[5]),
+	.Y(n_32439), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635024 (
+	.A(n_32404),
+	.B(n_35609),
+	.Y(n_32432), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211a_1 g635025 (
+	.A1(FE_DBTN5_n_35627),
+	.A2(n_31921),
+	.B1(n_32270),
+	.C1(n_32398),
+	.X(n_32431), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g635026 (
+	.A1(n_32041),
+	.A2(brqrv_top_brqrv_dbg_cmd_addr[3]),
+	.B1(n_32401),
+	.X(n_32430), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g635027 (
+	.A1(n_32041),
+	.A2(n_41919),
+	.B1(n_32403),
+	.Y(n_32429), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g635028 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timer0_int_hold_f),
+	.B(n_31743),
+	.C_N(brqrv_top_brqrv_dec_tlu_mie_ns[3]),
+	.Y(n_32428), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g635029 (
+	.A1(n_32041),
+	.A2(brqrv_top_brqrv_dbg_cmd_addr[4]),
+	.B1(n_32415),
+	.X(n_32427), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g635030 (
+	.A1(n_32041),
+	.A2(brqrv_top_brqrv_dbg_cmd_addr[1]),
+	.B1(n_32423),
+	.X(n_32426), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o31ai_1 g635031 (
+	.A1(n_32358),
+	.A2(n_32391),
+	.A3(n_32367),
+	.B1(brqrv_top_brqrv_dec_tlu_mfdht[0]),
+	.Y(n_33837), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g635032 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[31]),
+	.A2(n_34890),
+	.B1(n_31806),
+	.C1(n_32152),
+	.D1(n_32389),
+	.Y(n_34639), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g635034 (
+	.A(brqrv_top_brqrv_lsu_lsu_pkt_m[7]),
+	.B(n_35794),
+	.Y(n_32424), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g635035 (
+	.A1(n_32364),
+	.A2(n_32285),
+	.A3(n_32284),
+	.B1(n_32040),
+	.Y(n_32423), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635036 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[2]),
+	.B(n_43112),
+	.Y(n_35564), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g635037 (
+	.A1(n_32330),
+	.A2(n_32301),
+	.A3(n_32332),
+	.B1(n_32040),
+	.Y(n_32422), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g635038 (
+	.A1(n_32297),
+	.A2(n_32288),
+	.A3(n_32271),
+	.B1(n_32040),
+	.Y(n_32421), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g635039 (
+	.A1(n_32296),
+	.A2(n_32300),
+	.A3(n_32298),
+	.B1(n_32040),
+	.Y(n_32420), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g635040 (
+	.A1(n_32294),
+	.A2(n_32291),
+	.A3(n_32289),
+	.B1(n_32040),
+	.Y(n_32419), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g635041 (
+	.A1(n_32295),
+	.A2(n_32293),
+	.A3(n_32292),
+	.B1(n_32040),
+	.Y(n_32418), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g635042 (
+	.A1(n_32278),
+	.A2(n_32277),
+	.A3(n_32276),
+	.B1(n_32040),
+	.Y(n_32417), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g635043 (
+	.A1(n_32269),
+	.A2(n_32272),
+	.A3(n_32262),
+	.B1(n_32040),
+	.Y(n_32416), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g635044 (
+	.A1(n_32365),
+	.A2(n_32287),
+	.A3(n_32286),
+	.B1(n_32040),
+	.Y(n_32415), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g635045 (
+	.A1(n_32268),
+	.A2(n_32266),
+	.A3(n_32267),
+	.B1(n_32040),
+	.Y(n_32414), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g635046 (
+	.A1(n_32265),
+	.A2(n_32264),
+	.A3(n_32263),
+	.B1(n_32040),
+	.Y(n_32413), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g635047 (
+	.A1(n_32261),
+	.A2(n_32279),
+	.A3(n_32260),
+	.B1(n_32040),
+	.Y(n_32412), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g635048 (
+	.A1(n_32302),
+	.A2(n_32299),
+	.A3(n_32303),
+	.B1(n_32040),
+	.Y(n_32411), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g635049 (
+	.A1(n_32304),
+	.A2(n_32328),
+	.A3(n_32305),
+	.B1(n_32040),
+	.Y(n_32410), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g635051 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timer1_int_hold_f),
+	.B(brqrv_top_brqrv_dec_tlu_int_timer0_int_hold_f),
+	.C(brqrv_top_brqrv_dec_tlu_nmi_int_detected),
+	.Y(n_32425), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g635052 (
+	.A(n_35561),
+	.B(n_31978),
+	.C(n_32228),
+	.D(n_31653),
+	.Y(brqrv_top_brqrv_dec_dec_i0_instr_d[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635053 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[2]),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[4]),
+	.Y(n_35586), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g635055 (
+	.A(n_32408),
+	.Y(brqrv_top_brqrv_dec_dec_i0_instr_d[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g635060 (
+	.A(n_31667),
+	.Y(n_28904), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g635061 (
+	.A1(n_31689),
+	.A2(n_32374),
+	.B1(n_35621),
+	.B2(n_31994),
+	.Y(n_32404), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g635062 (
+	.A1(n_32363),
+	.A2(n_32282),
+	.A3(n_32281),
+	.B1(n_32040),
+	.Y(n_32403), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g635063 (
+	.A1(n_31689),
+	.A2(n_32386),
+	.B1(FE_DBTN7_n_36251),
+	.B2(n_31994),
+	.Y(n_32402), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g635064 (
+	.A1(n_35853),
+	.A2(n_32347),
+	.B1(n_1551),
+	.B2(n_32203),
+	.Y(brqrv_top_brqrv_ifu_i0_bp_btag[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g635065 (
+	.A1(n_35853),
+	.A2(n_32346),
+	.B1(n_1551),
+	.B2(n_32201),
+	.Y(brqrv_top_brqrv_ifu_i0_bp_index[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g635066 (
+	.A1(n_35853),
+	.A2(n_32348),
+	.B1(n_1551),
+	.B2(n_32210),
+	.Y(brqrv_top_brqrv_ifu_i0_bp_btag[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g635067 (
+	.A1(n_32362),
+	.A2(n_32306),
+	.A3(n_32280),
+	.B1(n_32040),
+	.Y(n_32401), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g635068 (
+	.A1(n_35853),
+	.A2(n_32368),
+	.B1(n_1551),
+	.B2(n_32215),
+	.Y(brqrv_top_brqrv_ifu_i0_bp_index[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g635069 (
+	.A1(n_35853),
+	.A2(n_32355),
+	.B1(n_1551),
+	.B2(n_32214),
+	.Y(brqrv_top_brqrv_ifu_i0_bp_index[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g635070 (
+	.A1(n_35853),
+	.A2(n_32354),
+	.B1(n_1551),
+	.B2(n_32213),
+	.Y(brqrv_top_brqrv_ifu_i0_bp_index[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g635071 (
+	.A1(n_35853),
+	.A2(n_32353),
+	.B1(n_1551),
+	.B2(n_32212),
+	.Y(brqrv_top_brqrv_ifu_i0_bp_index[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g635072 (
+	.A1(n_35853),
+	.A2(n_32352),
+	.B1(n_1551),
+	.B2(n_32211),
+	.Y(brqrv_top_brqrv_ifu_i0_bp_index[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g635073 (
+	.A(n_32378),
+	.B(n_32384),
+	.C(n_32397),
+	.Y(brqrv_top_brqrv_dec_tlu_mie_ns[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g635074 (
+	.A(n_32356),
+	.B(n_32333),
+	.C(n_31656),
+	.Y(n_32409), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g635075 (
+	.A1(n_32349),
+	.A2(n_35590),
+	.B1(n_32274),
+	.X(n_32408), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g635076 (
+	.A1(n_32369),
+	.A2(n_36365),
+	.B1(n_33832),
+	.B2(brqrv_top_brqrv_dec_tlu_mie[3]),
+	.C1(n_32383),
+	.X(brqrv_top_brqrv_dec_tlu_mie_ns[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g635077 (
+	.A(n_32315),
+	.B(n_32319),
+	.C(n_32320),
+	.D(n_32359),
+	.X(n_35822), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635078 (
+	.A(n_32399),
+	.B(n_32385),
+	.Y(brqrv_top_brqrv_dec_tlu_mie_ns[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635079 (
+	.A(n_32388),
+	.B(n_32396),
+	.Y(brqrv_top_brqrv_dec_tlu_mie_ns[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g635080 (
+	.A(n_32158),
+	.B(n_32380),
+	.C(n_35561),
+	.Y(n_32406), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g635081 (
+	.A(n_32350),
+	.B(n_31659),
+	.C(n_35561),
+	.Y(n_31667), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g635082 (
+	.A1(n_31655),
+	.A2(n_31818),
+	.B1(n_35624),
+	.Y(n_32400), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635083 (
+	.A(n_32336),
+	.B(n_32373),
+	.Y(n_32399), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635084 (
+	.A(n_31779),
+	.B(n_32370),
+	.Y(n_32398), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635085 (
+	.A(n_32369),
+	.B(n_36367),
+	.Y(n_32397), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635086 (
+	.A(n_32369),
+	.B(n_36366),
+	.Y(n_32396), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g635087 (
+	.A1(brqrv_top_brqrv_dec_tlu_dcsr[15]),
+	.A2(n_35464),
+	.B1(n_35583),
+	.B2(n_35539),
+	.Y(n_32395), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635089 (
+	.A(n_32361),
+	.B(n_32376),
+	.Y(brqrv_top_brqrv_dec_dec_i0_instr_d[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g635090 (
+	.A(n_32357),
+	.B(n_32322),
+	.C(n_32216),
+	.D(n_32200),
+	.Y(n_35794), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g635091 (
+	.A(n_16969),
+	.Y(brqrv_top_brqrv_dec_dec_i0_instr_d[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635096 (
+	.A(n_32375),
+	.B(n_32317),
+	.Y(n_32392), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g635097 (
+	.A1(brqrv_top_brqrv_dec_tlu_mfdht[5]),
+	.A2(n_32223),
+	.B1(n_32230),
+	.C1(n_32238),
+	.D1(n_32231),
+	.Y(n_32391), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3b_1 g635098 (
+	.A_N(n_32387),
+	.B(n_31796),
+	.C(n_33840),
+	.X(n_32390), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111oi_0 g635099 (
+	.A1(n_34905),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[30]),
+	.B1(n_31853),
+	.C1(n_32159),
+	.D1(n_32360),
+	.Y(n_32389), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32oi_1 g635100 (
+	.A1(n_32336),
+	.A2(brqrv_top_brqrv_dec_decode_write_csr_data[29]),
+	.A3(n_33833),
+	.B1(n_33832),
+	.B2(brqrv_top_brqrv_dec_tlu_mie[4]),
+	.Y(n_32388), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g635101 (
+	.A_N(n_33835),
+	.B(n_32366),
+	.Y(n_33836), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o31a_1 g635102 (
+	.A1(n_35619),
+	.A2(n_32257),
+	.A3(n_35590),
+	.B1(n_32290),
+	.X(n_16969), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g635103 (
+	.A(n_35444),
+	.B(n_35118),
+	.C(n_33314),
+	.Y(brqrv_top_brqrv_dec_tlu_nmi_int_detected), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_4 g635104 (
+	.A(n_32351),
+	.B(n_32224),
+	.Y(brqrv_top_brqrv_dec_dec_i0_instr_d[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g635106 (
+	.A(n_32324),
+	.B(n_32341),
+	.Y(n_32386), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635107 (
+	.A(n_33832),
+	.B(brqrv_top_brqrv_dec_tlu_mie[2]),
+	.Y(n_32385), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635108 (
+	.A(n_33832),
+	.B(brqrv_top_brqrv_dec_tlu_mie[5]),
+	.Y(n_32384), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g635109 (
+	.A(n_32336),
+	.B(brqrv_top_brqrv_dec_decode_write_csr_data[28]),
+	.C(n_33833),
+	.X(n_32383), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g635111 (
+	.A(n_35622),
+	.B(n_31655),
+	.Y(n_32381), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g635112 (
+	.A1(n_31931),
+	.A2(n_32176),
+	.B1(n_32273),
+	.Y(n_32380), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g635113 (
+	.A1(n_35626),
+	.A2(n_32126),
+	.B1(n_32114),
+	.C1(n_32342),
+	.Y(n_32379), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g635114 (
+	.A_N(n_35028),
+	.B(n_32336),
+	.Y(n_32378), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g635115 (
+	.A1(n_35624),
+	.A2(n_31990),
+	.B1(n_32342),
+	.X(n_32377), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g635116 (
+	.A1(n_31748),
+	.A2(n_32252),
+	.B1(n_31932),
+	.Y(n_32376), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g635117 (
+	.A(n_32249),
+	.B(n_32313),
+	.Y(n_32375), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g635118 (
+	.A(n_32321),
+	.B(n_32341),
+	.Y(n_32374), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g635119 (
+	.A1(n_33833),
+	.A2(n_32259),
+	.B1(n_35456),
+	.Y(n_32373), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g635120 (
+	.A(n_35563),
+	.B(n_32343),
+	.Y(n_32372), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g635121 (
+	.A(n_32182),
+	.B(n_32202),
+	.C(n_32172),
+	.Y(n_36116), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g635122 (
+	.A1(n_35626),
+	.A2(n_32234),
+	.B1(n_35616),
+	.B2(n_31783),
+	.C1(n_31997),
+	.Y(n_32371), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g635123 (
+	.A1(n_35601),
+	.A2(n_32253),
+	.B1(n_32055),
+	.Y(n_32370), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g635124 (
+	.A_N(brqrv_top_brqrv_dec_tlu_illegal_r),
+	.B(n_33327),
+	.Y(brqrv_top_brqrv_dec_tlu_n_13200), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635125 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[3]),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[5]),
+	.Y(n_35589), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4b_1 g635126 (
+	.A_N(n_35117),
+	.B(n_32344),
+	.C(brqrv_top_brqrv_dec_decode_r_d[19]),
+	.D(brqrv_top_brqrv_dec_decode_r_d[15]),
+	.Y(n_33835), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g635127 (
+	.A_N(brqrv_top_brqrv_dec_tlu_mdseac_locked_f),
+	.B(brqrv_top_brqrv_lsu_pmu_bus_error),
+	.Y(n_35444), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g635128 (
+	.A(n_32325),
+	.B(n_32154),
+	.Y(brqrv_top_brqrv_dec_dec_i0_instr_d[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g635129 (
+	.A_N(brqrv_top_brqrv_dec_tlu_reset_delayed),
+	.B(n_33322),
+	.Y(n_32387), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635130 (
+	.A(n_32345),
+	.B(brqrv_top_brqrv_dec_tlu_dcsr[15]),
+	.Y(n_33330), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635131 (
+	.A(n_31779),
+	.B(n_32343),
+	.Y(n_35551), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g635133 (
+	.A(n_32196),
+	.B(n_35850),
+	.Y(n_32368), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g635134 (
+	.A1(brqrv_top_brqrv_dec_tlu_mfdht[5]),
+	.A2(n_32218),
+	.B1(n_32318),
+	.Y(n_32367), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g635135 (
+	.A(brqrv_top_brqrv_dec_tlu_ext_int_freeze_d1),
+	.B(brqrv_top_brqrv_dec_tlu_internal_dbg_halt_mode_f2),
+	.C_N(brqrv_top_brqrv_dec_dec_csr_wrdata_r[0]),
+	.Y(n_32366), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g635136 (
+	.A1(brqrv_top_brqrv_ifu_aln_q0[8]),
+	.A2(n_28875),
+	.B1(n_32169),
+	.B2(brqrv_top_brqrv_ifu_aln_q1[24]),
+	.C1(n_28874),
+	.C2(brqrv_top_brqrv_ifu_aln_q0eff[24]),
+	.Y(n_32365), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g635137 (
+	.A1(brqrv_top_brqrv_ifu_aln_q0[5]),
+	.A2(n_28875),
+	.B1(n_32169),
+	.B2(brqrv_top_brqrv_ifu_aln_q1[21]),
+	.C1(n_28874),
+	.C2(brqrv_top_brqrv_ifu_aln_q0eff[21]),
+	.Y(n_32364), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g635138 (
+	.A1(brqrv_top_brqrv_ifu_aln_q0[6]),
+	.A2(n_28875),
+	.B1(n_32169),
+	.B2(brqrv_top_brqrv_ifu_aln_q1[22]),
+	.C1(n_28874),
+	.C2(brqrv_top_brqrv_ifu_aln_q0eff[22]),
+	.Y(n_32363), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g635139 (
+	.A1(brqrv_top_brqrv_ifu_aln_q1[7]),
+	.A2(n_28939),
+	.B1(n_28873),
+	.B2(brqrv_top_brqrv_ifu_aln_q2[7]),
+	.C1(n_28875),
+	.C2(brqrv_top_brqrv_ifu_aln_q0[7]),
+	.Y(n_32362), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g635140 (
+	.A1(n_31779),
+	.A2(n_32096),
+	.B1(n_32275),
+	.Y(n_32361), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g635141 (
+	.A(n_32312),
+	.B(n_32109),
+	.C(n_31972),
+	.D(n_31959),
+	.Y(n_32360), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g635142 (
+	.A(n_32222),
+	.B(n_32115),
+	.C(n_32127),
+	.D(n_32113),
+	.Y(n_32359), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g635143 (
+	.A(n_32327),
+	.B(n_32227),
+	.C(n_32239),
+	.Y(n_32358), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g635145 (
+	.A(n_32199),
+	.B(n_32197),
+	.C(n_32217),
+	.D(n_32198),
+	.Y(n_32357), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g635146 (
+	.A1(n_31779),
+	.A2(n_31793),
+	.B1(n_32283),
+	.Y(n_32356), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g635147 (
+	.A(n_32195),
+	.B(n_35848),
+	.Y(n_32355), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g635148 (
+	.A(n_35846),
+	.B(n_32194),
+	.Y(n_32354), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g635149 (
+	.A(n_32192),
+	.B(n_35845),
+	.Y(n_32353), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g635150 (
+	.A(n_32193),
+	.B(n_31992),
+	.Y(n_32352), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g635151 (
+	.A1(n_31887),
+	.A2(n_35603),
+	.B1(n_32331),
+	.Y(n_32351), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g635152 (
+	.A1(n_31920),
+	.A2(n_31767),
+	.B1(n_32316),
+	.Y(n_32350), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g635153 (
+	.A1(n_35602),
+	.A2(n_32253),
+	.B1(n_35622),
+	.B2(n_31935),
+	.X(n_32349), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g635154 (
+	.A(n_32049),
+	.B(n_32191),
+	.X(n_32348), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g635155 (
+	.A(n_35844),
+	.B(n_32190),
+	.Y(n_32347), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g635156 (
+	.A(n_32189),
+	.B(n_31993),
+	.Y(n_32346), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g635158 (
+	.A(n_35539),
+	.B(n_32329),
+	.Y(n_32369), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g635161 (
+	.A(n_32341),
+	.Y(n_32342), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g635162 (
+	.A(n_36140),
+	.Y(n_32340), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g635163 (
+	.A(n_36071),
+	.Y(n_32338), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g635164 (
+	.A(n_36135),
+	.Y(n_17464), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g635165 (
+	.A(n_33832),
+	.Y(n_32336), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g635166 (
+	.A(n_35853),
+	.Y(n_1551), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635168 (
+	.A(n_31929),
+	.B(n_32256),
+	.Y(n_32333), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635171 (
+	.A1(n_32169),
+	.A2(brqrv_top_brqrv_ifu_aln_q1[30]),
+	.B1(n_28938),
+	.B2(brqrv_top_brqrv_ifu_aln_q0[30]),
+	.Y(n_32332), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g635173 (
+	.A(n_32229),
+	.B(n_35590),
+	.Y(n_32331), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635175 (
+	.A1(n_28873),
+	.A2(brqrv_top_brqrv_ifu_aln_q2[14]),
+	.B1(n_28875),
+	.B2(brqrv_top_brqrv_ifu_aln_q0[14]),
+	.Y(n_32330), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g635176 (
+	.A(n_31696),
+	.B(n_32188),
+	.C(n_8247),
+	.Y(n_32329), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635177 (
+	.A1(n_28939),
+	.A2(brqrv_top_brqrv_ifu_aln_q1[11]),
+	.B1(n_32170),
+	.B2(brqrv_top_brqrv_ifu_aln_q2[27]),
+	.Y(n_32328), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g635178 (
+	.A1(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[7]),
+	.A2(n_32163),
+	.B1(n_31756),
+	.Y(n_32327), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635179 (
+	.A(n_32116),
+	.B(brqrv_top_brqrv_lsu_idle_any),
+	.Y(n_32326), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g635180 (
+	.A1(n_31866),
+	.A2(n_32155),
+	.B1(brqrv_top_brqrv_ifu_aln_uncompress0[1]),
+	.Y(n_32325), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g635181 (
+	.A1(n_35603),
+	.A2(n_32111),
+	.B1(FE_DBTN7_n_36251),
+	.B2(n_31990),
+	.Y(n_32324), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4_1 g635182 (
+	.A(n_32247),
+	.B(brqrv_top_brqrv_n_578),
+	.C(brqrv_top_brqrv_dec_tlu_mip[2]),
+	.D(brqrv_top_brqrv_mhwakeup),
+	.X(n_32323), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g635183 (
+	.A(n_32219),
+	.B(n_35797),
+	.C(n_35800),
+	.X(n_32322), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g635184 (
+	.A1(n_35622),
+	.A2(n_32138),
+	.B1(n_35602),
+	.B2(n_31891),
+	.Y(n_32321), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g635185 (
+	.A(n_32130),
+	.B(n_32120),
+	.C(n_32122),
+	.D(n_32119),
+	.Y(n_32320), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g635186 (
+	.A(n_32117),
+	.B(n_32128),
+	.C(n_32129),
+	.D(n_32118),
+	.Y(n_32319), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g635187 (
+	.A1(n_31785),
+	.A2(n_31756),
+	.A3(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[4]),
+	.B1(n_32225),
+	.Y(n_32318), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g635188 (
+	.A(n_32209),
+	.B(n_32181),
+	.C(n_32177),
+	.Y(n_36107), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g635189 (
+	.A(n_32204),
+	.B(n_32186),
+	.C(n_32139),
+	.Y(n_36136), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g635190 (
+	.A1(n_31924),
+	.A2(n_31690),
+	.B1(n_32232),
+	.Y(n_32317), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g635191 (
+	.A1(brqrv_top_brqrv_dbg_cmd_write),
+	.A2(n_32042),
+	.B1(n_32048),
+	.C1(n_32143),
+	.Y(n_32316), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g635192 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[27]),
+	.A2(n_34881),
+	.B1(n_31899),
+	.C1(n_32164),
+	.D1(n_32121),
+	.Y(n_32315), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g635194 (
+	.A1(n_35621),
+	.A2(n_32147),
+	.B1(n_35619),
+	.B2(n_31783),
+	.Y(n_32313), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g635195 (
+	.A(n_32108),
+	.B(n_32160),
+	.C(n_32161),
+	.D(n_32162),
+	.Y(n_32312), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g635196 (
+	.A(n_32208),
+	.B(n_32142),
+	.C(n_32178),
+	.Y(n_36112), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g635197 (
+	.A(n_32187),
+	.B(n_32148),
+	.C(n_32179),
+	.D(n_32180),
+	.Y(n_36141), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g635198 (
+	.A(n_32207),
+	.B(n_32184),
+	.C(n_32141),
+	.Y(n_36126), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g635199 (
+	.A(n_32206),
+	.B(n_32185),
+	.C(n_32149),
+	.Y(n_36131), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g635200 (
+	.A(n_32205),
+	.B(n_32183),
+	.C(n_32140),
+	.Y(n_36121), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g635201 (
+	.A(brqrv_top_brqrv_dec_decode_r_t[0]),
+	.B(brqrv_top_brqrv_dec_decode_r_t[2]),
+	.C(n_31648),
+	.Y(n_32345), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635203 (
+	.A(n_32220),
+	.B(n_35475),
+	.Y(n_35464), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635204 (
+	.A(n_33331),
+	.B(n_32254),
+	.Y(brqrv_top_brqrv_lsu_pmu_bus_error), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635205 (
+	.A(n_32235),
+	.B(n_32099),
+	.Y(n_36367), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g635206 (
+	.A(n_32098),
+	.B(n_35113),
+	.C(n_31808),
+	.Y(n_36366), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635207 (
+	.A(n_32233),
+	.B(n_32097),
+	.Y(n_36365), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g635208 (
+	.A(n_35106),
+	.B(n_35126),
+	.Y(n_32344), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g635209 (
+	.A1(n_35603),
+	.A2(n_31662),
+	.B1(n_32248),
+	.Y(n_32343), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g635210 (
+	.A(brqrv_top_brqrv_dec_decode_r_t[2]),
+	.B(n_33305),
+	.C(n_31648),
+	.X(n_33327), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635211 (
+	.A(n_32226),
+	.B(n_32156),
+	.Y(brqrv_top_brqrv_dec_dec_i0_instr_d[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635212 (
+	.A(n_32220),
+	.B(n_31811),
+	.Y(n_33322), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g635213 (
+	.A1(n_35603),
+	.A2(n_31884),
+	.B1(n_32248),
+	.Y(n_32341), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g635214 (
+	.A(n_32062),
+	.B(n_32065),
+	.C(n_32063),
+	.D(n_32187),
+	.Y(n_36140), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635215 (
+	.A(n_31779),
+	.B(n_32249),
+	.Y(n_32339), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g635216 (
+	.A(n_32071),
+	.B(n_32070),
+	.C(n_32180),
+	.Y(n_36071), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g635217 (
+	.A(n_32060),
+	.B(n_32061),
+	.C(n_32059),
+	.D(n_32186),
+	.Y(n_36135), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g635218 (
+	.A(n_32255),
+	.B(n_32047),
+	.Y(n_33832), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635219 (
+	.A(n_33910),
+	.B(FE_DBTN25_brqrv_top_brqrv_ifu_aln_first2B),
+	.Y(n_35853), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g635220 (
+	.A(n_36120),
+	.Y(n_32311), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g635221 (
+	.A(n_36115),
+	.Y(n_32310), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g635222 (
+	.A(n_36106),
+	.Y(n_32309), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g635223 (
+	.A(n_36125),
+	.Y(n_32308), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g635224 (
+	.A(n_36130),
+	.Y(n_32307), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635225 (
+	.A1(n_32170),
+	.A2(brqrv_top_brqrv_ifu_aln_q2[23]),
+	.B1(n_32169),
+	.B2(brqrv_top_brqrv_ifu_aln_q1[23]),
+	.Y(n_32306), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635226 (
+	.A1(n_32169),
+	.A2(brqrv_top_brqrv_ifu_aln_q1[27]),
+	.B1(n_28938),
+	.B2(brqrv_top_brqrv_ifu_aln_q0[27]),
+	.Y(n_32305), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635227 (
+	.A1(n_28873),
+	.A2(brqrv_top_brqrv_ifu_aln_q2[11]),
+	.B1(n_28875),
+	.B2(brqrv_top_brqrv_ifu_aln_q0[11]),
+	.Y(n_32304), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635228 (
+	.A1(n_28873),
+	.A2(brqrv_top_brqrv_ifu_aln_q2[2]),
+	.B1(n_32169),
+	.B2(brqrv_top_brqrv_ifu_aln_q1[18]),
+	.Y(n_32303), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635229 (
+	.A1(n_28939),
+	.A2(brqrv_top_brqrv_ifu_aln_q1[2]),
+	.B1(n_28875),
+	.B2(brqrv_top_brqrv_ifu_aln_q0[2]),
+	.Y(n_32302), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635230 (
+	.A1(n_28939),
+	.A2(brqrv_top_brqrv_ifu_aln_q1[14]),
+	.B1(n_32170),
+	.B2(brqrv_top_brqrv_ifu_aln_q2[30]),
+	.Y(n_32301), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635231 (
+	.A1(n_28873),
+	.A2(brqrv_top_brqrv_ifu_aln_q2[10]),
+	.B1(n_28875),
+	.B2(brqrv_top_brqrv_ifu_aln_q0[10]),
+	.Y(n_32300), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635232 (
+	.A1(n_32170),
+	.A2(brqrv_top_brqrv_ifu_aln_q2[18]),
+	.B1(n_28938),
+	.B2(brqrv_top_brqrv_ifu_aln_q0[18]),
+	.Y(n_32299), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635233 (
+	.A1(n_32169),
+	.A2(brqrv_top_brqrv_ifu_aln_q1[26]),
+	.B1(n_28938),
+	.B2(brqrv_top_brqrv_ifu_aln_q0[26]),
+	.Y(n_32298), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635234 (
+	.A1(n_32170),
+	.A2(brqrv_top_brqrv_ifu_aln_q2[31]),
+	.B1(n_28938),
+	.B2(brqrv_top_brqrv_ifu_aln_q0[31]),
+	.Y(n_32297), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635235 (
+	.A1(n_28939),
+	.A2(brqrv_top_brqrv_ifu_aln_q1[10]),
+	.B1(n_32170),
+	.B2(brqrv_top_brqrv_ifu_aln_q2[26]),
+	.Y(n_32296), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635236 (
+	.A1(n_28873),
+	.A2(brqrv_top_brqrv_ifu_aln_q2[9]),
+	.B1(n_32169),
+	.B2(brqrv_top_brqrv_ifu_aln_q1[25]),
+	.Y(n_32295), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635237 (
+	.A1(n_28873),
+	.A2(brqrv_top_brqrv_ifu_aln_q2[13]),
+	.B1(n_28875),
+	.B2(brqrv_top_brqrv_ifu_aln_q0[13]),
+	.Y(n_32294), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635238 (
+	.A1(n_32170),
+	.A2(brqrv_top_brqrv_ifu_aln_q2[25]),
+	.B1(n_28938),
+	.B2(brqrv_top_brqrv_ifu_aln_q0[25]),
+	.Y(n_32293), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635239 (
+	.A1(n_28939),
+	.A2(brqrv_top_brqrv_ifu_aln_q1[9]),
+	.B1(n_28875),
+	.B2(brqrv_top_brqrv_ifu_aln_q0[9]),
+	.Y(n_32292), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635240 (
+	.A1(n_32169),
+	.A2(brqrv_top_brqrv_ifu_aln_q1[29]),
+	.B1(n_28938),
+	.B2(brqrv_top_brqrv_ifu_aln_q0[29]),
+	.Y(n_32291), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g635241 (
+	.A1(n_32039),
+	.A2(n_36260),
+	.B1(n_32242),
+	.Y(n_32290), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635242 (
+	.A1(n_28939),
+	.A2(brqrv_top_brqrv_ifu_aln_q1[13]),
+	.B1(n_32170),
+	.B2(brqrv_top_brqrv_ifu_aln_q2[29]),
+	.Y(n_32289), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635243 (
+	.A1(n_28873),
+	.A2(brqrv_top_brqrv_ifu_aln_q2[15]),
+	.B1(n_32169),
+	.B2(brqrv_top_brqrv_ifu_aln_q1[31]),
+	.Y(n_32288), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635244 (
+	.A1(n_32170),
+	.A2(brqrv_top_brqrv_ifu_aln_q2[24]),
+	.B1(n_28938),
+	.B2(brqrv_top_brqrv_ifu_aln_q0[24]),
+	.Y(n_32287), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635245 (
+	.A1(n_28873),
+	.A2(brqrv_top_brqrv_ifu_aln_q2[8]),
+	.B1(n_28939),
+	.B2(brqrv_top_brqrv_ifu_aln_q1[8]),
+	.Y(n_32286), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635246 (
+	.A1(n_32170),
+	.A2(brqrv_top_brqrv_ifu_aln_q2[21]),
+	.B1(n_28938),
+	.B2(brqrv_top_brqrv_ifu_aln_q0[21]),
+	.Y(n_32285), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635247 (
+	.A1(n_28873),
+	.A2(brqrv_top_brqrv_ifu_aln_q2[5]),
+	.B1(n_28939),
+	.B2(brqrv_top_brqrv_ifu_aln_q1[5]),
+	.Y(n_32284), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g635248 (
+	.A1(n_31787),
+	.A2(n_32174),
+	.B1(n_32146),
+	.Y(n_32283), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635249 (
+	.A1(n_32170),
+	.A2(brqrv_top_brqrv_ifu_aln_q2[22]),
+	.B1(n_28938),
+	.B2(brqrv_top_brqrv_ifu_aln_q0[22]),
+	.Y(n_32282), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635250 (
+	.A1(n_28873),
+	.A2(brqrv_top_brqrv_ifu_aln_q2[6]),
+	.B1(n_28939),
+	.B2(brqrv_top_brqrv_ifu_aln_q1[6]),
+	.Y(n_32281), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635251 (
+	.A1(n_28938),
+	.A2(brqrv_top_brqrv_ifu_aln_q0[23]),
+	.B1(n_28874),
+	.B2(brqrv_top_brqrv_ifu_aln_q0eff[23]),
+	.Y(n_32280), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635252 (
+	.A1(n_28873),
+	.A2(brqrv_top_brqrv_ifu_aln_q2[1]),
+	.B1(n_32170),
+	.B2(brqrv_top_brqrv_ifu_aln_q2[17]),
+	.Y(n_32279), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635253 (
+	.A1(n_32169),
+	.A2(brqrv_top_brqrv_ifu_aln_q1[20]),
+	.B1(n_28938),
+	.B2(brqrv_top_brqrv_ifu_aln_q0[20]),
+	.Y(n_32278), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635254 (
+	.A1(n_28939),
+	.A2(brqrv_top_brqrv_ifu_aln_q1[4]),
+	.B1(n_32170),
+	.B2(brqrv_top_brqrv_ifu_aln_q2[20]),
+	.Y(n_32277), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635255 (
+	.A1(n_28873),
+	.A2(brqrv_top_brqrv_ifu_aln_q2[4]),
+	.B1(n_28875),
+	.B2(brqrv_top_brqrv_ifu_aln_q0[4]),
+	.Y(n_32276), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g635256 (
+	.A1(n_31651),
+	.A2(n_41919),
+	.B1(n_32039),
+	.B2(n_36258),
+	.X(n_32275), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g635257 (
+	.A1(n_32039),
+	.A2(n_36257),
+	.B1(n_32241),
+	.Y(n_32274), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g635258 (
+	.A1(n_31995),
+	.A2(n_35590),
+	.B1(n_32236),
+	.Y(n_32273), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635259 (
+	.A1(n_32169),
+	.A2(brqrv_top_brqrv_ifu_aln_q1[28]),
+	.B1(n_28938),
+	.B2(brqrv_top_brqrv_ifu_aln_q0[28]),
+	.Y(n_32272), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635260 (
+	.A1(n_28939),
+	.A2(brqrv_top_brqrv_ifu_aln_q1[15]),
+	.B1(n_28875),
+	.B2(brqrv_top_brqrv_ifu_aln_q0[15]),
+	.Y(n_32271), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g635261 (
+	.A1(n_32039),
+	.A2(n_36256),
+	.B1(n_32240),
+	.Y(n_32270), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635262 (
+	.A1(n_28939),
+	.A2(brqrv_top_brqrv_ifu_aln_q1[12]),
+	.B1(n_32170),
+	.B2(brqrv_top_brqrv_ifu_aln_q2[28]),
+	.Y(n_32269), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g635263 (
+	.A1(n_32173),
+	.A2(brqrv_top_brqrv_dbg_cmd_addr[0]),
+	.B1(n_32039),
+	.B2(n_36264),
+	.X(n_35506), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635264 (
+	.A1(n_28875),
+	.A2(brqrv_top_brqrv_ifu_aln_q0[3]),
+	.B1(n_28938),
+	.B2(brqrv_top_brqrv_ifu_aln_q0[19]),
+	.Y(n_32268), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635265 (
+	.A1(n_28939),
+	.A2(brqrv_top_brqrv_ifu_aln_q1[3]),
+	.B1(n_32169),
+	.B2(brqrv_top_brqrv_ifu_aln_q1[19]),
+	.Y(n_32267), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635266 (
+	.A1(n_28873),
+	.A2(brqrv_top_brqrv_ifu_aln_q2[3]),
+	.B1(n_32170),
+	.B2(brqrv_top_brqrv_ifu_aln_q2[19]),
+	.Y(n_32266), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635267 (
+	.A1(n_28939),
+	.A2(brqrv_top_brqrv_ifu_aln_q1[0]),
+	.B1(n_28875),
+	.B2(brqrv_top_brqrv_ifu_aln_q0[0]),
+	.Y(n_32265), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635268 (
+	.A1(n_32170),
+	.A2(brqrv_top_brqrv_ifu_aln_q2[16]),
+	.B1(n_28938),
+	.B2(brqrv_top_brqrv_ifu_aln_q0[16]),
+	.Y(n_32264), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635269 (
+	.A1(n_28873),
+	.A2(brqrv_top_brqrv_ifu_aln_q2[0]),
+	.B1(n_32169),
+	.B2(brqrv_top_brqrv_ifu_aln_q1[16]),
+	.Y(n_32263), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635270 (
+	.A1(n_28873),
+	.A2(brqrv_top_brqrv_ifu_aln_q2[12]),
+	.B1(n_28875),
+	.B2(brqrv_top_brqrv_ifu_aln_q0[12]),
+	.Y(n_32262), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635271 (
+	.A1(n_28939),
+	.A2(brqrv_top_brqrv_ifu_aln_q1[1]),
+	.B1(n_32169),
+	.B2(brqrv_top_brqrv_ifu_aln_q1[17]),
+	.Y(n_32261), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635272 (
+	.A1(n_28875),
+	.A2(brqrv_top_brqrv_ifu_aln_q0[1]),
+	.B1(n_28938),
+	.B2(brqrv_top_brqrv_ifu_aln_q0[17]),
+	.Y(n_32260), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g635273 (
+	.A1(n_36337),
+	.A2(n_8247),
+	.B1(n_33833),
+	.B2(brqrv_top_brqrv_dec_decode_write_csr_data[0]),
+	.X(brqrv_top_brqrv_dec_dec_csr_wrdata_r[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g635274 (
+	.A(n_32079),
+	.B(n_32080),
+	.C(n_32078),
+	.D(n_32183),
+	.Y(n_36120), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g635275 (
+	.A(n_32076),
+	.B(n_32077),
+	.C(n_32075),
+	.D(n_32182),
+	.Y(n_36115), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g635276 (
+	.A(n_32073),
+	.B(n_32074),
+	.C(n_32072),
+	.D(n_32181),
+	.Y(n_36106), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g635277 (
+	.A(n_32064),
+	.B(n_32053),
+	.C(n_32052),
+	.D(n_32184),
+	.Y(n_36125), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g635278 (
+	.A(n_32056),
+	.B(n_32057),
+	.C(n_32054),
+	.D(n_32185),
+	.Y(n_36130), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g635279 (
+	.A(n_32259),
+	.Y(n_36348), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g635280 (
+	.A(n_32256),
+	.Y(n_32257), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g635281 (
+	.A(n_32221),
+	.Y(n_32255), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g635282 (
+	.A(brqrv_top_brqrv_lsu_nonblock_load_data_error),
+	.Y(n_32254), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g635283 (
+	.A(n_32252),
+	.Y(n_32253), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g635284 (
+	.A(n_33311),
+	.Y(brqrv_top_brqrv_dec_tlu_fence_i_r), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g635285 (
+	.A(n_32248),
+	.Y(n_32249), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g635286 (
+	.A(n_36088),
+	.Y(n_28888), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g635287 (
+	.A(n_36076),
+	.Y(n_17536), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g635288 (
+	.A(n_36074),
+	.Y(n_13888), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g635289 (
+	.A(n_32084),
+	.B(n_32052),
+	.C(n_32032),
+	.Y(n_36127), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g635290 (
+	.A(n_31781),
+	.B(n_32174),
+	.Y(n_32242), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g635291 (
+	.A(n_31789),
+	.B(n_32174),
+	.Y(n_32241), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g635292 (
+	.A(n_31786),
+	.B(n_32174),
+	.Y(n_32240), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g635293 (
+	.A(n_32089),
+	.B(n_32054),
+	.C(n_32017),
+	.Y(n_36132), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g635294 (
+	.A(n_32091),
+	.B(n_32059),
+	.C(n_32038),
+	.Y(n_36137), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g635295 (
+	.A1(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[23]),
+	.A2(n_32008),
+	.B1(n_31716),
+	.Y(n_32239), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o41ai_1 g635297 (
+	.A1(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[25]),
+	.A2(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[27]),
+	.A3(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[26]),
+	.A4(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[24]),
+	.B1(n_32106),
+	.Y(n_32238), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635298 (
+	.A(n_32145),
+	.B(n_32042),
+	.Y(n_32237), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g635299 (
+	.A1(n_32039),
+	.A2(n_36261),
+	.B1_N(n_35548),
+	.Y(n_32236), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g635300 (
+	.A(n_32094),
+	.B(n_32075),
+	.C(n_32020),
+	.Y(n_36117), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g635301 (
+	.A(n_32086),
+	.B(n_32068),
+	.C(n_32015),
+	.Y(n_36113), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g635302 (
+	.A1(n_33324),
+	.A2(brqrv_top_brqrv_dec_i0_result_r[30]),
+	.B1_N(n_35113),
+	.Y(n_32235), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g635303 (
+	.A(n_31924),
+	.B(n_31817),
+	.C(n_32134),
+	.Y(n_32234), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g635304 (
+	.A1(n_33324),
+	.A2(brqrv_top_brqrv_dec_i0_result_r[28]),
+	.B1_N(n_35113),
+	.Y(n_32233), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g635305 (
+	.A1(n_31990),
+	.A2(n_31818),
+	.A3(n_42071),
+	.B1(n_35621),
+	.Y(n_32232), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o41ai_1 g635306 (
+	.A1(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[19]),
+	.A2(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[17]),
+	.A3(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[18]),
+	.A4(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[16]),
+	.B1(n_32125),
+	.Y(n_32231), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g635307 (
+	.A1(n_31828),
+	.A2(n_31882),
+	.B1(n_32153),
+	.Y(n_32230), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g635308 (
+	.A1(n_32051),
+	.A2(n_35627),
+	.B1_N(n_32055),
+	.Y(n_32229), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g635309 (
+	.A1(n_32039),
+	.A2(n_36263),
+	.B1_N(n_32048),
+	.Y(n_32228), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g635310 (
+	.A1(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[22]),
+	.A2(n_32009),
+	.B1(n_31670),
+	.B2(n_31828),
+	.C1(n_31716),
+	.Y(n_32227), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g635311 (
+	.A1(n_32007),
+	.A2(n_32051),
+	.B1(brqrv_top_brqrv_ifu_aln_uncompress0[1]),
+	.Y(n_32226), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g635312 (
+	.A(brqrv_top_brqrv_dec_tlu_mfdht[5]),
+	.B(n_31958),
+	.C(n_32151),
+	.Y(n_32225), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g635313 (
+	.A1(n_32039),
+	.A2(n_36251),
+	.B1(n_31922),
+	.Y(n_32224), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g635314 (
+	.A1(n_31828),
+	.A2(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[14]),
+	.B1(n_32023),
+	.B2(n_31751),
+	.C1(n_32002),
+	.Y(n_32223), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111oi_0 g635315 (
+	.A1(n_35520),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[31]),
+	.B1(n_31893),
+	.C1(n_31984),
+	.D1(n_31985),
+	.Y(n_32222), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g635316 (
+	.A1(n_34892),
+	.A2(n_31999),
+	.B1(n_34164),
+	.Y(n_34608), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635317 (
+	.A1(n_31989),
+	.A2(n_8267),
+	.B1(n_33324),
+	.B2(brqrv_top_brqrv_dec_i0_result_r[11]),
+	.Y(n_32259), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635318 (
+	.A(n_31921),
+	.B(n_32144),
+	.Y(brqrv_top_brqrv_dec_dec_i0_instr_d[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635319 (
+	.A(n_32135),
+	.B(n_31997),
+	.Y(n_32258), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g635320 (
+	.A(n_32136),
+	.B(n_31752),
+	.C(brqrv_top_brqrv_dec_dec_csr_wraddr_r[7]),
+	.Y(n_35126), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g635321 (
+	.A(n_32133),
+	.B(n_31937),
+	.C(n_31645),
+	.Y(n_32256), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g635322 (
+	.A(n_31771),
+	.B(n_33332),
+	.Y(brqrv_top_brqrv_lsu_idle_any), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635323 (
+	.A(n_31696),
+	.B(n_32188),
+	.Y(n_32221), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g635324 (
+	.A(n_31960),
+	.B(n_31763),
+	.C(n_43588),
+	.Y(brqrv_top_brqrv_dec_tlu_trigger_hit_dmode_r), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635325 (
+	.A(n_32107),
+	.B(n_11472),
+	.Y(n_33910), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635326 (
+	.A(n_32102),
+	.B(n_32100),
+	.Y(brqrv_top_brqrv_lsu_nonblock_load_data_error), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635327 (
+	.A(n_32131),
+	.B(brqrv_top_brqrv_lsu_bus_intf_lsu_bus_clk_en_q),
+	.Y(n_33331), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635328 (
+	.A(n_32133),
+	.B(n_31784),
+	.Y(n_32252), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635329 (
+	.A(n_31928),
+	.B(n_32124),
+	.Y(n_32251), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g635330 (
+	.A(n_32132),
+	.B(n_31759),
+	.C(brqrv_top_brqrv_dec_decode_r_t[12]),
+	.Y(n_33311), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635331 (
+	.A(n_32176),
+	.B(FE_DBTN4_n_35603),
+	.Y(n_32248), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g635332 (
+	.A1(n_32001),
+	.A2(n_31729),
+	.B1(brqrv_top_brqrv_dec_tlu_mstatus_mie_ns),
+	.Y(n_32247), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g635333 (
+	.A(n_32175),
+	.B(n_31780),
+	.Y(n_32246), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635334 (
+	.A(n_32069),
+	.B(n_32179),
+	.Y(n_36088), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635335 (
+	.A(n_32068),
+	.B(n_32178),
+	.Y(n_36076), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635336 (
+	.A(n_32067),
+	.B(n_32177),
+	.Y(n_36074), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g635337 (
+	.A(n_31648),
+	.Y(n_32220), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4_1 g635338 (
+	.A(n_35795),
+	.B(n_35796),
+	.C(n_35798),
+	.D(n_31986),
+	.X(n_32219), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g635339 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mfdht[4]),
+	.A2_N(n_31955),
+	.B1(n_31718),
+	.B2(n_31770),
+	.Y(n_32218), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g635340 (
+	.A(n_32082),
+	.B(n_32060),
+	.C(n_32033),
+	.Y(n_36139), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g635341 (
+	.A(n_32081),
+	.B(n_32064),
+	.C(n_32013),
+	.Y(n_36129), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g635342 (
+	.A(n_32104),
+	.B(n_32056),
+	.C(n_32035),
+	.Y(n_36134), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g635343 (
+	.A(n_32105),
+	.B(n_32037),
+	.C(n_32076),
+	.Y(n_36119), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g635344 (
+	.A(n_32110),
+	.B(n_32079),
+	.C(n_32019),
+	.Y(n_36124), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g635345 (
+	.A(n_32101),
+	.B(n_32065),
+	.C(n_32012),
+	.Y(n_36144), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g635346 (
+	.A(n_32103),
+	.B(n_32073),
+	.C(n_32011),
+	.Y(n_36110), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g635347 (
+	.A(n_32069),
+	.B(n_32070),
+	.C(n_32021),
+	.D(n_32063),
+	.Y(n_36142), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g635348 (
+	.A(n_35820),
+	.B(n_35821),
+	.C(n_35807),
+	.D(n_35811),
+	.Y(n_32217), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g635349 (
+	.A(n_32095),
+	.B(n_32053),
+	.C(n_32022),
+	.Y(n_36128), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g635350 (
+	.A(n_32090),
+	.B(n_32074),
+	.C(n_32018),
+	.Y(n_36109), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g635351 (
+	.A(n_32087),
+	.B(n_32057),
+	.C(n_32016),
+	.Y(n_36133), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g635352 (
+	.A(n_32085),
+	.B(n_32077),
+	.C(n_32031),
+	.Y(n_36118), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g635353 (
+	.A(n_32083),
+	.B(n_32080),
+	.C(n_32014),
+	.Y(n_36123), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g635354 (
+	.A(n_32112),
+	.B(n_32061),
+	.C(n_32036),
+	.Y(n_36138), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g635355 (
+	.A(n_35344),
+	.B(n_32150),
+	.Y(n_32216), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g635356 (
+	.A(n_32093),
+	.B(n_32067),
+	.C(n_32072),
+	.Y(n_36108), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g635357 (
+	.A(n_32088),
+	.B(n_32062),
+	.C(n_32071),
+	.Y(n_36143), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g635358 (
+	.A(n_31948),
+	.B(brqrv_top_brqrv_ifu_i0_pc[21]),
+	.Y(n_32215), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g635359 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[13]),
+	.B(n_31954),
+	.X(n_32214), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g635360 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[19]),
+	.B(n_31949),
+	.Y(n_32213), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g635361 (
+	.A(n_31944),
+	.B(brqrv_top_brqrv_ifu_i0_pc[18]),
+	.Y(n_32212), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g635362 (
+	.A(n_31951),
+	.B(brqrv_top_brqrv_ifu_i0_pc[16]),
+	.Y(n_32211), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g635363 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[11]),
+	.B(n_31952),
+	.Y(n_32210), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635364 (
+	.A1(n_32044),
+	.A2(brqrv_top_brqrv_lsu_store_data_lo_r[0]),
+	.B1(n_32046),
+	.B2(brqrv_top_brqrv_lsu_store_data_lo_r[16]),
+	.Y(n_32209), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635365 (
+	.A1(n_32044),
+	.A2(brqrv_top_brqrv_lsu_store_data_lo_r[1]),
+	.B1(n_32046),
+	.B2(brqrv_top_brqrv_lsu_store_data_lo_r[17]),
+	.Y(n_32208), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635366 (
+	.A1(n_32044),
+	.A2(brqrv_top_brqrv_lsu_store_data_lo_r[4]),
+	.B1(n_32043),
+	.B2(brqrv_top_brqrv_lsu_store_data_lo_r[12]),
+	.Y(n_32207), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635367 (
+	.A1(n_32044),
+	.A2(brqrv_top_brqrv_lsu_store_data_lo_r[5]),
+	.B1(n_32043),
+	.B2(brqrv_top_brqrv_lsu_store_data_lo_r[13]),
+	.Y(n_32206), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635368 (
+	.A1(n_32044),
+	.A2(brqrv_top_brqrv_lsu_store_data_lo_r[3]),
+	.B1(n_32043),
+	.B2(brqrv_top_brqrv_lsu_store_data_lo_r[11]),
+	.Y(n_32205), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635369 (
+	.A1(n_32044),
+	.A2(brqrv_top_brqrv_lsu_store_data_lo_r[6]),
+	.B1(n_32043),
+	.B2(brqrv_top_brqrv_lsu_store_data_lo_r[14]),
+	.Y(n_32204), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g635370 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[10]),
+	.B(n_31945),
+	.Y(n_32203), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635371 (
+	.A1(n_32046),
+	.A2(brqrv_top_brqrv_lsu_store_data_lo_r[18]),
+	.B1(n_32043),
+	.B2(brqrv_top_brqrv_lsu_store_data_lo_r[10]),
+	.Y(n_32202), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g635372 (
+	.A(n_31953),
+	.B(brqrv_top_brqrv_ifu_i0_pc[22]),
+	.Y(n_32201), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4_1 g635373 (
+	.A(n_35810),
+	.B(n_35806),
+	.C(n_35801),
+	.D(n_35802),
+	.X(n_32200), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g635374 (
+	.A(n_35804),
+	.B(n_35805),
+	.C(n_35803),
+	.D(n_35808),
+	.Y(n_32199), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g635375 (
+	.A(n_35815),
+	.B(n_35816),
+	.C(n_35809),
+	.D(n_35819),
+	.Y(n_32198), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g635376 (
+	.A(n_35817),
+	.B(n_35818),
+	.C(n_35814),
+	.D(n_35813),
+	.Y(n_32197), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g635377 (
+	.A1_N(n_32058),
+	.A2_N(n_35851),
+	.B1(n_32058),
+	.B2(n_35851),
+	.Y(n_32196), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g635378 (
+	.A1_N(n_32066),
+	.A2_N(n_35849),
+	.B1(n_32066),
+	.B2(n_35849),
+	.Y(n_32195), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g635379 (
+	.A1_N(n_31996),
+	.A2_N(n_35847),
+	.B1(n_31996),
+	.B2(n_35847),
+	.Y(n_32194), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g635380 (
+	.A(n_31946),
+	.B(n_35842),
+	.X(n_32193), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g635381 (
+	.A(n_31947),
+	.B(n_32049),
+	.X(n_32192), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g635382 (
+	.A1_N(n_35843),
+	.A2_N(n_32000),
+	.B1(n_35843),
+	.B2(n_32000),
+	.Y(n_32191), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g635383 (
+	.A(n_31992),
+	.B(n_31993),
+	.X(n_32190), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g635384 (
+	.A(n_35852),
+	.B(n_31950),
+	.X(n_32189), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g635387 (
+	.A(n_32176),
+	.Y(n_32175), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g635388 (
+	.A(n_31651),
+	.Y(n_32174), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g635389 (
+	.A(n_32172),
+	.Y(n_36095), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g635390 (
+	.A(n_32170),
+	.Y(n_35554), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g635391 (
+	.A(n_32169),
+	.Y(n_35555), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g635392 (
+	.A(n_28938),
+	.Y(n_35553), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g635395 (
+	.A(n_28875),
+	.Y(n_35559), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g635396 (
+	.A1(n_34908),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[21]),
+	.B1(n_31898),
+	.C1(n_31969),
+	.Y(n_32164), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g635397 (
+	.A(n_32005),
+	.B_N(n_31828),
+	.Y(n_32163), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g635398 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[14]),
+	.A2(n_34829),
+	.B1(n_31801),
+	.C1(n_31855),
+	.D1(n_31966),
+	.Y(n_32162), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g635399 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[27]),
+	.A2(n_35490),
+	.B1(n_31799),
+	.C1(n_31848),
+	.D1(n_31963),
+	.Y(n_32161), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g635400 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[6]),
+	.A2(n_34831),
+	.B1(n_31812),
+	.C1(n_31876),
+	.D1(n_31965),
+	.Y(n_32160), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g635401 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[19]),
+	.A2(n_35483),
+	.B1(n_31800),
+	.C1(n_31883),
+	.D1(n_31968),
+	.Y(n_32159), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g635402 (
+	.A1(n_31920),
+	.A2(n_31647),
+	.B1(n_31657),
+	.Y(n_32158), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g635403 (
+	.A1(n_31792),
+	.A2(n_31930),
+	.B1(n_31666),
+	.X(n_32157), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g635404 (
+	.A1(n_31923),
+	.A2(n_36254),
+	.B1(brqrv_top_brqrv_dec_dec_debug_valid_d),
+	.Y(n_32156), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g635405 (
+	.A1(n_31755),
+	.A2(n_43106),
+	.B1(n_31721),
+	.Y(n_32155), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g635406 (
+	.A1(n_31923),
+	.A2(n_36253),
+	.B1(brqrv_top_brqrv_dec_dec_debug_valid_d),
+	.Y(n_32154), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635407 (
+	.A(n_32034),
+	.B(n_31906),
+	.Y(n_32153), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g635408 (
+	.A(n_31870),
+	.B(n_34647),
+	.Y(n_32152), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g635409 (
+	.A1(n_31911),
+	.A2(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[8]),
+	.B1(n_43107),
+	.Y(n_32151), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635410 (
+	.A(n_35799),
+	.B(n_35812),
+	.Y(n_32150), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g635411 (
+	.A(n_35117),
+	.B_N(n_32001),
+	.Y(n_32188), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635412 (
+	.A(n_32046),
+	.B(brqrv_top_brqrv_lsu_store_data_lo_r[21]),
+	.Y(n_32149), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635413 (
+	.A(n_32044),
+	.B(brqrv_top_brqrv_lsu_store_data_lo_r[7]),
+	.Y(n_32148), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g635414 (
+	.A(n_31995),
+	.B(n_31884),
+	.X(n_32147), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635415 (
+	.A(n_32039),
+	.B(n_36259),
+	.Y(n_32146), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635416 (
+	.A(n_32039),
+	.B(n_36255),
+	.Y(n_32145), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635417 (
+	.A(n_32039),
+	.B(n_36252),
+	.Y(n_32144), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635418 (
+	.A(n_31990),
+	.B(n_31819),
+	.Y(n_35467), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635419 (
+	.A(n_32039),
+	.B(n_36262),
+	.Y(n_32143), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635420 (
+	.A(n_32045),
+	.B(brqrv_top_brqrv_lsu_store_data_lo_r[25]),
+	.Y(n_32142), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635421 (
+	.A(n_32046),
+	.B(brqrv_top_brqrv_lsu_store_data_lo_r[20]),
+	.Y(n_32141), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635422 (
+	.A(n_32046),
+	.B(brqrv_top_brqrv_lsu_store_data_lo_r[19]),
+	.Y(n_32140), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635423 (
+	.A(n_32046),
+	.B(brqrv_top_brqrv_lsu_store_data_lo_r[22]),
+	.Y(n_32139), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g635424 (
+	.A(n_31817),
+	.B(n_31991),
+	.Y(n_32138), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g635425 (
+	.A(brqrv_top_brqrv_dec_dec_debug_valid_d),
+	.B(n_31994),
+	.Y(n_32137), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635426 (
+	.A(n_32045),
+	.B(brqrv_top_brqrv_lsu_store_data_lo_r[31]),
+	.Y(n_32187), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635427 (
+	.A(n_32041),
+	.B(brqrv_top_brqrv_dbg_cmd_write),
+	.Y(n_35548), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635428 (
+	.A(n_32045),
+	.B(brqrv_top_brqrv_lsu_store_data_lo_r[30]),
+	.Y(n_32186), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635429 (
+	.A(n_32045),
+	.B(brqrv_top_brqrv_lsu_store_data_lo_r[29]),
+	.Y(n_32185), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635430 (
+	.A(n_32045),
+	.B(brqrv_top_brqrv_lsu_store_data_lo_r[28]),
+	.Y(n_32184), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635432 (
+	.A(n_32045),
+	.B(brqrv_top_brqrv_lsu_store_data_lo_r[27]),
+	.Y(n_32183), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635433 (
+	.A(n_32045),
+	.B(brqrv_top_brqrv_lsu_store_data_lo_r[26]),
+	.Y(n_32182), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635434 (
+	.A(n_32045),
+	.B(brqrv_top_brqrv_lsu_store_data_lo_r[24]),
+	.Y(n_32181), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635435 (
+	.A(n_32046),
+	.B(brqrv_top_brqrv_lsu_store_data_lo_r[23]),
+	.Y(n_32180), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635436 (
+	.A(n_31998),
+	.B(n_34892),
+	.Y(n_35361), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g635437 (
+	.A(n_35583),
+	.B(n_35539),
+	.Y(brqrv_top_brqrv_dec_tlu_inst_acc_r), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635438 (
+	.A(n_31987),
+	.B(n_31988),
+	.Y(n_35344), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635439 (
+	.A(n_32043),
+	.B(brqrv_top_brqrv_lsu_store_data_lo_r[15]),
+	.Y(n_32179), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635440 (
+	.A(n_32043),
+	.B(brqrv_top_brqrv_lsu_store_data_lo_r[9]),
+	.Y(n_32178), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635441 (
+	.A(n_32043),
+	.B(brqrv_top_brqrv_lsu_store_data_lo_r[8]),
+	.Y(n_32177), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g635442 (
+	.A(n_31715),
+	.B(n_32050),
+	.Y(n_32176), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635443 (
+	.A(n_36369),
+	.B(n_8267),
+	.Y(n_35113), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g635445 (
+	.A(brqrv_top_brqrv_dbg_cmd_write),
+	.B(n_32048),
+	.Y(n_32173), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635446 (
+	.A(n_32044),
+	.B(brqrv_top_brqrv_lsu_store_data_lo_r[2]),
+	.Y(n_32172), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_2 g635447 (
+	.A(n_35459),
+	.B(n_32040),
+	.Y(n_32171), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_2 g635448 (
+	.A(n_11556),
+	.B(n_35556),
+	.Y(n_32170), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_2 g635449 (
+	.A(n_11562),
+	.B(n_35556),
+	.Y(n_32169), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_2 g635450 (
+	.A(n_11566),
+	.B(n_35556),
+	.Y(n_28938), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_2 g635451 (
+	.A(n_11556),
+	.B(n_35560),
+	.Y(n_28873), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_2 g635452 (
+	.A(n_11562),
+	.B(n_35560),
+	.Y(n_28939), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_2 g635453 (
+	.A(n_11566),
+	.B(n_35560),
+	.Y(n_28875), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g635454 (
+	.A(n_32136),
+	.Y(n_33325), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g635455 (
+	.A(n_32134),
+	.Y(n_32135), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g635457 (
+	.A(n_33317),
+	.B(n_33316),
+	.C(n_31877),
+	.D(n_33315),
+	.Y(n_32131), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g635458 (
+	.A1(n_34914),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[8]),
+	.B1(n_31896),
+	.C1(n_31975),
+	.Y(n_32130), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g635459 (
+	.A1(n_34910),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[17]),
+	.B1(n_31895),
+	.C1(n_31977),
+	.Y(n_32129), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g635460 (
+	.A1(n_34916),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[5]),
+	.B1(n_31894),
+	.C1(n_31980),
+	.Y(n_32128), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g635461 (
+	.A1(n_35523),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[24]),
+	.B1(n_31900),
+	.C1(n_31982),
+	.Y(n_32127), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g635462 (
+	.A(n_31967),
+	.B(n_31991),
+	.Y(n_32126), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g635463 (
+	.A(n_31957),
+	.B(n_31890),
+	.C(brqrv_top_brqrv_dec_tlu_mfdht[5]),
+	.Y(n_32125), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g635464 (
+	.A(n_31990),
+	.B(n_31884),
+	.C(n_31645),
+	.Y(n_32124), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g635466 (
+	.A1(n_34877),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[11]),
+	.B1(n_31897),
+	.C1(n_31973),
+	.Y(n_32122), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g635467 (
+	.A1(n_34886),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[18]),
+	.B1(n_34885),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[20]),
+	.C1(n_31962),
+	.Y(n_32121), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g635468 (
+	.A1(n_34878),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[10]),
+	.B1(n_34912),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[13]),
+	.C1(n_31970),
+	.Y(n_32120), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g635469 (
+	.A1(n_35517),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[6]),
+	.B1(n_35516),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[7]),
+	.C1(n_31974),
+	.Y(n_32119), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g635470 (
+	.A1(n_35512),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[14]),
+	.B1(n_34876),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[16]),
+	.C1(n_31976),
+	.Y(n_32118), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g635471 (
+	.A1(n_34879),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[2]),
+	.B1(n_35519),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[3]),
+	.C1(n_31979),
+	.Y(n_32117), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g635472 (
+	.A(brqrv_top_brqrv_dec_dec_div_active),
+	.B(n_31778),
+	.C(brqrv_top_brqrv_ifu_mem_ctl_miss_pending),
+	.D(brqrv_top_brqrv_dec_tlu_debug_halt_req),
+	.Y(n_32116), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g635473 (
+	.A1(n_34884),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[22]),
+	.B1(n_35522),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[25]),
+	.C1(n_31981),
+	.Y(n_32115), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221a_1 g635474 (
+	.A1(FE_DBTN7_n_36251),
+	.A2(n_31818),
+	.B1(n_35601),
+	.B2(n_31819),
+	.C1(n_31903),
+	.X(n_32114), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g635475 (
+	.A1(n_34882),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[26]),
+	.B1(n_34880),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[28]),
+	.C1(n_31983),
+	.Y(n_32113), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635476 (
+	.A1(n_31913),
+	.A2(brqrv_top_brqrv_lsu_store_data_lo_r[22]),
+	.B1(n_28946),
+	.B2(brqrv_top_brqrv_lsu_store_data_hi_r[14]),
+	.Y(n_32112), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g635477 (
+	.A(n_31936),
+	.B(n_31937),
+	.C(n_31818),
+	.X(n_32111), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635478 (
+	.A1(n_28951),
+	.A2(brqrv_top_brqrv_lsu_store_data_hi_r[11]),
+	.B1(n_31915),
+	.B2(brqrv_top_brqrv_lsu_store_data_hi_r[3]),
+	.Y(n_32110), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111oi_0 g635479 (
+	.A1(n_34839),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[2]),
+	.B1(n_31810),
+	.C1(n_31865),
+	.D1(n_31964),
+	.Y(n_32109), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g635480 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[22]),
+	.A2(n_34838),
+	.B1(n_31807),
+	.C1(n_31867),
+	.D1(n_31961),
+	.Y(n_32108), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g635481 (
+	.A1(n_11528),
+	.A2(n_31874),
+	.B1(FE_DBTN14_n_11528),
+	.B2(n_31886),
+	.Y(n_32107), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g635482 (
+	.A1(brqrv_top_brqrv_dec_tlu_mfdht[3]),
+	.A2(n_31905),
+	.B1(n_31956),
+	.Y(n_32106), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635483 (
+	.A1(n_28951),
+	.A2(brqrv_top_brqrv_lsu_store_data_hi_r[10]),
+	.B1(n_31915),
+	.B2(brqrv_top_brqrv_lsu_store_data_hi_r[2]),
+	.Y(n_32105), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635484 (
+	.A1(n_31915),
+	.A2(brqrv_top_brqrv_lsu_store_data_hi_r[5]),
+	.B1(n_28946),
+	.B2(brqrv_top_brqrv_lsu_store_data_hi_r[21]),
+	.Y(n_32104), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635485 (
+	.A1(n_31915),
+	.A2(brqrv_top_brqrv_lsu_store_data_hi_r[0]),
+	.B1(n_28946),
+	.B2(brqrv_top_brqrv_lsu_store_data_hi_r[16]),
+	.Y(n_32103), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635486 (
+	.A1(n_31938),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_error[0]),
+	.B1(n_31939),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_error[3]),
+	.Y(n_32102), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635487 (
+	.A1(n_28951),
+	.A2(brqrv_top_brqrv_lsu_store_data_hi_r[15]),
+	.B1(n_31915),
+	.B2(brqrv_top_brqrv_lsu_store_data_hi_r[7]),
+	.Y(n_32101), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635488 (
+	.A1(n_31943),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_error[2]),
+	.B1(n_31934),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_error[1]),
+	.Y(n_32100), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g635489 (
+	.A1(n_17477),
+	.A2(brqrv_top_brqrv_lsu_fir_addr[30]),
+	.B1(n_32030),
+	.Y(n_32099), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g635490 (
+	.A1(n_17477),
+	.A2(brqrv_top_brqrv_lsu_fir_addr[29]),
+	.B1(n_32028),
+	.Y(n_32098), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g635491 (
+	.A1(n_17477),
+	.A2(brqrv_top_brqrv_lsu_fir_addr[28]),
+	.B1(n_32025),
+	.Y(n_32097), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g635492 (
+	.A1(n_35624),
+	.A2(n_31935),
+	.B1(n_35621),
+	.B2(n_31824),
+	.Y(n_32096), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635493 (
+	.A1(n_31913),
+	.A2(brqrv_top_brqrv_lsu_store_data_lo_r[20]),
+	.B1(n_28951),
+	.B2(brqrv_top_brqrv_lsu_store_data_hi_r[4]),
+	.Y(n_32095), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635494 (
+	.A1(n_31918),
+	.A2(brqrv_top_brqrv_lsu_store_data_lo_r[10]),
+	.B1(n_31916),
+	.B2(brqrv_top_brqrv_lsu_store_data_lo_r[18]),
+	.Y(n_32094), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635495 (
+	.A1(n_31916),
+	.A2(brqrv_top_brqrv_lsu_store_data_lo_r[16]),
+	.B1(n_31917),
+	.B2(brqrv_top_brqrv_lsu_store_data_hi_r[0]),
+	.Y(n_32093), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635497 (
+	.A1(n_31918),
+	.A2(brqrv_top_brqrv_lsu_store_data_lo_r[14]),
+	.B1(n_31916),
+	.B2(brqrv_top_brqrv_lsu_store_data_lo_r[22]),
+	.Y(n_32091), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635498 (
+	.A1(n_31913),
+	.A2(brqrv_top_brqrv_lsu_store_data_lo_r[16]),
+	.B1(n_28951),
+	.B2(brqrv_top_brqrv_lsu_store_data_hi_r[0]),
+	.Y(n_32090), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635499 (
+	.A1(n_31918),
+	.A2(brqrv_top_brqrv_lsu_store_data_lo_r[13]),
+	.B1(n_31916),
+	.B2(brqrv_top_brqrv_lsu_store_data_lo_r[21]),
+	.Y(n_32089), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635500 (
+	.A1(n_28951),
+	.A2(brqrv_top_brqrv_lsu_store_data_hi_r[7]),
+	.B1(n_28946),
+	.B2(brqrv_top_brqrv_lsu_store_data_hi_r[15]),
+	.Y(n_32088), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635501 (
+	.A1(n_31913),
+	.A2(brqrv_top_brqrv_lsu_store_data_lo_r[21]),
+	.B1(n_28946),
+	.B2(brqrv_top_brqrv_lsu_store_data_hi_r[13]),
+	.Y(n_32087), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635502 (
+	.A1(n_31916),
+	.A2(brqrv_top_brqrv_lsu_store_data_lo_r[17]),
+	.B1(n_31917),
+	.B2(brqrv_top_brqrv_lsu_store_data_hi_r[1]),
+	.Y(n_32086), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635503 (
+	.A1(n_31913),
+	.A2(brqrv_top_brqrv_lsu_store_data_lo_r[18]),
+	.B1(n_28951),
+	.B2(brqrv_top_brqrv_lsu_store_data_hi_r[2]),
+	.Y(n_32085), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635504 (
+	.A1(n_31918),
+	.A2(brqrv_top_brqrv_lsu_store_data_lo_r[12]),
+	.B1(n_31916),
+	.B2(brqrv_top_brqrv_lsu_store_data_lo_r[20]),
+	.Y(n_32084), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635505 (
+	.A1(n_31913),
+	.A2(brqrv_top_brqrv_lsu_store_data_lo_r[19]),
+	.B1(n_28946),
+	.B2(brqrv_top_brqrv_lsu_store_data_hi_r[11]),
+	.Y(n_32083), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635506 (
+	.A1(n_31915),
+	.A2(brqrv_top_brqrv_lsu_store_data_hi_r[6]),
+	.B1(n_28946),
+	.B2(brqrv_top_brqrv_lsu_store_data_hi_r[22]),
+	.Y(n_32082), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635507 (
+	.A1(n_28951),
+	.A2(brqrv_top_brqrv_lsu_store_data_hi_r[12]),
+	.B1(n_31915),
+	.B2(brqrv_top_brqrv_lsu_store_data_hi_r[4]),
+	.Y(n_32081), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3b_1 g635508 (
+	.A_N(brqrv_top_brqrv_dec_dec_csr_wraddr_r[8]),
+	.B(n_32047),
+	.C(n_31696),
+	.X(n_32136), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g635509 (
+	.A1_N(n_34892),
+	.A2_N(n_34610),
+	.B1(n_28877),
+	.B2(n_34068),
+	.Y(n_34609), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g635510 (
+	.A(n_31995),
+	.B(n_31884),
+	.C(n_42071),
+	.Y(n_32134), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g635511 (
+	.A1(n_31881),
+	.A2(n_31774),
+	.B1(brqrv_top_brqrv_dec_i0_result_r[0]),
+	.B2(n_33324),
+	.X(n_36337), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635512 (
+	.A1(n_31909),
+	.A2(n_35627),
+	.B1(n_35588),
+	.B2(n_31699),
+	.Y(n_32133), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g635513 (
+	.A(n_31880),
+	.B(n_31816),
+	.C(n_31814),
+	.D(n_31813),
+	.Y(n_33332), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g635514 (
+	.A(n_31654),
+	.B(n_31734),
+	.Y(n_32132), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g635516 (
+	.A(n_32050),
+	.Y(n_32051), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g635517 (
+	.A(n_32047),
+	.Y(n_35539), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g635518 (
+	.A(n_32042),
+	.Y(n_32041), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g635519 (
+	.A(n_32040),
+	.Y(n_32039), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635520 (
+	.A(n_31917),
+	.B(brqrv_top_brqrv_lsu_store_data_hi_r[6]),
+	.Y(n_32038), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635521 (
+	.A(n_28946),
+	.B(brqrv_top_brqrv_lsu_store_data_hi_r[18]),
+	.Y(n_32037), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635522 (
+	.A(n_28951),
+	.B(brqrv_top_brqrv_lsu_store_data_hi_r[6]),
+	.Y(n_32036), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635523 (
+	.A(n_28951),
+	.B(brqrv_top_brqrv_lsu_store_data_hi_r[13]),
+	.Y(n_32035), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g635524 (
+	.A1(n_31785),
+	.A2(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[28]),
+	.B1(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[31]),
+	.Y(n_32034), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635525 (
+	.A(n_28951),
+	.B(brqrv_top_brqrv_lsu_store_data_hi_r[14]),
+	.Y(n_32033), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635526 (
+	.A(n_31917),
+	.B(brqrv_top_brqrv_lsu_store_data_hi_r[4]),
+	.Y(n_32032), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635527 (
+	.A(n_28946),
+	.B(brqrv_top_brqrv_lsu_store_data_hi_r[10]),
+	.Y(n_32031), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g635528 (
+	.A(n_35108),
+	.B_N(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r[30]),
+	.Y(n_32030), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g635530 (
+	.A(n_35108),
+	.B_N(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r[29]),
+	.Y(n_32028), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635532 (
+	.A(n_31933),
+	.B(n_35596),
+	.Y(n_32026), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g635533 (
+	.A(n_35108),
+	.B_N(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r[28]),
+	.Y(n_32025), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g635534 (
+	.A(n_35587),
+	.B(n_43106),
+	.Y(n_32024), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g635535 (
+	.A1(n_31785),
+	.A2(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[12]),
+	.B1(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[13]),
+	.X(n_32023), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635536 (
+	.A(n_28946),
+	.B(brqrv_top_brqrv_lsu_store_data_hi_r[12]),
+	.Y(n_32022), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635537 (
+	.A(n_31917),
+	.B(brqrv_top_brqrv_lsu_store_data_hi_r[7]),
+	.Y(n_32021), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635538 (
+	.A(n_31917),
+	.B(brqrv_top_brqrv_lsu_store_data_hi_r[2]),
+	.Y(n_32020), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635539 (
+	.A(n_28946),
+	.B(brqrv_top_brqrv_lsu_store_data_hi_r[19]),
+	.Y(n_32019), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635540 (
+	.A(n_28946),
+	.B(brqrv_top_brqrv_lsu_store_data_hi_r[8]),
+	.Y(n_32018), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635541 (
+	.A(n_31917),
+	.B(brqrv_top_brqrv_lsu_store_data_hi_r[5]),
+	.Y(n_32017), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635542 (
+	.A(n_28951),
+	.B(brqrv_top_brqrv_lsu_store_data_hi_r[5]),
+	.Y(n_32016), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635543 (
+	.A(n_31919),
+	.B(brqrv_top_brqrv_lsu_store_data_lo_r[25]),
+	.Y(n_32015), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635544 (
+	.A(n_28951),
+	.B(brqrv_top_brqrv_lsu_store_data_hi_r[3]),
+	.Y(n_32014), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635545 (
+	.A(n_28946),
+	.B(brqrv_top_brqrv_lsu_store_data_hi_r[20]),
+	.Y(n_32013), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635546 (
+	.A(n_28946),
+	.B(brqrv_top_brqrv_lsu_store_data_hi_r[23]),
+	.Y(n_32012), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635547 (
+	.A(n_28951),
+	.B(brqrv_top_brqrv_lsu_store_data_hi_r[8]),
+	.Y(n_32011), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g635548 (
+	.A(n_35621),
+	.B(n_31936),
+	.Y(n_32010), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635549 (
+	.A(n_31933),
+	.B(FE_DBTN2_n_35618),
+	.Y(n_35482), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g635550 (
+	.A1(n_31670),
+	.A2(n_31785),
+	.B1(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[20]),
+	.X(n_32009), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g635551 (
+	.A1(n_31795),
+	.A2(brqrv_top_brqrv_dec_tlu_mfdht[5]),
+	.B1_N(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[21]),
+	.Y(n_32008), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g635552 (
+	.A1(n_35627),
+	.A2(n_31809),
+	.B1(n_31662),
+	.Y(n_32007), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635553 (
+	.A(n_31929),
+	.B(n_31924),
+	.Y(n_32006), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g635554 (
+	.A1(n_31794),
+	.A2(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[5]),
+	.B1(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[6]),
+	.Y(n_32005), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635556 (
+	.A(n_31920),
+	.B(n_31768),
+	.Y(n_32003), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g635557 (
+	.A1(brqrv_top_brqrv_dec_tlu_mfdht[4]),
+	.A2(n_31764),
+	.B1_N(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[15]),
+	.Y(n_32002), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635559 (
+	.A(n_31915),
+	.B(brqrv_top_brqrv_lsu_store_data_lo_r[27]),
+	.Y(n_32080), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635560 (
+	.A(n_31913),
+	.B(brqrv_top_brqrv_lsu_store_data_lo_r[27]),
+	.Y(n_32079), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635561 (
+	.A(n_31919),
+	.B(brqrv_top_brqrv_lsu_store_data_lo_r[27]),
+	.Y(n_32078), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635562 (
+	.A(n_31915),
+	.B(brqrv_top_brqrv_lsu_store_data_lo_r[26]),
+	.Y(n_32077), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635563 (
+	.A(n_31913),
+	.B(brqrv_top_brqrv_lsu_store_data_lo_r[26]),
+	.Y(n_32076), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635564 (
+	.A(n_31919),
+	.B(brqrv_top_brqrv_lsu_store_data_lo_r[26]),
+	.Y(n_32075), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635565 (
+	.A(n_31915),
+	.B(brqrv_top_brqrv_lsu_store_data_lo_r[24]),
+	.Y(n_32074), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635566 (
+	.A(n_31913),
+	.B(brqrv_top_brqrv_lsu_store_data_lo_r[24]),
+	.Y(n_32073), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635567 (
+	.A(n_31919),
+	.B(brqrv_top_brqrv_lsu_store_data_lo_r[24]),
+	.Y(n_32072), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635568 (
+	.A(n_31913),
+	.B(brqrv_top_brqrv_lsu_store_data_lo_r[23]),
+	.Y(n_32071), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635569 (
+	.A(n_31916),
+	.B(brqrv_top_brqrv_lsu_store_data_lo_r[23]),
+	.Y(n_32070), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635570 (
+	.A(n_31918),
+	.B(brqrv_top_brqrv_lsu_store_data_lo_r[15]),
+	.Y(n_32069), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635571 (
+	.A(n_31918),
+	.B(brqrv_top_brqrv_lsu_store_data_lo_r[9]),
+	.Y(n_32068), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635572 (
+	.A(n_31918),
+	.B(brqrv_top_brqrv_lsu_store_data_lo_r[8]),
+	.Y(n_32067), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g635573 (
+	.A1(n_1967),
+	.A2(brqrv_top_brqrv_ifu_aln_q0pc[6]),
+	.B1(n_38052),
+	.B2(brqrv_top_brqrv_ifu_aln_f0val[1]),
+	.C1(n_31851),
+	.Y(n_32066), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635574 (
+	.A(n_31913),
+	.B(brqrv_top_brqrv_lsu_store_data_lo_r[31]),
+	.Y(n_32065), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635575 (
+	.A(n_31913),
+	.B(brqrv_top_brqrv_lsu_store_data_lo_r[28]),
+	.Y(n_32064), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635576 (
+	.A(n_31919),
+	.B(brqrv_top_brqrv_lsu_store_data_lo_r[31]),
+	.Y(n_32063), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635577 (
+	.A(n_31915),
+	.B(brqrv_top_brqrv_lsu_store_data_lo_r[31]),
+	.Y(n_32062), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635578 (
+	.A(n_31928),
+	.B(n_31664),
+	.Y(n_31666), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635579 (
+	.A(n_31915),
+	.B(brqrv_top_brqrv_lsu_store_data_lo_r[30]),
+	.Y(n_32061), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635580 (
+	.A(n_31913),
+	.B(brqrv_top_brqrv_lsu_store_data_lo_r[30]),
+	.Y(n_32060), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635581 (
+	.A(n_31919),
+	.B(brqrv_top_brqrv_lsu_store_data_lo_r[30]),
+	.Y(n_32059), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g635582 (
+	.A1(n_1967),
+	.A2(brqrv_top_brqrv_ifu_aln_q0pc[7]),
+	.B1(n_38053),
+	.B2(brqrv_top_brqrv_ifu_aln_f0val[1]),
+	.C1(n_31861),
+	.Y(n_32058), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635583 (
+	.A(n_31915),
+	.B(brqrv_top_brqrv_lsu_store_data_lo_r[29]),
+	.Y(n_32057), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635584 (
+	.A(n_31913),
+	.B(brqrv_top_brqrv_lsu_store_data_lo_r[29]),
+	.Y(n_32056), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635585 (
+	.A(n_31733),
+	.B(n_43106),
+	.Y(n_32055), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635586 (
+	.A(n_31919),
+	.B(brqrv_top_brqrv_lsu_store_data_lo_r[29]),
+	.Y(n_32054), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635587 (
+	.A(n_31915),
+	.B(brqrv_top_brqrv_lsu_store_data_lo_r[28]),
+	.Y(n_32053), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635588 (
+	.A(n_31919),
+	.B(brqrv_top_brqrv_lsu_store_data_lo_r[28]),
+	.Y(n_32052), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g635589 (
+	.A1(n_35627),
+	.A2(n_31646),
+	.B1(n_35607),
+	.B2(n_31717),
+	.C1(n_31645),
+	.Y(n_35563), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635590 (
+	.A(n_31856),
+	.B(n_31854),
+	.Y(n_35848), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635591 (
+	.A(n_31843),
+	.B(n_31842),
+	.Y(n_35847), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g635592 (
+	.A(n_1885),
+	.B(n_35379),
+	.X(n_34647), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635593 (
+	.A(n_31863),
+	.B(n_31862),
+	.Y(n_35850), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635594 (
+	.A(n_31850),
+	.B(n_31849),
+	.Y(n_35849), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635595 (
+	.A(n_31650),
+	.B(FE_DBTN3_n_35605),
+	.Y(n_32050), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635596 (
+	.A(n_31860),
+	.B(n_31859),
+	.Y(n_35851), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635597 (
+	.A(n_31872),
+	.B(n_31873),
+	.Y(n_35844), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g635598 (
+	.A1(n_1967),
+	.A2(brqrv_top_brqrv_ifu_aln_q0pc[11]),
+	.B1(n_38057),
+	.B2(brqrv_top_brqrv_ifu_aln_f0val[1]),
+	.C1(n_31852),
+	.Y(n_32049), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635599 (
+	.A(brqrv_top_brqrv_dec_dec_debug_valid_d),
+	.B(n_31942),
+	.Y(n_32048), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635600 (
+	.A(n_31922),
+	.B(FE_DBTN4_n_35603),
+	.Y(n_35561), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635601 (
+	.A(n_31885),
+	.B(n_31693),
+	.Y(n_35560), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635602 (
+	.A(brqrv_top_brqrv_ifu_aln_q1ptr),
+	.B(n_31693),
+	.Y(n_35556), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g635603 (
+	.A(n_31871),
+	.B(n_31830),
+	.Y(n_32047), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g635604 (
+	.A(n_35073),
+	.B(n_31925),
+	.Y(n_32046), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g635605 (
+	.A(n_34031),
+	.B(n_31925),
+	.Y(n_32045), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g635606 (
+	.A(n_33999),
+	.B(n_31925),
+	.Y(n_32044), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g635607 (
+	.A(n_33990),
+	.B(n_31925),
+	.Y(n_32043), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635608 (
+	.A(brqrv_top_brqrv_dec_dec_debug_valid_d),
+	.B(n_31941),
+	.Y(n_32042), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g635609 (
+	.A(n_35609),
+	.B(n_31923),
+	.Y(n_32040), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g635610 (
+	.A(n_31998),
+	.Y(n_31999), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g635611 (
+	.A(n_31991),
+	.Y(n_31990), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g635612 (
+	.A1(n_31773),
+	.A2(n_28876),
+	.B1(n_43105),
+	.Y(n_31989), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g635613 (
+	.A(n_35512),
+	.B(n_34829),
+	.Y(n_31988), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g635614 (
+	.A(n_35511),
+	.B(n_35485),
+	.Y(n_31987), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g635615 (
+	.A(n_34839),
+	.B(n_34879),
+	.Y(n_31986), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g635616 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[26]),
+	.A2(n_34882),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[29]),
+	.B2(n_34906),
+	.Y(n_31985), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g635617 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[30]),
+	.B(n_34904),
+	.Y(n_31984), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g635618 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[29]),
+	.A2_N(n_34906),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[28]),
+	.B2(n_34880),
+	.Y(n_31983), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g635619 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[22]),
+	.A2(n_34884),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[23]),
+	.B2(n_34883),
+	.Y(n_31982), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g635620 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[23]),
+	.A2_N(n_34883),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[25]),
+	.B2(n_35522),
+	.Y(n_31981), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g635621 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[2]),
+	.A2(n_34879),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[3]),
+	.B2(n_35519),
+	.Y(n_31980), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g635622 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[4]),
+	.B(n_35518),
+	.Y(n_31979), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g635623 (
+	.A1(n_35597),
+	.A2(n_31720),
+	.B1(n_31920),
+	.Y(n_31978), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g635625 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[14]),
+	.A2(n_35512),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[15]),
+	.B2(n_35511),
+	.Y(n_31977), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g635626 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[15]),
+	.A2_N(n_35511),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[16]),
+	.B2(n_34876),
+	.Y(n_31976), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g635627 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[6]),
+	.A2(n_35517),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[7]),
+	.B2(n_35516),
+	.Y(n_31975), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g635628 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[9]),
+	.B(n_35515),
+	.Y(n_31974), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g635629 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[10]),
+	.A2(n_34878),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[13]),
+	.B2(n_34912),
+	.Y(n_31973), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g635630 (
+	.A1(n_34913),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[13]),
+	.B1(n_31805),
+	.C1(n_31840),
+	.Y(n_31972), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g635631 (
+	.A(brqrv_top_brqrv_lsu_lsu_pkt_m[13]),
+	.B(brqrv_top_brqrv_lsu_lsu_exc_m),
+	.C(n_34917),
+	.D(n_31703),
+	.Y(n_31971), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g635632 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[12]),
+	.B(n_35513),
+	.Y(n_31970), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g635633 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[18]),
+	.A2(n_34886),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[19]),
+	.B2(n_35524),
+	.Y(n_31969), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g635634 (
+	.A1(n_34837),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[18]),
+	.B1(n_31798),
+	.C1(n_31845),
+	.Y(n_31968), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g635635 (
+	.A(n_31783),
+	.B(n_31824),
+	.C(n_31645),
+	.Y(n_31967), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g635636 (
+	.A1(n_35485),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[15]),
+	.B1(n_34911),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[17]),
+	.C1(n_31875),
+	.Y(n_31966), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g635637 (
+	.A1(n_35487),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[7]),
+	.B1(n_34915),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[8]),
+	.C1(n_31857),
+	.Y(n_31965), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g635638 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[4]),
+	.A2(n_34830),
+	.B1(n_31802),
+	.C1(n_31846),
+	.Y(n_31964), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g635639 (
+	.A1(n_35490),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[27]),
+	.B1(n_35484),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[28]),
+	.C1(n_31868),
+	.Y(n_31963), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g635640 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[19]),
+	.A2_N(n_35524),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[20]),
+	.B2(n_34885),
+	.Y(n_31962), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g635641 (
+	.A1(n_34836),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[23]),
+	.B1(n_31803),
+	.C1(n_31837),
+	.Y(n_31961), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g635642 (
+	.A1(brqrv_top_brqrv_dec_tlu_mtdata1_t2[9]),
+	.A2(n_31761),
+	.B1(n_31869),
+	.Y(n_31960), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g635643 (
+	.A1(n_34835),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[10]),
+	.B1(n_34832),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[11]),
+	.C1(n_31841),
+	.Y(n_31959), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g635644 (
+	.A1(n_31788),
+	.A2(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[10]),
+	.B1(n_31892),
+	.Y(n_31958), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g635645 (
+	.A1(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[18]),
+	.A2(n_31825),
+	.B1(n_31688),
+	.C1(n_31788),
+	.Y(n_31957), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g635646 (
+	.A1(n_31788),
+	.A2(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[26]),
+	.B1(n_31910),
+	.Y(n_31956), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635647 (
+	.A1(n_31788),
+	.A2(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[2]),
+	.B1(n_31825),
+	.B2(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[0]),
+	.Y(n_31955), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g635648 (
+	.A1_N(n_34876),
+	.A2_N(n_35492),
+	.B1(n_34876),
+	.B2(n_35492),
+	.Y(n_35810), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g635649 (
+	.A1_N(n_34881),
+	.A2_N(n_35490),
+	.B1(n_34881),
+	.B2(n_35490),
+	.Y(n_35799), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g635650 (
+	.A1_N(n_35488),
+	.A2_N(n_35513),
+	.B1(n_35488),
+	.B2(n_35513),
+	.Y(n_35812), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g635651 (
+	.A1_N(n_34838),
+	.A2_N(n_34884),
+	.B1(n_34838),
+	.B2(n_34884),
+	.Y(n_35804), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g635652 (
+	.A1_N(n_34909),
+	.A2_N(n_34908),
+	.B1(n_34909),
+	.B2(n_34908),
+	.Y(n_35805), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g635653 (
+	.A1_N(n_34836),
+	.A2_N(n_34883),
+	.B1(n_34836),
+	.B2(n_34883),
+	.Y(n_35803), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g635654 (
+	.A1_N(n_34837),
+	.A2_N(n_34886),
+	.B1(n_34837),
+	.B2(n_34886),
+	.Y(n_35808), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g635655 (
+	.A1_N(n_34833),
+	.A2_N(n_35515),
+	.B1(n_34833),
+	.B2(n_35515),
+	.Y(n_35815), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g635656 (
+	.A1_N(n_34914),
+	.A2_N(n_34915),
+	.B1(n_34914),
+	.B2(n_34915),
+	.Y(n_35816), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g635657 (
+	.A1_N(n_34910),
+	.A2_N(n_34911),
+	.B1(n_34910),
+	.B2(n_34911),
+	.Y(n_35809), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g635658 (
+	.A1_N(n_34916),
+	.A2_N(n_34918),
+	.B1(n_34916),
+	.B2(n_34918),
+	.Y(n_35819), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g635659 (
+	.A1_N(n_34878),
+	.A2_N(n_34835),
+	.B1(n_34878),
+	.B2(n_34835),
+	.Y(n_35814), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g635660 (
+	.A1_N(n_35487),
+	.A2_N(n_35516),
+	.B1(n_35487),
+	.B2(n_35516),
+	.Y(n_35817), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g635661 (
+	.A1_N(n_35486),
+	.A2_N(n_34885),
+	.B1(n_35486),
+	.B2(n_34885),
+	.Y(n_35806), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g635662 (
+	.A1_N(n_34877),
+	.A2_N(n_34832),
+	.B1(n_34877),
+	.B2(n_34832),
+	.Y(n_35813), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g635663 (
+	.A1_N(n_35517),
+	.A2_N(n_34831),
+	.B1(n_35517),
+	.B2(n_34831),
+	.Y(n_35818), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g635664 (
+	.A1_N(n_34913),
+	.A2_N(n_34912),
+	.B1(n_34913),
+	.B2(n_34912),
+	.Y(n_35811), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g635665 (
+	.A1_N(n_35518),
+	.A2_N(n_34830),
+	.B1(n_35518),
+	.B2(n_34830),
+	.Y(n_35820), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g635666 (
+	.A1_N(n_35483),
+	.A2_N(n_35524),
+	.B1(n_35483),
+	.B2(n_35524),
+	.Y(n_35807), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g635667 (
+	.A1_N(n_35519),
+	.A2_N(n_35491),
+	.B1(n_35519),
+	.B2(n_35491),
+	.Y(n_35821), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g635668 (
+	.A1_N(n_35494),
+	.A2_N(n_35523),
+	.B1(n_35494),
+	.B2(n_35523),
+	.Y(n_35802), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g635669 (
+	.A1_N(brqrv_top_brqrv_ifu_i0_pc[6]),
+	.A2_N(brqrv_top_brqrv_ifu_i0_pc[20]),
+	.B1(brqrv_top_brqrv_ifu_i0_pc[6]),
+	.B2(brqrv_top_brqrv_ifu_i0_pc[20]),
+	.Y(n_31954), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g635670 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[15]),
+	.B(brqrv_top_brqrv_ifu_i0_pc[8]),
+	.X(n_31953), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g635671 (
+	.A1_N(n_35520),
+	.A2_N(n_34890),
+	.B1(n_35520),
+	.B2(n_34890),
+	.Y(n_35795), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g635672 (
+	.A1(n_33301),
+	.A2(n_31797),
+	.B1(n_43105),
+	.Y(n_36369), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g635673 (
+	.A(n_35116),
+	.B(n_31907),
+	.Y(n_32001), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g635674 (
+	.A1_N(n_34891),
+	.A2_N(n_35522),
+	.B1(n_34891),
+	.B2(n_35522),
+	.Y(n_35801), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g635675 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[17]),
+	.B(brqrv_top_brqrv_ifu_i0_pc[23]),
+	.X(n_31952), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g635676 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[2]),
+	.B(brqrv_top_brqrv_ifu_i0_pc[9]),
+	.X(n_31951), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g635677 (
+	.A1(n_1967),
+	.A2(brqrv_top_brqrv_ifu_aln_q0pc[8]),
+	.B1(n_38054),
+	.B2(brqrv_top_brqrv_ifu_aln_f0val[1]),
+	.C1(n_31879),
+	.Y(n_31950), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g635678 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[5]),
+	.B(brqrv_top_brqrv_ifu_i0_pc[12]),
+	.X(n_31949), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g635679 (
+	.A1(n_1967),
+	.A2(brqrv_top_brqrv_ifu_aln_q0pc[23]),
+	.B1(n_38069),
+	.B2(brqrv_top_brqrv_ifu_aln_f0val[1]),
+	.C1(n_31858),
+	.Y(n_32000), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g635680 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[7]),
+	.B(brqrv_top_brqrv_ifu_i0_pc[14]),
+	.X(n_31948), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g635681 (
+	.A1(n_28877),
+	.A2(n_34819),
+	.B1(n_28876),
+	.Y(n_31998), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g635682 (
+	.A1(n_31720),
+	.A2(n_31784),
+	.B1(n_31824),
+	.X(n_31997), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g635683 (
+	.A1(n_1967),
+	.A2(brqrv_top_brqrv_ifu_aln_q0pc[5]),
+	.B1(n_38051),
+	.B2(brqrv_top_brqrv_ifu_aln_f0val[1]),
+	.C1(n_31834),
+	.Y(n_31996), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g635684 (
+	.A1(n_1967),
+	.A2(brqrv_top_brqrv_ifu_aln_q0pc[4]),
+	.B1(n_38050),
+	.B2(brqrv_top_brqrv_ifu_aln_f0val[1]),
+	.C1(n_31836),
+	.Y(n_31947), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g635685 (
+	.A1(n_1967),
+	.A2(brqrv_top_brqrv_ifu_aln_q0pc[2]),
+	.B1(n_38048),
+	.B2(brqrv_top_brqrv_ifu_aln_f0val[1]),
+	.C1(n_31833),
+	.Y(n_31946), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g635686 (
+	.A1_N(n_34907),
+	.A2_N(n_34906),
+	.B1(n_34907),
+	.B2(n_34906),
+	.Y(n_35797), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g635687 (
+	.A1_N(n_34834),
+	.A2_N(n_34882),
+	.B1(n_34834),
+	.B2(n_34882),
+	.Y(n_35800), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g635688 (
+	.A1_N(n_34904),
+	.A2_N(n_34905),
+	.B1(n_34904),
+	.B2(n_34905),
+	.Y(n_35796), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g635689 (
+	.A1_N(n_35484),
+	.A2_N(n_34880),
+	.B1(n_35484),
+	.B2(n_34880),
+	.Y(n_35798), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g635690 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[16]),
+	.B(brqrv_top_brqrv_ifu_i0_pc[22]),
+	.X(n_31945), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g635691 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[4]),
+	.B(brqrv_top_brqrv_ifu_i0_pc[11]),
+	.X(n_31944), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g635692 (
+	.A1(n_31690),
+	.A2(n_31784),
+	.B1(n_35567),
+	.B2(FE_DBTN5_n_35627),
+	.X(n_31995), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g635693 (
+	.A1(n_1967),
+	.A2(brqrv_top_brqrv_ifu_aln_q0pc[18]),
+	.B1(n_38064),
+	.B2(brqrv_top_brqrv_ifu_aln_f0val[1]),
+	.C1(n_31838),
+	.X(n_35845), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g635694 (
+	.A1(n_1967),
+	.A2(brqrv_top_brqrv_ifu_aln_q0pc[17]),
+	.B1(n_38063),
+	.B2(brqrv_top_brqrv_ifu_aln_f0val[1]),
+	.C1(n_31847),
+	.X(n_35843), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g635695 (
+	.A1(n_1967),
+	.A2(brqrv_top_brqrv_ifu_aln_q0pc[9]),
+	.B1(n_38055),
+	.B2(brqrv_top_brqrv_ifu_aln_f0val[1]),
+	.C1(n_31864),
+	.X(n_35842), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g635696 (
+	.A1(n_1967),
+	.A2(brqrv_top_brqrv_ifu_aln_q0pc[19]),
+	.B1(n_38065),
+	.B2(brqrv_top_brqrv_ifu_aln_f0val[1]),
+	.C1(n_31835),
+	.X(n_35846), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g635697 (
+	.A1(n_1967),
+	.A2(brqrv_top_brqrv_ifu_aln_q0pc[15]),
+	.B1(n_38061),
+	.B2(brqrv_top_brqrv_ifu_aln_f0val[1]),
+	.C1(n_31844),
+	.X(n_35852), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635698 (
+	.A(brqrv_top_brqrv_ifu_aln_uncompress0[1]),
+	.B(n_31901),
+	.Y(n_31994), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g635699 (
+	.A1(n_31744),
+	.A2(brqrv_top_brqrv_ifu_aln_q1pc[22]),
+	.B1(n_38068),
+	.B2(brqrv_top_brqrv_ifu_aln_f0val[1]),
+	.C1(n_31878),
+	.Y(n_31993), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g635700 (
+	.A1(n_1967),
+	.A2(brqrv_top_brqrv_ifu_aln_q0pc[16]),
+	.B1(n_38062),
+	.B2(brqrv_top_brqrv_ifu_aln_f0val[1]),
+	.C1(n_31839),
+	.Y(n_31992), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g635701 (
+	.A(n_31804),
+	.B(n_31650),
+	.Y(n_31991), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g635702 (
+	.A(n_31943),
+	.Y(n_33319), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g635703 (
+	.A(n_31941),
+	.Y(n_31942), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g635704 (
+	.A(n_1866),
+	.Y(n_34600), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g635705 (
+	.A(n_31939),
+	.Y(n_33318), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g635707 (
+	.A(n_33321),
+	.Y(n_31938), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g635708 (
+	.A(n_31934),
+	.Y(n_33320), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g635710 (
+	.A(n_35565),
+	.Y(n_31932), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g635711 (
+	.A(n_31930),
+	.Y(n_31931), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g635713 (
+	.A(n_31888),
+	.Y(n_31928), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g635714 (
+	.A(n_17477),
+	.Y(n_35107), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g635715 (
+	.A(n_31923),
+	.Y(n_35598), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g635716 (
+	.A(n_31922),
+	.Y(n_31921), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g635717 (
+	.A(n_31656),
+	.Y(n_31920), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g635718 (
+	.A(n_31915),
+	.Y(n_34052), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g635720 (
+	.A(n_31913),
+	.Y(n_34054), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635722 (
+	.A(n_31826),
+	.B(brqrv_top_brqrv_dec_tlu_mfdht[4]),
+	.Y(n_31911), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g635723 (
+	.A_N(n_31716),
+	.B(n_31826),
+	.Y(n_31910), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g635724 (
+	.A_N(n_31830),
+	.B(n_43200),
+	.C(n_43109),
+	.Y(brqrv_top_brqrv_dec_tlu_i0_trigger_hit_raw_r), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g635725 (
+	.A1(n_35596),
+	.A2(n_31661),
+	.B1(n_35618),
+	.Y(n_31909), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g635726 (
+	.A_N(brqrv_top_brqrv_dec_tlu_resume_ack),
+	.B(n_35610),
+	.C(n_35476),
+	.Y(n_31908), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635727 (
+	.A(n_31831),
+	.B(n_31741),
+	.Y(n_31907), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o31ai_1 g635728 (
+	.A1(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[30]),
+	.A2(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[28]),
+	.A3(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[29]),
+	.B1(n_31716),
+	.Y(n_31906), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g635729 (
+	.A1(n_31754),
+	.A2(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[25]),
+	.B1(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[27]),
+	.Y(n_31905), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g635730 (
+	.A1(n_43036),
+	.A2(n_35581),
+	.B1_N(n_35582),
+	.Y(n_31904), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g635731 (
+	.A1(n_31661),
+	.A2(n_31748),
+	.B1_N(n_35601),
+	.Y(n_31903), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g635732 (
+	.A_N(n_31832),
+	.B(brqrv_top_brqrv_dec_tlu_dcsr[11]),
+	.Y(n_31902), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635733 (
+	.A(n_31645),
+	.B(n_31662),
+	.Y(n_31901), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g635734 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[24]),
+	.B(n_35523),
+	.Y(n_31900), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635735 (
+	.A(n_34881),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[27]),
+	.Y(n_31899), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g635736 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[21]),
+	.B(n_34908),
+	.Y(n_31898), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g635737 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[11]),
+	.B(n_34877),
+	.Y(n_31897), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g635738 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[8]),
+	.B(n_34914),
+	.Y(n_31896), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g635739 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[17]),
+	.B(n_34910),
+	.Y(n_31895), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g635740 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[5]),
+	.B(n_34916),
+	.Y(n_31894), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g635741 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[31]),
+	.B(n_35520),
+	.Y(n_31893), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g635742 (
+	.A1(brqrv_top_brqrv_dec_tlu_mfdht[3]),
+	.A2(n_31706),
+	.B1(brqrv_top_brqrv_dec_tlu_mfdht[4]),
+	.Y(n_31892), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g635743 (
+	.A(n_31748),
+	.B(n_31820),
+	.Y(n_31891), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g635744 (
+	.A1(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[19]),
+	.A2(n_31705),
+	.B1(n_31718),
+	.Y(n_31890), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g635745 (
+	.A(brqrv_top_brqrv_dec_tlu_halt_taken_f),
+	.B(brqrv_top_brqrv_dec_tlu_interrupt_valid_r_d1),
+	.C(n_31737),
+	.D(brqrv_top_brqrv_dec_dec_pause_state),
+	.Y(n_31889), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g635746 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_write[2]),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_7543),
+	.Y(n_31943), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g635747 (
+	.A(brqrv_top_brqrv_dbg_command_reg[12]),
+	.B(n_43108),
+	.Y(n_31941), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g635748 (
+	.A(brqrv_top_brqrv_dec_tlu_nmi_int_detected_f),
+	.B(n_31829),
+	.X(n_35118), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g635749 (
+	.A(n_28877),
+	.B(n_42910),
+	.Y(n_1866), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g635751 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_write[3]),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_7547),
+	.Y(n_31939), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4bb_1 g635752 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[0]),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_write[0]),
+	.C_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[2]),
+	.D_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[1]),
+	.X(n_33321), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g635753 (
+	.A(n_31748),
+	.B(n_31823),
+	.Y(n_31937), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g635754 (
+	.A1(n_31735),
+	.A2(brqrv_top_brqrv_dec_tlu_dec_tlu_flush_noredir_r_d1),
+	.B1(n_31777),
+	.X(brqrv_top_brqrv_dec_tlu_halt_taken), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g635755 (
+	.A_N(brqrv_top_brqrv_dec_dec_csr_wraddr_r[8]),
+	.B(n_31723),
+	.C(brqrv_top_brqrv_dec_decode_r_d[10]),
+	.Y(n_35106), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g635756 (
+	.A(n_31820),
+	.B(n_31782),
+	.Y(n_31936), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g635757 (
+	.A(n_31664),
+	.B(n_31793),
+	.Y(n_31935), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g635758 (
+	.A1(n_28859),
+	.A2(brqrv_top_brqrv_lsu_lsu_pkt_r[10]),
+	.B1(brqrv_top_brqrv_lsu_lsu_pkt_r[9]),
+	.X(n_34610), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g635759 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_write[1]),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_7539),
+	.Y(n_31934), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g635760 (
+	.A(n_35607),
+	.B(n_31780),
+	.Y(n_31933), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635761 (
+	.A(n_31779),
+	.B(n_31680),
+	.Y(n_35565), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635762 (
+	.A(n_31779),
+	.B(n_36251),
+	.Y(n_31930), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g635763 (
+	.A(n_35616),
+	.B(n_35590),
+	.Y(n_31929), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635765 (
+	.A(n_31779),
+	.B(FE_DBTN4_n_35603),
+	.Y(n_31888), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g635767 (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_addr_external_r),
+	.B(n_35124),
+	.Y(n_17477), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g635768 (
+	.A_N(n_35124),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_addr_external_r),
+	.Y(n_35108), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g635769 (
+	.A(n_28877),
+	.B(n_31722),
+	.X(n_31925), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635770 (
+	.A(n_31819),
+	.B(n_31662),
+	.Y(n_31924), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g635771 (
+	.A1(brqrv_top_brqrv_ifu_aln_f0val[1]),
+	.A2(n_31701),
+	.B1(FE_DBTN25_brqrv_top_brqrv_ifu_aln_first2B),
+	.X(n_31923), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_2 g635772 (
+	.A(n_31662),
+	.B(n_31780),
+	.Y(n_31922), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g635774 (
+	.A(n_35073),
+	.B_N(n_31827),
+	.Y(n_31919), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g635775 (
+	.A(n_33999),
+	.B_N(n_31827),
+	.Y(n_31918), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g635776 (
+	.A(n_34031),
+	.B_N(n_31827),
+	.Y(n_31917), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g635777 (
+	.A(n_33990),
+	.B_N(n_31827),
+	.Y(n_31916), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g635778 (
+	.A(n_33990),
+	.B(n_31822),
+	.Y(n_31915), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g635779 (
+	.A(n_34031),
+	.B(n_31822),
+	.Y(n_28946), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g635780 (
+	.A(n_33999),
+	.B(n_31822),
+	.Y(n_31913), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g635781 (
+	.A(n_35073),
+	.B(n_31822),
+	.Y(n_28951), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g635783 (
+	.A(n_31886),
+	.Y(brqrv_top_brqrv_ifu_aln_brdata0eff[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g635784 (
+	.A(n_31885),
+	.Y(brqrv_top_brqrv_ifu_aln_q1ptr), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635785 (
+	.A1(n_35483),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[19]),
+	.B1(n_35486),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[20]),
+	.Y(n_31883), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g635786 (
+	.A1(n_31751),
+	.A2(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[29]),
+	.B1(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[30]),
+	.X(n_31882), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g635787 (
+	.A1(n_33324),
+	.A2(n_31726),
+	.B1(n_35124),
+	.Y(n_31881), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g635788 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_valid),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_valid),
+	.C(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_3467),
+	.Y(n_31880), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g635789 (
+	.A1(n_31745),
+	.A2(brqrv_top_brqrv_ifu_aln_q2pc[8]),
+	.B1(n_31744),
+	.B2(brqrv_top_brqrv_ifu_aln_q1pc[8]),
+	.X(n_31879), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g635790 (
+	.A1(n_31745),
+	.A2(brqrv_top_brqrv_ifu_aln_q2pc[22]),
+	.B1(n_1967),
+	.B2(brqrv_top_brqrv_ifu_aln_q0pc[22]),
+	.X(n_31878), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g635791 (
+	.A_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_7535),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_write[0]),
+	.C(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_error[0]),
+	.Y(n_31877), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g635792 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[7]),
+	.A2_N(n_35487),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[9]),
+	.B2(n_34833),
+	.Y(n_31876), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g635793 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[15]),
+	.A2(n_35485),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[16]),
+	.B2(n_35492),
+	.Y(n_31875), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g635794 (
+	.A1(n_11517),
+	.A2(brqrv_top_brqrv_ifu_aln_brdata1[1]),
+	.B1(brqrv_top_brqrv_ifu_aln_brdata0[1]),
+	.B2(FE_DBTN9_n_11562),
+	.C1(brqrv_top_brqrv_ifu_aln_brdata2[1]),
+	.C2(FE_DBTN8_n_11566),
+	.Y(n_31874), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635795 (
+	.A1(n_31745),
+	.A2(brqrv_top_brqrv_ifu_aln_q2pc[10]),
+	.B1(n_31744),
+	.B2(brqrv_top_brqrv_ifu_aln_q1pc[10]),
+	.Y(n_31873), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635796 (
+	.A1(n_1967),
+	.A2(brqrv_top_brqrv_ifu_aln_q0pc[10]),
+	.B1(n_38056),
+	.B2(brqrv_top_brqrv_ifu_aln_f0val[1]),
+	.Y(n_31872), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g635797 (
+	.A(n_43200),
+	.B(n_43109),
+	.C(n_35573),
+	.Y(n_31871), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g635798 (
+	.A(n_31742),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_valid),
+	.C(brqrv_top_brqrv_lsu_lsu_pkt_r[6]),
+	.Y(n_31870), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3b_1 g635799 (
+	.A_N(n_35574),
+	.B(brqrv_top_brqrv_dec_tlu_mtdata1_t1[9]),
+	.C(brqrv_top_brqrv_dec_tlu_mtdata1_t1[6]),
+	.X(n_31869), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g635800 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[28]),
+	.A2(n_35484),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[29]),
+	.B2(n_34907),
+	.Y(n_31868), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g635801 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[23]),
+	.A2_N(n_34836),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[25]),
+	.B2(n_34891),
+	.Y(n_31867), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g635802 (
+	.A1(n_35596),
+	.A2(n_31715),
+	.B1(n_31749),
+	.Y(n_31866), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g635803 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[3]),
+	.A2_N(n_35491),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[5]),
+	.B2(n_34918),
+	.Y(n_31865), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g635804 (
+	.A1(n_31745),
+	.A2(brqrv_top_brqrv_ifu_aln_q2pc[9]),
+	.B1(n_31744),
+	.B2(brqrv_top_brqrv_ifu_aln_q1pc[9]),
+	.X(n_31864), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635805 (
+	.A1(n_1967),
+	.A2(brqrv_top_brqrv_ifu_aln_q0pc[21]),
+	.B1(n_38067),
+	.B2(brqrv_top_brqrv_ifu_aln_f0val[1]),
+	.Y(n_31863), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635806 (
+	.A1(n_31745),
+	.A2(brqrv_top_brqrv_ifu_aln_q2pc[21]),
+	.B1(n_31744),
+	.B2(brqrv_top_brqrv_ifu_aln_q1pc[21]),
+	.Y(n_31862), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g635807 (
+	.A1(n_31745),
+	.A2(brqrv_top_brqrv_ifu_aln_q2pc[7]),
+	.B1(n_31744),
+	.B2(brqrv_top_brqrv_ifu_aln_q1pc[7]),
+	.X(n_31861), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635808 (
+	.A1(n_1967),
+	.A2(brqrv_top_brqrv_ifu_aln_q0pc[14]),
+	.B1(n_38060),
+	.B2(brqrv_top_brqrv_ifu_aln_f0val[1]),
+	.Y(n_31860), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635809 (
+	.A1(n_31745),
+	.A2(brqrv_top_brqrv_ifu_aln_q2pc[14]),
+	.B1(n_31744),
+	.B2(brqrv_top_brqrv_ifu_aln_q1pc[14]),
+	.Y(n_31859), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g635810 (
+	.A1(n_31745),
+	.A2(brqrv_top_brqrv_ifu_aln_q2pc[23]),
+	.B1(n_31744),
+	.B2(brqrv_top_brqrv_ifu_aln_q1pc[23]),
+	.X(n_31858), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g635811 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[8]),
+	.A2(n_34915),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[9]),
+	.B2(n_34833),
+	.Y(n_31857), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635812 (
+	.A1(n_1967),
+	.A2(brqrv_top_brqrv_ifu_aln_q0pc[20]),
+	.B1(n_38066),
+	.B2(brqrv_top_brqrv_ifu_aln_f0val[1]),
+	.Y(n_31856), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g635813 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[17]),
+	.A2_N(n_34911),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[16]),
+	.B2(n_35492),
+	.Y(n_31855), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635814 (
+	.A1(n_31745),
+	.A2(brqrv_top_brqrv_ifu_aln_q2pc[20]),
+	.B1(n_31744),
+	.B2(brqrv_top_brqrv_ifu_aln_q1pc[20]),
+	.Y(n_31854), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g635815 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[30]),
+	.A2(n_34905),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_write),
+	.Y(n_31853), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g635816 (
+	.A1(n_31745),
+	.A2(brqrv_top_brqrv_ifu_aln_q2pc[11]),
+	.B1(n_31744),
+	.B2(brqrv_top_brqrv_ifu_aln_q1pc[11]),
+	.X(n_31852), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g635817 (
+	.A1(n_31745),
+	.A2(brqrv_top_brqrv_ifu_aln_q2pc[6]),
+	.B1(n_31744),
+	.B2(brqrv_top_brqrv_ifu_aln_q1pc[6]),
+	.X(n_31851), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635818 (
+	.A1(n_1967),
+	.A2(brqrv_top_brqrv_ifu_aln_q0pc[13]),
+	.B1(n_38059),
+	.B2(brqrv_top_brqrv_ifu_aln_f0val[1]),
+	.Y(n_31850), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635819 (
+	.A1(n_31745),
+	.A2(brqrv_top_brqrv_ifu_aln_q2pc[13]),
+	.B1(n_31744),
+	.B2(brqrv_top_brqrv_ifu_aln_q1pc[13]),
+	.Y(n_31849), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g635820 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[26]),
+	.B(n_34834),
+	.X(n_31848), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g635821 (
+	.A1(n_31745),
+	.A2(brqrv_top_brqrv_ifu_aln_q2pc[17]),
+	.B1(n_31744),
+	.B2(brqrv_top_brqrv_ifu_aln_q1pc[17]),
+	.X(n_31847), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g635822 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[3]),
+	.A2_N(n_35491),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[5]),
+	.B2(n_34918),
+	.Y(n_31846), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g635823 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[20]),
+	.A2(n_35486),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[21]),
+	.B2(n_34909),
+	.Y(n_31845), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g635824 (
+	.A1(n_31745),
+	.A2(brqrv_top_brqrv_ifu_aln_q2pc[15]),
+	.B1(n_31744),
+	.B2(brqrv_top_brqrv_ifu_aln_q1pc[15]),
+	.X(n_31844), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635825 (
+	.A1(n_1967),
+	.A2(brqrv_top_brqrv_ifu_aln_q0pc[12]),
+	.B1(n_38058),
+	.B2(brqrv_top_brqrv_ifu_aln_f0val[1]),
+	.Y(n_31843), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635826 (
+	.A1(n_31745),
+	.A2(brqrv_top_brqrv_ifu_aln_q2pc[12]),
+	.B1(n_31744),
+	.B2(brqrv_top_brqrv_ifu_aln_q1pc[12]),
+	.Y(n_31842), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g635827 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[12]),
+	.B(n_35488),
+	.Y(n_31841), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g635828 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[10]),
+	.A2(n_34835),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[13]),
+	.B2(n_34913),
+	.Y(n_31840), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g635829 (
+	.A1(n_31745),
+	.A2(brqrv_top_brqrv_ifu_aln_q2pc[16]),
+	.B1(n_31744),
+	.B2(brqrv_top_brqrv_ifu_aln_q1pc[16]),
+	.X(n_31839), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g635830 (
+	.A1(n_31745),
+	.A2(brqrv_top_brqrv_ifu_aln_q2pc[18]),
+	.B1(n_31744),
+	.B2(brqrv_top_brqrv_ifu_aln_q1pc[18]),
+	.X(n_31838), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g635831 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[24]),
+	.A2_N(n_35494),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[25]),
+	.B2(n_34891),
+	.Y(n_31837), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g635832 (
+	.A1(n_31745),
+	.A2(brqrv_top_brqrv_ifu_aln_q2pc[4]),
+	.B1(n_31744),
+	.B2(brqrv_top_brqrv_ifu_aln_q1pc[4]),
+	.X(n_31836), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g635833 (
+	.A1(n_31745),
+	.A2(brqrv_top_brqrv_ifu_aln_q2pc[19]),
+	.B1(n_31744),
+	.B2(brqrv_top_brqrv_ifu_aln_q1pc[19]),
+	.X(n_31835), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g635834 (
+	.A1(n_31745),
+	.A2(brqrv_top_brqrv_ifu_aln_q2pc[5]),
+	.B1(n_31744),
+	.B2(brqrv_top_brqrv_ifu_aln_q1pc[5]),
+	.X(n_31834), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g635835 (
+	.A1(n_31745),
+	.A2(brqrv_top_brqrv_ifu_aln_q2pc[2]),
+	.B1(n_31744),
+	.B2(brqrv_top_brqrv_ifu_aln_q1pc[2]),
+	.X(n_31833), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g635836 (
+	.A_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_7547),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_write[3]),
+	.C(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_error[3]),
+	.Y(n_33316), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g635837 (
+	.A(n_31776),
+	.B(n_31780),
+	.Y(n_31887), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g635838 (
+	.A1(n_11517),
+	.A2(brqrv_top_brqrv_ifu_aln_brdata1[9]),
+	.B1(brqrv_top_brqrv_ifu_aln_brdata0[9]),
+	.B2(FE_DBTN9_n_11562),
+	.C1(brqrv_top_brqrv_ifu_aln_brdata2[9]),
+	.C2(FE_DBTN8_n_11566),
+	.Y(n_31886), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g635839 (
+	.A_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_7539),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_write[1]),
+	.C(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_error[1]),
+	.Y(n_33317), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g635841 (
+	.A(brqrv_top_brqrv_lsu_lsu_pkt_r[4]),
+	.B(n_31702),
+	.C(n_33866),
+	.X(n_35379), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g635842 (
+	.A_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_7543),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_write[2]),
+	.C(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_error[2]),
+	.Y(n_33315), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g635843 (
+	.A1(FE_DBTN9_n_11562),
+	.A2(brqrv_top_brqrv_ifu_aln_q1off),
+	.B1(n_31775),
+	.Y(n_31885), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g635844 (
+	.A(brqrv_top_brqrv_dec_tlu_dcsr_single_step_done_f),
+	.B(brqrv_top_brqrv_dec_tlu_debug_brkpt_valid),
+	.C(brqrv_top_brqrv_dec_tlu_debug_halt_req),
+	.X(brqrv_top_brqrv_dec_tlu_enter_debug_halt_req), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g635845 (
+	.A1(n_35534),
+	.A2(n_31749),
+	.B1(n_35596),
+	.B2(n_35595),
+	.X(n_31884), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g635847 (
+	.A(n_31826),
+	.Y(n_31825), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g635848 (
+	.A(n_31823),
+	.Y(n_31824), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g635849 (
+	.A(n_28877),
+	.Y(brqrv_top_brqrv_lsu_stbuf_n_1475), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g635850 (
+	.A(n_31820),
+	.Y(n_31819), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g635851 (
+	.A(n_31818),
+	.Y(n_31817), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g635852 (
+	.A(n_31816),
+	.Y(n_34869), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g635856 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_3467),
+	.Y(n_31665), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g635857 (
+	.A(n_31814),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_3460), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g635858 (
+	.A(n_31813),
+	.Y(n_33326), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635859 (
+	.A(n_34831),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[6]),
+	.Y(n_31812), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g635860 (
+	.A(brqrv_top_brqrv_dec_decode_r_t[0]),
+	.B(n_35475),
+	.Y(n_31811), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g635861 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[2]),
+	.B(n_34839),
+	.Y(n_31810), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g635862 (
+	.A(n_35594),
+	.B(n_31719),
+	.Y(n_31809), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635863 (
+	.A(n_33324),
+	.B(brqrv_top_brqrv_dec_i0_result_r[29]),
+	.Y(n_31808), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635864 (
+	.A(n_34838),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[22]),
+	.Y(n_31807), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635865 (
+	.A(n_34890),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[31]),
+	.Y(n_31806), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g635866 (
+	.A(brqrv_top_brqrv_lsu_lsu_pkt_m[11]),
+	.B(brqrv_top_brqrv_lsu_bus_intf_ldst_byteen_m[1]),
+	.X(brqrv_top_brqrv_lsu_bus_intf_ldst_byteen_m[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g635867 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[11]),
+	.B(n_34832),
+	.Y(n_31805), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635868 (
+	.A(n_31714),
+	.B(FE_DBTN3_n_35605),
+	.Y(n_31804), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g635869 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[24]),
+	.B(n_35494),
+	.Y(n_31803), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g635870 (
+	.A(brqrv_top_brqrv_dec_dec_debug_valid_d),
+	.B(brqrv_top_brqrv_dbg_cmd_write),
+	.X(n_259784_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635871 (
+	.A(n_34830),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[4]),
+	.Y(n_31802), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635872 (
+	.A(n_34829),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[14]),
+	.Y(n_31801), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635873 (
+	.A(n_34909),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[21]),
+	.Y(n_31800), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635874 (
+	.A(n_34907),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[29]),
+	.Y(n_31799), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g635875 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[18]),
+	.B(n_34837),
+	.Y(n_31798), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635876 (
+	.A(n_33833),
+	.B(brqrv_top_brqrv_dec_decode_write_csr_data[30]),
+	.Y(n_35028), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g635878 (
+	.A_N(n_35476),
+	.B(n_35615),
+	.Y(n_31832), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g635879 (
+	.A(brqrv_top_brqrv_dec_decode_r_d[15]),
+	.B(n_35121),
+	.Y(n_31831), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635880 (
+	.A(n_35578),
+	.B(n_35574),
+	.Y(n_31830), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635881 (
+	.A(n_33833),
+	.B(brqrv_top_brqrv_dec_decode_write_csr_data[11]),
+	.Y(n_35456), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635882 (
+	.A(n_34892),
+	.B(brqrv_top_brqrv_lsu_lsu_pkt_r[9]),
+	.Y(n_34164), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g635883 (
+	.A1(brqrv_top_brqrv_lsu_fir_error[1]),
+	.A2(brqrv_top_brqrv_lsu_fir_error[0]),
+	.B1(brqrv_top_brqrv_dec_tlu_take_ext_int_start_d3),
+	.Y(n_31829), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635884 (
+	.A(n_31730),
+	.B(brqrv_top_brqrv_dec_tlu_take_ext_int_start_d3),
+	.Y(n_33840), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g635885 (
+	.A_N(n_31751),
+	.B(brqrv_top_brqrv_dec_tlu_mfdht[1]),
+	.Y(n_31828), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g635886 (
+	.A(n_28876),
+	.B(n_31722),
+	.Y(n_31827), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635887 (
+	.A(n_31754),
+	.B(n_31678),
+	.Y(n_31826), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g635888 (
+	.A(n_35627),
+	.B(n_31717),
+	.Y(n_31823), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g635889 (
+	.A_N(n_31722),
+	.B(brqrv_top_brqrv_lsu_lsu_pkt_r[9]),
+	.Y(n_31822), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635890 (
+	.A(n_8267),
+	.B(brqrv_top_brqrv_lsu_lsu_pkt_r[9]),
+	.Y(n_35124), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635891 (
+	.A(n_31707),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_m[12]),
+	.Y(n_35513), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635892 (
+	.A(n_31707),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_m[7]),
+	.Y(n_35516), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635893 (
+	.A(n_31707),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_m[6]),
+	.Y(n_35517), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635894 (
+	.A(n_31707),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_m[9]),
+	.Y(n_35515), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635895 (
+	.A(n_31707),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_m[16]),
+	.Y(n_34876), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635896 (
+	.A(n_31707),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_m[14]),
+	.Y(n_35512), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635897 (
+	.A(n_31707),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_m[15]),
+	.Y(n_35511), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635898 (
+	.A(n_31707),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_m[17]),
+	.Y(n_34910), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635899 (
+	.A(n_31707),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_m[4]),
+	.Y(n_35518), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635900 (
+	.A(n_31707),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_m[25]),
+	.Y(n_35522), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635901 (
+	.A(n_31707),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_m[22]),
+	.Y(n_34884), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635902 (
+	.A(n_31707),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_m[23]),
+	.Y(n_34883), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635903 (
+	.A(n_31707),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_m[31]),
+	.Y(n_35520), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635904 (
+	.A(n_31707),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_m[11]),
+	.Y(n_34877), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635905 (
+	.A(n_31707),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_m[3]),
+	.Y(n_35519), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635906 (
+	.A(n_31707),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_m[26]),
+	.Y(n_34882), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635907 (
+	.A(n_31707),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_m[29]),
+	.Y(n_34906), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g635908 (
+	.A(brqrv_top_brqrv_lsu_lsu_pkt_r[11]),
+	.B(brqrv_top_brqrv_lsu_stbuf_n_1476),
+	.Y(n_28877), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g635909 (
+	.A(FE_DBTN2_n_35618),
+	.B(n_31721),
+	.Y(n_31820), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635910 (
+	.A(n_31707),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_m[8]),
+	.Y(n_34914), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635911 (
+	.A(n_31707),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_m[30]),
+	.Y(n_34904), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635912 (
+	.A(n_31707),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_m[24]),
+	.Y(n_35523), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635913 (
+	.A(n_31707),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_m[28]),
+	.Y(n_34880), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635914 (
+	.A(n_31707),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_m[5]),
+	.Y(n_34916), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635915 (
+	.A(n_31707),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_m[2]),
+	.Y(n_34879), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635916 (
+	.A(n_31707),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_m[27]),
+	.Y(n_34881), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635917 (
+	.A(n_31707),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_m[20]),
+	.Y(n_34885), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635918 (
+	.A(n_31707),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_m[18]),
+	.Y(n_34886), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635919 (
+	.A(n_31707),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_m[19]),
+	.Y(n_35524), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635920 (
+	.A(n_31707),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_m[21]),
+	.Y(n_34908), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635921 (
+	.A(n_31707),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_m[13]),
+	.Y(n_34912), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635922 (
+	.A(n_31707),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_m[10]),
+	.Y(n_34878), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635923 (
+	.A(n_31714),
+	.B(FE_DBTN2_n_35618),
+	.Y(n_31818), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g635924 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[0]),
+	.B_N(n_31725),
+	.Y(n_31816), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g635925 (
+	.A_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[6]),
+	.B(n_31728),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_3467), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g635926 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[3]),
+	.B_N(n_31757),
+	.Y(n_31814), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g635927 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[9]),
+	.B_N(n_31727),
+	.Y(n_31813), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g635928 (
+	.A(n_31797),
+	.Y(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U1_Plus1_0.lsu_ld_datafn_corr_r [15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g635929 (
+	.A(n_31794),
+	.Y(n_31795), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g635930 (
+	.A(n_31793),
+	.Y(n_31792), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g635933 (
+	.A(n_31789),
+	.Y(brqrv_top_brqrv_dbg_cmd_addr[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g635934 (
+	.A(n_31787),
+	.Y(brqrv_top_brqrv_dbg_cmd_addr[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g635935 (
+	.A(n_31786),
+	.Y(brqrv_top_brqrv_dbg_cmd_addr[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g635937 (
+	.A(n_31782),
+	.Y(n_31783), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g635938 (
+	.A(n_31781),
+	.Y(brqrv_top_brqrv_dbg_cmd_addr[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g635943 (
+	.A(n_31645),
+	.Y(n_31664), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_6 g635945 (
+	.A(n_35590),
+	.Y(n_31779), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g635946 (
+	.A_N(brqrv_top_brqrv_dec_tlu_debug_halt_req_d1),
+	.B(brqrv_top_brqrv_dec_tlu_lsu_idle_any_f),
+	.C(brqrv_top_brqrv_dec_tlu_ifu_miss_state_idle_f),
+	.Y(n_31778), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4b_1 g635947 (
+	.A(brqrv_top_brqrv_dec_tlu_dbg_halted),
+	.B(brqrv_top_brqrv_dec_tlu_interrupt_valid_r_d1),
+	.C(brqrv_top_brqrv_dec_tlu_dec_tlu_pmu_fw_halted),
+	.D_N(brqrv_top_brqrv_dec_tlu_halt_taken_f),
+	.Y(n_31777), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g635948 (
+	.A1_N(FE_DBTN14_n_11528),
+	.A2_N(n_38078),
+	.B1(FE_DBTN14_n_11528),
+	.B2(n_38078),
+	.Y(brqrv_top_brqrv_ifu_i0_pc[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g635949 (
+	.A_N(n_31721),
+	.B(n_31755),
+	.Y(n_31776), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g635950 (
+	.A1_N(brqrv_top_brqrv_ifu_aln_q0off),
+	.A2_N(FE_DBTN8_n_11566),
+	.B1(n_31684),
+	.B2(n_11556),
+	.Y(n_31775), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g635951 (
+	.A(n_34819),
+	.B(n_28854),
+	.Y(n_402475_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g635952 (
+	.A1(brqrv_top_brqrv_lsu_lsu_ld_data_corr_r[0]),
+	.A2(n_17484),
+	.B1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r[0]),
+	.B2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_addr_external_r),
+	.X(n_31774), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635954 (
+	.A1(brqrv_top_brqrv_lsu_fir_addr[11]),
+	.A2(n_17484),
+	.B1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r[11]),
+	.B2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_addr_external_r),
+	.Y(n_31773), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g635955 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_sideeffect),
+	.B(brqrv_top_brqrv_dec_tlu_wb_coalescing_disable),
+	.C_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_write),
+	.Y(n_31772), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635956 (
+	.A(n_33309),
+	.B(n_33310),
+	.Y(n_31771), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635957 (
+	.A(n_28854),
+	.B(n_28859),
+	.Y(n_34067), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g635958 (
+	.A1(n_31672),
+	.A2(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[1]),
+	.B1(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[3]),
+	.X(n_31770), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g635959 (
+	.A1(brqrv_top_brqrv_dec_tlu_dcsr[2]),
+	.A2(n_35610),
+	.B1(brqrv_top_brqrv_dec_tlu_debug_mode),
+	.Y(n_31769), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g635960 (
+	.A1(n_35597),
+	.A2(n_31690),
+	.B1(n_35616),
+	.Y(n_31768), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g635961 (
+	.A1(n_35619),
+	.A2(n_35621),
+	.B1(n_35534),
+	.Y(n_31767), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g635964 (
+	.A(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[12]),
+	.B(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[14]),
+	.C(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[13]),
+	.Y(n_31764), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g635965 (
+	.A_N(n_35578),
+	.B(brqrv_top_brqrv_dec_tlu_mtdata1_t3[9]),
+	.C(brqrv_top_brqrv_dec_tlu_mtdata1_t3[6]),
+	.Y(n_31763), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g635967 (
+	.A(brqrv_top_brqrv_dec_tlu_mtdata1_t2[5]),
+	.B(n_43200),
+	.C_N(brqrv_top_brqrv_dec_tlu_mtdata1_t2[6]),
+	.Y(n_31761), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635968 (
+	.A1(brqrv_top_brqrv_lsu_fir_addr[15]),
+	.A2(n_17484),
+	.B1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r[15]),
+	.B2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_addr_external_r),
+	.Y(n_31797), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g635969 (
+	.A1(n_12030),
+	.A2(brqrv_top_brqrv_dbg_data1_reg[8]),
+	.B1(n_36371),
+	.B2(brqrv_top_brqrv_dbg_command_reg[8]),
+	.X(brqrv_top_brqrv_dbg_cmd_addr[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g635970 (
+	.A1(brqrv_top_brqrv_lsu_fir_addr[7]),
+	.A2(n_17484),
+	.B1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r[7]),
+	.B2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_addr_external_r),
+	.X(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U1_Plus1_0.lsu_ld_datafn_corr_r [7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635972 (
+	.A(n_28854),
+	.B(n_34819),
+	.Y(n_34068), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g635974 (
+	.A(brqrv_top_brqrv_dec_tlu_halt_taken_f),
+	.B(brqrv_top_brqrv_dec_tlu_dec_tlu_flush_noredir_r_d1),
+	.C(n_31758),
+	.X(n_31796), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g635975 (
+	.A(brqrv_top_brqrv_dec_tlu_reset_detected),
+	.B(brqrv_top_brqrv_dec_tlu_reset_detect),
+	.X(brqrv_top_brqrv_dec_tlu_reset_delayed), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g635976 (
+	.A_N(n_31718),
+	.B(brqrv_top_brqrv_dec_tlu_mfdht[2]),
+	.Y(n_31794), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g635977 (
+	.A1(brqrv_top_brqrv_dec_tlu_dcsr[11]),
+	.A2(n_31681),
+	.B1(brqrv_top_brqrv_dec_tlu_mstatus[0]),
+	.Y(brqrv_top_brqrv_dec_tlu_mstatus_mie_ns), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g635978 (
+	.A1(FE_DBTN14_n_11528),
+	.A2(n_38096),
+	.B1(n_38065),
+	.B2(n_11528),
+	.X(brqrv_top_brqrv_ifu_i0_pc[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g635979 (
+	.A(FE_DBTN5_n_35627),
+	.B(n_31717),
+	.Y(n_31793), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g635980 (
+	.A_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[0]),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[2]),
+	.C(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[1]),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_7535), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g635981 (
+	.A_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[6]),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[8]),
+	.C(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[7]),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_7543), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g635982 (
+	.A_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[3]),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[5]),
+	.C(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[4]),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_7539), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g635983 (
+	.A_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[9]),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[11]),
+	.C(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[10]),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_7547), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g635984 (
+	.A1(FE_DBTN14_n_11528),
+	.A2(n_38092),
+	.B1(n_38061),
+	.B2(n_11528),
+	.X(brqrv_top_brqrv_ifu_i0_pc[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g635985 (
+	.A1(FE_DBTN14_n_11528),
+	.A2(n_38085),
+	.B1(n_38054),
+	.B2(n_11528),
+	.X(brqrv_top_brqrv_ifu_i0_pc[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g635986 (
+	.A1(n_38091),
+	.A2(FE_DBTN14_n_11528),
+	.B1(n_38060),
+	.B2(n_11528),
+	.X(brqrv_top_brqrv_ifu_i0_pc[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g635987 (
+	.A1(FE_DBTN14_n_11528),
+	.A2(n_38100),
+	.B1(n_38069),
+	.B2(n_11528),
+	.X(brqrv_top_brqrv_ifu_i0_pc[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g635988 (
+	.A1(n_38079),
+	.A2(FE_DBTN14_n_11528),
+	.B1(n_38048),
+	.B2(n_11528),
+	.X(brqrv_top_brqrv_ifu_i0_pc[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g635989 (
+	.A1(FE_DBTN14_n_11528),
+	.A2(n_38084),
+	.B1(n_38053),
+	.B2(n_11528),
+	.X(brqrv_top_brqrv_ifu_i0_pc[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g635990 (
+	.A1(FE_DBTN14_n_11528),
+	.A2(n_38094),
+	.B1(n_38063),
+	.B2(n_11528),
+	.X(brqrv_top_brqrv_ifu_i0_pc[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g635991 (
+	.A1(n_38090),
+	.A2(FE_DBTN14_n_11528),
+	.B1(n_38059),
+	.B2(n_11528),
+	.X(brqrv_top_brqrv_ifu_i0_pc[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g635992 (
+	.A1(FE_DBTN14_n_11528),
+	.A2(n_38097),
+	.B1(n_38066),
+	.B2(n_11528),
+	.X(brqrv_top_brqrv_ifu_i0_pc[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g635993 (
+	.A1(FE_DBTN14_n_11528),
+	.A2(n_38083),
+	.B1(n_38052),
+	.B2(n_11528),
+	.X(brqrv_top_brqrv_ifu_i0_pc[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g635994 (
+	.A1(FE_DBTN14_n_11528),
+	.A2(n_38086),
+	.B1(n_38055),
+	.B2(n_11528),
+	.X(brqrv_top_brqrv_ifu_i0_pc[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g635995 (
+	.A1(FE_DBTN14_n_11528),
+	.A2(n_38087),
+	.B1(n_38056),
+	.B2(n_11528),
+	.X(brqrv_top_brqrv_ifu_i0_pc[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g635996 (
+	.A1(n_38081),
+	.A2(FE_DBTN14_n_11528),
+	.B1(n_38050),
+	.B2(n_11528),
+	.X(brqrv_top_brqrv_ifu_i0_pc[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g635997 (
+	.A1(FE_DBTN14_n_11528),
+	.A2(n_38082),
+	.B1(n_38051),
+	.B2(n_11528),
+	.X(brqrv_top_brqrv_ifu_i0_pc[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g635998 (
+	.A1(FE_DBTN14_n_11528),
+	.A2(n_38089),
+	.B1(n_38058),
+	.B2(n_11528),
+	.X(brqrv_top_brqrv_ifu_i0_pc[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g635999 (
+	.A1(FE_DBTN14_n_11528),
+	.A2(n_38098),
+	.B1(n_38067),
+	.B2(n_11528),
+	.X(brqrv_top_brqrv_ifu_i0_pc[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g636000 (
+	.A1(FE_DBTN14_n_11528),
+	.A2(n_38095),
+	.B1(n_38064),
+	.B2(n_11528),
+	.X(brqrv_top_brqrv_ifu_i0_pc[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g636001 (
+	.A1(n_12030),
+	.A2(brqrv_top_brqrv_dbg_data1_reg[1]),
+	.B1(n_36371),
+	.B2(brqrv_top_brqrv_dbg_command_reg[1]),
+	.Y(n_31789), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g636002 (
+	.A(n_31753),
+	.B(n_31649),
+	.Y(n_31788), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g636003 (
+	.A1(n_12030),
+	.A2(brqrv_top_brqrv_dbg_data1_reg[3]),
+	.B1(n_36371),
+	.B2(brqrv_top_brqrv_dbg_command_reg[3]),
+	.Y(n_31787), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g636004 (
+	.A1(n_12030),
+	.A2(brqrv_top_brqrv_dbg_data1_reg[0]),
+	.B1(n_36371),
+	.B2(brqrv_top_brqrv_dbg_command_reg[0]),
+	.Y(n_31786), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g636005 (
+	.A1(brqrv_top_brqrv_dec_tlu_mfdht[1]),
+	.A2(brqrv_top_brqrv_dec_tlu_mfdht[2]),
+	.B1(brqrv_top_brqrv_dec_tlu_mfdht[3]),
+	.Y(n_31785), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g636006 (
+	.A(n_31750),
+	.B(FE_DBTN5_n_35627),
+	.Y(n_31784), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g636007 (
+	.A(n_31717),
+	.B(n_31715),
+	.Y(n_31782), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g636008 (
+	.A1(n_12030),
+	.A2(brqrv_top_brqrv_dbg_data1_reg[4]),
+	.B1(n_36371),
+	.B2(brqrv_top_brqrv_dbg_command_reg[4]),
+	.Y(n_31781), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g636009 (
+	.A1(FE_DBTN14_n_11528),
+	.A2(n_38093),
+	.B1(n_38062),
+	.B2(n_11528),
+	.X(brqrv_top_brqrv_ifu_i0_pc[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g636010 (
+	.A1(FE_DBTN14_n_11528),
+	.A2(n_38099),
+	.B1(n_38068),
+	.B2(n_11528),
+	.X(brqrv_top_brqrv_ifu_i0_pc[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g636011 (
+	.A1(FE_DBTN14_n_11528),
+	.A2(n_38088),
+	.B1(n_38057),
+	.B2(n_11528),
+	.X(brqrv_top_brqrv_ifu_i0_pc[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g636012 (
+	.A(brqrv_top_brqrv_ifu_aln_uncompress0[1]),
+	.B(n_35609),
+	.Y(n_31780), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g636013 (
+	.A1(n_31697),
+	.A2(n_34817),
+	.B1(n_31683),
+	.B2(n_34818),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g636014 (
+	.A(n_31736),
+	.B(FE_DBTN5_n_35627),
+	.Y(n_31645), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_4 g636015 (
+	.A(brqrv_top_brqrv_ifu_aln_uncompress0[1]),
+	.B(n_35609),
+	.Y(n_35590), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g636017 (
+	.A(n_31754),
+	.Y(n_31753), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g636018 (
+	.A(n_31752),
+	.Y(n_35121), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g636019 (
+	.A(n_31749),
+	.Y(n_31750), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g636021 (
+	.A(n_35609),
+	.Y(brqrv_top_brqrv_dec_dec_debug_valid_d), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g636022 (
+	.A(n_31745),
+	.Y(n_35570), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g636023 (
+	.A(n_31744),
+	.Y(n_35571), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g636024 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timer1_int_hold_f),
+	.B(brqrv_top_brqrv_dec_tlu_mip[3]),
+	.Y(n_31743), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g636026 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_is_sideeffects_r),
+	.B(brqrv_top_brqrv_dec_tlu_wb_coalescing_disable),
+	.Y(n_31742), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g636027 (
+	.A(brqrv_top_brqrv_dec_dec_csr_wraddr_r[7]),
+	.B(brqrv_top_brqrv_dec_decode_r_d[19]),
+	.Y(n_31741), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g636030 (
+	.A(FE_DBTN5_n_35627),
+	.B(FE_DBTN3_n_35605),
+	.Y(n_31738), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g636031 (
+	.A_N(brqrv_top_brqrv_dec_tlu_ext_int_freeze_d1),
+	.B(brqrv_top_brqrv_dec_tlu_dec_pause_state_f),
+	.Y(n_31737), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g636032 (
+	.A(n_35596),
+	.B(n_35607),
+	.Y(n_31736), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g636033 (
+	.A(brqrv_top_brqrv_dec_tlu_take_ext_int_start_d1),
+	.B(brqrv_top_brqrv_dec_tlu_dec_tlu_flush_pause_r_d1),
+	.Y(n_31735), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g636034 (
+	.A_N(n_35615),
+	.B(n_35514),
+	.Y(n_31734), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g636035 (
+	.A(n_35603),
+	.B(n_35587),
+	.Y(n_31733), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g636036 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_WrPtr1_r[1]),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_WrPtr1_r[0]),
+	.Y(n_31732), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g636038 (
+	.A_N(brqrv_top_brqrv_dec_tlu_take_nmi_r_d1),
+	.B(brqrv_top_brqrv_dec_tlu_nmi_int_detected_f),
+	.Y(n_33314), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g636039 (
+	.A(brqrv_top_brqrv_dec_tlu_ebreak_to_debug_mode_r_d1),
+	.B(brqrv_top_brqrv_dec_tlu_trigger_hit_dmode_r_d1),
+	.X(brqrv_top_brqrv_dec_tlu_debug_brkpt_valid), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g636040 (
+	.A_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_tag[1]),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_tag[0]),
+	.Y(n_34709), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g636041 (
+	.A(brqrv_top_brqrv_lsu_fir_error[0]),
+	.B(brqrv_top_brqrv_lsu_fir_error[1]),
+	.Y(n_31730), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g636042 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_tag[0]),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_tag[1]),
+	.X(n_34708), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g636043 (
+	.A(brqrv_top_brqrv_lsu_lsu_pkt_m[10]),
+	.B(brqrv_top_brqrv_lsu_lsu_pkt_m[9]),
+	.X(brqrv_top_brqrv_lsu_bus_intf_ldst_byteen_m[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g636044 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_tag[1]),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_tag[0]),
+	.Y(n_34640), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g636045 (
+	.A(\brqrv_top_brqrv_dec_tlu_freeff_dff_dout[3]_63 ),
+	.B(n_203),
+	.Y(n_31759), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g636046 (
+	.A(n_31696),
+	.B(brqrv_top_brqrv_lsu_lsu_pkt_r[0]),
+	.Y(n_33866), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g636047 (
+	.A(brqrv_top_brqrv_dec_tlu_pmu_fw_halt_req_f),
+	.B(brqrv_top_brqrv_dec_dec_tlu_debug_stall),
+	.Y(n_31758), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g636048 (
+	.A_N(\brqrv_top_brqrv_dec_tlu_freeff_dff_dout[3]_63 ),
+	.B(brqrv_top_brqrv_dec_decode_r_t[0]),
+	.Y(n_33305), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g636049 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[4]),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[5]),
+	.Y(n_31757), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g636050 (
+	.A(brqrv_top_brqrv_dec_tlu_mfdht[4]),
+	.B(brqrv_top_brqrv_dec_tlu_mfdht[5]),
+	.Y(n_31756), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g636051 (
+	.A_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_WrPtr1_r[1]),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_WrPtr1_r[0]),
+	.Y(n_34953), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g636052 (
+	.A_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_WrPtr1_r[0]),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_WrPtr1_r[1]),
+	.Y(n_34952), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g636053 (
+	.A(n_35627),
+	.B(n_35588),
+	.Y(n_31755), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g636054 (
+	.A(brqrv_top_brqrv_dec_tlu_mfdht[2]),
+	.B(brqrv_top_brqrv_dec_tlu_mfdht[3]),
+	.Y(n_31754), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g636055 (
+	.A_N(brqrv_top_brqrv_dec_tlu_dcsr_single_step_done_f),
+	.B(brqrv_top_brqrv_dec_tlu_dcsr_single_step_running_f),
+	.Y(n_35476), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g636056 (
+	.A_N(n_35577),
+	.B(n_35530),
+	.Y(n_35574), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g636057 (
+	.A(brqrv_top_brqrv_dec_decode_r_d[13]),
+	.B(brqrv_top_brqrv_dec_decode_r_d[14]),
+	.Y(n_31752), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g636058 (
+	.A(brqrv_top_brqrv_dec_tlu_mfdht[3]),
+	.B(brqrv_top_brqrv_dec_tlu_mfdht[2]),
+	.Y(n_31751), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g636059 (
+	.A(n_31682),
+	.B(n_35605),
+	.Y(n_31749), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g636060 (
+	.A_N(brqrv_top_brqrv_dec_decode_r_d[20]),
+	.B(brqrv_top_brqrv_dec_decode_r_d[11]),
+	.Y(n_35117), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g636061 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_r[0]),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_r[1]),
+	.X(n_33999), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g636062 (
+	.A(n_35607),
+	.B(n_42071),
+	.Y(n_31748), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g636063 (
+	.A(brqrv_top_brqrv_lsu_lsu_busreq_r),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_r[4]),
+	.Y(n_34830), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g636064 (
+	.A(brqrv_top_brqrv_lsu_lsu_busreq_r),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_r[15]),
+	.Y(n_35485), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g636065 (
+	.A(brqrv_top_brqrv_lsu_lsu_busreq_r),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_r[16]),
+	.Y(n_35492), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g636066 (
+	.A(brqrv_top_brqrv_lsu_lsu_busreq_r),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_r[18]),
+	.Y(n_34837), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g636067 (
+	.A(brqrv_top_brqrv_lsu_lsu_busreq_r),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_r[17]),
+	.Y(n_34911), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g636068 (
+	.A(brqrv_top_brqrv_dec_decode_r_d[21]),
+	.B(brqrv_top_brqrv_dec_decode_r_d[0]),
+	.Y(n_33833), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g636069 (
+	.A(brqrv_top_brqrv_lsu_lsu_busreq_r),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_r[21]),
+	.Y(n_34909), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g636070 (
+	.A(brqrv_top_brqrv_lsu_lsu_busreq_r),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_r[14]),
+	.Y(n_34829), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g636071 (
+	.A(brqrv_top_brqrv_lsu_lsu_busreq_r),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_r[6]),
+	.Y(n_34831), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g636072 (
+	.A(brqrv_top_brqrv_lsu_lsu_busreq_r),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_r[30]),
+	.Y(n_34905), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g636073 (
+	.A(brqrv_top_brqrv_lsu_lsu_busreq_r),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_r[3]),
+	.Y(n_35491), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g636074 (
+	.A(brqrv_top_brqrv_lsu_lsu_busreq_r),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_r[20]),
+	.Y(n_35486), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g636075 (
+	.A(brqrv_top_brqrv_lsu_lsu_busreq_r),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_r[5]),
+	.Y(n_34918), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g636076 (
+	.A(brqrv_top_brqrv_lsu_lsu_busreq_r),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_r[25]),
+	.Y(n_34891), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g636077 (
+	.A(brqrv_top_brqrv_lsu_lsu_busreq_r),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_r[10]),
+	.Y(n_34835), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g636078 (
+	.A(brqrv_top_brqrv_lsu_lsu_busreq_r),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_r[27]),
+	.Y(n_35490), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_2 g636079 (
+	.A_N(n_35632),
+	.B(n_36371),
+	.Y(n_35609), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g636080 (
+	.A(n_31693),
+	.B(n_11517),
+	.X(n_31745), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g636081 (
+	.A(n_31693),
+	.B(FE_DBTN9_n_11562),
+	.X(n_31744), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g636087 (
+	.A(n_31719),
+	.Y(n_31720), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g636088 (
+	.A(n_31715),
+	.Y(n_31714), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g636089 (
+	.A(n_28876),
+	.Y(brqrv_top_brqrv_lsu_stbuf_n_1476), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g636090 (
+	.A(n_35459),
+	.Y(n_28874), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g636094 (
+	.A(n_31661),
+	.Y(n_31662), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g636097 (
+	.A(n_33324),
+	.Y(n_8267), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g636099 (
+	.A(n_31707),
+	.Y(n_34917), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g636100 (
+	.A(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[11]),
+	.B(n_31672),
+	.Y(n_31706), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g636101 (
+	.A(brqrv_top_brqrv_dec_tlu_mfdht[2]),
+	.B_N(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[17]),
+	.Y(n_31705), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g636102 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_valid),
+	.B(n_1885),
+	.Y(n_31704), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g636103 (
+	.A(brqrv_top_brqrv_lsu_lsu_pkt_m[7]),
+	.B(brqrv_top_brqrv_lsu_lsu_pkt_m[6]),
+	.Y(n_31703), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g636104 (
+	.A(brqrv_top_brqrv_dec_decode_r_d[20]),
+	.B(brqrv_top_brqrv_dec_dec_tlu_i0_kill_writeb_wb),
+	.Y(n_31729), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g636105 (
+	.A(brqrv_top_brqrv_lsu_lsu_pkt_r[7]),
+	.B(brqrv_top_brqrv_lsu_lsu_pkt_r[6]),
+	.Y(n_31702), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g636106 (
+	.A(n_33909),
+	.B_N(brqrv_top_brqrv_ifu_aln_f1val[0]),
+	.Y(n_31701), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g636108 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[7]),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[8]),
+	.Y(n_31728), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g636109 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_WrPtr1_r[0]),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_WrPtr1_r[1]),
+	.X(n_34207), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g636110 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_timer[1]),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_timer[2]),
+	.Y(n_34161), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g636111 (
+	.A_N(brqrv_top_brqrv_lsu_lsu_pkt_m[4]),
+	.B(brqrv_top_brqrv_lsu_lsu_pkt_m[0]),
+	.Y(n_33310), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g636112 (
+	.A_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_tag[0]),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_tag[1]),
+	.Y(n_34599), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g636113 (
+	.A(n_35610),
+	.B(brqrv_top_brqrv_dec_tlu_dbg_halted),
+	.Y(n_35463), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g636114 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[10]),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[11]),
+	.Y(n_31727), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g636116 (
+	.A_N(brqrv_top_brqrv_lsu_lsu_pkt_r[5]),
+	.B(brqrv_top_brqrv_lsu_lsu_pkt_r[10]),
+	.Y(n_33301), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 g636117 (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_access_fault_m),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_misaligned_fault_m),
+	.X(brqrv_top_brqrv_lsu_lsu_exc_m), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g636118 (
+	.A(brqrv_top_brqrv_lsu_lsu_busreq_r),
+	.B(n_35662),
+	.Y(n_33857), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g636119 (
+	.A_N(brqrv_top_brqrv_lsu_lsu_pkt_r[4]),
+	.B(brqrv_top_brqrv_lsu_lsu_pkt_r[0]),
+	.Y(n_33309), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g636120 (
+	.A(brqrv_top_brqrv_lsu_lsu_pkt_r[11]),
+	.B(brqrv_top_brqrv_lsu_lsu_pkt_r[10]),
+	.Y(n_31726), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g636121 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[1]),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[2]),
+	.Y(n_31725), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g636123 (
+	.A_N(\brqrv_top_brqrv_dec_tlu_freeff_dff_dout[3]_63 ),
+	.B(brqrv_top_brqrv_dec_decode_r_t[2]),
+	.Y(n_35475), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g636124 (
+	.A(brqrv_top_brqrv_dec_decode_r_d[9]),
+	.B(brqrv_top_brqrv_dec_decode_r_d[12]),
+	.Y(n_31723), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g636125 (
+	.A(brqrv_top_brqrv_lsu_lsu_busreq_r),
+	.B(brqrv_top_brqrv_lsu_lsu_pkt_r[6]),
+	.Y(n_31722), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g636126 (
+	.A(n_35607),
+	.B(n_35596),
+	.Y(n_31721), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g636127 (
+	.A(n_35616),
+	.B(n_35619),
+	.Y(n_31719), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g636128 (
+	.A(brqrv_top_brqrv_dec_tlu_mfdht[4]),
+	.B(brqrv_top_brqrv_dec_tlu_mfdht[3]),
+	.Y(n_31718), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g636129 (
+	.A(brqrv_top_brqrv_dec_decode_r_d[18]),
+	.B(brqrv_top_brqrv_dec_decode_r_d[0]),
+	.Y(brqrv_top_brqrv_dec_dec_csr_wraddr_r[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g636130 (
+	.A(n_35596),
+	.B(n_35618),
+	.Y(n_31717), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g636131 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_r[1]),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_r[0]),
+	.Y(n_34031), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g636132 (
+	.A(n_1856),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_r[0]),
+	.Y(n_33990), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g636134 (
+	.A(brqrv_top_brqrv_dec_tlu_mfdht[5]),
+	.B(brqrv_top_brqrv_dec_tlu_mfdht[4]),
+	.Y(n_31716), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g636135 (
+	.A(brqrv_top_brqrv_lsu_lsu_busreq_r),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_r[22]),
+	.Y(n_34838), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g636136 (
+	.A(brqrv_top_brqrv_lsu_lsu_busreq_r),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_r[23]),
+	.Y(n_34836), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g636137 (
+	.A(n_31673),
+	.B(n_35627),
+	.Y(n_31715), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g636138 (
+	.A(brqrv_top_brqrv_lsu_lsu_pkt_r[9]),
+	.B(brqrv_top_brqrv_lsu_lsu_pkt_r[10]),
+	.Y(n_28876), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g636139 (
+	.A(FE_DBTN14_n_11528),
+	.B(brqrv_top_brqrv_ifu_aln_f0val[1]),
+	.Y(n_35459), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g636140 (
+	.A(brqrv_top_brqrv_lsu_lsu_busreq_r),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_r[0]),
+	.Y(n_34819), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g636141 (
+	.A(n_35605),
+	.B(n_31673),
+	.Y(n_31661), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g636142 (
+	.A(brqrv_top_brqrv_lsu_lsu_busreq_r),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_r[29]),
+	.Y(n_34907), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g636143 (
+	.A(brqrv_top_brqrv_lsu_lsu_busreq_r),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_r[11]),
+	.Y(n_34832), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g636144 (
+	.A(brqrv_top_brqrv_lsu_lsu_busreq_r),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_r[9]),
+	.Y(n_34833), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g636145 (
+	.A(brqrv_top_brqrv_lsu_lsu_busreq_r),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_r[7]),
+	.Y(n_35487), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g636146 (
+	.A(brqrv_top_brqrv_lsu_lsu_busreq_r),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_r[13]),
+	.Y(n_34913), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g636147 (
+	.A(brqrv_top_brqrv_lsu_lsu_busreq_r),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_r[24]),
+	.Y(n_35494), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g636148 (
+	.A(brqrv_top_brqrv_lsu_lsu_busreq_r),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_r[8]),
+	.Y(n_34915), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g636149 (
+	.A(brqrv_top_brqrv_lsu_lsu_busreq_r),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_r[28]),
+	.Y(n_35484), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g636150 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_r[1]),
+	.B(brqrv_top_brqrv_lsu_lsu_busreq_r),
+	.Y(n_34892), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g636151 (
+	.A(brqrv_top_brqrv_lsu_lsu_busreq_r),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_r[19]),
+	.Y(n_35483), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g636152 (
+	.A(brqrv_top_brqrv_lsu_lsu_busreq_r),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_r[12]),
+	.Y(n_35488), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g636153 (
+	.A(brqrv_top_brqrv_lsu_lsu_busreq_r),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_r[31]),
+	.Y(n_34890), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g636154 (
+	.A(brqrv_top_brqrv_lsu_lsu_busreq_r),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_r[2]),
+	.Y(n_34839), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g636155 (
+	.A(brqrv_top_brqrv_lsu_lsu_busreq_r),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_r[26]),
+	.Y(n_34834), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g636156 (
+	.A(brqrv_top_brqrv_dec_decode_r_d[1]),
+	.B(brqrv_top_brqrv_dec_decode_r_d[3]),
+	.Y(n_33324), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g636157 (
+	.A(n_11566),
+	.B(n_33909),
+	.Y(n_1967), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g636158 (
+	.A(brqrv_top_brqrv_lsu_addr_external_m),
+	.B(brqrv_top_brqrv_lsu_lsu_pkt_m[0]),
+	.X(n_31707), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g636160 (
+	.A(n_35531),
+	.Y(n_31700), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g636161 (
+	.A(n_35567),
+	.Y(n_31699), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g636162 (
+	.A(brqrv_top_brqrv_n_578),
+	.Y(n_31698), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g636163 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_r[19]),
+	.Y(n_31697), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g636166 (
+	.A(n_36411),
+	.Y(n_31696), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g636173 (
+	.A(n_33909),
+	.Y(n_31693), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g636176 (
+	.A(n_35619),
+	.Y(n_31690), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g636177 (
+	.A(brqrv_top_brqrv_ifu_aln_uncompress0[1]),
+	.Y(n_31689), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g636179 (
+	.A(brqrv_top_brqrv_dec_tlu_mfdht[4]),
+	.Y(n_31688), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g636180 (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_addr_external_r),
+	.Y(n_17484), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g636183 (
+	.A(brqrv_top_brqrv_ifu_aln_q2off),
+	.Y(n_31684), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g636184 (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[19]),
+	.Y(n_31683), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g636186 (
+	.A(n_35594),
+	.Y(n_31682), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g636187 (
+	.A(brqrv_top_brqrv_dec_tlu_dcsr_single_step_running_f),
+	.Y(n_31681), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g636188 (
+	.A(n_35599),
+	.Y(n_31680), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g636190 (
+	.A(brqrv_top_brqrv_dec_tlu_mfdht[1]),
+	.Y(n_31678), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g636194 (
+	.A(brqrv_top_brqrv_dec_dec_tlu_debug_stall),
+	.Y(n_8239), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g636198 (
+	.A(n_35607),
+	.Y(n_31673), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g636199 (
+	.A(brqrv_top_brqrv_dec_tlu_mfdht[2]),
+	.Y(n_31672), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g636203 (
+	.A(brqrv_top_brqrv_dec_tlu_mfdht[5]),
+	.Y(n_31670), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_0 g636206 (
+	.A(n_35551),
+	.B(n_32441),
+	.X(n_31660), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g636207 (
+	.A(n_31652),
+	.B(n_32026),
+	.X(n_31659), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_4 g636208 (
+	.A_N(n_32502),
+	.B(n_31971),
+	.Y(n_34870), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g636209 (
+	.A_N(n_32476),
+	.B(n_32500),
+	.Y(n_33842), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g636210 (
+	.A_N(n_43097),
+	.B(n_32500),
+	.Y(n_33839), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_0 g636211 (
+	.A1(n_31829),
+	.A2(brqrv_top_brqrv_dec_tlu_ext_int_freeze_d1),
+	.B1_N(brqrv_top_brqrv_dec_tlu_nmi_int_detected),
+	.Y(n_31658), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g636212 (
+	.A(n_32440),
+	.B_N(n_35551),
+	.Y(n_260480_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g636213 (
+	.A(brqrv_top_brqrv_dec_decode_r_t[5]),
+	.B(n_203),
+	.C_N(n_32132),
+	.Y(brqrv_top_brqrv_dec_tlu_illegal_r), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g636214 (
+	.A(n_31738),
+	.B_N(n_31933),
+	.Y(n_31657), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g636216 (
+	.A(n_31780),
+	.B(n_31784),
+	.X(n_31656), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g636217 (
+	.A(n_32258),
+	.B_N(n_31662),
+	.Y(n_31655), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g636218 (
+	.A(n_31904),
+	.B_N(n_35529),
+	.Y(n_31654), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3b_1 g636219 (
+	.A(brqrv_top_brqrv_dec_decode_r_d[10]),
+	.B(brqrv_top_brqrv_dec_dec_csr_wraddr_r[8]),
+	.C_N(n_31723),
+	.X(n_35116), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g636220 (
+	.A_N(n_35624),
+	.B(n_32246),
+	.Y(n_31653), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g636221 (
+	.A_N(n_35622),
+	.B(n_32246),
+	.Y(n_31652), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g636222 (
+	.A(n_32048),
+	.B_N(brqrv_top_brqrv_dbg_cmd_write),
+	.Y(n_31651), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4b_1 g636223 (
+	.A_N(n_35602),
+	.B(n_31700),
+	.C(n_35601),
+	.D(n_35599),
+	.Y(n_31650), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g636224 (
+	.A_N(brqrv_top_brqrv_dec_tlu_mfdht[3]),
+	.B(n_31678),
+	.Y(n_31649), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4b_1 g636225 (
+	.A_N(brqrv_top_brqrv_dec_decode_r_t[1]),
+	.B(n_32132),
+	.C(n_31759),
+	.D(brqrv_top_brqrv_dec_decode_r_t[3]),
+	.Y(n_31648), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g636226 (
+	.A_N(n_35626),
+	.B(n_32246),
+	.Y(n_35505), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g636227 (
+	.A_N(brqrv_top_brqrv_lsu_lsu_addr_r[0]),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_r[1]),
+	.Y(n_35073), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g636228 (
+	.A1(n_35626),
+	.A2(n_35621),
+	.B1_N(n_35616),
+	.Y(n_31647), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g636229 (
+	.A_N(n_35596),
+	.B(n_35605),
+	.Y(n_31646), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g636230 (
+	.A_N(n_35621),
+	.B(n_32246),
+	.Y(n_35481), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g615207 (
+	.A1(n_34243),
+	.A2(n_34335),
+	.B1(n_35013),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[63]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g615208 (
+	.A1(n_34243),
+	.A2(n_34334),
+	.B1(n_34141),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[62]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g615209 (
+	.A1(n_34243),
+	.A2(n_34332),
+	.B1(n_35015),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[58]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g615210 (
+	.A1(n_34243),
+	.A2(n_35277),
+	.B1(n_35007),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[52]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g615211 (
+	.A1(n_34241),
+	.A2(n_35168),
+	.B1(n_34964),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[42]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g615212 (
+	.A1(n_35163),
+	.A2(n_35165),
+	.B1(n_34118),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g615213 (
+	.A1(n_35163),
+	.A2(n_35169),
+	.B1(n_34970),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g615214 (
+	.A1(n_34241),
+	.A2(n_35165),
+	.B1(n_34962),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[41]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g615215 (
+	.A1(n_34246),
+	.A2(n_34429),
+	.B1(n_35043),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g615216 (
+	.A1(n_34246),
+	.A2(n_34428),
+	.B1(n_35044),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g615217 (
+	.A1(n_34246),
+	.A2(n_34427),
+	.B1(n_35045),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g615218 (
+	.A1(n_34241),
+	.A2(n_35169),
+	.B1(n_34965),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[40]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g615219 (
+	.A1(n_34246),
+	.A2(n_34426),
+	.B1(n_35042),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g615220 (
+	.A1(n_34246),
+	.A2(n_34425),
+	.B1(n_35041),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g615221 (
+	.A1(n_34246),
+	.A2(n_35318),
+	.B1(n_35040),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g615222 (
+	.A1(n_34246),
+	.A2(n_35317),
+	.B1(n_35039),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g615223 (
+	.A1(n_35175),
+	.A2(n_34335),
+	.B1(n_35023),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[127]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g615224 (
+	.A1(n_34243),
+	.A2(n_35279),
+	.B1(n_34139),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[57]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g615225 (
+	.A1(n_34243),
+	.A2(n_35276),
+	.B1(n_35012),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[51]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g615226 (
+	.A1(n_35177),
+	.A2(n_34429),
+	.B1(n_35034),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[38]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g615227 (
+	.A1(n_35175),
+	.A2(n_34334),
+	.B1(n_35024),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[126]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g615228 (
+	.A1(n_35175),
+	.A2(n_35281),
+	.B1(n_35019),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[125]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g615229 (
+	.A1(n_35175),
+	.A2(n_35280),
+	.B1(n_35017),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[124]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g615230 (
+	.A1(n_35175),
+	.A2(n_34333),
+	.B1(n_35021),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[123]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g615231 (
+	.A1(n_35175),
+	.A2(n_34332),
+	.B1(n_34147),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[122]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g615232 (
+	.A1(n_35177),
+	.A2(n_34428),
+	.B1(n_35035),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[37]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g615233 (
+	.A1(n_35175),
+	.A2(n_35279),
+	.B1(n_35018),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[121]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g615234 (
+	.A1(n_35175),
+	.A2(n_35278),
+	.B1(n_35016),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[120]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g615235 (
+	.A1(n_35175),
+	.A2(n_34331),
+	.B1(n_34142),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[119]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g615236 (
+	.A1(n_35175),
+	.A2(n_34330),
+	.B1(n_34143),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[118]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g615237 (
+	.A1(n_35175),
+	.A2(n_34329),
+	.B1(n_34144),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[117]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g615238 (
+	.A1(n_34243),
+	.A2(n_35280),
+	.B1(n_35009),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[60]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g615239 (
+	.A1(n_34243),
+	.A2(n_35275),
+	.B1(n_35014),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[50]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g615240 (
+	.A1(n_35177),
+	.A2(n_34427),
+	.B1(n_35036),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[36]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g615241 (
+	.A1(n_35175),
+	.A2(n_35277),
+	.B1(n_34145),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[116]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g615242 (
+	.A1(n_35175),
+	.A2(n_35276),
+	.B1(n_35022),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[115]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g615243 (
+	.A1(n_35175),
+	.A2(n_35275),
+	.B1(n_35025),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[114]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g615244 (
+	.A1(n_35177),
+	.A2(n_34426),
+	.B1(n_35033),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[35]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g615245 (
+	.A1(n_35175),
+	.A2(n_35274),
+	.B1(n_35020),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[113]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g615246 (
+	.A1(n_35175),
+	.A2(n_34328),
+	.B1(n_34146),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[112]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g615247 (
+	.A1(n_35164),
+	.A2(n_35166),
+	.B1(n_34121),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[111]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g615248 (
+	.A1(n_34243),
+	.A2(n_35274),
+	.B1(n_35011),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[49]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g615249 (
+	.A1(n_35164),
+	.A2(n_35173),
+	.B1(n_34123),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[110]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g615250 (
+	.A1(n_35164),
+	.A2(n_35167),
+	.B1(n_34974),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[109]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g615251 (
+	.A1(n_35177),
+	.A2(n_34425),
+	.B1(n_35032),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[34]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g615252 (
+	.A1(n_35164),
+	.A2(n_35171),
+	.B1(n_34977),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[108]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g615253 (
+	.A1(n_35164),
+	.A2(n_35170),
+	.B1(n_34976),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[107]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g615254 (
+	.A1(n_35164),
+	.A2(n_35168),
+	.B1(n_34975),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[106]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g615255 (
+	.A1(n_34243),
+	.A2(n_35278),
+	.B1(n_35008),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[56]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g615256 (
+	.A1(n_35177),
+	.A2(n_35318),
+	.B1(n_35031),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[33]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g615257 (
+	.A1(n_35164),
+	.A2(n_35165),
+	.B1(n_34973),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[105]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g615258 (
+	.A1(n_35164),
+	.A2(n_35169),
+	.B1(n_34122),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[104]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g615259 (
+	.A1(n_34248),
+	.A2(n_34429),
+	.B1(n_34156),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[102]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g615260 (
+	.A1(n_34248),
+	.A2(n_34428),
+	.B1(n_34157),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[101]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g615261 (
+	.A1(n_34248),
+	.A2(n_34427),
+	.B1(n_34158),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[100]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g615262 (
+	.A1(n_34243),
+	.A2(n_34328),
+	.B1(n_34138),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[48]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g615263 (
+	.A1(n_35177),
+	.A2(n_35317),
+	.B1(n_35030),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[32]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g615264 (
+	.A1(n_34248),
+	.A2(n_34426),
+	.B1(n_34155),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[99]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g615265 (
+	.A1(n_34248),
+	.A2(n_34425),
+	.B1(n_34154),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[98]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g615266 (
+	.A1(n_35174),
+	.A2(n_34335),
+	.B1(n_34130),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g615267 (
+	.A1(n_34248),
+	.A2(n_35318),
+	.B1(n_35047),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[97]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g615268 (
+	.A1(n_34248),
+	.A2(n_35317),
+	.B1(n_35046),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[96]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g615269 (
+	.A1(n_34242),
+	.A2(n_34335),
+	.B1(n_35002),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[95]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g615270 (
+	.A1(n_34243),
+	.A2(n_35281),
+	.B1(n_35010),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[61]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g615271 (
+	.A1(n_34243),
+	.A2(n_34333),
+	.B1(n_34140),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[59]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g615272 (
+	.A1(n_34243),
+	.A2(n_34331),
+	.B1(n_35005),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[55]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g615273 (
+	.A1(n_34241),
+	.A2(n_35166),
+	.B1(n_34963),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[47]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g615274 (
+	.A1(n_35174),
+	.A2(n_34334),
+	.B1(n_34992),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g615275 (
+	.A1(n_34242),
+	.A2(n_34334),
+	.B1(n_35003),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[94]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g615276 (
+	.A1(n_34242),
+	.A2(n_35281),
+	.B1(n_34134),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[93]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g615277 (
+	.A1(n_35174),
+	.A2(n_35281),
+	.B1(n_34989),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g615278 (
+	.A1(n_34242),
+	.A2(n_35280),
+	.B1(n_34998),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[92]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g615279 (
+	.A1(n_34242),
+	.A2(n_34333),
+	.B1(n_35001),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[91]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g615280 (
+	.A1(n_34242),
+	.A2(n_34332),
+	.B1(n_35004),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[90]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g615281 (
+	.A1(n_35174),
+	.A2(n_35280),
+	.B1(n_34128),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g615282 (
+	.A1(n_35174),
+	.A2(n_34333),
+	.B1(n_34990),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g615283 (
+	.A1(n_34242),
+	.A2(n_35279),
+	.B1(n_34999),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[89]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g615284 (
+	.A1(n_34242),
+	.A2(n_35278),
+	.B1(n_34133),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[88]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g615285 (
+	.A1(n_34242),
+	.A2(n_34331),
+	.B1(n_34994),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[87]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g615286 (
+	.A1(n_35174),
+	.A2(n_34332),
+	.B1(n_34131),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g615287 (
+	.A1(n_34242),
+	.A2(n_34330),
+	.B1(n_34995),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[86]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g615288 (
+	.A1(n_34242),
+	.A2(n_34329),
+	.B1(n_34132),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[85]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g615289 (
+	.A1(n_34241),
+	.A2(n_35173),
+	.B1(n_34967),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[46]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g615290 (
+	.A1(n_35174),
+	.A2(n_35279),
+	.B1(n_34988),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g615291 (
+	.A1(n_34242),
+	.A2(n_35277),
+	.B1(n_34996),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[84]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g615292 (
+	.A1(n_34242),
+	.A2(n_35276),
+	.B1(n_34135),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[83]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g615293 (
+	.A1(n_34242),
+	.A2(n_35275),
+	.B1(n_34136),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[82]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g615294 (
+	.A1(n_35174),
+	.A2(n_35278),
+	.B1(n_34987),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g615295 (
+	.A1(n_34242),
+	.A2(n_35274),
+	.B1(n_35000),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[81]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g615296 (
+	.A1(n_35174),
+	.A2(n_34331),
+	.B1(n_34126),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g615297 (
+	.A1(n_34242),
+	.A2(n_34328),
+	.B1(n_34997),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[80]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g615298 (
+	.A1(n_35172),
+	.A2(n_35166),
+	.B1(n_34979),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[79]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g615299 (
+	.A1(n_34241),
+	.A2(n_35167),
+	.B1(n_34116),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[45]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g615300 (
+	.A1(n_35174),
+	.A2(n_34330),
+	.B1(n_34984),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g615301 (
+	.A1(n_35172),
+	.A2(n_35173),
+	.B1(n_34983),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[78]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g615302 (
+	.A1(n_35172),
+	.A2(n_35167),
+	.B1(n_34124),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[77]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g615303 (
+	.A1(n_35174),
+	.A2(n_34329),
+	.B1(n_34985),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g615304 (
+	.A1(n_35172),
+	.A2(n_35171),
+	.B1(n_34982),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[76]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g615305 (
+	.A1(n_35172),
+	.A2(n_35170),
+	.B1(n_34125),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[75]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g615306 (
+	.A1(n_35172),
+	.A2(n_35168),
+	.B1(n_34980),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[74]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g615307 (
+	.A1(n_34243),
+	.A2(n_34330),
+	.B1(n_34137),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[54]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g615308 (
+	.A1(n_34241),
+	.A2(n_35171),
+	.B1(n_34966),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[44]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g615309 (
+	.A1(n_35174),
+	.A2(n_35277),
+	.B1(n_34127),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g615310 (
+	.A1(n_35174),
+	.A2(n_35276),
+	.B1(n_34991),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g615311 (
+	.A1(n_35172),
+	.A2(n_35165),
+	.B1(n_34978),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[73]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g615312 (
+	.A1(n_35172),
+	.A2(n_35169),
+	.B1(n_34981),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[72]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g615313 (
+	.A1(n_35174),
+	.A2(n_35275),
+	.B1(n_34993),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g615314 (
+	.A1(n_34244),
+	.A2(n_34429),
+	.B1(n_34152),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[70]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g615315 (
+	.A1(n_34244),
+	.A2(n_34428),
+	.B1(n_34153),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[69]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g615316 (
+	.A1(n_34244),
+	.A2(n_34427),
+	.B1(n_35038),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[68]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g615317 (
+	.A1(n_35174),
+	.A2(n_35274),
+	.B1(n_34129),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g615318 (
+	.A1(n_34244),
+	.A2(n_34426),
+	.B1(n_34151),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[67]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g615319 (
+	.A1(n_34244),
+	.A2(n_34425),
+	.B1(n_34150),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[66]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g615320 (
+	.A1(n_35174),
+	.A2(n_34328),
+	.B1(n_34986),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g615321 (
+	.A1(n_34244),
+	.A2(n_35318),
+	.B1(n_34149),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[65]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g615322 (
+	.A1(n_34244),
+	.A2(n_35317),
+	.B1(n_35037),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[64]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g615323 (
+	.A1(n_35163),
+	.A2(n_35166),
+	.B1(n_34968),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g615324 (
+	.A1(n_34243),
+	.A2(n_34329),
+	.B1(n_35006),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[53]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g615325 (
+	.A1(n_34241),
+	.A2(n_35170),
+	.B1(n_34117),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[43]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g615326 (
+	.A1(n_35163),
+	.A2(n_35173),
+	.B1(n_34972),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g615327 (
+	.A1(n_35163),
+	.A2(n_35167),
+	.B1(n_34969),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g615328 (
+	.A1(n_35163),
+	.A2(n_35171),
+	.B1(n_34120),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g615329 (
+	.A1(n_35163),
+	.A2(n_35170),
+	.B1(n_34971),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g615330 (
+	.A1(n_35163),
+	.A2(n_35168),
+	.B1(n_34119),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g615331 (
+	.A(n_34175),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_pre_m[9]),
+	.Y(n_35165), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g615332 (
+	.A(n_35087),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_pre_m[22]),
+	.Y(n_34330), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g615333 (
+	.A(n_35084),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_pre_m[21]),
+	.Y(n_34329), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g615334 (
+	.A(n_35083),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_pre_m[10]),
+	.Y(n_35168), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g615335 (
+	.A(n_35101),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_pre_m[2]),
+	.Y(n_34425), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g615336 (
+	.A(n_35099),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_pre_m[29]),
+	.Y(n_35281), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g615337 (
+	.A(n_35096),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_pre_m[27]),
+	.Y(n_34333), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g615338 (
+	.A(n_34170),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_pre_m[31]),
+	.Y(n_34335), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g615339 (
+	.A(n_35095),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_pre_m[26]),
+	.Y(n_34332), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g615340 (
+	.A(n_35085),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_pre_m[20]),
+	.Y(n_35277), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g615341 (
+	.A(n_34174),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_pre_m[8]),
+	.Y(n_35169), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g615342 (
+	.A(n_35088),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_pre_m[6]),
+	.Y(n_34429), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g615343 (
+	.A(n_34173),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_pre_m[19]),
+	.Y(n_35276), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g615344 (
+	.A(n_35089),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_pre_m[5]),
+	.Y(n_34428), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g615345 (
+	.A(n_35092),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_pre_m[23]),
+	.Y(n_34331), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g615346 (
+	.A(n_35086),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_pre_m[11]),
+	.Y(n_35170), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g615347 (
+	.A(n_35093),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_pre_m[25]),
+	.Y(n_35279), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g615348 (
+	.A(n_35091),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_pre_m[14]),
+	.Y(n_35173), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g615349 (
+	.A(n_34172),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_pre_m[18]),
+	.Y(n_35275), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g615350 (
+	.A(n_35100),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_pre_m[3]),
+	.Y(n_34426), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g615351 (
+	.A(n_35098),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_pre_m[30]),
+	.Y(n_34334), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g615352 (
+	.A(n_34178),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_pre_m[1]),
+	.Y(n_35318), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g615353 (
+	.A(n_35094),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_pre_m[24]),
+	.Y(n_35278), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g615354 (
+	.A(n_34177),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_pre_m[16]),
+	.Y(n_34328), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g615355 (
+	.A(n_34176),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_pre_m[15]),
+	.Y(n_35166), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g615356 (
+	.A(n_34171),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_pre_m[17]),
+	.Y(n_35274), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g615357 (
+	.A(n_35090),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_pre_m[13]),
+	.Y(n_35167), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g615358 (
+	.A(n_35102),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_pre_m[0]),
+	.Y(n_35317), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g615359 (
+	.A(n_35097),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_pre_m[28]),
+	.Y(n_35280), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g615360 (
+	.A(n_35082),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_pre_m[12]),
+	.Y(n_35171), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g615361 (
+	.A(n_35081),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_pre_m[4]),
+	.Y(n_34427), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g614442 (
+	.A(n_35631),
+	.B_N(brqrv_top_brqrv_dbg_data1_reg[13]),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g614443 (
+	.A(n_35631),
+	.B_N(brqrv_top_brqrv_dbg_data1_reg[26]),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g614444 (
+	.A(n_35631),
+	.B_N(brqrv_top_brqrv_dbg_data1_reg[22]),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g614445 (
+	.A(n_35631),
+	.B_N(brqrv_top_brqrv_dbg_data1_reg[14]),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g614446 (
+	.A(n_35631),
+	.B_N(brqrv_top_brqrv_dbg_data1_reg[30]),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g614447 (
+	.A(n_35631),
+	.B_N(brqrv_top_brqrv_dbg_data1_reg[21]),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g614448 (
+	.A(n_35631),
+	.B_N(brqrv_top_brqrv_dbg_data1_reg[12]),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g614449 (
+	.A(n_35631),
+	.B_N(brqrv_top_brqrv_dbg_data1_reg[25]),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g614450 (
+	.A(n_35631),
+	.B_N(brqrv_top_brqrv_dbg_data1_reg[15]),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g614451 (
+	.A(n_35631),
+	.B_N(brqrv_top_brqrv_dbg_data1_reg[19]),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g614452 (
+	.A(n_35631),
+	.B_N(brqrv_top_brqrv_dbg_data1_reg[27]),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g614453 (
+	.A(n_35631),
+	.B_N(brqrv_top_brqrv_dbg_data1_reg[24]),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g614454 (
+	.A(n_35631),
+	.B_N(brqrv_top_brqrv_dbg_data1_reg[18]),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g614455 (
+	.A(n_35631),
+	.B_N(brqrv_top_brqrv_dbg_data1_reg[17]),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g614456 (
+	.A(n_35631),
+	.B_N(brqrv_top_brqrv_dbg_data1_reg[23]),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g614457 (
+	.A(n_35631),
+	.B_N(brqrv_top_brqrv_dbg_data1_reg[16]),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g614458 (
+	.A(n_35631),
+	.B_N(brqrv_top_brqrv_dbg_data1_reg[20]),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g614459 (
+	.A(n_36371),
+	.B(n_35632),
+	.X(n_35631), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g614460 (
+	.A(n_35632),
+	.B_N(n_41919),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g685691 (
+	.A(n_29602),
+	.B(n_35394),
+	.Y(brqrv_top_brqrv_exu_n_254), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g685692 (
+	.A(n_31484),
+	.B(n_35395),
+	.X(n_34633), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g685693 (
+	.A(n_34849),
+	.B(n_29622),
+	.C(n_36248),
+	.D(n_35395),
+	.X(n_35394), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g685694 (
+	.A_N(n_35396),
+	.B(n_31643),
+	.Y(n_35395), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g685695 (
+	.A1(n_31509),
+	.A2(n_31644),
+	.B1(n_30912),
+	.B2(n_36248),
+	.Y(n_35396), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g685696 (
+	.A1(n_31586),
+	.A2(n_12063),
+	.B1(n_31635),
+	.C1(n_31642),
+	.Y(n_31644), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4b_1 g685697 (
+	.A(brqrv_top_brqrv_dec_decode_flush_final_r),
+	.B(n_32502),
+	.C(n_36247),
+	.D_N(brqrv_top_brqrv_dec_dec_ib0_valid_d),
+	.Y(n_31643), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111oi_0 g685698 (
+	.A1(n_31633),
+	.A2(n_35415),
+	.B1(n_31627),
+	.C1(n_31625),
+	.D1(n_31641),
+	.Y(n_31642), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g685702 (
+	.A(n_31637),
+	.B(n_31638),
+	.C(n_31617),
+	.D(n_31629),
+	.Y(n_31641), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g685703 (
+	.A(n_35398),
+	.B(n_31634),
+	.Y(n_36247), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g685705 (
+	.A(n_31639),
+	.B(n_31540),
+	.Y(n_35398), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g685706 (
+	.A(n_17465),
+	.B(n_31610),
+	.Y(n_35976), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g685709 (
+	.A(n_17465),
+	.B(n_31611),
+	.Y(n_35975), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g685710 (
+	.A(n_17465),
+	.B(n_31609),
+	.Y(n_35971), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_2 g685711 (
+	.A(n_41905),
+	.Y(n_17465), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g685712 (
+	.A(n_31636),
+	.B(n_31542),
+	.Y(n_31639), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g685714 (
+	.A1(n_31632),
+	.A2(n_28836),
+	.B1(n_31624),
+	.Y(n_31638), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g685715 (
+	.A1(n_31612),
+	.A2(n_35416),
+	.B1(n_31616),
+	.B2(n_31580),
+	.C1(n_31630),
+	.Y(n_31637), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g685717 (
+	.A(n_31626),
+	.B(n_1961),
+	.Y(n_31636), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g685718 (
+	.A1(n_31605),
+	.A2(n_31602),
+	.A3(n_35641),
+	.B1(brqrv_top_brqrv_dec_tlu_dbg_halted),
+	.Y(n_31635), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g685719 (
+	.A(n_31622),
+	.B(n_31628),
+	.C(n_31567),
+	.X(n_31634), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g685720 (
+	.A1(n_35413),
+	.A2(n_31600),
+	.B1(n_31631),
+	.Y(n_31633), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o41ai_1 g685723 (
+	.A1(n_12036),
+	.A2(n_31561),
+	.A3(n_35407),
+	.A4(n_31568),
+	.B1(n_31618),
+	.Y(n_31632), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g685724 (
+	.A1(n_31606),
+	.A2(n_35656),
+	.A3(n_31544),
+	.B1(n_31613),
+	.Y(n_31631), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g685726 (
+	.A1(n_31582),
+	.A2(n_31604),
+	.B1(n_31575),
+	.B2(n_31599),
+	.Y(n_31630), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g685727 (
+	.A(FE_DBTN11_n_35987),
+	.B(n_31621),
+	.Y(n_35966), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g685728 (
+	.A1(n_31607),
+	.A2(n_35413),
+	.A3(n_35417),
+	.B1(n_31614),
+	.Y(n_31629), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g685729 (
+	.A1(n_31596),
+	.A2(brqrv_top_brqrv_dec_dec_div_active),
+	.B1(n_31566),
+	.C1(n_31595),
+	.Y(n_31628), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g685730 (
+	.A1(n_31546),
+	.A2(n_31599),
+	.B1(n_31615),
+	.Y(n_31627), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g685731 (
+	.A(n_31620),
+	.B(n_35404),
+	.C(n_31601),
+	.Y(n_31626), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g685732 (
+	.A1(n_31606),
+	.A2(n_31593),
+	.B1(n_31607),
+	.B2(n_35656),
+	.X(n_31625), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g685733 (
+	.A1(n_31600),
+	.A2(n_31587),
+	.B1(n_31623),
+	.Y(n_31624), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g685734 (
+	.A_N(n_35393),
+	.B(n_31612),
+	.Y(n_31623), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g685735 (
+	.A(n_31608),
+	.B(brqrv_top_brqrv_dec_i0_rs2_en_d),
+	.Y(n_31622), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g685736 (
+	.A(n_31603),
+	.B(brqrv_top_brqrv_lsu_bus_intf_ldst_byteen_m[1]),
+	.Y(n_31621), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g685737 (
+	.A1(n_31594),
+	.A2(n_31585),
+	.B1(n_31560),
+	.Y(n_31620), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g685738 (
+	.A1(brqrv_top_brqrv_lsu_bus_read_data_m[15]),
+	.A2(n_29020),
+	.A3(brqrv_top_brqrv_lsu_addr_external_m),
+	.B1(n_31535),
+	.Y(n_31619), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g685739 (
+	.A(n_31597),
+	.B(n_31580),
+	.C(n_12034),
+	.Y(n_31618), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g685740 (
+	.A(n_31606),
+	.B(n_31588),
+	.C(n_35418),
+	.Y(n_31617), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g685741 (
+	.A1(n_31569),
+	.A2(n_31598),
+	.B1(n_35414),
+	.B2(n_31590),
+	.Y(n_31616), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g685742 (
+	.A(n_31612),
+	.B(n_31578),
+	.Y(n_31615), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g685743 (
+	.A(n_31582),
+	.B(n_35655),
+	.C(n_31590),
+	.Y(n_31614), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4b_1 g685744 (
+	.A(n_35652),
+	.B(n_35655),
+	.C(n_31581),
+	.D_N(n_31580),
+	.Y(n_31613), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g685745 (
+	.A(n_31583),
+	.B(brqrv_top_brqrv_lsu_lsu_pkt_m[9]),
+	.Y(n_31611), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g685746 (
+	.A(n_31589),
+	.B(brqrv_top_brqrv_lsu_lsu_pkt_m[9]),
+	.Y(n_31610), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g685747 (
+	.A(n_31584),
+	.B(brqrv_top_brqrv_lsu_lsu_pkt_m[9]),
+	.Y(n_31609), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g685748 (
+	.A1(n_30581),
+	.A2(n_35401),
+	.B1(n_30917),
+	.Y(n_31608), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g685749 (
+	.A(n_31592),
+	.B(n_35413),
+	.Y(n_35404), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g685750 (
+	.A(n_31562),
+	.B(n_31590),
+	.Y(n_31612), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g685751 (
+	.A(n_35640),
+	.B(n_35630),
+	.C(n_35643),
+	.X(n_31605), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g685752 (
+	.A_N(n_31590),
+	.B(n_35656),
+	.C(n_35410),
+	.Y(n_31604), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g685753 (
+	.A1_N(brqrv_top_brqrv_lsu_addr_external_m),
+	.A2_N(brqrv_top_brqrv_lsu_bus_read_data_m[12]),
+	.B1(brqrv_top_brqrv_lsu_addr_external_m),
+	.B2(n_31557),
+	.Y(n_31603), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g685754 (
+	.A1(n_35642),
+	.A2(n_35651),
+	.B1(n_35647),
+	.X(n_31602), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g685755 (
+	.A(n_35414),
+	.B(n_31562),
+	.C(n_31598),
+	.Y(n_31607), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g685756 (
+	.A(n_35417),
+	.B(n_35410),
+	.C(n_31598),
+	.Y(n_31606), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g685758 (
+	.A(n_31597),
+	.Y(n_31598), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o311ai_1 g685759 (
+	.A1(n_29225),
+	.A2(n_30005),
+	.A3(n_35399),
+	.B1(n_31484),
+	.C1(n_31552),
+	.Y(n_31596), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g685760 (
+	.A(n_30472),
+	.B(n_30476),
+	.C(n_35399),
+	.D(n_35401),
+	.Y(n_31595), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g685761 (
+	.A(n_31555),
+	.B(n_31516),
+	.C(n_31304),
+	.Y(brqrv_top_brqrv_lsu_lsu_ld_data_m[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g685762 (
+	.A1(n_35646),
+	.A2(n_35647),
+	.B1(n_35652),
+	.Y(n_31594), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g685763 (
+	.A(n_35418),
+	.B(n_35653),
+	.C(n_35415),
+	.D(n_35393),
+	.Y(n_31593), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g685765 (
+	.A(n_31572),
+	.B(n_12065),
+	.Y(n_31601), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g685766 (
+	.A(n_31571),
+	.B(n_31559),
+	.C(n_35418),
+	.D(n_35654),
+	.Y(n_31600), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g685767 (
+	.A(n_12063),
+	.B(n_31544),
+	.C(n_35644),
+	.D(n_35655),
+	.X(n_35640), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g685768 (
+	.A(n_31571),
+	.B(n_31565),
+	.Y(n_31599), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g685769 (
+	.A(n_35411),
+	.B(n_12063),
+	.C(n_31581),
+	.Y(n_31597), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g685770 (
+	.A(n_35405),
+	.Y(n_31592), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g685772 (
+	.A(n_31577),
+	.B(n_31579),
+	.Y(n_31589), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g685773 (
+	.A1(n_12032),
+	.A2(n_35646),
+	.B1(n_31544),
+	.B2(n_31564),
+	.Y(n_31588), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g685774 (
+	.A1(n_35415),
+	.A2(n_35655),
+	.B1(n_35408),
+	.X(n_31587), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g685775 (
+	.A1(n_35410),
+	.A2(n_35646),
+	.B1(n_1961),
+	.B2(n_35411),
+	.Y(n_31586), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g685776 (
+	.A(n_35408),
+	.B(n_31573),
+	.Y(n_31585), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g685777 (
+	.A(n_31576),
+	.B(n_31574),
+	.Y(n_31584), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g685778 (
+	.A1_N(brqrv_top_brqrv_lsu_addr_external_m),
+	.A2_N(brqrv_top_brqrv_lsu_bus_read_data_m[21]),
+	.B1(brqrv_top_brqrv_lsu_addr_external_m),
+	.B2(n_31556),
+	.Y(n_31583), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g685779 (
+	.A(n_31560),
+	.B(n_35654),
+	.C(n_31544),
+	.D(n_35415),
+	.Y(n_35405), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g685780 (
+	.A(n_31558),
+	.B(n_31570),
+	.Y(n_35987), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g685781 (
+	.A(n_12036),
+	.B(n_35651),
+	.C(n_35649),
+	.X(n_35641), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4b_1 g685782 (
+	.A_N(n_31561),
+	.B(n_35654),
+	.C(n_35652),
+	.D(n_35411),
+	.Y(n_31590), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g685783 (
+	.A(brqrv_top_brqrv_lsu_lsu_ld_data_m[22]),
+	.B(n_8229),
+	.Y(n_31579), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g685784 (
+	.A(n_35655),
+	.B(n_35415),
+	.Y(n_31578), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g685785 (
+	.A(brqrv_top_brqrv_lsu_bus_read_data_m[22]),
+	.B(brqrv_top_brqrv_lsu_addr_external_m),
+	.Y(n_31577), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g685786 (
+	.A(brqrv_top_brqrv_lsu_bus_read_data_m[17]),
+	.B(brqrv_top_brqrv_lsu_addr_external_m),
+	.Y(n_31576), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g685787 (
+	.A(n_31564),
+	.B(n_31560),
+	.X(n_31575), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g685788 (
+	.A(brqrv_top_brqrv_lsu_lsu_ld_data_m[17]),
+	.B(n_8229),
+	.Y(n_31574), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g685789 (
+	.A(n_33908),
+	.B(n_35653),
+	.Y(n_31573), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g685790 (
+	.A(n_35651),
+	.B(n_35408),
+	.X(n_35630), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g685791 (
+	.A(n_31560),
+	.B(n_35653),
+	.Y(n_31582), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g685792 (
+	.A(n_31560),
+	.B(n_31550),
+	.Y(n_35642), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 g685793 (
+	.A(n_35654),
+	.B(n_31561),
+	.X(n_31581), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g685794 (
+	.A(n_12036),
+	.B(n_35406),
+	.Y(n_31580), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g685795 (
+	.A(n_31572),
+	.Y(n_35650), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g685796 (
+	.A(n_35644),
+	.B(n_31546),
+	.X(n_31569), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g685797 (
+	.A1(n_35705),
+	.A2(n_34887),
+	.B1(n_31498),
+	.B2(n_8179),
+	.C1(n_31478),
+	.X(brqrv_top_brqrv_lsu_bus_read_data_m[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g685798 (
+	.A1(n_35413),
+	.A2(n_35415),
+	.B1(n_31565),
+	.Y(n_31568), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g685799 (
+	.A(n_31541),
+	.B(n_31460),
+	.C(n_31415),
+	.D(n_31507),
+	.Y(brqrv_top_brqrv_lsu_lsu_ld_data_m[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g685800 (
+	.A(brqrv_top_brqrv_dec_dec_pause_state),
+	.B(brqrv_top_brqrv_dec_decode_leak1_i0_stall),
+	.C(n_31539),
+	.D(n_28825),
+	.Y(n_31567), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g685801 (
+	.A1(n_30872),
+	.A2(n_35399),
+	.B1(n_29411),
+	.C1(n_31506),
+	.D1(n_31538),
+	.Y(n_31566), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g685802 (
+	.A(n_35417),
+	.B(n_35651),
+	.Y(n_31572), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g685803 (
+	.A1(n_36049),
+	.A2(n_8168),
+	.B1(n_31446),
+	.X(brqrv_top_brqrv_lsu_bus_read_data_m[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g685804 (
+	.A(n_35417),
+	.B(n_35411),
+	.C(n_31562),
+	.X(n_35643), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g685805 (
+	.A(n_12060),
+	.B(n_31544),
+	.C(n_31561),
+	.Y(n_31571), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g685806 (
+	.A1(n_35712),
+	.A2(n_31324),
+	.B1(n_35711),
+	.B2(n_31344),
+	.C1(n_31553),
+	.Y(brqrv_top_brqrv_lsu_bus_read_data_m[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g685807 (
+	.A(n_31554),
+	.B(n_29613),
+	.Y(n_31570), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g685808 (
+	.A(n_35402),
+	.B(n_29395),
+	.Y(n_35401), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g685810 (
+	.A(n_12065),
+	.Y(n_35649), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g685811 (
+	.A(n_31560),
+	.Y(n_35416), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g685812 (
+	.A(n_35417),
+	.B(n_35652),
+	.Y(n_31559), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g685813 (
+	.A(n_12063),
+	.B(n_12060),
+	.Y(n_35645), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g685814 (
+	.A(n_31535),
+	.B(n_31431),
+	.Y(n_31558), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g685815 (
+	.A(n_35653),
+	.B(n_12060),
+	.Y(n_35406), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g685816 (
+	.A(n_31550),
+	.B(n_35652),
+	.Y(n_31565), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g685817 (
+	.A(n_12032),
+	.B(n_12034),
+	.Y(n_31564), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g685819 (
+	.A(n_35654),
+	.B(n_12060),
+	.Y(n_33908), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g685820 (
+	.A(n_12034),
+	.B(n_31546),
+	.Y(n_35393), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g685821 (
+	.A(n_35415),
+	.B(n_35418),
+	.Y(n_35414), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_2 g685822 (
+	.A(n_35413),
+	.B_N(n_35656),
+	.Y(n_12065), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g685823 (
+	.A(n_31544),
+	.B(n_35410),
+	.Y(n_31562), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g685824 (
+	.A(n_35415),
+	.B(n_28836),
+	.Y(n_35644), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g685825 (
+	.A(n_35656),
+	.B(n_12032),
+	.Y(n_35647), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g685826 (
+	.A_N(n_31546),
+	.B(n_12034),
+	.Y(n_35646), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g685827 (
+	.A_N(n_35409),
+	.B(brqrv_top_brqrv_dec_i0_predict_p_d[45]),
+	.Y(n_31561), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g685828 (
+	.A_N(n_12032),
+	.B(n_12034),
+	.Y(n_35408), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g685829 (
+	.A(n_28836),
+	.B(n_31550),
+	.Y(n_35651), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g685830 (
+	.A(n_35656),
+	.B(n_35413),
+	.Y(n_35655), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g685831 (
+	.A(n_35418),
+	.B(n_35417),
+	.X(n_31560), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g685832 (
+	.A(n_31557),
+	.Y(brqrv_top_brqrv_lsu_lsu_ld_data_m[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g685833 (
+	.A(n_31556),
+	.Y(brqrv_top_brqrv_lsu_lsu_ld_data_m[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g685834 (
+	.A(n_31468),
+	.B(n_31536),
+	.Y(n_31555), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g685835 (
+	.A(n_31534),
+	.B(n_33983),
+	.Y(n_31554), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g685836 (
+	.A(n_36089),
+	.B(n_8168),
+	.Y(n_31553), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g685837 (
+	.A1(n_8168),
+	.A2(n_31437),
+	.B1(n_31531),
+	.Y(brqrv_top_brqrv_lsu_bus_read_data_m[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g685838 (
+	.A(brqrv_top_brqrv_dec_i0_rs2_en_d),
+	.B(n_30159),
+	.C(n_29224),
+	.Y(n_31552), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g685839 (
+	.A(n_31481),
+	.B(n_31528),
+	.Y(brqrv_top_brqrv_lsu_lsu_ld_data_m[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g685840 (
+	.A1(n_8168),
+	.A2(n_31438),
+	.B1(n_31526),
+	.Y(brqrv_top_brqrv_lsu_bus_read_data_m[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g685841 (
+	.A1(n_8168),
+	.A2(n_31434),
+	.B1(n_31524),
+	.Y(brqrv_top_brqrv_lsu_bus_read_data_m[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g685842 (
+	.A1(n_8168),
+	.A2(n_31435),
+	.B1(n_31525),
+	.Y(brqrv_top_brqrv_lsu_bus_read_data_m[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g685843 (
+	.A(n_31464),
+	.B(n_31471),
+	.C(n_31423),
+	.D(n_31511),
+	.Y(brqrv_top_brqrv_lsu_lsu_ld_data_m[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g685844 (
+	.A(n_31477),
+	.B(n_31533),
+	.Y(brqrv_top_brqrv_lsu_lsu_ld_data_m[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g685845 (
+	.A(n_31537),
+	.B(n_29383),
+	.Y(n_31551), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g685846 (
+	.A1(n_8168),
+	.A2(n_31430),
+	.B1(n_31522),
+	.Y(brqrv_top_brqrv_lsu_bus_read_data_m[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g685847 (
+	.A(n_31508),
+	.B(n_31491),
+	.C(n_31448),
+	.Y(n_35402), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g685848 (
+	.A1(n_8168),
+	.A2(n_31432),
+	.B1(n_31521),
+	.Y(brqrv_top_brqrv_lsu_bus_read_data_m[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g685849 (
+	.A1(n_8168),
+	.A2(n_17457),
+	.B1(n_31520),
+	.Y(brqrv_top_brqrv_lsu_bus_read_data_m[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g685850 (
+	.A(n_31503),
+	.B(n_31527),
+	.Y(brqrv_top_brqrv_lsu_lsu_ld_data_m[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g685851 (
+	.A1(n_8168),
+	.A2(n_17523),
+	.B1(n_31523),
+	.Y(brqrv_top_brqrv_lsu_bus_read_data_m[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_2 g685852 (
+	.A(n_31505),
+	.B(n_31532),
+	.Y(n_31557), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g685853 (
+	.A(n_31529),
+	.B(n_31476),
+	.Y(n_31556), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g685854 (
+	.A(n_31475),
+	.B(n_31530),
+	.Y(brqrv_top_brqrv_lsu_lsu_ld_data_m[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g685856 (
+	.A(n_31550),
+	.Y(n_35411), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g685857 (
+	.A(n_12060),
+	.Y(n_35410), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g685864 (
+	.A(n_35418),
+	.Y(n_28836), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g685865 (
+	.A(n_35417),
+	.Y(n_12036), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g685866 (
+	.A(n_35652),
+	.Y(n_12063), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g685867 (
+	.A(n_31546),
+	.Y(n_35413), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 g685868 (
+	.A(n_12034),
+	.Y(n_35656), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g685869 (
+	.A(n_31544),
+	.Y(n_35653), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 g685870 (
+	.A(n_12032),
+	.Y(n_35415), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g685871 (
+	.A(brqrv_top_brqrv_dec_dec_tlu_pipelining_disable),
+	.B(n_31490),
+	.C(n_31519),
+	.Y(n_31542), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g685872 (
+	.A(n_36174),
+	.B(n_28975),
+	.Y(n_31541), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g685873 (
+	.A1(n_31484),
+	.A2(brqrv_top_brqrv_dec_decode_r_d[0]),
+	.B1(brqrv_top_brqrv_dec_decode_x_d[0]),
+	.X(n_31540), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g685875 (
+	.A(n_30882),
+	.B(n_35399),
+	.Y(n_31539), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g685876 (
+	.A(brqrv_top_brqrv_dec_i0_rs2_en_d),
+	.B(n_30916),
+	.Y(n_31538), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_2 g685877 (
+	.A(n_31474),
+	.B(n_31489),
+	.C(n_28830),
+	.Y(n_31537), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g685878 (
+	.A1(n_31486),
+	.A2(n_31105),
+	.B1(n_35715),
+	.Y(n_31536), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g685879 (
+	.A(brqrv_top_brqrv_dec_decode_any_csr_d),
+	.B(brqrv_top_brqrv_dec_i0_predict_p_d[46]),
+	.Y(n_35409), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g685880 (
+	.A(brqrv_top_brqrv_dec_decode_any_csr_d),
+	.B(brqrv_top_brqrv_dec_i0_predict_p_d[44]),
+	.Y(n_35654), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_0 g685881 (
+	.A(brqrv_top_brqrv_dec_decode_any_csr_d),
+	.B(brqrv_top_brqrv_dec_i0_predict_p_d[47]),
+	.X(n_31550), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 g685882 (
+	.A(brqrv_top_brqrv_dec_decode_any_csr_d),
+	.B(brqrv_top_brqrv_dec_i0_predict_p_d[43]),
+	.X(n_12060), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g685883 (
+	.A(brqrv_top_brqrv_dec_decode_any_csr_d),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[23]),
+	.Y(n_35418), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g685884 (
+	.A(brqrv_top_brqrv_dec_decode_any_csr_d),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[24]),
+	.Y(n_35417), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g685885 (
+	.A(brqrv_top_brqrv_dec_decode_any_csr_d),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[31]),
+	.Y(n_35652), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 g685886 (
+	.A(brqrv_top_brqrv_dec_decode_any_csr_d),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[20]),
+	.X(n_31546), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g685887 (
+	.A(brqrv_top_brqrv_dec_decode_any_csr_d),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[21]),
+	.X(n_12034), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g685888 (
+	.A(brqrv_top_brqrv_dec_decode_any_csr_d),
+	.B(brqrv_top_brqrv_dec_i0_predict_p_d[42]),
+	.X(n_31544), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 g685889 (
+	.A(brqrv_top_brqrv_dec_decode_any_csr_d),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[22]),
+	.X(n_12032), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g685890 (
+	.A(n_31488),
+	.B(n_31502),
+	.Y(n_31534), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g685891 (
+	.A(n_31510),
+	.B(n_31472),
+	.Y(n_31533), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g685892 (
+	.A(n_31473),
+	.B(n_31501),
+	.Y(brqrv_top_brqrv_lsu_lsu_ld_data_m[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g685893 (
+	.A(n_31470),
+	.B(n_31514),
+	.Y(n_31532), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g685894 (
+	.A1(n_36045),
+	.A2(n_8179),
+	.B1(n_36043),
+	.B2(n_29577),
+	.Y(n_31531), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g685895 (
+	.A(n_31425),
+	.B(n_31513),
+	.Y(n_31530), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g685896 (
+	.A(n_31517),
+	.B(n_31424),
+	.Y(n_31529), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g685897 (
+	.A(n_31512),
+	.B(n_31493),
+	.Y(n_31528), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g685898 (
+	.A(n_31420),
+	.B(n_31504),
+	.Y(n_31527), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g685899 (
+	.A1(n_36047),
+	.A2(n_8179),
+	.B1(n_36046),
+	.B2(n_29577),
+	.Y(n_31526), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g685900 (
+	.A1(n_31495),
+	.A2(n_8179),
+	.B1(n_36072),
+	.B2(n_29577),
+	.Y(n_31525), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g685901 (
+	.A1(n_31496),
+	.A2(n_8179),
+	.B1(n_36048),
+	.B2(n_29577),
+	.Y(n_31524), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g685902 (
+	.A1(n_36042),
+	.A2(n_8179),
+	.B1(n_36040),
+	.B2(n_29577),
+	.Y(n_31523), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g685903 (
+	.A1(n_31499),
+	.A2(n_8179),
+	.B1(n_31498),
+	.B2(n_29577),
+	.Y(n_31522), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g685904 (
+	.A1(n_36055),
+	.A2(n_8179),
+	.B1(n_36053),
+	.B2(n_29577),
+	.Y(n_31521), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g685905 (
+	.A1(n_36052),
+	.A2(n_8179),
+	.B1(n_36050),
+	.B2(n_29577),
+	.Y(n_31520), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g685906 (
+	.A1(n_8238),
+	.A2(n_31334),
+	.B1(n_31515),
+	.Y(n_36089), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g685907 (
+	.A1(n_34888),
+	.A2(n_31497),
+	.B1(n_8238),
+	.B2(n_31335),
+	.Y(n_36049), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g685908 (
+	.A1(n_34888),
+	.A2(n_31500),
+	.B1(n_8238),
+	.B2(n_31336),
+	.Y(n_35705), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g685909 (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_r_in[5]),
+	.B(n_33982),
+	.C_N(brqrv_top_brqrv_lsu_lsu_pkt_m[11]),
+	.Y(n_31535), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g685911 (
+	.A(brqrv_top_brqrv_dec_dec_csr_wen_unq_d),
+	.Y(n_1961), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g685912 (
+	.A(n_36195),
+	.B(n_8265),
+	.Y(n_31517), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g685913 (
+	.A(n_36175),
+	.B(n_8148),
+	.Y(n_31516), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g685914 (
+	.A(n_31495),
+	.B(n_8238),
+	.Y(n_31515), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g685915 (
+	.A(n_31485),
+	.B(n_28975),
+	.Y(n_31514), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g685916 (
+	.A1(n_31456),
+	.A2(n_31208),
+	.B1(n_35715),
+	.Y(n_31513), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g685917 (
+	.A1(n_31454),
+	.A2(n_31206),
+	.B1(n_35715),
+	.Y(n_31512), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g685918 (
+	.A(n_31485),
+	.B(n_8265),
+	.Y(n_31511), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g685919 (
+	.A1(n_31455),
+	.A2(n_31207),
+	.B1(n_35715),
+	.Y(n_31510), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g685920 (
+	.A(brqrv_top_brqrv_lsu_bus_read_data_m[7]),
+	.B(brqrv_top_brqrv_lsu_addr_external_m),
+	.Y(n_33982), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g685921 (
+	.A(n_35400),
+	.B(n_30493),
+	.Y(n_31519), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g685922 (
+	.A(n_31480),
+	.B(n_35419),
+	.Y(brqrv_top_brqrv_dec_dec_csr_wen_unq_d), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g685924 (
+	.A(brqrv_top_brqrv_dec_decode_any_csr_d),
+	.Y(n_31509), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g685925 (
+	.A(n_31445),
+	.B(n_28824),
+	.C(n_31157),
+	.Y(n_31508), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g685926 (
+	.A(n_36175),
+	.B(n_8265),
+	.Y(n_31507), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o31ai_1 g685927 (
+	.A1(brqrv_top_brqrv_dec_decode_wbd[21]),
+	.A2(brqrv_top_brqrv_dec_decode_r_d[21]),
+	.A3(brqrv_top_brqrv_dec_decode_x_d[21]),
+	.B1(n_1795),
+	.Y(n_31506), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g685928 (
+	.A(n_31494),
+	.B(n_31419),
+	.Y(n_31505), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g685929 (
+	.A1(n_31452),
+	.A2(n_31205),
+	.B1(n_35715),
+	.Y(n_31504), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g685930 (
+	.A(n_31492),
+	.B(n_31461),
+	.Y(n_31503), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g685931 (
+	.A(n_31479),
+	.B(n_31241),
+	.Y(n_31502), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_2 g685932 (
+	.A1(n_31451),
+	.A2(n_8265),
+	.B1(n_31417),
+	.Y(n_31501), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g685933 (
+	.A(n_31486),
+	.B(n_31105),
+	.Y(n_36174), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_2 g685934 (
+	.A1(n_34821),
+	.A2(n_34815),
+	.B1(n_31482),
+	.X(brqrv_top_brqrv_dec_i0_rs2_en_d), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g685935 (
+	.A(n_31487),
+	.B(n_29621),
+	.Y(n_35399), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_4 g685936 (
+	.A(n_35419),
+	.B(n_35420),
+	.Y(brqrv_top_brqrv_dec_decode_any_csr_d), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g685937 (
+	.A(n_31499),
+	.Y(n_31500), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g685938 (
+	.A(n_31496),
+	.Y(n_31497), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g685939 (
+	.A(n_36161),
+	.B(n_8148),
+	.Y(n_31494), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g685940 (
+	.A1(n_31440),
+	.A2(n_31108),
+	.B1(n_35714),
+	.Y(n_31493), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g685941 (
+	.A1(n_31408),
+	.A2(n_31109),
+	.B1(n_35714),
+	.Y(n_31492), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g685942 (
+	.A1(n_31033),
+	.A2(n_30955),
+	.A3(n_30951),
+	.B1(n_43114),
+	.Y(n_31491), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g685943 (
+	.A1(n_30146),
+	.A2(n_30117),
+	.B1(n_36248),
+	.Y(n_31490), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g685944 (
+	.A(n_31451),
+	.B(n_28975),
+	.Y(n_31489), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g685945 (
+	.A1(n_31353),
+	.A2(n_31331),
+	.A3(n_31242),
+	.B1(n_33885),
+	.Y(n_31488), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g685946 (
+	.A(n_31450),
+	.B(n_30379),
+	.Y(n_31487), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g685947 (
+	.A(n_31444),
+	.B(n_31371),
+	.C(n_31227),
+	.Y(n_36048), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g685948 (
+	.A(n_31412),
+	.B(n_31390),
+	.C(n_31391),
+	.Y(n_36042), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g685949 (
+	.A(n_31403),
+	.B(n_31394),
+	.C(n_31395),
+	.Y(n_36045), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g685950 (
+	.A(n_31451),
+	.B(FE_DBTN12_n_35713),
+	.Y(n_33983), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g685951 (
+	.A(n_31402),
+	.B(n_31387),
+	.C(n_31388),
+	.Y(n_31499), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g685952 (
+	.A(n_31386),
+	.B(n_31411),
+	.C(n_31385),
+	.Y(n_31498), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g685953 (
+	.A(n_31418),
+	.B(n_31383),
+	.C(n_31384),
+	.Y(n_36072), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g685954 (
+	.A(n_31401),
+	.B(n_31377),
+	.C(n_31376),
+	.Y(n_36055), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g685955 (
+	.A(n_31400),
+	.B(n_31372),
+	.C(n_31373),
+	.Y(n_36052), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g685956 (
+	.A(n_31399),
+	.B(n_31369),
+	.C(n_31370),
+	.Y(n_31496), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g685957 (
+	.A(n_31398),
+	.B(n_31407),
+	.C(n_31366),
+	.Y(n_36047), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g685958 (
+	.A1(n_29726),
+	.A2(n_31065),
+	.B1(n_31382),
+	.C1(n_31381),
+	.D1(n_31380),
+	.Y(n_31495), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g685959 (
+	.A(n_31379),
+	.B(n_31276),
+	.C(n_31378),
+	.Y(n_36053), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g685960 (
+	.A(n_31375),
+	.B(n_31275),
+	.C(n_31374),
+	.Y(n_36050), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g685961 (
+	.A(n_31367),
+	.B(n_31274),
+	.C(n_31368),
+	.Y(n_36046), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g685962 (
+	.A(n_31393),
+	.B(n_31413),
+	.C(n_31392),
+	.Y(n_36040), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g685968 (
+	.A(n_31450),
+	.B(n_29755),
+	.Y(n_31482), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g685969 (
+	.A(n_31421),
+	.B(n_31462),
+	.Y(n_31481), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g685970 (
+	.A1(brqrv_top_brqrv_dec_decode_i0_dp_raw[17]),
+	.A2(brqrv_top_brqrv_dec_decode_i0_dp_raw[18]),
+	.B1(n_31450),
+	.Y(n_31480), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g685971 (
+	.A(n_31443),
+	.B(n_31247),
+	.C(n_31195),
+	.X(n_31486), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g685972 (
+	.A(n_31282),
+	.B(n_31442),
+	.Y(n_31479), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g685973 (
+	.A1(n_31021),
+	.A2(n_31410),
+	.B1(n_31457),
+	.Y(n_31478), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g685974 (
+	.A(n_31422),
+	.B(n_31469),
+	.Y(n_31477), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g685975 (
+	.A1(n_35714),
+	.A2(n_31433),
+	.B1(n_31465),
+	.Y(n_31476), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g685976 (
+	.A(n_31463),
+	.B(n_31466),
+	.Y(n_31475), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g685977 (
+	.A1(n_35713),
+	.A2(n_28834),
+	.B1(n_31447),
+	.X(n_31474), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g685978 (
+	.A(n_31467),
+	.B(n_31459),
+	.Y(n_31473), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g685979 (
+	.A(n_31208),
+	.B(n_31456),
+	.Y(n_36199), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g685980 (
+	.A(n_31449),
+	.B(n_31180),
+	.Y(n_36195), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g685981 (
+	.A(n_31396),
+	.B(n_31273),
+	.C(n_31397),
+	.Y(n_36043), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g685982 (
+	.A(n_31207),
+	.B(n_31455),
+	.Y(n_36187), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g685983 (
+	.A(n_31454),
+	.B(n_31206),
+	.Y(n_36183), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g685984 (
+	.A(n_31450),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[3]),
+	.C(n_28917),
+	.Y(n_35400), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g685985 (
+	.A1(n_34887),
+	.A2(n_31435),
+	.B1(n_35712),
+	.B2(n_31344),
+	.C1(n_31354),
+	.Y(brqrv_top_brqrv_lsu_bus_read_data_m[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g685986 (
+	.A(n_31452),
+	.B(n_31205),
+	.Y(n_36179), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g685987 (
+	.A(n_31450),
+	.B(n_30566),
+	.Y(n_35419), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g685988 (
+	.A(n_31441),
+	.B(n_31179),
+	.Y(n_31485), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g685989 (
+	.A(n_31164),
+	.B(n_31453),
+	.Y(n_36175), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4b_1 g685990 (
+	.A_N(n_34813),
+	.B(n_31450),
+	.C(n_29579),
+	.D(brqrv_top_brqrv_dec_dec_i0_instr_d[14]),
+	.Y(n_31484), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_4 g685991 (
+	.A(n_31450),
+	.B(n_30178),
+	.Y(n_35420), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g685993 (
+	.A1(n_31363),
+	.A2(n_31106),
+	.B1(n_35713),
+	.Y(n_31472), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g685994 (
+	.A(n_36190),
+	.B(n_28975),
+	.Y(n_31471), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g685995 (
+	.A(n_36190),
+	.B(FE_DBTN12_n_35713),
+	.Y(n_31470), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g685996 (
+	.A1(n_31346),
+	.A2(n_31101),
+	.B1(n_35714),
+	.Y(n_31469), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g685997 (
+	.A(n_35714),
+	.B(n_31428),
+	.Y(n_31468), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g685998 (
+	.A1(n_31362),
+	.A2(n_31104),
+	.B1(n_35713),
+	.Y(n_31467), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g685999 (
+	.A1(n_31361),
+	.A2(n_31103),
+	.B1(n_35713),
+	.Y(n_31466), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686000 (
+	.A(n_36193),
+	.B(FE_DBTN12_n_35713),
+	.Y(n_31465), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686001 (
+	.A(n_36189),
+	.B(FE_DBTN12_n_35713),
+	.Y(n_31464), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g686002 (
+	.A1(n_31364),
+	.A2(n_31102),
+	.B1(n_35714),
+	.Y(n_31463), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g686003 (
+	.A1(n_31345),
+	.A2(n_31107),
+	.B1(n_35713),
+	.Y(n_31462), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g686004 (
+	.A1(n_31347),
+	.A2(n_31110),
+	.B1(n_35713),
+	.Y(n_31461), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686005 (
+	.A(n_36173),
+	.B(FE_DBTN12_n_35713),
+	.Y(n_31460), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g686006 (
+	.A1(n_31360),
+	.A2(n_28834),
+	.B1(n_35714),
+	.Y(n_31459), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111a_1 g686008 (
+	.A1(n_1915),
+	.A2(n_34077),
+	.B1(n_31078),
+	.C1(n_31357),
+	.D1(n_31358),
+	.X(n_31457), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g686009 (
+	.A(n_31177),
+	.B(n_31389),
+	.Y(n_36161), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686010 (
+	.A(n_31440),
+	.B(n_31108),
+	.Y(n_36182), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g686012 (
+	.A(n_33976),
+	.Y(n_31453), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g686013 (
+	.A(n_33978),
+	.Y(n_31452), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g686016 (
+	.A(n_31450),
+	.Y(n_36248), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686017 (
+	.A1(n_31196),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[29]),
+	.B1(n_31197),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[93]),
+	.C1(n_31365),
+	.Y(n_31449), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g686018 (
+	.A1(n_31061),
+	.A2(n_30960),
+	.A3(n_30958),
+	.B1(n_31414),
+	.Y(n_31448), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g686019 (
+	.A1(n_35713),
+	.A2(n_31360),
+	.B1(n_33885),
+	.B2(n_31342),
+	.X(n_31447), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g686020 (
+	.A1(n_35712),
+	.A2(n_31326),
+	.B1(n_35711),
+	.B2(n_31359),
+	.Y(n_31446), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o31ai_1 g686021 (
+	.A1(n_31229),
+	.A2(n_31237),
+	.A3(n_31332),
+	.B1(n_29067),
+	.Y(n_31445), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g686022 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[44]),
+	.A2(n_31314),
+	.B1(n_31092),
+	.B2(n_36081),
+	.C1(n_31316),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[76]),
+	.Y(n_31444), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g686024 (
+	.A1(brqrv_top_brqrv_lsu_stbuf_stbuf_data[64]),
+	.A2(n_31090),
+	.B1(n_31091),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[0]),
+	.C1(n_36208),
+	.C2(n_31019),
+	.Y(n_31443), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686025 (
+	.A1(n_31198),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[126]),
+	.B1(n_31197),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[94]),
+	.C1(n_31426),
+	.Y(n_31456), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686026 (
+	.A(n_31416),
+	.B(n_31300),
+	.Y(n_31442), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686027 (
+	.A1(n_31198),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[124]),
+	.B1(n_31197),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[92]),
+	.C1(n_31406),
+	.Y(n_31441), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686028 (
+	.A1(n_31196),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[27]),
+	.B1(n_31197),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[91]),
+	.C1(n_31405),
+	.Y(n_31455), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686029 (
+	.A1(n_31198),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[122]),
+	.B1(n_31197),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[90]),
+	.C1(n_31404),
+	.Y(n_31454), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686030 (
+	.A(n_31408),
+	.B(n_31109),
+	.Y(n_36178), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686031 (
+	.A(n_31271),
+	.B(n_31270),
+	.C(n_31248),
+	.D(n_31298),
+	.Y(n_33976), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686032 (
+	.A(n_31264),
+	.B(n_31263),
+	.C(n_31251),
+	.D(n_31279),
+	.Y(n_33978), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686033 (
+	.A(n_28829),
+	.B(n_31181),
+	.Y(n_31451), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 g686034 (
+	.A(n_35392),
+	.B(n_30605),
+	.X(n_31450), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g686038 (
+	.A(n_31437),
+	.Y(n_36031), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g686040 (
+	.A(n_31434),
+	.Y(n_36035), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g686041 (
+	.A(n_31433),
+	.Y(n_36194), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g686042 (
+	.A(n_31432),
+	.Y(n_36038), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g686044 (
+	.A(n_31430),
+	.Y(n_36028), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g686046 (
+	.A(n_31428),
+	.Y(n_36173), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686050 (
+	.A(n_31269),
+	.B(n_31292),
+	.C(n_31256),
+	.Y(n_31426), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g686051 (
+	.A1(n_31327),
+	.A2(n_31028),
+	.B1(n_33885),
+	.Y(n_31425), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686052 (
+	.A(n_36169),
+	.B(n_8148),
+	.Y(n_31424), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686053 (
+	.A(n_31343),
+	.B(n_8148),
+	.Y(n_31423), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g686054 (
+	.A1(n_31328),
+	.A2(n_31027),
+	.B1(n_33885),
+	.Y(n_31422), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g686055 (
+	.A1(n_31329),
+	.A2(n_31026),
+	.B1(n_33885),
+	.Y(n_31421), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g686056 (
+	.A1(n_31330),
+	.A2(n_31025),
+	.B1(n_33885),
+	.Y(n_31420), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686057 (
+	.A(n_31343),
+	.B(n_8265),
+	.Y(n_31419), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g686058 (
+	.A1(n_36087),
+	.A2(n_31092),
+	.B1(n_31085),
+	.B2(n_36088),
+	.C1(n_31316),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[79]),
+	.Y(n_31418), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686059 (
+	.A(n_31342),
+	.B(n_31204),
+	.Y(n_36163), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g686060 (
+	.A(n_33885),
+	.B(n_31338),
+	.Y(n_31417), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g686061 (
+	.A_N(n_31342),
+	.B(n_8265),
+	.Y(n_31416), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686062 (
+	.A(n_36164),
+	.B(n_8148),
+	.Y(n_31415), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686063 (
+	.A1(n_31094),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[98]),
+	.B1(n_31091),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[2]),
+	.C1(n_31337),
+	.Y(n_31440), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g686064 (
+	.A(n_30982),
+	.B(n_31231),
+	.C(n_31333),
+	.Y(n_31414), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g686065 (
+	.A1(n_36075),
+	.A2(n_31092),
+	.B1(n_31085),
+	.B2(n_36076),
+	.C1(n_31319),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[105]),
+	.Y(n_31413), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g686066 (
+	.A1(n_36092),
+	.A2(n_31066),
+	.B1(n_41922),
+	.B2(n_36112),
+	.C1(n_31321),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[33]),
+	.Y(n_31412), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g686067 (
+	.A1(n_36073),
+	.A2(n_31092),
+	.B1(n_31085),
+	.B2(n_36074),
+	.C1(n_31319),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[104]),
+	.Y(n_31411), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g686068 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[48]),
+	.A2(n_31257),
+	.B1(n_31229),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[112]),
+	.C1(n_30988),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[16]),
+	.Y(n_31410), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686071 (
+	.A(n_31360),
+	.B(n_28834),
+	.Y(n_36205), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g686072 (
+	.A1(n_30610),
+	.A2(n_36148),
+	.B1(n_30611),
+	.B2(n_36130),
+	.C1(n_36037),
+	.C2(n_34888),
+	.Y(n_17457), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g686073 (
+	.A1(n_30610),
+	.A2(n_36147),
+	.B1(n_30611),
+	.B2(n_36120),
+	.C1(n_36034),
+	.C2(n_34888),
+	.Y(n_31438), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g686074 (
+	.A1(n_30610),
+	.A2(n_36146),
+	.B1(n_30611),
+	.B2(n_36115),
+	.C1(n_36032),
+	.C2(n_34888),
+	.Y(n_31437), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g686075 (
+	.A1(n_30610),
+	.A2(n_36145),
+	.B1(n_30611),
+	.B2(n_36111),
+	.C1(n_36030),
+	.C2(n_34888),
+	.Y(n_17523), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g686076 (
+	.A1(n_30610),
+	.A2(n_31260),
+	.B1(n_30611),
+	.B2(n_36140),
+	.C1(n_31323),
+	.C2(n_34888),
+	.Y(n_31435), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g686077 (
+	.A1(n_30610),
+	.A2(n_31259),
+	.B1(n_30611),
+	.B2(n_36125),
+	.C1(n_31325),
+	.C2(n_34888),
+	.Y(n_31434), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686078 (
+	.A(n_31364),
+	.B(n_31102),
+	.Y(n_36198), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g686079 (
+	.A1(n_30145),
+	.A2(n_31017),
+	.B1(n_31350),
+	.X(n_31433), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g686080 (
+	.A1(n_30610),
+	.A2(n_36149),
+	.B1(n_30611),
+	.B2(n_36135),
+	.C1(n_36039),
+	.C2(n_34888),
+	.Y(n_31432), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686081 (
+	.A(n_31346),
+	.B(n_31101),
+	.Y(n_36186), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g686082 (
+	.A(n_29744),
+	.B(n_31338),
+	.Y(n_31431), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g686083 (
+	.A1(n_30610),
+	.A2(n_31261),
+	.B1(n_30611),
+	.B2(n_36106),
+	.C1(n_36029),
+	.C2(n_34888),
+	.Y(n_31430), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g686084 (
+	.A(n_31356),
+	.B(n_28878),
+	.Y(n_35392), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686085 (
+	.A(n_31362),
+	.B(n_31104),
+	.Y(n_36202), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686086 (
+	.A(n_31361),
+	.B(n_31103),
+	.Y(n_36197), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g686087 (
+	.A1(n_30144),
+	.A2(n_31013),
+	.B1(n_31351),
+	.Y(n_36193), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g686088 (
+	.A1(n_30147),
+	.A2(n_31013),
+	.B1(n_31352),
+	.Y(n_36189), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686089 (
+	.A(n_31363),
+	.B(n_31106),
+	.Y(n_36185), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686090 (
+	.A(n_31345),
+	.B(n_31107),
+	.Y(n_36181), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686091 (
+	.A(n_31347),
+	.B(n_31110),
+	.Y(n_36177), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g686092 (
+	.A1(n_30142),
+	.A2(n_31013),
+	.B1(n_31348),
+	.X(n_31428), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686093 (
+	.A(n_31349),
+	.B(n_31069),
+	.Y(n_36190), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686094 (
+	.A1(n_31320),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[67]),
+	.B1(n_31317),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[3]),
+	.Y(n_31407), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686095 (
+	.A(n_31267),
+	.B(n_31285),
+	.C(n_31254),
+	.Y(n_31406), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686096 (
+	.A(n_31266),
+	.B(n_31283),
+	.C(n_31253),
+	.Y(n_31405), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686097 (
+	.A(n_31265),
+	.B(n_31280),
+	.C(n_31252),
+	.Y(n_31404), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g686098 (
+	.A1(n_36094),
+	.A2(n_31066),
+	.B1(n_41922),
+	.B2(n_36116),
+	.C1(n_31321),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[34]),
+	.Y(n_31403), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686099 (
+	.A1(n_31094),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[97]),
+	.B1(n_31093),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[33]),
+	.C1(n_31355),
+	.Y(n_31408), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g686100 (
+	.A1(n_36090),
+	.A2(n_31066),
+	.B1(n_41922),
+	.B2(n_36107),
+	.C1(n_31321),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[32]),
+	.Y(n_31402), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g686101 (
+	.A1(n_36102),
+	.A2(n_31066),
+	.B1(n_41922),
+	.B2(n_36136),
+	.C1(n_31321),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[38]),
+	.Y(n_31401), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g686102 (
+	.A1(n_36100),
+	.A2(n_31066),
+	.B1(n_41922),
+	.B2(n_36131),
+	.C1(n_31321),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[37]),
+	.Y(n_31400), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g686103 (
+	.A1(n_36098),
+	.A2(n_31066),
+	.B1(n_41922),
+	.B2(n_36126),
+	.C1(n_31321),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[36]),
+	.Y(n_31399), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g686104 (
+	.A1(n_36096),
+	.A2(n_31066),
+	.B1(n_41922),
+	.B2(n_36121),
+	.C1(n_31321),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[35]),
+	.Y(n_31398), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686105 (
+	.A1(n_31319),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[106]),
+	.B1(n_31315),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[10]),
+	.Y(n_31397), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686106 (
+	.A1(n_31314),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[42]),
+	.B1(n_31316),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[74]),
+	.Y(n_31396), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686107 (
+	.A1(n_31318),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[98]),
+	.B1(n_31064),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[2]),
+	.Y(n_31395), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686108 (
+	.A1(n_31320),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[66]),
+	.B1(n_31317),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[2]),
+	.Y(n_31394), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686109 (
+	.A1(n_31316),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[73]),
+	.B1(n_31068),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[9]),
+	.Y(n_31393), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686110 (
+	.A1(n_31314),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[41]),
+	.B1(n_31315),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[9]),
+	.Y(n_31392), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686111 (
+	.A1(n_31318),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[97]),
+	.B1(n_31064),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[1]),
+	.Y(n_31391), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686112 (
+	.A1(n_31320),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[65]),
+	.B1(n_31317),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[1]),
+	.Y(n_31390), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111a_1 g686113 (
+	.A1(n_30535),
+	.A2(n_31097),
+	.B1(n_31222),
+	.C1(n_31249),
+	.D1(n_31250),
+	.X(n_31389), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686114 (
+	.A1(n_31318),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[96]),
+	.B1(n_31064),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[0]),
+	.Y(n_31388), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686115 (
+	.A1(n_31320),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[64]),
+	.B1(n_31317),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[0]),
+	.Y(n_31387), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686116 (
+	.A1(n_31316),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[72]),
+	.B1(n_31068),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[8]),
+	.Y(n_31386), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686117 (
+	.A1(n_31314),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[40]),
+	.B1(n_31315),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[8]),
+	.Y(n_31385), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686118 (
+	.A1(n_31319),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[111]),
+	.B1(n_31068),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[15]),
+	.Y(n_31384), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686119 (
+	.A1(n_31314),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[47]),
+	.B1(n_31315),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[15]),
+	.Y(n_31383), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686120 (
+	.A1(n_31321),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[39]),
+	.B1(n_41922),
+	.B2(n_36141),
+	.Y(n_31382), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686121 (
+	.A1(n_31318),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[103]),
+	.B1(n_31064),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[7]),
+	.Y(n_31381), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686122 (
+	.A1(n_31320),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[71]),
+	.B1(n_31317),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[7]),
+	.Y(n_31380), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686123 (
+	.A1(n_31314),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[46]),
+	.B1(n_31316),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[78]),
+	.Y(n_31379), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686124 (
+	.A1(n_31319),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[110]),
+	.B1(n_31315),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[14]),
+	.Y(n_31378), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686125 (
+	.A1(n_31320),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[70]),
+	.B1(n_31317),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[6]),
+	.Y(n_31377), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686126 (
+	.A1(n_31318),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[102]),
+	.B1(n_31064),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[6]),
+	.Y(n_31376), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686127 (
+	.A1(n_31314),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[45]),
+	.B1(n_31316),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[77]),
+	.Y(n_31375), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686128 (
+	.A1(n_31319),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[109]),
+	.B1(n_31315),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[13]),
+	.Y(n_31374), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686129 (
+	.A1(n_31318),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[101]),
+	.B1(n_31064),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[5]),
+	.Y(n_31373), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686130 (
+	.A1(n_31320),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[69]),
+	.B1(n_31317),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[5]),
+	.Y(n_31372), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686131 (
+	.A1(n_31319),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[108]),
+	.B1(n_31315),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[12]),
+	.Y(n_31371), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686132 (
+	.A1(n_31318),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[100]),
+	.B1(n_31064),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[4]),
+	.Y(n_31370), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686133 (
+	.A1(n_31320),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[68]),
+	.B1(n_31317),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[4]),
+	.Y(n_31369), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686134 (
+	.A1(n_31319),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[107]),
+	.B1(n_31315),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[11]),
+	.Y(n_31368), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686135 (
+	.A1(n_31314),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[43]),
+	.B1(n_31316),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[75]),
+	.Y(n_31367), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686136 (
+	.A1(n_31318),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[99]),
+	.B1(n_31064),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[3]),
+	.Y(n_31366), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686137 (
+	.A(n_31268),
+	.B(n_31289),
+	.C(n_31255),
+	.Y(n_31365), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g686138 (
+	.A(n_31359),
+	.Y(n_36026), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g686139 (
+	.A(n_31290),
+	.B(n_31191),
+	.C(n_31138),
+	.X(n_31364), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g686140 (
+	.A_N(n_34017),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[80]),
+	.Y(n_31358), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g686141 (
+	.A_N(n_34000),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[16]),
+	.Y(n_31357), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g686142 (
+	.A(n_31301),
+	.B(n_31186),
+	.C(n_31133),
+	.X(n_31363), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686143 (
+	.A(n_31299),
+	.B(n_30811),
+	.Y(n_31356), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686144 (
+	.A(n_31226),
+	.B(n_31277),
+	.Y(n_31355), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g686145 (
+	.A(n_30807),
+	.B(n_31311),
+	.Y(n_31354), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g686146 (
+	.A1(n_42912),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [85]),
+	.B1(n_33981),
+	.Y(n_31353), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g686147 (
+	.A(n_31284),
+	.B(n_31187),
+	.C(n_31134),
+	.X(n_31352), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g686148 (
+	.A(n_31288),
+	.B(n_31190),
+	.C(n_31137),
+	.X(n_31351), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g686149 (
+	.A(n_31287),
+	.B(n_31189),
+	.C(n_31163),
+	.X(n_31350), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g686150 (
+	.A(n_31286),
+	.B(n_31188),
+	.C(n_31135),
+	.X(n_31349), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g686151 (
+	.A(n_31297),
+	.B(n_31175),
+	.C(n_31142),
+	.X(n_31348), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g686152 (
+	.A(n_31294),
+	.B(n_31194),
+	.C(n_31141),
+	.X(n_31362), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g686153 (
+	.A(n_31291),
+	.B(n_31192),
+	.C(n_31139),
+	.X(n_31361), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686154 (
+	.A(n_31322),
+	.B(n_31024),
+	.Y(n_36164), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g686155 (
+	.A(n_31293),
+	.B(n_31193),
+	.C(n_31140),
+	.X(n_31360), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g686156 (
+	.A(n_30970),
+	.B(n_31310),
+	.Y(n_31359), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g686159 (
+	.A(n_31338),
+	.Y(n_36171), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g686162 (
+	.A1(n_31093),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[34]),
+	.B1(n_31090),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[66]),
+	.C1(n_31221),
+	.X(n_31337), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g686163 (
+	.A(n_31278),
+	.B(n_31184),
+	.C(n_31131),
+	.X(n_31347), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686164 (
+	.A1(n_31019),
+	.A2(n_36211),
+	.B1(n_31090),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[67]),
+	.C1(n_31303),
+	.Y(n_31346), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686165 (
+	.A1(n_31261),
+	.A2(n_30514),
+	.B1(n_30515),
+	.B2(n_36106),
+	.Y(n_31336), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686166 (
+	.A1(n_31259),
+	.A2(n_30514),
+	.B1(n_30515),
+	.B2(n_36125),
+	.Y(n_31335), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686167 (
+	.A1(n_31260),
+	.A2(n_30514),
+	.B1(n_30515),
+	.B2(n_36140),
+	.Y(n_31334), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686168 (
+	.A(n_31312),
+	.B(n_31232),
+	.C(n_29581),
+	.D(n_43117),
+	.Y(n_31333), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g686169 (
+	.A(n_31281),
+	.B(n_31185),
+	.C(n_31132),
+	.X(n_31345), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686170 (
+	.A(n_31308),
+	.B(n_31262),
+	.C(n_30934),
+	.Y(n_31332), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g686171 (
+	.A(n_31028),
+	.B(n_31327),
+	.Y(n_36170), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g686172 (
+	.A(n_31025),
+	.B(n_31330),
+	.Y(n_36165), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686173 (
+	.A(n_31329),
+	.B(n_31026),
+	.Y(n_36166), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g686174 (
+	.A1(n_31246),
+	.A2(n_36240),
+	.B1(n_17415),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.C1(n_30743),
+	.X(n_36208), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686175 (
+	.A(n_31328),
+	.B(n_31027),
+	.Y(n_36167), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g686176 (
+	.A(n_31006),
+	.B(n_31305),
+	.Y(n_36169), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g686177 (
+	.A(n_30975),
+	.B(n_31309),
+	.Y(n_31344), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686178 (
+	.A(n_31306),
+	.B(n_31005),
+	.Y(n_31343), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211a_1 g686179 (
+	.A1(n_30560),
+	.A2(n_31097),
+	.B1(n_31216),
+	.C1(n_31272),
+	.X(n_31342), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_2 g686180 (
+	.A1(n_30922),
+	.A2(n_29729),
+	.B1(n_31295),
+	.Y(n_31338), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g686181 (
+	.A(n_31307),
+	.Y(n_31331), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g686182 (
+	.A(n_31325),
+	.Y(n_31326), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g686183 (
+	.A(n_31323),
+	.Y(n_31324), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g686184 (
+	.A(n_33975),
+	.Y(n_31322), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686185 (
+	.A(n_31020),
+	.B(n_31257),
+	.Y(n_34001), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g686186 (
+	.A(n_31230),
+	.B(n_31239),
+	.Y(n_31313), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g686187 (
+	.A(n_31235),
+	.B(n_31233),
+	.Y(n_31312), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g686188 (
+	.A1(n_31049),
+	.A2(n_31160),
+	.B1(n_30860),
+	.Y(n_31311), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686189 (
+	.A(n_31020),
+	.B(n_31229),
+	.Y(n_34006), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g686190 (
+	.A1(n_31161),
+	.A2(n_31050),
+	.B1(n_43122),
+	.Y(n_31310), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g686191 (
+	.A1(n_31162),
+	.A2(n_31051),
+	.B1(n_43122),
+	.Y(n_31309), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g686192 (
+	.A(n_31155),
+	.B(n_31257),
+	.Y(n_31308), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686193 (
+	.A(n_31243),
+	.B(n_31244),
+	.Y(n_31307), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g686194 (
+	.A(n_31211),
+	.B(n_31080),
+	.C(n_31043),
+	.X(n_31330), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g686195 (
+	.A(n_31212),
+	.B(n_31081),
+	.C(n_31044),
+	.X(n_31329), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g686196 (
+	.A(n_31228),
+	.B(n_31082),
+	.C(n_31045),
+	.X(n_31328), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g686197 (
+	.A(n_31215),
+	.B(n_31083),
+	.C(n_31063),
+	.X(n_31306), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g686198 (
+	.A(n_31218),
+	.B(n_31072),
+	.C(n_31047),
+	.X(n_31305), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g686199 (
+	.A(n_31219),
+	.B(n_31073),
+	.C(n_31048),
+	.X(n_31327), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686200 (
+	.A(n_36172),
+	.B(FE_DBTN12_n_35713),
+	.Y(n_31304), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686201 (
+	.A(n_31224),
+	.B(n_31213),
+	.Y(n_31303), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g686202 (
+	.A1(n_30663),
+	.A2(n_31098),
+	.B1(n_31220),
+	.X(n_31302), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g686203 (
+	.A1(n_30661),
+	.A2(n_31099),
+	.B1(n_31217),
+	.Y(n_33981), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g686204 (
+	.A1(n_1908),
+	.A2(n_28815),
+	.B1(n_31074),
+	.C1(n_31119),
+	.D1(n_31143),
+	.Y(n_36032), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g686205 (
+	.A1(n_1617),
+	.A2(n_28815),
+	.B1(n_31075),
+	.C1(n_31120),
+	.D1(n_31145),
+	.Y(n_36034), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g686206 (
+	.A1(n_1930),
+	.A2(n_28815),
+	.B1(n_31076),
+	.C1(n_31123),
+	.D1(n_31148),
+	.Y(n_36037), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g686207 (
+	.A1(n_1597),
+	.A2(n_28815),
+	.B1(n_31077),
+	.C1(n_31124),
+	.D1(n_31150),
+	.Y(n_36039), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g686208 (
+	.A(n_31262),
+	.B(n_31021),
+	.X(n_34000), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686209 (
+	.A(n_31020),
+	.B(n_31237),
+	.Y(n_34017), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686210 (
+	.A(n_31121),
+	.B(n_31122),
+	.C(n_31130),
+	.Y(n_31325), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686211 (
+	.A(n_31126),
+	.B(n_31125),
+	.C(n_31152),
+	.Y(n_31323), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g686212 (
+	.A1(n_1915),
+	.A2(n_28815),
+	.B1(n_31071),
+	.C1(n_31128),
+	.D1(n_31245),
+	.Y(n_36029), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686213 (
+	.A(n_31210),
+	.B(n_31079),
+	.C(n_31042),
+	.Y(n_33975), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686214 (
+	.A(n_31209),
+	.B(n_31129),
+	.C(n_30977),
+	.Y(n_36030), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g686215 (
+	.A(n_31239),
+	.B(n_31011),
+	.X(n_31321), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g686216 (
+	.A(n_31230),
+	.B(n_31011),
+	.X(n_31320), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g686217 (
+	.A(n_30982),
+	.B(n_31236),
+	.Y(n_31319), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g686218 (
+	.A(n_31012),
+	.B(n_31258),
+	.Y(n_31318), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g686219 (
+	.A(n_43115),
+	.B(n_31011),
+	.X(n_31317), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g686220 (
+	.A(n_30982),
+	.B_N(n_31231),
+	.Y(n_31316), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g686221 (
+	.A(n_30982),
+	.B(n_31234),
+	.Y(n_31315), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g686222 (
+	.A(n_30982),
+	.B(n_31232),
+	.Y(n_31314), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g686223 (
+	.A1(brqrv_top_brqrv_lsu_stbuf_stbuf_data[75]),
+	.A2(n_31086),
+	.B1(n_31088),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[107]),
+	.C1(n_31087),
+	.C2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[11]),
+	.Y(n_31301), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686224 (
+	.A(n_31214),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [132]),
+	.Y(n_31300), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686225 (
+	.A(n_31127),
+	.B(n_31166),
+	.C(n_30584),
+	.Y(n_31299), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g686226 (
+	.A1(brqrv_top_brqrv_lsu_store_data_hi_r[24]),
+	.A2(n_30902),
+	.B1(n_30678),
+	.B2(brqrv_top_brqrv_lsu_store_data_lo_r[24]),
+	.C1(n_43006),
+	.C2(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[24]),
+	.Y(n_31298), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g686227 (
+	.A1(brqrv_top_brqrv_lsu_stbuf_stbuf_data[72]),
+	.A2(n_31086),
+	.B1(n_31087),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[8]),
+	.C1(n_31088),
+	.C2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[104]),
+	.Y(n_31297), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g686228 (
+	.A(n_30016),
+	.B(n_30993),
+	.C(n_43115),
+	.Y(n_31296), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_2 g686229 (
+	.A(n_31055),
+	.B(n_31059),
+	.C(n_31173),
+	.Y(n_31295), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g686230 (
+	.A1(brqrv_top_brqrv_lsu_stbuf_stbuf_data[79]),
+	.A2(n_31086),
+	.B1(n_31088),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[111]),
+	.C1(n_31087),
+	.C2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[15]),
+	.Y(n_31294), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g686231 (
+	.A1(brqrv_top_brqrv_lsu_stbuf_stbuf_data[7]),
+	.A2(n_31091),
+	.B1(n_31090),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[71]),
+	.C1(n_31094),
+	.C2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[103]),
+	.Y(n_31293), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g686232 (
+	.A1(brqrv_top_brqrv_lsu_store_data_hi_r[30]),
+	.A2(n_30902),
+	.B1(n_30678),
+	.B2(brqrv_top_brqrv_lsu_store_data_lo_r[30]),
+	.C1(n_43006),
+	.C2(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[30]),
+	.Y(n_31292), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g686233 (
+	.A1(brqrv_top_brqrv_lsu_stbuf_stbuf_data[78]),
+	.A2(n_31086),
+	.B1(n_31087),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[14]),
+	.C1(n_31088),
+	.C2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[110]),
+	.Y(n_31291), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g686234 (
+	.A1(brqrv_top_brqrv_lsu_stbuf_stbuf_data[6]),
+	.A2(n_31091),
+	.B1(n_31090),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[70]),
+	.C1(n_31094),
+	.C2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[102]),
+	.Y(n_31290), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g686235 (
+	.A1(brqrv_top_brqrv_lsu_store_data_hi_r[29]),
+	.A2(n_30902),
+	.B1(n_30678),
+	.B2(brqrv_top_brqrv_lsu_store_data_lo_r[29]),
+	.C1(n_43006),
+	.C2(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[29]),
+	.Y(n_31289), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g686236 (
+	.A1(brqrv_top_brqrv_lsu_stbuf_stbuf_data[77]),
+	.A2(n_31086),
+	.B1(n_31088),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[109]),
+	.C1(n_31087),
+	.C2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[13]),
+	.Y(n_31288), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g686237 (
+	.A1(brqrv_top_brqrv_lsu_stbuf_stbuf_data[5]),
+	.A2(n_31091),
+	.B1(n_31090),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[69]),
+	.C1(n_31094),
+	.C2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[101]),
+	.Y(n_31287), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g686238 (
+	.A1(brqrv_top_brqrv_lsu_stbuf_stbuf_data[4]),
+	.A2(n_31091),
+	.B1(n_31090),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[68]),
+	.C1(n_31094),
+	.C2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[100]),
+	.Y(n_31286), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g686239 (
+	.A1(brqrv_top_brqrv_lsu_store_data_hi_r[28]),
+	.A2(n_30902),
+	.B1(n_30678),
+	.B2(brqrv_top_brqrv_lsu_store_data_lo_r[28]),
+	.C1(n_43006),
+	.C2(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[28]),
+	.Y(n_31285), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g686240 (
+	.A1(brqrv_top_brqrv_lsu_stbuf_stbuf_data[76]),
+	.A2(n_31086),
+	.B1(n_31088),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[108]),
+	.C1(n_31087),
+	.C2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[12]),
+	.Y(n_31284), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g686241 (
+	.A1(brqrv_top_brqrv_lsu_store_data_hi_r[27]),
+	.A2(n_30902),
+	.B1(n_30678),
+	.B2(brqrv_top_brqrv_lsu_store_data_lo_r[27]),
+	.C1(n_43006),
+	.C2(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[27]),
+	.Y(n_31283), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g686242 (
+	.A(n_35715),
+	.B(n_31240),
+	.Y(n_31282), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g686243 (
+	.A1(brqrv_top_brqrv_lsu_stbuf_stbuf_data[74]),
+	.A2(n_31086),
+	.B1(n_31088),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[106]),
+	.C1(n_31087),
+	.C2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[10]),
+	.Y(n_31281), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g686244 (
+	.A1(brqrv_top_brqrv_lsu_store_data_hi_r[26]),
+	.A2(n_30902),
+	.B1(n_30678),
+	.B2(brqrv_top_brqrv_lsu_store_data_lo_r[26]),
+	.C1(n_43006),
+	.C2(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[26]),
+	.Y(n_31280), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g686245 (
+	.A1(brqrv_top_brqrv_lsu_store_data_hi_r[25]),
+	.A2(n_30902),
+	.B1(n_30678),
+	.B2(brqrv_top_brqrv_lsu_store_data_lo_r[25]),
+	.C1(n_43006),
+	.C2(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[25]),
+	.Y(n_31279), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g686246 (
+	.A1(brqrv_top_brqrv_lsu_stbuf_stbuf_data[73]),
+	.A2(n_31086),
+	.B1(n_31087),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[9]),
+	.C1(n_31088),
+	.C2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[105]),
+	.Y(n_31278), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g686247 (
+	.A1(brqrv_top_brqrv_lsu_store_data_hi_r[1]),
+	.A2(n_30794),
+	.B1(n_30858),
+	.B2(brqrv_top_brqrv_lsu_store_data_lo_r[1]),
+	.C1(n_36209),
+	.C2(n_31019),
+	.Y(n_31277), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g686248 (
+	.A1(n_36085),
+	.A2(n_31092),
+	.B1(n_31085),
+	.B2(n_36086),
+	.C1(n_31068),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[14]),
+	.Y(n_31276), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g686249 (
+	.A1(n_36083),
+	.A2(n_31092),
+	.B1(n_31085),
+	.B2(n_36084),
+	.C1(n_31068),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[13]),
+	.Y(n_31275), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g686250 (
+	.A1(n_36079),
+	.A2(n_31092),
+	.B1(n_31085),
+	.B2(n_36080),
+	.C1(n_31068),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[11]),
+	.Y(n_31274), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g686251 (
+	.A1(n_36077),
+	.A2(n_31092),
+	.B1(n_31085),
+	.B2(n_36078),
+	.C1(n_31068),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[10]),
+	.Y(n_31273), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686252 (
+	.A1(n_17501),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[15]),
+	.B1(n_17502),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[47]),
+	.Y(n_31272), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686253 (
+	.A1(n_31198),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[120]),
+	.B1(n_31197),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[88]),
+	.Y(n_31271), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686254 (
+	.A1(n_31196),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[24]),
+	.B1(n_31199),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[56]),
+	.Y(n_31270), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686255 (
+	.A1(n_31196),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[30]),
+	.B1(n_31199),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[62]),
+	.Y(n_31269), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686256 (
+	.A1(n_31198),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[125]),
+	.B1(n_31199),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[61]),
+	.Y(n_31268), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686257 (
+	.A1(n_31196),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[28]),
+	.B1(n_31199),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[60]),
+	.Y(n_31267), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686258 (
+	.A1(n_31198),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[123]),
+	.B1(n_31199),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[59]),
+	.Y(n_31266), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686259 (
+	.A1(n_31196),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[26]),
+	.B1(n_31199),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[58]),
+	.Y(n_31265), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686260 (
+	.A1(n_31198),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[121]),
+	.B1(n_31197),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[89]),
+	.Y(n_31264), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686261 (
+	.A1(n_31196),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[25]),
+	.B1(n_31199),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[57]),
+	.Y(n_31263), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686262 (
+	.A(n_31201),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[30]),
+	.Y(n_31256), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686263 (
+	.A(n_31201),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[29]),
+	.Y(n_31255), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686264 (
+	.A(n_31201),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[28]),
+	.Y(n_31254), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686265 (
+	.A(n_31201),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[27]),
+	.Y(n_31253), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686266 (
+	.A(n_31201),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[26]),
+	.Y(n_31252), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686267 (
+	.A(n_31201),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[25]),
+	.Y(n_31251), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686268 (
+	.A(n_17501),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[12]),
+	.Y(n_31250), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686269 (
+	.A(n_17502),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[44]),
+	.Y(n_31249), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686270 (
+	.A(n_31201),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[24]),
+	.Y(n_31248), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g686271 (
+	.A1(brqrv_top_brqrv_lsu_store_data_hi_r[0]),
+	.A2(n_30794),
+	.B1(n_30858),
+	.B2(brqrv_top_brqrv_lsu_store_data_lo_r[0]),
+	.C1(n_31093),
+	.C2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[32]),
+	.Y(n_31247), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g686272 (
+	.A1(n_30032),
+	.A2(n_30366),
+	.B1(n_30746),
+	.B2(n_30944),
+	.C1(n_31060),
+	.Y(n_31246), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g686273 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[16]),
+	.A2(n_31016),
+	.B1(n_30986),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[80]),
+	.C1(n_30859),
+	.C2(n_36110),
+	.Y(n_31245), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686274 (
+	.A(n_42913),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [46]),
+	.Y(n_31244), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686275 (
+	.A(n_42915),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [7]),
+	.Y(n_31243), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686276 (
+	.A(n_42914),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [124]),
+	.Y(n_31242), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686277 (
+	.A(n_31170),
+	.B(n_29634),
+	.Y(n_31241), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686278 (
+	.A(n_42916),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [54]),
+	.Y(n_31240), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686279 (
+	.A(n_31156),
+	.B(n_43118),
+	.Y(n_31262), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686280 (
+	.A(n_31041),
+	.B(n_31159),
+	.Y(n_36145), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686281 (
+	.A(n_31040),
+	.B(n_31154),
+	.Y(n_31261), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g686282 (
+	.A1(n_30920),
+	.A2(n_30950),
+	.B1(n_31158),
+	.Y(n_36172), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686283 (
+	.A(n_31039),
+	.B(n_31153),
+	.Y(n_31260), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686284 (
+	.A(n_31038),
+	.B(n_31151),
+	.Y(n_36149), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686285 (
+	.A(n_31037),
+	.B(n_31149),
+	.Y(n_36148), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686286 (
+	.A(n_31036),
+	.B(n_31147),
+	.Y(n_31259), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686287 (
+	.A(n_31035),
+	.B(n_31146),
+	.Y(n_36147), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686288 (
+	.A(n_31034),
+	.B(n_31144),
+	.Y(n_36146), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g686289 (
+	.A1(n_30423),
+	.A2(n_43120),
+	.B1(n_30927),
+	.C1(n_30994),
+	.D1(n_30978),
+	.Y(n_31258), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g686290 (
+	.A(n_28822),
+	.B(n_43116),
+	.Y(n_31257), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g686291 (
+	.A(n_31235),
+	.Y(n_31236), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g686292 (
+	.A(n_31233),
+	.Y(n_31234), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g686293 (
+	.A1(brqrv_top_brqrv_lsu_stbuf_stbuf_data[115]),
+	.A2(n_30990),
+	.B1(n_30992),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[19]),
+	.C1(n_30991),
+	.C2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[83]),
+	.Y(n_31228), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686294 (
+	.A1(n_31068),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[12]),
+	.B1(n_31085),
+	.B2(n_36082),
+	.Y(n_31227), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686295 (
+	.A1(n_31090),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[65]),
+	.B1(n_31091),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[1]),
+	.Y(n_31226), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686296 (
+	.A(n_31172),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [31]),
+	.Y(n_31225), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686297 (
+	.A1(n_31094),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[99]),
+	.B1(n_31091),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[3]),
+	.Y(n_31224), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686298 (
+	.A(n_31165),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [109]),
+	.Y(n_31223), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g686299 (
+	.A(n_30976),
+	.B(n_31176),
+	.Y(n_31222), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g686300 (
+	.A1(n_30794),
+	.A2(brqrv_top_brqrv_lsu_store_data_hi_r[2]),
+	.B1(n_30858),
+	.B2(brqrv_top_brqrv_lsu_store_data_lo_r[2]),
+	.C1(n_31178),
+	.X(n_31221), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g686301 (
+	.A1(brqrv_top_brqrv_lsu_store_data_hi_r[31]),
+	.A2(n_30902),
+	.B1(n_30678),
+	.B2(brqrv_top_brqrv_lsu_store_data_lo_r[31]),
+	.C1(n_31100),
+	.C2(n_36239),
+	.Y(n_31220), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g686302 (
+	.A1(brqrv_top_brqrv_lsu_stbuf_stbuf_data[118]),
+	.A2(n_30990),
+	.B1(n_30992),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[22]),
+	.C1(n_30991),
+	.C2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[86]),
+	.Y(n_31219), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g686303 (
+	.A1(brqrv_top_brqrv_lsu_stbuf_stbuf_data[117]),
+	.A2(n_30990),
+	.B1(n_30991),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[85]),
+	.C1(n_30992),
+	.C2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[21]),
+	.Y(n_31218), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g686304 (
+	.A(n_30974),
+	.B(n_31182),
+	.Y(n_31217), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g686305 (
+	.A(n_30973),
+	.B(n_31183),
+	.Y(n_31216), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g686306 (
+	.A1(brqrv_top_brqrv_lsu_stbuf_stbuf_data[116]),
+	.A2(n_30990),
+	.B1(n_30992),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[20]),
+	.C1(n_30991),
+	.C2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[84]),
+	.Y(n_31215), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g686307 (
+	.A(n_35715),
+	.B(n_34088),
+	.C(n_34076),
+	.Y(n_31214), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g686308 (
+	.A1(brqrv_top_brqrv_lsu_store_data_hi_r[3]),
+	.A2(n_30794),
+	.B1(n_30858),
+	.B2(brqrv_top_brqrv_lsu_store_data_lo_r[3]),
+	.C1(n_31093),
+	.C2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[35]),
+	.Y(n_31213), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g686309 (
+	.A1(brqrv_top_brqrv_lsu_stbuf_stbuf_data[114]),
+	.A2(n_30990),
+	.B1(n_30991),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[82]),
+	.C1(n_30992),
+	.C2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[18]),
+	.Y(n_31212), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g686310 (
+	.A1(brqrv_top_brqrv_lsu_stbuf_stbuf_data[113]),
+	.A2(n_30990),
+	.B1(n_30991),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[81]),
+	.C1(n_30992),
+	.C2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[17]),
+	.Y(n_31211), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g686311 (
+	.A1(brqrv_top_brqrv_lsu_stbuf_stbuf_data[112]),
+	.A2(n_30990),
+	.B1(n_30991),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[80]),
+	.C1(n_30992),
+	.C2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[16]),
+	.Y(n_31210), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g686312 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[17]),
+	.A2(n_31016),
+	.B1(n_30986),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[81]),
+	.C1(n_30859),
+	.C2(n_36114),
+	.Y(n_31209), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g686313 (
+	.A(n_31031),
+	.B(n_31115),
+	.Y(n_31239), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g686315 (
+	.A(n_31053),
+	.B(n_31118),
+	.Y(n_31237), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g686316 (
+	.A(n_30979),
+	.B(n_31111),
+	.Y(n_31235), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g686317 (
+	.A(n_31052),
+	.B(n_31112),
+	.Y(n_31233), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g686318 (
+	.A1(n_30422),
+	.A2(n_30891),
+	.B1(n_43133),
+	.C1(n_43117),
+	.D1(n_31046),
+	.Y(n_31232), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g686319 (
+	.A(n_31029),
+	.B(n_31113),
+	.Y(n_31231), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g686320 (
+	.A(n_31030),
+	.B(n_31114),
+	.Y(n_31230), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g686321 (
+	.A(n_31058),
+	.B(n_31117),
+	.Y(n_31229), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686324 (
+	.A(n_31094),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[96]),
+	.Y(n_31195), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686325 (
+	.A(n_31089),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[47]),
+	.Y(n_31194), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686326 (
+	.A(n_31093),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[39]),
+	.Y(n_31193), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686327 (
+	.A(n_31089),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[46]),
+	.Y(n_31192), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686328 (
+	.A(n_31093),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[38]),
+	.Y(n_31191), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686329 (
+	.A(n_31089),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[45]),
+	.Y(n_31190), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686330 (
+	.A(n_31093),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[37]),
+	.Y(n_31189), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686331 (
+	.A(n_31093),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[36]),
+	.Y(n_31188), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686332 (
+	.A(n_31089),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[44]),
+	.Y(n_31187), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686333 (
+	.A(n_31089),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[43]),
+	.Y(n_31186), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686334 (
+	.A(n_31089),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[42]),
+	.Y(n_31185), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686335 (
+	.A(n_31089),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[41]),
+	.Y(n_31184), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g686337 (
+	.A(n_34074),
+	.B_N(n_36223),
+	.Y(n_31183), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g686338 (
+	.A(n_34070),
+	.B_N(n_36215),
+	.Y(n_31182), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686339 (
+	.A(n_17404),
+	.B(n_35748),
+	.Y(n_33980), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686340 (
+	.A(n_31084),
+	.B(n_29728),
+	.Y(n_31181), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686341 (
+	.A(n_31084),
+	.B(n_35770),
+	.Y(n_31208), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686342 (
+	.A(n_31084),
+	.B(n_35769),
+	.Y(n_31180), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686343 (
+	.A(n_31084),
+	.B(n_35768),
+	.Y(n_31179), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686344 (
+	.A(n_35767),
+	.B(n_31084),
+	.Y(n_31207), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686345 (
+	.A(n_35766),
+	.B(n_31084),
+	.Y(n_31206), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_0 g686346 (
+	.A(n_36210),
+	.B(n_31019),
+	.X(n_31178), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686347 (
+	.A(n_17482),
+	.B(n_35753),
+	.Y(n_31177), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g686348 (
+	.A(n_34074),
+	.B_N(n_36220),
+	.Y(n_31176), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686349 (
+	.A(n_31089),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[40]),
+	.Y(n_31175), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g686354 (
+	.A1(n_30925),
+	.A2(n_36231),
+	.B1(n_31054),
+	.Y(n_31173), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g686357 (
+	.A(n_34093),
+	.B(n_34062),
+	.Y(n_31172), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g686359 (
+	.A(n_35715),
+	.B(n_34076),
+	.Y(n_31170), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686363 (
+	.A(n_31084),
+	.B(n_35765),
+	.Y(n_31205), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686364 (
+	.A(n_31056),
+	.B(n_34811),
+	.Y(n_31166), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g686365 (
+	.A(n_34092),
+	.B(n_34062),
+	.Y(n_31165), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686366 (
+	.A(n_31084),
+	.B(n_35764),
+	.Y(n_31164), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g686367 (
+	.A1(n_17415),
+	.A2(n_30915),
+	.B1(n_30804),
+	.C1(n_30945),
+	.Y(n_36209), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686368 (
+	.A(n_17482),
+	.B(n_30135),
+	.Y(n_31204), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g686369 (
+	.A(n_33879),
+	.B(n_31097),
+	.Y(n_17501), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g686370 (
+	.A(n_33882),
+	.B(n_31097),
+	.Y(n_17502), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g686371 (
+	.A(n_36240),
+	.B_N(n_31100),
+	.Y(n_31201), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g686373 (
+	.A(n_33882),
+	.B(n_31098),
+	.Y(n_31199), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g686374 (
+	.A(n_33883),
+	.B(n_31098),
+	.Y(n_31198), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g686375 (
+	.A(n_33884),
+	.B(n_31098),
+	.Y(n_31197), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g686376 (
+	.A(n_33879),
+	.B(n_31098),
+	.Y(n_31196), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g686377 (
+	.A1(brqrv_top_brqrv_lsu_store_data_hi_r[5]),
+	.A2(n_30794),
+	.B1(n_30858),
+	.B2(brqrv_top_brqrv_lsu_store_data_lo_r[5]),
+	.C1(n_31019),
+	.C2(n_36213),
+	.Y(n_31163), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g686378 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[47]),
+	.A2(n_30956),
+	.B1(n_30929),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[15]),
+	.C1(n_30485),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[15]),
+	.Y(n_31162), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g686379 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[108]),
+	.A2(n_30952),
+	.B1(n_30929),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[12]),
+	.C1(n_30485),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[12]),
+	.Y(n_31161), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g686380 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[7]),
+	.A2(n_30933),
+	.B1(n_30235),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[7]),
+	.C1(n_30957),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[39]),
+	.Y(n_31160), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g686381 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[25]),
+	.A2(n_30924),
+	.B1(n_30483),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[25]),
+	.C1(n_30947),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[57]),
+	.Y(n_31159), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g686382 (
+	.A1(n_30840),
+	.A2(n_31022),
+	.B1(n_30918),
+	.X(n_31158), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g686383 (
+	.A(n_30947),
+	.B(n_30946),
+	.C(n_31057),
+	.Y(n_31157), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g686384 (
+	.A(n_43119),
+	.B(n_30941),
+	.C(n_31062),
+	.Y(n_31156), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686385 (
+	.A(n_30868),
+	.B(n_43118),
+	.C(n_29841),
+	.Y(n_31155), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g686386 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[24]),
+	.A2(n_30924),
+	.B1(n_30483),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[24]),
+	.C1(n_30949),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[120]),
+	.Y(n_31154), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g686387 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[31]),
+	.A2(n_30924),
+	.B1(n_30483),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[31]),
+	.C1(n_30949),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[127]),
+	.Y(n_31153), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g686388 (
+	.A1(n_36144),
+	.A2(n_30859),
+	.B1(n_30421),
+	.B2(n_36071),
+	.C1(n_30985),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[119]),
+	.Y(n_31152), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g686389 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[30]),
+	.A2(n_30924),
+	.B1(n_30483),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[30]),
+	.C1(n_30949),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[126]),
+	.Y(n_31151), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g686390 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[118]),
+	.A2(n_30985),
+	.B1(n_30903),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[22]),
+	.C1(n_30859),
+	.C2(n_36139),
+	.Y(n_31150), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g686391 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[29]),
+	.A2(n_30924),
+	.B1(n_30483),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[29]),
+	.C1(n_30949),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[125]),
+	.Y(n_31149), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g686392 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[117]),
+	.A2(n_30985),
+	.B1(n_30903),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[21]),
+	.C1(n_30859),
+	.C2(n_36134),
+	.Y(n_31148), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g686393 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[28]),
+	.A2(n_30924),
+	.B1(n_30483),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[28]),
+	.C1(n_30949),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[124]),
+	.Y(n_31147), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g686394 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[27]),
+	.A2(n_30924),
+	.B1(n_30483),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[27]),
+	.C1(n_30947),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[59]),
+	.Y(n_31146), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g686395 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[115]),
+	.A2(n_30985),
+	.B1(n_30903),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[19]),
+	.C1(n_30859),
+	.C2(n_36124),
+	.Y(n_31145), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g686396 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[26]),
+	.A2(n_30924),
+	.B1(n_30483),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[26]),
+	.C1(n_30947),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[58]),
+	.Y(n_31144), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g686397 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[114]),
+	.A2(n_30985),
+	.B1(n_30903),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[18]),
+	.C1(n_30859),
+	.C2(n_36119),
+	.Y(n_31143), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g686398 (
+	.A1(brqrv_top_brqrv_lsu_store_data_hi_r[8]),
+	.A2(n_30792),
+	.B1(n_30854),
+	.B2(brqrv_top_brqrv_lsu_store_data_lo_r[8]),
+	.C1(n_31015),
+	.C2(n_36216),
+	.Y(n_31142), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g686399 (
+	.A1(brqrv_top_brqrv_lsu_store_data_hi_r[15]),
+	.A2(n_30792),
+	.B1(n_30854),
+	.B2(brqrv_top_brqrv_lsu_store_data_lo_r[15]),
+	.C1(n_31015),
+	.C2(n_36223),
+	.Y(n_31141), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g686400 (
+	.A1(brqrv_top_brqrv_lsu_store_data_hi_r[7]),
+	.A2(n_30794),
+	.B1(n_30858),
+	.B2(brqrv_top_brqrv_lsu_store_data_lo_r[7]),
+	.C1(n_31019),
+	.C2(n_36215),
+	.Y(n_31140), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g686401 (
+	.A1(brqrv_top_brqrv_lsu_store_data_hi_r[14]),
+	.A2(n_30792),
+	.B1(n_30854),
+	.B2(brqrv_top_brqrv_lsu_store_data_lo_r[14]),
+	.C1(n_31015),
+	.C2(n_36222),
+	.Y(n_31139), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g686402 (
+	.A1(brqrv_top_brqrv_lsu_store_data_hi_r[6]),
+	.A2(n_30794),
+	.B1(n_30858),
+	.B2(brqrv_top_brqrv_lsu_store_data_lo_r[6]),
+	.C1(n_31019),
+	.C2(n_36214),
+	.Y(n_31138), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g686403 (
+	.A1(brqrv_top_brqrv_lsu_store_data_hi_r[13]),
+	.A2(n_30792),
+	.B1(n_30854),
+	.B2(brqrv_top_brqrv_lsu_store_data_lo_r[13]),
+	.C1(n_31015),
+	.C2(n_36221),
+	.Y(n_31137), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g686405 (
+	.A1(brqrv_top_brqrv_lsu_store_data_hi_r[4]),
+	.A2(n_30794),
+	.B1(n_30858),
+	.B2(brqrv_top_brqrv_lsu_store_data_lo_r[4]),
+	.C1(n_31019),
+	.C2(n_36212),
+	.Y(n_31135), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g686406 (
+	.A1(brqrv_top_brqrv_lsu_store_data_hi_r[12]),
+	.A2(n_30792),
+	.B1(n_30854),
+	.B2(brqrv_top_brqrv_lsu_store_data_lo_r[12]),
+	.C1(n_31015),
+	.C2(n_36220),
+	.Y(n_31134), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g686407 (
+	.A1(brqrv_top_brqrv_lsu_store_data_hi_r[11]),
+	.A2(n_30792),
+	.B1(n_30854),
+	.B2(brqrv_top_brqrv_lsu_store_data_lo_r[11]),
+	.C1(n_31015),
+	.C2(n_36219),
+	.Y(n_31133), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g686408 (
+	.A1(brqrv_top_brqrv_lsu_store_data_hi_r[10]),
+	.A2(n_30792),
+	.B1(n_30854),
+	.B2(brqrv_top_brqrv_lsu_store_data_lo_r[10]),
+	.C1(n_31015),
+	.C2(n_36218),
+	.Y(n_31132), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g686409 (
+	.A1(brqrv_top_brqrv_lsu_store_data_hi_r[9]),
+	.A2(n_30792),
+	.B1(n_30854),
+	.B2(brqrv_top_brqrv_lsu_store_data_lo_r[9]),
+	.C1(n_31015),
+	.C2(n_36217),
+	.Y(n_31131), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g686410 (
+	.A1(n_36129),
+	.A2(n_30859),
+	.B1(n_30421),
+	.B2(n_36065),
+	.C1(n_30986),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[84]),
+	.Y(n_31130), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686411 (
+	.A1(n_30985),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[113]),
+	.B1(n_30984),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[49]),
+	.Y(n_31129), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686412 (
+	.A1(n_30984),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[48]),
+	.B1(n_30903),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[16]),
+	.Y(n_31128), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g686413 (
+	.A1(n_35424),
+	.A2(n_31004),
+	.B1(n_30606),
+	.Y(n_31127), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686414 (
+	.A1(n_30984),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[55]),
+	.B1(n_30903),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[23]),
+	.Y(n_31126), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686415 (
+	.A1(n_30986),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[87]),
+	.B1(n_31016),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[23]),
+	.Y(n_31125), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686416 (
+	.A1(n_30986),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[86]),
+	.B1(n_30984),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[54]),
+	.Y(n_31124), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686417 (
+	.A1(n_30984),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[53]),
+	.B1(n_31016),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[21]),
+	.Y(n_31123), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686418 (
+	.A1(n_30985),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[116]),
+	.B1(n_30903),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[20]),
+	.Y(n_31122), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686419 (
+	.A1(n_30984),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[52]),
+	.B1(n_31016),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[20]),
+	.Y(n_31121), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686420 (
+	.A1(n_30984),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[51]),
+	.B1(n_31016),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[19]),
+	.Y(n_31120), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686421 (
+	.A1(n_30984),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[50]),
+	.B1(n_31016),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[18]),
+	.Y(n_31119), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g686422 (
+	.A1(n_30432),
+	.A2(n_43119),
+	.B1(n_30894),
+	.C1(n_43118),
+	.Y(n_31118), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g686423 (
+	.A1(n_30425),
+	.A2(n_30893),
+	.B1(n_43132),
+	.C1(n_43118),
+	.Y(n_31117), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g686424 (
+	.A1(n_30427),
+	.A2(n_30889),
+	.B1(n_31070),
+	.Y(n_31116), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g686425 (
+	.A1(n_30422),
+	.A2(n_30889),
+	.B1(n_30890),
+	.C1(n_30994),
+	.Y(n_31115), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g686426 (
+	.A1(n_30432),
+	.A2(n_43120),
+	.B1(n_30888),
+	.C1(n_30994),
+	.Y(n_31114), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211o_1 g686427 (
+	.A1(n_43133),
+	.A2(n_34864),
+	.B1(n_30891),
+	.C1(n_30995),
+	.X(n_31113), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686428 (
+	.A(n_43117),
+	.B(n_30930),
+	.C(n_30942),
+	.Y(n_31112), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g686429 (
+	.A1(n_30423),
+	.A2(n_30931),
+	.B1(n_30928),
+	.C1(n_43117),
+	.Y(n_31111), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g686432 (
+	.A(n_17404),
+	.Y(n_34071), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g686433 (
+	.A(n_17482),
+	.Y(n_34076), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g686434 (
+	.A(n_31084),
+	.Y(n_34062), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686435 (
+	.A(n_31014),
+	.B(n_35726),
+	.Y(n_31110), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686436 (
+	.A(n_30987),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[52]),
+	.Y(n_31083), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686437 (
+	.A(n_30987),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[51]),
+	.Y(n_31082), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686438 (
+	.A(n_30987),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[50]),
+	.Y(n_31081), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686439 (
+	.A(n_30987),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[49]),
+	.Y(n_31080), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686440 (
+	.A(n_30987),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[48]),
+	.Y(n_31079), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g686441 (
+	.A_N(n_34050),
+	.B(n_36110),
+	.Y(n_31078), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686442 (
+	.A(n_31016),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[22]),
+	.Y(n_31077), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686443 (
+	.A(n_30986),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[85]),
+	.Y(n_31076), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686444 (
+	.A(n_30986),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[83]),
+	.Y(n_31075), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686445 (
+	.A(n_30986),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[82]),
+	.Y(n_31074), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686446 (
+	.A(n_30987),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[54]),
+	.Y(n_31073), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686447 (
+	.A(n_31018),
+	.B(n_35718),
+	.Y(n_31109), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686448 (
+	.A(n_30987),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[53]),
+	.Y(n_31072), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686450 (
+	.A(n_31018),
+	.B(n_35719),
+	.Y(n_31108), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686451 (
+	.A(n_31014),
+	.B(n_35727),
+	.Y(n_31107), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686452 (
+	.A(n_31014),
+	.B(n_35728),
+	.Y(n_31106), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686453 (
+	.A(n_30985),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[112]),
+	.Y(n_31071), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686454 (
+	.A(n_31018),
+	.B(n_35717),
+	.Y(n_31105), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g686455 (
+	.A(n_43120),
+	.B(n_30993),
+	.Y(n_31070), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686456 (
+	.A(n_31014),
+	.B(n_35732),
+	.Y(n_31104), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686457 (
+	.A(n_31014),
+	.B(n_35731),
+	.Y(n_31103), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686458 (
+	.A(n_31018),
+	.B(n_35723),
+	.Y(n_31102), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686459 (
+	.A(n_35721),
+	.B(n_31018),
+	.Y(n_31069), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686460 (
+	.A(n_31018),
+	.B(n_35720),
+	.Y(n_31101), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686461 (
+	.A(n_31001),
+	.B(brqrv_top_brqrv_lsu_addr_in_pic_m),
+	.Y(n_34070), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g686462 (
+	.A(n_35724),
+	.B(n_31018),
+	.Y(n_28834), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g686463 (
+	.A(n_12045),
+	.B(n_30998),
+	.Y(n_31100), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686464 (
+	.A(n_30999),
+	.B(brqrv_top_brqrv_lsu_addr_in_pic_m),
+	.Y(n_34074), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686465 (
+	.A(n_31002),
+	.B(n_30963),
+	.Y(n_31099), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686466 (
+	.A(n_30998),
+	.B(n_30968),
+	.Y(n_31098), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686467 (
+	.A(n_31000),
+	.B(n_30964),
+	.Y(n_31097), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g686468 (
+	.A(n_28982),
+	.B(n_31002),
+	.Y(n_17404), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g686469 (
+	.A(n_28982),
+	.B(n_31000),
+	.Y(n_17482), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g686470 (
+	.A(n_33880),
+	.B(n_31023),
+	.Y(n_31094), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g686471 (
+	.A(n_33878),
+	.B(n_31023),
+	.Y(n_31093), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g686472 (
+	.A(n_28992),
+	.B(n_30983),
+	.Y(n_31092), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g686473 (
+	.A(n_33877),
+	.B(n_31023),
+	.Y(n_31091), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g686474 (
+	.A(n_33881),
+	.B(n_31023),
+	.Y(n_31090), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g686475 (
+	.A(n_33878),
+	.B(n_30997),
+	.Y(n_31089), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g686476 (
+	.A(n_33880),
+	.B(n_30997),
+	.Y(n_31088), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g686477 (
+	.A(n_33877),
+	.B(n_30997),
+	.Y(n_31087), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g686478 (
+	.A(n_33881),
+	.B(n_30997),
+	.Y(n_31086), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g686479 (
+	.A(n_28854),
+	.B(n_30983),
+	.Y(n_31085), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g686480 (
+	.A(n_28982),
+	.B(n_30998),
+	.Y(n_31084), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g686482 (
+	.A(n_31066),
+	.Y(n_31065), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g686483 (
+	.A1(brqrv_top_brqrv_lsu_store_data_hi_r[20]),
+	.A2(n_30901),
+	.B1(n_30677),
+	.B2(brqrv_top_brqrv_lsu_store_data_lo_r[20]),
+	.C1(n_30925),
+	.C2(n_36228),
+	.Y(n_31063), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g686489 (
+	.A1_N(n_34850),
+	.A2_N(n_43132),
+	.B1(n_12042),
+	.B2(n_43121),
+	.Y(n_31062), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g686490 (
+	.A(n_30851),
+	.B(n_30933),
+	.C(n_30957),
+	.Y(n_31061), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g686491 (
+	.A(n_30602),
+	.B(n_30885),
+	.C(n_30844),
+	.D(n_30980),
+	.Y(n_31060), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g686492 (
+	.A(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [101]),
+	.B(n_30971),
+	.Y(n_31059), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g686493 (
+	.A1(n_30423),
+	.A2(n_43119),
+	.B1(n_30424),
+	.B2(n_43121),
+	.Y(n_31058), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686494 (
+	.A(n_30948),
+	.B(n_30923),
+	.C(n_30514),
+	.D(n_30617),
+	.Y(n_31057), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686495 (
+	.A(n_31003),
+	.B(n_30777),
+	.Y(n_31056), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686496 (
+	.A(n_30972),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [23]),
+	.Y(n_31055), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g686497 (
+	.A1(n_30901),
+	.A2(brqrv_top_brqrv_lsu_store_data_hi_r[23]),
+	.B1(n_30677),
+	.B2(brqrv_top_brqrv_lsu_store_data_lo_r[23]),
+	.C1(n_31009),
+	.X(n_31054), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g686499 (
+	.A1_N(n_34867),
+	.A2_N(n_43132),
+	.B1(n_30428),
+	.B2(n_43121),
+	.Y(n_31053), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g686500 (
+	.A1_N(n_34850),
+	.A2_N(n_30928),
+	.B1(n_30427),
+	.B2(n_30891),
+	.Y(n_31052), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686501 (
+	.A1(n_30952),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[111]),
+	.B1(n_30954),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[79]),
+	.Y(n_31051), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686502 (
+	.A1(n_30956),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[44]),
+	.B1(n_30954),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[76]),
+	.Y(n_31050), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686503 (
+	.A1(n_30959),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[103]),
+	.B1(n_30961),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[71]),
+	.Y(n_31049), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g686504 (
+	.A1(brqrv_top_brqrv_lsu_store_data_hi_r[22]),
+	.A2(n_30901),
+	.B1(n_30677),
+	.B2(brqrv_top_brqrv_lsu_store_data_lo_r[22]),
+	.C1(n_30925),
+	.C2(n_36230),
+	.Y(n_31048), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g686505 (
+	.A1(brqrv_top_brqrv_lsu_store_data_hi_r[21]),
+	.A2(n_30901),
+	.B1(n_30677),
+	.B2(brqrv_top_brqrv_lsu_store_data_lo_r[21]),
+	.C1(n_30925),
+	.C2(n_36229),
+	.Y(n_31047), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686506 (
+	.A1(n_30930),
+	.A2(n_34855),
+	.B1(n_30928),
+	.B2(n_34853),
+	.Y(n_31046), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g686507 (
+	.A1(brqrv_top_brqrv_lsu_store_data_hi_r[19]),
+	.A2(n_30901),
+	.B1(n_30677),
+	.B2(brqrv_top_brqrv_lsu_store_data_lo_r[19]),
+	.C1(n_30925),
+	.C2(n_36227),
+	.Y(n_31045), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g686508 (
+	.A1(brqrv_top_brqrv_lsu_store_data_hi_r[18]),
+	.A2(n_30901),
+	.B1(n_30677),
+	.B2(brqrv_top_brqrv_lsu_store_data_lo_r[18]),
+	.C1(n_30925),
+	.C2(n_36226),
+	.Y(n_31044), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g686509 (
+	.A1(brqrv_top_brqrv_lsu_store_data_hi_r[17]),
+	.A2(n_30901),
+	.B1(n_30677),
+	.B2(brqrv_top_brqrv_lsu_store_data_lo_r[17]),
+	.C1(n_30925),
+	.C2(n_36225),
+	.Y(n_31043), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g686510 (
+	.A1(brqrv_top_brqrv_lsu_store_data_hi_r[16]),
+	.A2(n_30901),
+	.B1(n_30677),
+	.B2(brqrv_top_brqrv_lsu_store_data_lo_r[16]),
+	.C1(n_30925),
+	.C2(n_36224),
+	.Y(n_31042), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686511 (
+	.A1(n_30949),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[121]),
+	.B1(n_30946),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[89]),
+	.Y(n_31041), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686512 (
+	.A1(n_30947),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[56]),
+	.B1(n_30946),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[88]),
+	.Y(n_31040), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686513 (
+	.A1(n_30947),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[63]),
+	.B1(n_30946),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[95]),
+	.Y(n_31039), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686514 (
+	.A1(n_30947),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[62]),
+	.B1(n_30946),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[94]),
+	.Y(n_31038), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686515 (
+	.A1(n_30947),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[61]),
+	.B1(n_30946),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[93]),
+	.Y(n_31037), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686516 (
+	.A1(n_30947),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[60]),
+	.B1(n_30946),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[92]),
+	.Y(n_31036), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686517 (
+	.A1(n_30949),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[123]),
+	.B1(n_30946),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[91]),
+	.Y(n_31035), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686518 (
+	.A1(n_30949),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[122]),
+	.B1(n_30946),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[90]),
+	.Y(n_31034), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g686519 (
+	.A(n_30616),
+	.B(n_30929),
+	.C(n_31010),
+	.Y(n_31033), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g686521 (
+	.A1_N(n_34853),
+	.A2_N(n_30927),
+	.B1(n_30433),
+	.B2(n_43120),
+	.Y(n_31031), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g686522 (
+	.A1(n_30890),
+	.A2(n_34864),
+	.B1(n_30927),
+	.B2(n_34867),
+	.X(n_31030), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g686523 (
+	.A1_N(n_34867),
+	.A2_N(n_30928),
+	.B1(n_30432),
+	.B2(n_30931),
+	.Y(n_31029), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g686524 (
+	.A1(n_30800),
+	.A2(n_30919),
+	.B1(n_17415),
+	.B2(n_30875),
+	.C1(n_30869),
+	.Y(n_36211), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g686525 (
+	.A1(n_17415),
+	.A2(n_30886),
+	.B1(n_30871),
+	.C1(n_31007),
+	.Y(n_36210), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g686526 (
+	.A(n_43117),
+	.B(n_30982),
+	.Y(n_31068), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g686528 (
+	.A(n_30139),
+	.B(n_31011),
+	.Y(n_31066), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g686529 (
+	.A(n_30994),
+	.B(n_31012),
+	.Y(n_31064), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g686531 (
+	.A(n_31021),
+	.Y(n_31020), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g686532 (
+	.A(n_31018),
+	.Y(n_31017), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g686533 (
+	.A(n_31014),
+	.Y(n_31013), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g686534 (
+	.A(n_31012),
+	.Y(n_31011), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686535 (
+	.A(n_30953),
+	.B(n_30856),
+	.Y(n_31010), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g686536 (
+	.A(n_34527),
+	.B(n_34517),
+	.X(n_34516), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g686537 (
+	.A1(n_30546),
+	.A2(n_30545),
+	.B1(n_28819),
+	.Y(n_31009), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686539 (
+	.A(n_30961),
+	.B(n_30861),
+	.Y(n_34013), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686542 (
+	.A(n_30913),
+	.B(n_30799),
+	.Y(n_31007), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686543 (
+	.A(n_35762),
+	.B(n_30922),
+	.Y(n_31028), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686544 (
+	.A(n_35761),
+	.B(n_30922),
+	.Y(n_31006), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686545 (
+	.A(n_35760),
+	.B(n_30922),
+	.Y(n_31005), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686546 (
+	.A(n_30922),
+	.B(n_35759),
+	.Y(n_31027), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686547 (
+	.A(n_35758),
+	.B(n_30922),
+	.Y(n_31026), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686548 (
+	.A(n_35757),
+	.B(n_30922),
+	.Y(n_31025), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686549 (
+	.A(n_30933),
+	.B(n_30861),
+	.Y(n_34012), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686550 (
+	.A(n_30954),
+	.B(n_30856),
+	.Y(n_34014), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686551 (
+	.A(n_30956),
+	.B(n_30856),
+	.Y(n_34015), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686552 (
+	.A(n_30952),
+	.B(n_30856),
+	.Y(n_34008), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g686553 (
+	.A(n_28982),
+	.B(n_30950),
+	.X(n_34079), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686554 (
+	.A(n_30929),
+	.B(n_30856),
+	.Y(n_34007), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686555 (
+	.A(n_35756),
+	.B(n_30922),
+	.Y(n_31024), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686556 (
+	.A(n_30962),
+	.B(n_30907),
+	.Y(n_31023), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686557 (
+	.A(n_30950),
+	.B(n_30910),
+	.Y(n_31022), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686558 (
+	.A(n_34077),
+	.B(n_30934),
+	.Y(n_31021), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g686559 (
+	.A(n_12045),
+	.B(n_30962),
+	.Y(n_31019), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g686560 (
+	.A(n_28982),
+	.B(n_30962),
+	.Y(n_31018), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g686561 (
+	.A(n_30862),
+	.B_N(n_30937),
+	.Y(n_31016), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g686562 (
+	.A(n_12045),
+	.B(n_30936),
+	.Y(n_31015), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g686563 (
+	.A(n_28982),
+	.B(n_30936),
+	.Y(n_31014), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g686564 (
+	.A(n_30016),
+	.B_N(n_30914),
+	.Y(n_31012), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g686565 (
+	.A(n_31003),
+	.Y(n_31004), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g686566 (
+	.A(n_31002),
+	.Y(n_31001), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g686567 (
+	.A(n_31000),
+	.Y(n_30999), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g686568 (
+	.A(n_43117),
+	.Y(n_30995), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g686569 (
+	.A(n_30994),
+	.Y(n_30993), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g686570 (
+	.A(n_43118),
+	.Y(n_30988), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g686571 (
+	.A(n_30983),
+	.Y(n_30982), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686572 (
+	.A(n_43132),
+	.B(n_34853),
+	.Y(n_30981), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux4_2 g686573 (
+	.A0(n_30764),
+	.A1(n_30788),
+	.A2(n_30790),
+	.A3(n_30789),
+	.S0(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[4]),
+	.S1(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[3]),
+	.X(n_30980), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g686574 (
+	.A1_N(n_34861),
+	.A2_N(n_43133),
+	.B1(n_30425),
+	.B2(n_30891),
+	.Y(n_30979), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686575 (
+	.A1(n_30890),
+	.A2(n_34861),
+	.B1(n_30888),
+	.B2(n_34856),
+	.Y(n_30978), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686577 (
+	.A1(n_30903),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[17]),
+	.B1(n_30421),
+	.B2(n_36059),
+	.Y(n_30977), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686578 (
+	.A(n_30938),
+	.B(n_30723),
+	.Y(n_30976), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g686579 (
+	.A1(n_29379),
+	.A2(n_34075),
+	.B1(n_28888),
+	.B2(n_34069),
+	.Y(n_30975), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686580 (
+	.A(n_28823),
+	.B(n_30731),
+	.Y(n_30974), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686581 (
+	.A(n_30939),
+	.B(n_30729),
+	.Y(n_30973), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g686582 (
+	.A(n_34093),
+	.B(n_30921),
+	.Y(n_30972), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g686583 (
+	.A(n_34092),
+	.B(n_30921),
+	.Y(n_30971), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g686584 (
+	.A1(n_13654),
+	.A2(n_34075),
+	.B1(n_1926),
+	.B2(n_34069),
+	.Y(n_30970), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686585 (
+	.A(n_30965),
+	.B(n_28818),
+	.C(n_30320),
+	.D(n_30618),
+	.Y(n_30969), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686586 (
+	.A(n_28807),
+	.B(n_30897),
+	.C(n_30873),
+	.Y(n_31003), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g686587 (
+	.A(n_34067),
+	.B(n_30934),
+	.X(n_34050), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686588 (
+	.A(n_30963),
+	.B(n_30534),
+	.C(n_30559),
+	.Y(n_31002), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686589 (
+	.A(n_30964),
+	.B(n_30537),
+	.C(n_30536),
+	.Y(n_31000), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686590 (
+	.A(n_30968),
+	.B(n_30557),
+	.C(n_30556),
+	.Y(n_30998), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686591 (
+	.A(n_30936),
+	.B(n_30855),
+	.C(n_30793),
+	.Y(n_30997), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686593 (
+	.A(n_30935),
+	.B(n_30305),
+	.Y(n_30994), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g686594 (
+	.A(n_33879),
+	.B(n_28819),
+	.Y(n_30992), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g686595 (
+	.A(n_33884),
+	.B(n_28819),
+	.Y(n_30991), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g686596 (
+	.A(n_33883),
+	.B(n_28819),
+	.Y(n_30990), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g686598 (
+	.A(n_33882),
+	.B(n_28819),
+	.Y(n_30987), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g686599 (
+	.A(n_30966),
+	.B(n_30862),
+	.Y(n_30986), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g686600 (
+	.A(n_30967),
+	.B(n_30862),
+	.Y(n_30985), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g686601 (
+	.A(n_30965),
+	.B(n_30862),
+	.Y(n_30984), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686602 (
+	.A(n_30911),
+	.B(n_29581),
+	.Y(n_30983), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g686605 (
+	.A(n_30960),
+	.Y(n_30961), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g686606 (
+	.A(n_30958),
+	.Y(n_30959), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g686607 (
+	.A(n_30955),
+	.Y(n_30956), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g686608 (
+	.A(n_30953),
+	.Y(n_30954), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g686609 (
+	.A(n_30951),
+	.Y(n_30952), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g686610 (
+	.A(n_30948),
+	.Y(n_30949), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g686611 (
+	.A1(n_30848),
+	.A2(n_30802),
+	.B1(n_30799),
+	.Y(n_30945), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g686612 (
+	.A1(n_30842),
+	.A2(n_30779),
+	.A3(n_29647),
+	.B1(n_30845),
+	.Y(n_30944), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686614 (
+	.A(n_43133),
+	.B(n_34851),
+	.Y(n_30942), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g686615 (
+	.A(n_30427),
+	.B(n_30893),
+	.Y(n_30941), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686616 (
+	.A(n_30894),
+	.B(n_34854),
+	.Y(n_30940), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686617 (
+	.A(n_17498),
+	.B(brqrv_top_brqrv_lsu_store_data_hi_r[15]),
+	.Y(n_30939), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686618 (
+	.A(n_17498),
+	.B(brqrv_top_brqrv_lsu_store_data_hi_r[12]),
+	.Y(n_30938), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g686620 (
+	.A(n_30678),
+	.B(n_30902),
+	.Y(n_30968), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686621 (
+	.A(n_30883),
+	.B(n_30832),
+	.Y(n_30967), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686622 (
+	.A(n_30884),
+	.B(n_30831),
+	.Y(n_30966), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g686623 (
+	.A1(n_30422),
+	.A2(n_43128),
+	.B1(n_30816),
+	.C1(n_30829),
+	.Y(n_30965), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g686624 (
+	.A(n_34047),
+	.B(n_34043),
+	.X(n_30964), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g686625 (
+	.A(n_34046),
+	.B(n_34045),
+	.X(n_30963), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686626 (
+	.A(n_30907),
+	.B(n_30750),
+	.C(n_30690),
+	.Y(n_30962), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g686627 (
+	.A1(n_30428),
+	.A2(n_30738),
+	.B1(n_30803),
+	.C1(n_30837),
+	.Y(n_30960), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g686628 (
+	.A1(n_30424),
+	.A2(n_30738),
+	.B1(n_30822),
+	.C1(n_30836),
+	.Y(n_30958), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g686629 (
+	.A1(n_30795),
+	.A2(n_30504),
+	.B1(n_30876),
+	.Y(n_30957), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g686630 (
+	.A1(n_30422),
+	.A2(n_30710),
+	.B1(n_30849),
+	.C1(n_30828),
+	.Y(n_30955), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g686631 (
+	.A1(n_30428),
+	.A2(n_30740),
+	.B1(n_30814),
+	.C1(n_30827),
+	.Y(n_30953), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g686632 (
+	.A1(n_30424),
+	.A2(n_30740),
+	.B1(n_30815),
+	.C1(n_30830),
+	.Y(n_30951), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686633 (
+	.A(n_30910),
+	.B(n_30753),
+	.C(n_30692),
+	.Y(n_30950), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686634 (
+	.A(n_30833),
+	.B(n_30880),
+	.Y(n_30948), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_0 g686635 (
+	.A(n_30834),
+	.B(n_30879),
+	.X(n_30947), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_0 g686636 (
+	.A(n_30835),
+	.B(n_30877),
+	.X(n_30946), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g686637 (
+	.A(n_30931),
+	.Y(n_30930), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g686639 (
+	.A(n_30923),
+	.Y(n_30924), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g686640 (
+	.A(n_30922),
+	.Y(n_30921), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g686641 (
+	.A1(n_35733),
+	.A2(n_28981),
+	.B1(n_30895),
+	.Y(n_30920), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686642 (
+	.A1(n_30739),
+	.A2(n_29330),
+	.B1(n_30734),
+	.B2(n_29329),
+	.C1(n_30846),
+	.Y(n_30919), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_0 g686643 (
+	.A(n_30900),
+	.B(n_30852),
+	.X(n_30918), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32oi_1 g686644 (
+	.A1(n_30843),
+	.A2(n_29245),
+	.A3(n_29163),
+	.B1(n_30810),
+	.B2(n_29171),
+	.Y(n_30917), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g686645 (
+	.A1(n_29169),
+	.A2(n_30809),
+	.B1(n_30874),
+	.Y(n_30916), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g686646 (
+	.A(n_30791),
+	.B(n_30776),
+	.C(n_30778),
+	.D(n_30838),
+	.Y(n_30915), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g686647 (
+	.A1(n_29598),
+	.A2(n_30774),
+	.B1(n_28821),
+	.Y(n_30914), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g686648 (
+	.A1(n_29325),
+	.A2(n_30735),
+	.B1(n_30898),
+	.Y(n_30913), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g686649 (
+	.A(n_30473),
+	.B(brqrv_top_brqrv_dec_decode_i0_dp_raw[63]),
+	.C(brqrv_top_brqrv_dec_decode_i0_dp_raw[78]),
+	.D(n_30870),
+	.Y(n_30912), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g686650 (
+	.A1(n_29857),
+	.A2(n_30774),
+	.B1(n_30909),
+	.Y(n_30911), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g686651 (
+	.A(n_30813),
+	.B(n_30896),
+	.Y(n_30937), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g686652 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [4]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [5]),
+	.C(n_34219),
+	.X(n_34517), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686653 (
+	.A(n_30855),
+	.B(n_30793),
+	.C(n_30691),
+	.D(n_30751),
+	.Y(n_30936), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g686654 (
+	.A(n_30881),
+	.B(n_34870),
+	.Y(n_30935), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686655 (
+	.A(n_30908),
+	.B(n_29841),
+	.C(n_28859),
+	.Y(n_30934), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g686656 (
+	.A(n_30805),
+	.B(n_30899),
+	.Y(n_30933), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686658 (
+	.A(n_30906),
+	.B(n_29581),
+	.C(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[1]),
+	.Y(n_30931), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g686659 (
+	.A(n_30616),
+	.B(n_30887),
+	.Y(n_30929), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g686660 (
+	.A(n_30905),
+	.B(n_29581),
+	.C(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[13]),
+	.X(n_30928), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g686662 (
+	.A(n_30905),
+	.B(n_30015),
+	.C(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[12]),
+	.X(n_30927), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g686665 (
+	.A(n_12045),
+	.B(n_30863),
+	.C(n_30901),
+	.Y(n_30925), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686666 (
+	.A(n_30878),
+	.B(n_30617),
+	.Y(n_30923), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g686667 (
+	.A(n_28982),
+	.B(n_30863),
+	.C(n_30901),
+	.Y(n_30922), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g686668 (
+	.A(n_30908),
+	.Y(n_30909), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g686671 (
+	.A_N(n_34041),
+	.B(brqrv_top_brqrv_lsu_store_data_lo_r[16]),
+	.Y(n_30900), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686672 (
+	.A(n_30450),
+	.B(n_30825),
+	.Y(n_30899), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g686673 (
+	.A1(n_30739),
+	.A2(n_29324),
+	.B1(n_30847),
+	.Y(n_30898), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686674 (
+	.A(n_43965),
+	.B(n_30808),
+	.Y(n_30897), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686675 (
+	.A(n_30618),
+	.B(n_30826),
+	.Y(n_30896), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686678 (
+	.A(n_30856),
+	.B(n_30485),
+	.Y(n_34009), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g686679 (
+	.A(n_12045),
+	.B_N(n_36224),
+	.Y(n_30895), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g686681 (
+	.A(n_34526),
+	.B(n_34518),
+	.X(n_34219), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g686682 (
+	.A(n_34041),
+	.B(n_34048),
+	.X(n_30910), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g686683 (
+	.A(n_28992),
+	.B(n_30867),
+	.Y(n_30908), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g686684 (
+	.A(n_30794),
+	.B(n_30858),
+	.Y(n_30907), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g686685 (
+	.A(n_30850),
+	.B(n_34870),
+	.Y(n_30906), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686686 (
+	.A(n_43122),
+	.B(n_34892),
+	.Y(n_34069), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g686687 (
+	.A(n_28992),
+	.B(n_30856),
+	.X(n_34075), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686688 (
+	.A(n_30824),
+	.B(n_36206),
+	.Y(n_34046), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g686689 (
+	.A_N(n_30868),
+	.B(n_29841),
+	.Y(n_34077), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g686690 (
+	.A(n_30853),
+	.B(n_34870),
+	.Y(n_30905), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686691 (
+	.A(n_30824),
+	.B(n_36203),
+	.Y(n_34047), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g686692 (
+	.A(n_43129),
+	.B(n_30862),
+	.Y(n_30903), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g686693 (
+	.A(n_28919),
+	.B(n_30823),
+	.Y(n_30902), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g686694 (
+	.A(n_30823),
+	.B_N(n_36200),
+	.Y(n_30901), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g686695 (
+	.A(n_30894),
+	.Y(n_30893), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g686697 (
+	.A(n_30889),
+	.Y(n_30888), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g686698 (
+	.A1(n_12042),
+	.A2(n_30740),
+	.B1(n_30780),
+	.C1(n_30839),
+	.Y(n_30887), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g686699 (
+	.A(n_30619),
+	.B(n_30688),
+	.C(n_30725),
+	.D(n_30787),
+	.Y(n_30886), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g686700 (
+	.A1(n_28837),
+	.A2(n_30647),
+	.B1(n_30696),
+	.C1(n_30758),
+	.Y(n_30885), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g686701 (
+	.A1(n_30712),
+	.A2(n_34867),
+	.B1(n_30817),
+	.Y(n_30884), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g686702 (
+	.A1(n_30742),
+	.A2(n_34861),
+	.B1(n_30711),
+	.C1(n_30781),
+	.Y(n_30883), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g686703 (
+	.A1(n_30769),
+	.A2(n_29159),
+	.A3(n_29149),
+	.B1(n_30760),
+	.Y(n_30882), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g686704 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[4]),
+	.A2(n_29559),
+	.B1(n_29797),
+	.C1(n_30477),
+	.D1(n_30755),
+	.Y(n_30881), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g686705 (
+	.A1(n_30737),
+	.A2(n_34861),
+	.B1(n_30818),
+	.Y(n_30880), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g686706 (
+	.A1(n_30715),
+	.A2(n_34854),
+	.B1(n_30819),
+	.Y(n_30879), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g686707 (
+	.A1(n_30714),
+	.A2(n_34850),
+	.B1(n_30748),
+	.C1(n_30806),
+	.Y(n_30878), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g686708 (
+	.A1(n_30737),
+	.A2(n_34864),
+	.B1(n_30820),
+	.Y(n_30877), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g686709 (
+	.A1(n_30422),
+	.A2(n_30709),
+	.B1(n_30821),
+	.Y(n_30876), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g686710 (
+	.A(n_30622),
+	.B(n_30689),
+	.C(n_30726),
+	.D(n_30786),
+	.Y(n_30875), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686711 (
+	.A(n_30766),
+	.B(n_29170),
+	.C(n_29162),
+	.D(n_29221),
+	.Y(n_30874), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g686712 (
+	.A(n_30541),
+	.B(n_30812),
+	.Y(n_30873), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g686713 (
+	.A1(n_30771),
+	.A2(n_29158),
+	.A3(n_29143),
+	.B1(n_30757),
+	.Y(n_30872), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686714 (
+	.A1(n_17417),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[2]),
+	.B1(n_17415),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[2]),
+	.C1(n_30801),
+	.Y(n_30871), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111oi_0 g686715 (
+	.A1(n_30478),
+	.A2(n_29851),
+	.B1(n_30700),
+	.C1(n_30772),
+	.D1(n_30768),
+	.Y(n_30870), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686716 (
+	.A1(n_17415),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[3]),
+	.B1(n_17417),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[3]),
+	.C1(n_30801),
+	.Y(n_30869), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g686717 (
+	.A(n_30126),
+	.B(n_30865),
+	.Y(n_30894), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686719 (
+	.A(n_30866),
+	.B(n_29581),
+	.C(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[9]),
+	.Y(n_30891), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g686721 (
+	.A(n_30864),
+	.B(n_30015),
+	.C(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[4]),
+	.X(n_30890), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686722 (
+	.A(n_30866),
+	.B(n_30015),
+	.C(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[8]),
+	.Y(n_30889), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g686724 (
+	.A(n_30865),
+	.Y(n_30866), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g686727 (
+	.A(n_30860),
+	.Y(n_30861), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g686728 (
+	.A(n_43122),
+	.Y(n_30856), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g686729 (
+	.A(n_30855),
+	.Y(n_30854), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g686730 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[101]),
+	.A2(n_29558),
+	.B1(n_29788),
+	.C1(n_30759),
+	.Y(n_30853), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g686731 (
+	.A_N(n_34048),
+	.B(brqrv_top_brqrv_lsu_store_data_hi_r[16]),
+	.Y(n_30852), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686732 (
+	.A(n_30775),
+	.B(n_30450),
+	.Y(n_30851), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686733 (
+	.A(n_30694),
+	.B(n_30360),
+	.C(n_29948),
+	.D(n_30462),
+	.Y(n_30850), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g686734 (
+	.A1(n_30717),
+	.A2(n_34853),
+	.B1(n_30740),
+	.Y(n_30849), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g686735 (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[2]),
+	.A2(n_30636),
+	.B1(n_28837),
+	.B2(n_30635),
+	.C1(n_30699),
+	.Y(n_30848), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g686736 (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[2]),
+	.A2(n_30641),
+	.B1(n_28837),
+	.B2(n_30639),
+	.C1(n_30702),
+	.Y(n_30847), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g686737 (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[2]),
+	.A2(n_30645),
+	.B1(n_28837),
+	.B2(n_30643),
+	.C1(n_30704),
+	.Y(n_30846), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g686738 (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[2]),
+	.A2(n_30651),
+	.B1(n_28837),
+	.B2(n_30650),
+	.C1(n_30697),
+	.Y(n_30845), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g686739 (
+	.A1(n_28837),
+	.A2(n_30648),
+	.B1(n_30706),
+	.C1(n_30754),
+	.Y(n_30844), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g686740 (
+	.A(n_30684),
+	.B(n_29174),
+	.C(n_29168),
+	.D(n_29172),
+	.Y(n_30843), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g686741 (
+	.A1(n_29349),
+	.A2(n_30735),
+	.B1_N(n_30739),
+	.Y(n_30842), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686742 (
+	.A(n_30732),
+	.B(n_30527),
+	.C(n_30629),
+	.D(n_30552),
+	.Y(n_30841), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686743 (
+	.A1(n_30609),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[112]),
+	.B1(n_30607),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[16]),
+	.C1(n_43124),
+	.Y(n_30840), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g686744 (
+	.A1(n_30717),
+	.A2(n_34850),
+	.B1(n_30744),
+	.Y(n_30839), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g686745 (
+	.A1(n_29319),
+	.A2(n_30520),
+	.B1(n_30467),
+	.C1(n_30705),
+	.D1(n_30727),
+	.Y(n_30838), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g686746 (
+	.A1(n_34862),
+	.A2(n_30235),
+	.B1(n_30795),
+	.Y(n_30837), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g686747 (
+	.A1(n_34860),
+	.A2(n_30235),
+	.B1(n_30795),
+	.Y(n_30836), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686748 (
+	.A(n_30797),
+	.B(n_30595),
+	.Y(n_30835), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686749 (
+	.A(n_30797),
+	.B(n_30594),
+	.Y(n_30834), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g686750 (
+	.A1(n_34860),
+	.A2(n_30483),
+	.B1(n_30797),
+	.Y(n_30833), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686751 (
+	.A(n_30798),
+	.B(n_30593),
+	.Y(n_30832), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686752 (
+	.A(n_30798),
+	.B(n_30592),
+	.Y(n_30831), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g686753 (
+	.A1(n_34860),
+	.A2(n_30485),
+	.B1(n_30796),
+	.Y(n_30830), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686754 (
+	.A(n_30798),
+	.B(n_30591),
+	.Y(n_30829), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g686755 (
+	.A1(n_34855),
+	.A2(n_30485),
+	.B1(n_30796),
+	.Y(n_30828), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g686756 (
+	.A1(n_34862),
+	.A2(n_30485),
+	.B1(n_30796),
+	.Y(n_30827), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g686757 (
+	.A1(n_30712),
+	.A2(n_34850),
+	.B1(n_30747),
+	.Y(n_30826), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g686758 (
+	.A(n_30749),
+	.B(n_30784),
+	.Y(n_30825), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g686759 (
+	.A_N(n_30774),
+	.B(n_30148),
+	.Y(n_30868), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g686760 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [8]),
+	.B(n_34519),
+	.C(n_34252),
+	.X(n_34518), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686761 (
+	.A(n_29594),
+	.B(n_30756),
+	.Y(n_30867), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686762 (
+	.A(n_28911),
+	.B(n_30763),
+	.Y(n_30865), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g686763 (
+	.A(n_30761),
+	.B(n_34870),
+	.Y(n_30864), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686764 (
+	.A(n_30762),
+	.B(n_30547),
+	.Y(n_30863), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686765 (
+	.A(n_30773),
+	.B(n_36201),
+	.Y(n_34041), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686766 (
+	.A(n_28815),
+	.B(n_28818),
+	.Y(n_30862), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686767 (
+	.A(n_30775),
+	.B(n_17435),
+	.Y(n_30860), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g686768 (
+	.A(n_34067),
+	.B(n_28818),
+	.Y(n_30859), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g686769 (
+	.A(n_33873),
+	.B(n_33874),
+	.Y(n_30858), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686771 (
+	.A(n_30773),
+	.B(n_36204),
+	.Y(n_30855), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g686772 (
+	.A(n_30824),
+	.Y(n_30823), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g686773 (
+	.A(n_30719),
+	.B(n_30785),
+	.Y(n_30822), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g686774 (
+	.A1(n_30718),
+	.A2(n_34853),
+	.B1(n_30738),
+	.Y(n_30821), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686775 (
+	.A(n_30715),
+	.B(n_30783),
+	.Y(n_30820), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686776 (
+	.A(n_30737),
+	.B(n_30782),
+	.Y(n_30819), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g686777 (
+	.A1(n_30425),
+	.A2(n_30716),
+	.B1(n_30714),
+	.Y(n_30818), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g686778 (
+	.A1(n_30742),
+	.A2(n_34864),
+	.B1(n_43128),
+	.X(n_30817), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g686779 (
+	.A1(n_30712),
+	.A2(n_34853),
+	.B1(n_43126),
+	.Y(n_30816), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g686780 (
+	.A1(n_30425),
+	.A2(n_30710),
+	.B1(n_30717),
+	.X(n_30815), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g686781 (
+	.A1(n_30717),
+	.A2(n_34867),
+	.B1(n_30710),
+	.Y(n_30814), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g686782 (
+	.A1_N(n_34851),
+	.A2_N(n_30742),
+	.B1(n_30427),
+	.B2(n_43128),
+	.Y(n_30813), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g686783 (
+	.A1(n_30396),
+	.A2(brqrv_top_brqrv_dec_i0_predict_p_d[46]),
+	.B1(n_30540),
+	.C1(n_30693),
+	.D1(n_30512),
+	.Y(n_30812), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g686784 (
+	.A1(n_29390),
+	.A2(n_30707),
+	.B1(n_30720),
+	.Y(n_30811), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111oi_0 g686785 (
+	.A1(n_1708),
+	.A2(brqrv_top_brqrv_dec_decode_cam_raw[10]),
+	.B1(n_29063),
+	.C1(n_29167),
+	.D1(n_30767),
+	.Y(n_30810), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g686786 (
+	.A1(brqrv_top_brqrv_dec_decode_cam_raw[31]),
+	.A2(n_8173),
+	.B1(n_29040),
+	.C1(n_29164),
+	.D1(n_30765),
+	.Y(n_30809), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686787 (
+	.A(n_30708),
+	.B(n_30575),
+	.C(n_30531),
+	.D(n_30561),
+	.Y(n_30808), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g686788 (
+	.A1(n_29726),
+	.A2(n_34073),
+	.B1(n_30404),
+	.Y(n_30807), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g686789 (
+	.A1(n_12042),
+	.A2(n_43127),
+	.B1(n_30427),
+	.B2(n_30716),
+	.Y(n_30806), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g686790 (
+	.A1(n_12042),
+	.A2(n_30738),
+	.B1(n_12079),
+	.B2(n_30719),
+	.Y(n_30805), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686791 (
+	.A1(n_17415),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[1]),
+	.B1(n_17417),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[1]),
+	.C1(n_30743),
+	.Y(n_30804), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g686792 (
+	.A1(n_30718),
+	.A2(n_34867),
+	.B1(n_30709),
+	.Y(n_30803), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g686793 (
+	.A1_N(n_29313),
+	.A2_N(n_30739),
+	.B1(n_29320),
+	.B2(n_30735),
+	.Y(n_30802), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g686794 (
+	.A1(n_17417),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[6]),
+	.B1(n_17415),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[6]),
+	.X(n_36214), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g686795 (
+	.A1(n_17417),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[21]),
+	.B1(n_17415),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[21]),
+	.X(n_36229), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g686796 (
+	.A1(n_17417),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[13]),
+	.B1(n_17415),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[13]),
+	.X(n_36221), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g686797 (
+	.A1(n_17417),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[5]),
+	.B1(n_17415),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[5]),
+	.X(n_36213), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g686798 (
+	.A1(n_17417),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[20]),
+	.B1(n_17415),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[20]),
+	.X(n_36228), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g686799 (
+	.A1(n_17417),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[4]),
+	.B1(n_17415),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[4]),
+	.X(n_36212), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g686800 (
+	.A1(n_17417),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[19]),
+	.B1(n_17415),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[19]),
+	.X(n_36227), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g686801 (
+	.A1(n_17417),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[11]),
+	.B1(n_17415),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[11]),
+	.X(n_36219), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g686802 (
+	.A1(n_17417),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[18]),
+	.B1(n_17415),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[18]),
+	.X(n_36226), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g686803 (
+	.A1(n_17417),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[10]),
+	.B1(n_17415),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[10]),
+	.X(n_36218), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g686804 (
+	.A1(n_17417),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[17]),
+	.B1(n_17415),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[17]),
+	.X(n_36225), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g686805 (
+	.A1(n_17417),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[9]),
+	.B1(n_17415),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[9]),
+	.X(n_36217), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g686806 (
+	.A1(n_17417),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[14]),
+	.B1(n_17415),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[14]),
+	.X(n_36222), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g686807 (
+	.A1(n_17417),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[22]),
+	.B1(n_17415),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[22]),
+	.X(n_36230), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g686808 (
+	.A1(n_17417),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[31]),
+	.B1(n_17415),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[31]),
+	.X(n_36239), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g686809 (
+	.A1(n_17417),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[23]),
+	.B1(n_17415),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[23]),
+	.X(n_36231), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g686810 (
+	.A1(n_17417),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[8]),
+	.B1(n_17415),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[8]),
+	.X(n_36216), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g686811 (
+	.A1(n_17417),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[15]),
+	.B1(n_17415),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[15]),
+	.X(n_36223), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g686812 (
+	.A1(n_17417),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[12]),
+	.B1(n_17415),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[12]),
+	.X(n_36220), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g686813 (
+	.A1(n_17417),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[7]),
+	.B1(n_17415),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[7]),
+	.X(n_36215), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g686814 (
+	.A1(n_17417),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[16]),
+	.B1(n_17415),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[16]),
+	.X(n_36224), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g686815 (
+	.A(n_30343),
+	.B(n_30342),
+	.C(n_33871),
+	.D(n_30728),
+	.Y(n_30824), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g686816 (
+	.A(n_30799),
+	.Y(n_30800), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g686817 (
+	.A(n_30793),
+	.Y(n_30792), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g686818 (
+	.A1(n_29338),
+	.A2(n_30522),
+	.B1(n_29334),
+	.B2(n_30523),
+	.C1(n_30695),
+	.Y(n_30791), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g686819 (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[2]),
+	.A2(n_30662),
+	.B1(n_28837),
+	.B2(n_30655),
+	.Y(n_30790), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g686820 (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[2]),
+	.A2(n_30656),
+	.B1(n_28837),
+	.B2(n_30657),
+	.Y(n_30789), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g686821 (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[2]),
+	.A2(n_30658),
+	.B1(n_28837),
+	.B2(n_30659),
+	.Y(n_30788), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g686822 (
+	.A_N(n_35785),
+	.B(n_30722),
+	.Y(brqrv_top_brqrv_dma_mem_addr[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g686823 (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[2]),
+	.A2(n_30620),
+	.B1(n_30701),
+	.Y(n_30787), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g686824 (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[2]),
+	.A2(n_30623),
+	.B1(n_30703),
+	.Y(n_30786), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g686825 (
+	.A(n_30425),
+	.B(n_30709),
+	.Y(n_30785), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g686826 (
+	.A(n_30427),
+	.B(n_30709),
+	.Y(n_30784), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686827 (
+	.A(n_30714),
+	.B(n_34867),
+	.Y(n_30783), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686828 (
+	.A(n_30714),
+	.B(n_34853),
+	.Y(n_30782), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g686829 (
+	.A(n_30425),
+	.B(n_43128),
+	.Y(n_30781), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g686830 (
+	.A_N(n_30710),
+	.B(n_34852),
+	.Y(n_30780), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g686831 (
+	.A1(n_29105),
+	.A2(n_29104),
+	.B1(n_30735),
+	.Y(n_30779), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g686832 (
+	.A1(n_30633),
+	.A2(n_30603),
+	.B1(n_28837),
+	.Y(n_30778), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g686833 (
+	.A(n_35424),
+	.B(n_30442),
+	.Y(n_30777), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g686834 (
+	.A1(n_30634),
+	.A2(n_30604),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[2]),
+	.Y(n_30776), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g686835 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [12]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [13]),
+	.C(n_34187),
+	.X(n_34252), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g686836 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[14]),
+	.B_N(n_30743),
+	.Y(n_30801), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g686837 (
+	.A(n_17415),
+	.B(n_30746),
+	.Y(n_30799), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686838 (
+	.A(n_43129),
+	.B(n_30747),
+	.Y(n_30798), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686839 (
+	.A(n_30484),
+	.B(n_30748),
+	.Y(n_30797), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686840 (
+	.A(n_30486),
+	.B(n_30744),
+	.Y(n_30796), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686841 (
+	.A(n_30236),
+	.B(n_30749),
+	.Y(n_30795), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g686842 (
+	.A_N(n_33875),
+	.B(n_36200),
+	.Y(n_34048), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g686843 (
+	.A(n_33875),
+	.B_N(n_36206),
+	.Y(n_30794), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g686844 (
+	.A_N(n_33875),
+	.B(n_36203),
+	.Y(n_30793), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g686845 (
+	.A(n_30773),
+	.Y(n_33874), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o311ai_1 g686846 (
+	.A1(n_30064),
+	.A2(n_30454),
+	.A3(n_30237),
+	.B1(n_30571),
+	.C1(n_30698),
+	.Y(n_30772), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g686847 (
+	.A(n_30686),
+	.B(n_29141),
+	.C(n_29152),
+	.D(n_29142),
+	.Y(n_30771), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g686849 (
+	.A(n_30687),
+	.B(n_29147),
+	.C(n_29157),
+	.D(n_29148),
+	.Y(n_30769), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g686850 (
+	.A1(n_29844),
+	.A2(n_30576),
+	.B1(n_30664),
+	.C1(n_30538),
+	.D1(n_30666),
+	.Y(n_30768), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g686851 (
+	.A1(brqrv_top_brqrv_dec_decode_cam_raw[10]),
+	.A2(n_1708),
+	.B1(n_36267),
+	.C1(n_29175),
+	.D1(n_29046),
+	.Y(n_30767), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111oi_0 g686852 (
+	.A1(n_16970),
+	.A2(brqrv_top_brqrv_dec_decode_cam_raw[24]),
+	.B1(n_30686),
+	.C1(n_29033),
+	.D1(n_29165),
+	.Y(n_30766), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g686853 (
+	.A1(n_8173),
+	.A2(brqrv_top_brqrv_dec_decode_cam_raw[31]),
+	.B1(n_30685),
+	.C1(n_29804),
+	.Y(n_30765), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g686854 (
+	.A1(n_28837),
+	.A2(n_30660),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[2]),
+	.B2(n_30649),
+	.Y(n_30764), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111oi_0 g686855 (
+	.A1(n_29559),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[68]),
+	.B1(n_29830),
+	.C1(n_30665),
+	.D1(n_30669),
+	.Y(n_30763), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686856 (
+	.A1(n_30386),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[10]),
+	.B1(n_30389),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[2]),
+	.C1(n_30677),
+	.Y(n_30762), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g686857 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[38]),
+	.A2(n_29562),
+	.B1(n_29813),
+	.C1(n_30673),
+	.D1(n_30675),
+	.Y(n_30761), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g686858 (
+	.A(n_30684),
+	.B(n_29280),
+	.C(n_29998),
+	.Y(n_30760), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g686859 (
+	.A(n_30461),
+	.B(n_30475),
+	.C(n_30579),
+	.D(n_30668),
+	.Y(n_30759), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g686860 (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[2]),
+	.A2(n_30646),
+	.B1(n_29344),
+	.B2(n_30525),
+	.X(n_30758), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g686861 (
+	.A(n_30011),
+	.B(n_29257),
+	.C(n_36265),
+	.X(n_30757), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g686862 (
+	.A(n_29900),
+	.B(n_30470),
+	.C(n_30565),
+	.D(n_30721),
+	.Y(n_30756), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g686863 (
+	.A(n_29925),
+	.B(n_30567),
+	.C(n_30596),
+	.D(n_30582),
+	.Y(n_30755), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g686864 (
+	.A1(n_28837),
+	.A2(n_30626),
+	.B1(n_30614),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[32]),
+	.C1(n_30615),
+	.C2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[36]),
+	.Y(n_30754), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686865 (
+	.A1(n_17456),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[10]),
+	.B1(n_30608),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[6]),
+	.Y(n_30753), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686867 (
+	.A1(n_17456),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[9]),
+	.B1(n_30608),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[5]),
+	.Y(n_30751), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686868 (
+	.A1(n_17456),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[8]),
+	.B1(n_30608),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[4]),
+	.Y(n_30750), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o31a_1 g686869 (
+	.A1(n_29598),
+	.A2(n_29840),
+	.A3(n_29842),
+	.B1(n_34073),
+	.X(n_30775), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686870 (
+	.A(n_29594),
+	.B(n_30724),
+	.Y(n_30774), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g686872 (
+	.A(n_29993),
+	.B(n_30585),
+	.C(n_30460),
+	.D(n_30671),
+	.Y(n_30773), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g686874 (
+	.A(n_43126),
+	.Y(n_30742), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g686875 (
+	.A(n_43127),
+	.Y(n_30737), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g686876 (
+	.A(n_30735),
+	.Y(n_30734), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g686878 (
+	.A(n_30542),
+	.B(n_30644),
+	.Y(n_30732), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g686879 (
+	.A_N(n_34045),
+	.B(brqrv_top_brqrv_lsu_store_data_lo_r[7]),
+	.Y(n_30731), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g686881 (
+	.A_N(n_34043),
+	.B(brqrv_top_brqrv_lsu_store_data_lo_r[15]),
+	.Y(n_30729), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686882 (
+	.A(n_30598),
+	.B(n_30367),
+	.C(n_29934),
+	.D(n_30373),
+	.Y(n_30728), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g686883 (
+	.A1(n_30614),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[33]),
+	.B1(n_30652),
+	.Y(n_30727), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g686884 (
+	.A(n_28837),
+	.B(n_30642),
+	.Y(n_30726), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g686885 (
+	.A(n_28837),
+	.B(n_30638),
+	.Y(n_30725), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g686886 (
+	.A(n_30505),
+	.B(n_30355),
+	.C(n_30570),
+	.D(n_30667),
+	.Y(n_30724), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g686887 (
+	.A_N(n_34043),
+	.B(brqrv_top_brqrv_lsu_store_data_lo_r[12]),
+	.Y(n_30723), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g686888 (
+	.A(n_34896),
+	.B(n_34894),
+	.X(n_30722), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g686889 (
+	.A1(n_41939),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[20]),
+	.B1(n_29766),
+	.C1(n_30494),
+	.D1(n_30632),
+	.Y(n_30721), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g686890 (
+	.A(n_30449),
+	.B(n_30637),
+	.Y(n_30720), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g686891 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [14]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [15]),
+	.C(n_34253),
+	.X(n_34187), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686892 (
+	.A(n_30654),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[0]),
+	.Y(n_30749), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686893 (
+	.A(n_30640),
+	.B(n_30572),
+	.Y(brqrv_top_brqrv_dec_decode_i0_dp_raw[78]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686894 (
+	.A(n_30654),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[3]),
+	.Y(n_30748), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686895 (
+	.A(n_30654),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[2]),
+	.Y(n_30747), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111oi_0 g686896 (
+	.A1(n_30445),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[6]),
+	.B1(n_28817),
+	.C1(n_30516),
+	.D1(n_30683),
+	.Y(n_30746), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686898 (
+	.A(n_30654),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[1]),
+	.Y(n_30744), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g686899 (
+	.A(n_30278),
+	.B(n_17415),
+	.Y(n_30743), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g686900 (
+	.A_N(n_34811),
+	.B(brqrv_top_brqrv_i0_brp[37]),
+	.Y(n_35424), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686902 (
+	.A(n_30653),
+	.B(n_30310),
+	.C(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[5]),
+	.Y(n_30740), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g686903 (
+	.A(n_29573),
+	.B(n_28817),
+	.C(n_30516),
+	.D(n_30683),
+	.Y(n_30739), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686904 (
+	.A(n_30653),
+	.B(n_30131),
+	.Y(n_30738), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686906 (
+	.A(n_30683),
+	.B(n_30517),
+	.C(n_29574),
+	.D(n_30435),
+	.Y(n_30735), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g686907 (
+	.A(n_36240),
+	.B(n_29727),
+	.C(n_30107),
+	.X(n_17417), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g686908 (
+	.A(n_30719),
+	.Y(n_30718), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g686909 (
+	.A(n_30716),
+	.Y(n_30715), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g686910 (
+	.A(n_30711),
+	.Y(n_30712), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g686911 (
+	.A1(brqrv_top_brqrv_dec_dec_i0_instr_d[9]),
+	.A2(n_30391),
+	.B1(n_30562),
+	.C1(n_30630),
+	.Y(n_30708), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g686912 (
+	.A(n_30670),
+	.B(n_30442),
+	.Y(n_30707), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686913 (
+	.A1(n_30443),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[4]),
+	.B1(n_28816),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[40]),
+	.C1(n_30625),
+	.Y(n_30706), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686914 (
+	.A1(n_30615),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[37]),
+	.B1(n_28816),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[41]),
+	.Y(n_30705), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686915 (
+	.A1(n_30490),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[123]),
+	.B1(n_30489),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[127]),
+	.C1(n_30624),
+	.Y(n_30704), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g686916 (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[7]),
+	.A2(n_30443),
+	.B1(n_28816),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[43]),
+	.C1(n_30614),
+	.C2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[35]),
+	.Y(n_30703), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686917 (
+	.A1(n_30490),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[122]),
+	.B1(n_30489),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[126]),
+	.C1(n_30621),
+	.Y(n_30702), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g686918 (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[6]),
+	.A2(n_30443),
+	.B1(n_28816),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[42]),
+	.C1(n_30614),
+	.C2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[34]),
+	.Y(n_30701), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o311ai_1 g686919 (
+	.A1(n_29014),
+	.A2(n_30136),
+	.A3(n_28832),
+	.B1(n_30631),
+	.C1(n_30499),
+	.Y(n_30700), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686920 (
+	.A1(n_30490),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[121]),
+	.B1(n_30489),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[125]),
+	.C1(n_30628),
+	.Y(n_30699), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111oi_0 g686921 (
+	.A1(n_30502),
+	.A2(brqrv_top_brqrv_dec_dec_i0_instr_d[14]),
+	.B1(n_30573),
+	.C1(n_30577),
+	.D1(n_30530),
+	.Y(n_30698), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686922 (
+	.A1(n_30490),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[120]),
+	.B1(n_30489),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[124]),
+	.C1(n_30627),
+	.Y(n_30697), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686923 (
+	.A1(n_30447),
+	.A2(n_30324),
+	.B1(n_30578),
+	.B2(n_30448),
+	.C1(n_30589),
+	.Y(n_30696), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686924 (
+	.A1(n_30447),
+	.A2(n_30325),
+	.B1(n_30583),
+	.B2(n_30448),
+	.C1(n_30588),
+	.Y(n_30695), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g686925 (
+	.A(n_30339),
+	.B(n_30335),
+	.C(n_30568),
+	.D(n_30513),
+	.Y(n_30694), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g686926 (
+	.A(n_30532),
+	.B(n_30533),
+	.C(n_30539),
+	.Y(n_30693), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686927 (
+	.A1(n_30609),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[14]),
+	.B1(n_30607),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[2]),
+	.Y(n_30692), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686928 (
+	.A1(n_30609),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[13]),
+	.B1(n_30607),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[1]),
+	.Y(n_30691), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686929 (
+	.A1(n_30609),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[12]),
+	.B1(n_30607),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[0]),
+	.Y(n_30690), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g686930 (
+	.A1_N(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[39]),
+	.A2_N(n_30615),
+	.B1(n_29350),
+	.B2(n_30524),
+	.Y(n_30689), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g686931 (
+	.A1_N(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[38]),
+	.A2_N(n_30615),
+	.B1(n_29337),
+	.B2(n_30524),
+	.Y(n_30688), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686932 (
+	.A(n_28826),
+	.B(n_29381),
+	.C(n_29839),
+	.D(n_30140),
+	.Y(n_34073), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686933 (
+	.A(n_30681),
+	.B(n_29839),
+	.C(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[12]),
+	.Y(n_30719), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686934 (
+	.A(n_30672),
+	.B(n_30590),
+	.C(n_30361),
+	.D(n_30350),
+	.Y(n_33875), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g686935 (
+	.A(n_30419),
+	.B(n_30680),
+	.Y(n_30717), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686936 (
+	.A(n_30682),
+	.B(n_30416),
+	.Y(n_30716), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g686937 (
+	.A(n_30415),
+	.B(n_30680),
+	.Y(n_30714), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686939 (
+	.A(n_30681),
+	.B(n_30234),
+	.C(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[14]),
+	.Y(n_30711), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686940 (
+	.A(n_30682),
+	.B(n_30420),
+	.Y(n_30710), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686941 (
+	.A(n_30682),
+	.B(n_29839),
+	.C(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[8]),
+	.Y(n_30709), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g686943 (
+	.A(n_30687),
+	.Y(n_36267), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g686944 (
+	.A(n_30686),
+	.Y(n_36266), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g686945 (
+	.A(n_30685),
+	.Y(n_36265), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g686946 (
+	.A(n_30684),
+	.Y(n_36278), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g686947 (
+	.A(n_30681),
+	.Y(n_30680), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g686948 (
+	.A(n_17456),
+	.Y(n_33881), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g686949 (
+	.A(n_17415),
+	.Y(n_36240), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g686950 (
+	.A(n_30380),
+	.B(n_30333),
+	.C(n_30381),
+	.D(n_30479),
+	.Y(n_30675), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g686952 (
+	.A(n_29963),
+	.B(n_30274),
+	.C(n_30497),
+	.D(n_30580),
+	.Y(n_30673), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g686953 (
+	.A(n_29862),
+	.B(n_30411),
+	.C(n_30480),
+	.D(n_30574),
+	.Y(n_30672), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686954 (
+	.A(n_30471),
+	.B(n_30508),
+	.C(n_30384),
+	.D(n_30383),
+	.Y(n_30671), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686955 (
+	.A(n_35480),
+	.B(n_30612),
+	.Y(n_30670), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686956 (
+	.A(n_30481),
+	.B(n_30351),
+	.C(n_30349),
+	.D(n_30331),
+	.Y(n_30669), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g686957 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[115]),
+	.A2(n_41997),
+	.B1(n_29781),
+	.C1(n_30334),
+	.D1(n_30469),
+	.Y(n_30668), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686958 (
+	.A(n_30587),
+	.B(n_30385),
+	.C(n_30242),
+	.D(n_30277),
+	.Y(n_30667), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686959 (
+	.A1(n_30406),
+	.A2(n_29662),
+	.B1(n_29734),
+	.B2(n_30319),
+	.C1(n_30601),
+	.Y(n_30666), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686960 (
+	.A(n_30455),
+	.B(n_30463),
+	.C(n_30308),
+	.D(n_30302),
+	.Y(n_30665), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g686961 (
+	.A1(n_30063),
+	.A2(n_30316),
+	.B1(n_30569),
+	.Y(n_30664), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686962 (
+	.A1(n_30389),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[31]),
+	.B1(n_30388),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[63]),
+	.C1(n_30544),
+	.Y(n_30663), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686963 (
+	.A1(n_30430),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[18]),
+	.B1(n_30431),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[10]),
+	.C1(n_30548),
+	.Y(n_30662), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686964 (
+	.A1(n_30389),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[7]),
+	.B1(n_30388),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[39]),
+	.C1(n_30558),
+	.Y(n_30661), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686965 (
+	.A1(n_30430),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[17]),
+	.B1(n_30431),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[9]),
+	.C1(n_30555),
+	.Y(n_30660), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686966 (
+	.A1(n_30431),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[13]),
+	.B1(n_30434),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[29]),
+	.C1(n_30554),
+	.Y(n_30659), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686967 (
+	.A1(n_30430),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[20]),
+	.B1(n_30431),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[12]),
+	.C1(n_30553),
+	.Y(n_30658), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686968 (
+	.A1(n_30430),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[23]),
+	.B1(n_30431),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[15]),
+	.C1(n_30551),
+	.Y(n_30657), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686969 (
+	.A1(n_30430),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[22]),
+	.B1(n_30431),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[14]),
+	.C1(n_30550),
+	.Y(n_30656), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686970 (
+	.A1(n_30430),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[19]),
+	.B1(n_30431),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[11]),
+	.C1(n_30549),
+	.Y(n_30655), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g686972 (
+	.A_N(n_34895),
+	.B(n_30528),
+	.Y(n_34894), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g686973 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [16]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [17]),
+	.C(n_34188),
+	.X(n_34253), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g686974 (
+	.A_N(n_33873),
+	.B(n_30613),
+	.Y(n_34045), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686975 (
+	.A(n_34806),
+	.B(brqrv_top_brqrv_dec_decode_cam_raw[19]),
+	.Y(n_30687), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686976 (
+	.A(n_34802),
+	.B(brqrv_top_brqrv_dec_decode_cam_raw[29]),
+	.Y(n_30686), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686977 (
+	.A(n_34804),
+	.B(brqrv_top_brqrv_dec_decode_cam_raw[39]),
+	.Y(n_30685), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686978 (
+	.A(n_34800),
+	.B(brqrv_top_brqrv_dec_decode_cam_raw[9]),
+	.Y(n_30684), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g686979 (
+	.A1(n_28977),
+	.A2(n_30510),
+	.B1(n_30517),
+	.Y(n_30683), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686980 (
+	.A(n_30613),
+	.B(n_36204),
+	.Y(n_34043), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g686981 (
+	.A(n_28911),
+	.B(n_30529),
+	.X(n_30682), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_0 g686982 (
+	.A(n_28911),
+	.B(n_30599),
+	.X(n_30681), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g686983 (
+	.A(n_29999),
+	.B(n_30000),
+	.C(n_30275),
+	.D(n_30492),
+	.Y(n_17456), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g686984 (
+	.A(n_30613),
+	.B(n_36241),
+	.X(n_30678), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g686985 (
+	.A(n_30613),
+	.B(n_36201),
+	.X(n_30677), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4bb_2 g686986 (
+	.A_N(n_30243),
+	.B_N(n_30344),
+	.C(n_29928),
+	.D(n_30086),
+	.X(n_17415), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g686987 (
+	.A1(n_29348),
+	.A2(n_30524),
+	.B1(n_29318),
+	.B2(n_30439),
+	.C1(n_30511),
+	.Y(n_30652), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g686988 (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[72]),
+	.A2(n_29573),
+	.B1(n_29575),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[104]),
+	.C1(n_30516),
+	.C2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[88]),
+	.Y(n_30651), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g686989 (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[76]),
+	.A2(n_29573),
+	.B1(n_29575),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[108]),
+	.C1(n_30516),
+	.C2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[92]),
+	.Y(n_30650), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g686990 (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[16]),
+	.A2(n_30430),
+	.B1(n_30431),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[8]),
+	.C1(n_30434),
+	.C2(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[24]),
+	.Y(n_30649), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g686991 (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[12]),
+	.A2(n_30440),
+	.B1(n_30438),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[44]),
+	.C1(n_30521),
+	.C2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[20]),
+	.Y(n_30648), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g686992 (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[38]),
+	.A2(n_28813),
+	.B1(n_30441),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[22]),
+	.C1(n_30488),
+	.C2(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[50]),
+	.Y(n_30647), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g686993 (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[36]),
+	.A2(n_28813),
+	.B1(n_30441),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[20]),
+	.C1(n_30488),
+	.C2(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[48]),
+	.Y(n_30646), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g686994 (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[75]),
+	.A2(n_29573),
+	.B1(n_29575),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[107]),
+	.C1(n_30516),
+	.C2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[91]),
+	.Y(n_30645), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g686995 (
+	.A1(n_30393),
+	.A2(brqrv_top_brqrv_dec_dec_i0_instr_d[21]),
+	.B1(n_30394),
+	.B2(brqrv_top_brqrv_dec_dec_i0_instr_d[20]),
+	.C1(n_30509),
+	.Y(n_30644), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g686996 (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[79]),
+	.A2(n_29573),
+	.B1(n_29575),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[111]),
+	.C1(n_30516),
+	.C2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[95]),
+	.Y(n_30643), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g686997 (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[15]),
+	.A2(n_30440),
+	.B1(n_30438),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[47]),
+	.C1(n_30519),
+	.C2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[31]),
+	.Y(n_30642), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g686998 (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[74]),
+	.A2(n_29573),
+	.B1(n_29575),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[106]),
+	.C1(n_30516),
+	.C2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[90]),
+	.Y(n_30641), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g686999 (
+	.A1(n_30458),
+	.A2(n_29743),
+	.B1(n_30047),
+	.Y(n_30640), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g687000 (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[78]),
+	.A2(n_29573),
+	.B1(n_29575),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[110]),
+	.C1(n_30516),
+	.C2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[94]),
+	.Y(n_30639), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g687001 (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[14]),
+	.A2(n_30440),
+	.B1(n_30438),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[46]),
+	.C1(n_30519),
+	.C2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[30]),
+	.Y(n_30638), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g687002 (
+	.A(brqrv_top_brqrv_ifu_aln_first2B),
+	.B(n_30491),
+	.X(n_30637), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g687003 (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[73]),
+	.A2(n_29573),
+	.B1(n_29575),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[105]),
+	.C1(n_30516),
+	.C2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[89]),
+	.Y(n_30636), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g687004 (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[77]),
+	.A2(n_29573),
+	.B1(n_29575),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[109]),
+	.C1(n_30516),
+	.C2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[93]),
+	.Y(n_30635), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g687005 (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[37]),
+	.A2(n_28813),
+	.B1(n_30441),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[21]),
+	.C1(n_30488),
+	.C2(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[49]),
+	.Y(n_30634), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g687006 (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[39]),
+	.A2(n_28813),
+	.B1(n_30441),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[23]),
+	.C1(n_30488),
+	.C2(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[51]),
+	.Y(n_30633), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111oi_0 g687007 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[14]),
+	.A2(n_29377),
+	.B1(n_29764),
+	.C1(n_30377),
+	.D1(n_30564),
+	.Y(n_30632), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g687008 (
+	.A1(n_29853),
+	.A2(n_30507),
+	.B1(n_30468),
+	.Y(n_30631), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g687009 (
+	.A1(n_30391),
+	.A2(brqrv_top_brqrv_dec_dec_i0_instr_d[9]),
+	.B1(n_30563),
+	.Y(n_30630), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g687010 (
+	.A1(brqrv_top_brqrv_dec_dec_i0_instr_d[20]),
+	.A2(n_30394),
+	.B1(n_30543),
+	.Y(n_30629), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g687011 (
+	.A1(n_29332),
+	.A2(n_30518),
+	.B1(n_29321),
+	.B2(n_30517),
+	.Y(n_30628), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g687012 (
+	.A1(n_29351),
+	.A2(n_30518),
+	.B1(n_29352),
+	.B2(n_30517),
+	.Y(n_30627), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g687013 (
+	.A1(n_30521),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[16]),
+	.B1(n_30440),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[8]),
+	.X(n_30626), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g687014 (
+	.A1(n_29345),
+	.A2(n_30520),
+	.B1(n_29346),
+	.B2(n_30524),
+	.Y(n_30625), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g687015 (
+	.A1(n_29356),
+	.A2(n_30518),
+	.B1(n_29354),
+	.B2(n_30517),
+	.Y(n_30624), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687016 (
+	.A1(n_30440),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[11]),
+	.B1(n_30519),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[27]),
+	.Y(n_30623), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g687017 (
+	.A1(n_29326),
+	.A2(n_30522),
+	.B1(n_29327),
+	.B2(n_30523),
+	.Y(n_30622), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g687018 (
+	.A1(n_29339),
+	.A2(n_30518),
+	.B1(n_29331),
+	.B2(n_30517),
+	.Y(n_30621), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687019 (
+	.A1(n_30440),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[10]),
+	.B1(n_30519),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[26]),
+	.Y(n_30620), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g687020 (
+	.A1(n_29328),
+	.A2(n_30522),
+	.B1(n_29323),
+	.B2(n_30523),
+	.Y(n_30619), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g687021 (
+	.A1(n_30474),
+	.A2(FE_DBTN25_brqrv_top_brqrv_ifu_aln_first2B),
+	.B1(n_30169),
+	.B2(n_29087),
+	.X(brqrv_top_brqrv_i0_brp[37]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g687022 (
+	.A(n_28911),
+	.B(n_30600),
+	.X(n_30654), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g687023 (
+	.A(n_28911),
+	.B(n_30597),
+	.X(n_30653), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g687024 (
+	.A(n_30612),
+	.Y(n_34811), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g687025 (
+	.A(n_33880),
+	.Y(n_30609), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g687026 (
+	.A(n_30608),
+	.Y(n_33878), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g687027 (
+	.A(n_30607),
+	.Y(n_33877), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g687028 (
+	.A(n_30526),
+	.B(n_30442),
+	.Y(n_30606), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g687029 (
+	.A(n_35707),
+	.B(brqrv_top_brqrv_dec_decode_i0_icaf_d),
+	.Y(n_30605), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g687030 (
+	.A_N(n_30525),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[13]),
+	.Y(n_30604), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g687031 (
+	.A_N(n_30525),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[15]),
+	.Y(n_30603), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g687032 (
+	.A(n_29347),
+	.B(n_30523),
+	.Y(n_30602), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o41ai_1 g687033 (
+	.A1(n_29601),
+	.A2(n_29780),
+	.A3(n_30446),
+	.A4(n_30062),
+	.B1(n_30363),
+	.Y(n_30601), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g687034 (
+	.A(n_30090),
+	.B(n_30199),
+	.C(n_30248),
+	.D(n_30370),
+	.Y(n_30600), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g687035 (
+	.A(n_30250),
+	.B(n_30378),
+	.C(n_30212),
+	.D(n_30332),
+	.Y(n_30599), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111oi_0 g687036 (
+	.A1(n_1844),
+	.A2(brqrv_top_brqrv_lsu_lsu_addr_m[5]),
+	.B1(n_30219),
+	.C1(n_29933),
+	.D1(n_30179),
+	.Y(n_30598), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g687037 (
+	.A(n_30087),
+	.B(n_30215),
+	.C(n_30218),
+	.D(n_30374),
+	.Y(n_30597), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g687038 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[9]),
+	.A2(n_29561),
+	.B1(n_29794),
+	.C1(n_30372),
+	.D1(n_30338),
+	.Y(n_30596), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g687039 (
+	.A(n_30484),
+	.B(n_30432),
+	.Y(n_30595), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g687040 (
+	.A(n_30484),
+	.B(n_30433),
+	.Y(n_30594), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g687041 (
+	.A(n_43129),
+	.B(n_30423),
+	.Y(n_30593), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g687042 (
+	.A(n_43129),
+	.B(n_30432),
+	.Y(n_30592), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g687043 (
+	.A(n_43129),
+	.B(n_30433),
+	.Y(n_30591), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111oi_0 g687044 (
+	.A1(n_41939),
+	.A2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[20]),
+	.B1(n_29818),
+	.C1(n_30382),
+	.D1(n_30330),
+	.Y(n_30590), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g687045 (
+	.A1(n_33869),
+	.A2(n_30365),
+	.B1(n_30498),
+	.Y(n_30589), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g687046 (
+	.A1(n_33869),
+	.A2(n_30364),
+	.B1(n_30500),
+	.Y(n_30588), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111oi_0 g687047 (
+	.A1(n_30022),
+	.A2(brqrv_top_brqrv_lsu_lsu_busreq_r),
+	.B1(n_29860),
+	.C1(n_30403),
+	.D1(n_30356),
+	.Y(n_30587), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g687048 (
+	.A(n_30515),
+	.B(n_34888),
+	.Y(n_34044), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111oi_0 g687049 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[30]),
+	.A2(n_34904),
+	.B1(n_29074),
+	.C1(n_30327),
+	.D1(n_30457),
+	.Y(n_30586), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g687050 (
+	.A(n_30514),
+	.B(n_34888),
+	.Y(n_34049), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g687051 (
+	.A(n_30405),
+	.B(n_30265),
+	.C(n_30021),
+	.D(n_29982),
+	.Y(n_30585), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g687052 (
+	.A(n_30442),
+	.B(n_30526),
+	.Y(n_30584), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o32ai_1 g687053 (
+	.A1(n_28978),
+	.A2(n_29353),
+	.A3(n_29573),
+	.B1(n_29751),
+	.B2(n_30441),
+	.Y(n_30583), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g687054 (
+	.A(n_30337),
+	.B(n_30336),
+	.C(n_30161),
+	.D(n_30214),
+	.Y(n_30582), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111o_1 g687055 (
+	.A1(brqrv_top_brqrv_dec_dec_i0_instr_d[24]),
+	.A2(n_29089),
+	.B1(n_29386),
+	.C1(n_30369),
+	.D1(n_30160),
+	.X(n_30581), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g687056 (
+	.A(n_30227),
+	.B(n_30259),
+	.C(n_29958),
+	.D(n_30375),
+	.Y(n_30580), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g687057 (
+	.A(n_30211),
+	.B(n_30253),
+	.C(n_29913),
+	.D(n_30371),
+	.Y(n_30579), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o32ai_1 g687058 (
+	.A1(n_28978),
+	.A2(n_29322),
+	.A3(n_29573),
+	.B1(n_29746),
+	.B2(n_30441),
+	.Y(n_30578), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g687059 (
+	.A1(n_30446),
+	.A2(n_30315),
+	.B1(n_28904),
+	.Y(n_30577), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g687060 (
+	.A(n_30503),
+	.B(n_30482),
+	.Y(n_30576), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g687061 (
+	.A(n_43129),
+	.B(n_30234),
+	.X(n_30618), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g687062 (
+	.A(n_34525),
+	.B(n_34520),
+	.X(n_34188), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g687063 (
+	.A_N(n_34807),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_lsu_nonblock_load_data_ready),
+	.Y(n_34806), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g687064 (
+	.A_N(n_34803),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_lsu_nonblock_load_data_ready),
+	.Y(n_34802), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g687065 (
+	.A_N(n_34801),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_lsu_nonblock_load_data_ready),
+	.Y(n_34800), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g687066 (
+	.A(n_30312),
+	.B(n_30483),
+	.Y(n_30617), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g687067 (
+	.A(n_30486),
+	.B(n_30310),
+	.Y(n_30616), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g687068 (
+	.A_N(n_34805),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_lsu_nonblock_load_data_ready),
+	.Y(n_34804), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4b_1 g687069 (
+	.A(n_28837),
+	.B(n_28974),
+	.C(n_30438),
+	.D_N(n_30445),
+	.Y(n_30615), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4b_1 g687070 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[2]),
+	.B(n_28974),
+	.C(n_30438),
+	.D_N(n_30445),
+	.Y(n_30614), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g687071 (
+	.A(n_30040),
+	.B(n_30039),
+	.C(n_30163),
+	.D(n_30459),
+	.Y(n_30613), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g687072 (
+	.A(brqrv_top_brqrv_dec_decode_leak1_mode),
+	.B(brqrv_top_brqrv_dec_decode_i0_icaf_d),
+	.C(n_30449),
+	.Y(n_30612), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g687073 (
+	.A(n_34888),
+	.B(n_30514),
+	.Y(n_30611), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g687074 (
+	.A(n_34888),
+	.B(n_30515),
+	.Y(n_30610), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g687075 (
+	.A1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[44]),
+	.A2(n_29556),
+	.B1(n_30073),
+	.C1(n_30295),
+	.D1(n_30465),
+	.Y(n_33880), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g687076 (
+	.A(n_30171),
+	.B(n_29887),
+	.C(n_30456),
+	.D(n_30345),
+	.Y(n_30608), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g687077 (
+	.A(n_29891),
+	.B(n_30267),
+	.C(n_30328),
+	.D(n_30323),
+	.Y(n_30607), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g687078 (
+	.A(n_30394),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[7]),
+	.X(n_30575), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g687079 (
+	.A1(n_36273),
+	.A2(n_29556),
+	.B1(n_29742),
+	.C1(n_30347),
+	.D1(n_30501),
+	.Y(n_30574), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g687080 (
+	.A1(n_29589),
+	.A2(n_30081),
+	.B1(n_30466),
+	.Y(n_30573), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111oi_0 g687081 (
+	.A1(n_30149),
+	.A2(n_16970),
+	.B1(n_30204),
+	.C1(n_30283),
+	.D1(n_30410),
+	.Y(n_30572), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32oi_1 g687082 (
+	.A1(n_29007),
+	.A2(n_110),
+	.A3(n_30034),
+	.B1(n_29850),
+	.B2(n_30451),
+	.Y(n_30571), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g687083 (
+	.A1(n_1885),
+	.A2(n_30017),
+	.B1(n_30004),
+	.C1(n_30298),
+	.D1(n_30506),
+	.Y(n_30570), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g687084 (
+	.A1(brqrv_top_brqrv_dec_i0_predict_p_d[47]),
+	.A2(n_28814),
+	.B1(n_30322),
+	.Y(n_30569), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g687085 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[14]),
+	.A2(n_29377),
+	.B1(n_29799),
+	.C1(n_30357),
+	.D1(n_30341),
+	.Y(n_30568), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g687086 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[17]),
+	.A2(n_29564),
+	.B1(n_29792),
+	.C1(n_29923),
+	.D1(n_30346),
+	.Y(n_30567), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g687087 (
+	.A(n_28984),
+	.B(n_28832),
+	.C_N(n_35509),
+	.Y(n_30566), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g687088 (
+	.A1(n_29558),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[5]),
+	.B1(n_30089),
+	.C1(n_30201),
+	.D1(n_30495),
+	.Y(n_30565), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g687089 (
+	.A1(n_41997),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[19]),
+	.B1(n_29762),
+	.C1(n_30184),
+	.D1(n_30496),
+	.Y(n_30564), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687090 (
+	.A1(brqrv_top_brqrv_dec_dec_i0_instr_d[8]),
+	.A2(n_30393),
+	.B1(brqrv_top_brqrv_dec_dec_i0_instr_d[11]),
+	.B2(n_30392),
+	.Y(n_30563), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g687091 (
+	.A1(n_30393),
+	.A2(brqrv_top_brqrv_dec_dec_i0_instr_d[8]),
+	.B1(n_30392),
+	.B2(brqrv_top_brqrv_dec_dec_i0_instr_d[11]),
+	.Y(n_30562), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g687092 (
+	.A(n_30395),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[31]),
+	.X(n_30561), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687093 (
+	.A1(n_30387),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[111]),
+	.B1(n_30386),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[79]),
+	.Y(n_30560), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687094 (
+	.A1(n_30389),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[0]),
+	.B1(n_30388),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[4]),
+	.Y(n_30559), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g687095 (
+	.A1(n_30387),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[103]),
+	.B1(n_30386),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[71]),
+	.X(n_30558), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687096 (
+	.A1(n_30387),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[15]),
+	.B1(n_30386),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[11]),
+	.Y(n_30557), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687097 (
+	.A1(n_30389),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[3]),
+	.B1(n_30388),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[7]),
+	.Y(n_30556), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g687098 (
+	.A1(n_30437),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[1]),
+	.B1(n_30434),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[25]),
+	.X(n_30555), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g687099 (
+	.A1(n_30437),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[5]),
+	.B1(n_30430),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[21]),
+	.X(n_30554), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g687100 (
+	.A1(n_30437),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[4]),
+	.B1(n_30434),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[28]),
+	.X(n_30553), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g687101 (
+	.A1_N(n_30390),
+	.A2_N(brqrv_top_brqrv_dec_dec_i0_instr_d[23]),
+	.B1(n_30390),
+	.B2(brqrv_top_brqrv_dec_dec_i0_instr_d[23]),
+	.Y(n_30552), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g687102 (
+	.A1(n_30437),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[7]),
+	.B1(n_30434),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[31]),
+	.X(n_30551), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g687103 (
+	.A1(n_30437),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[6]),
+	.B1(n_30434),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[30]),
+	.X(n_30550), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g687104 (
+	.A1(n_30437),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[3]),
+	.B1(n_30434),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[27]),
+	.X(n_30549), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g687105 (
+	.A1(n_30437),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[2]),
+	.B1(n_30434),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[26]),
+	.X(n_30548), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687106 (
+	.A1(n_30387),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[14]),
+	.B1(n_30388),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[6]),
+	.Y(n_30547), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687107 (
+	.A1(n_30387),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[119]),
+	.B1(n_30386),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[87]),
+	.Y(n_30546), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687108 (
+	.A1(n_30389),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[23]),
+	.B1(n_30388),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[55]),
+	.Y(n_30545), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g687109 (
+	.A1(n_30387),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[127]),
+	.B1(n_30386),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[95]),
+	.X(n_30544), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g687110 (
+	.A1_N(n_30392),
+	.A2_N(brqrv_top_brqrv_dec_dec_i0_instr_d[24]),
+	.B1(n_30392),
+	.B2(brqrv_top_brqrv_dec_dec_i0_instr_d[24]),
+	.Y(n_30543), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g687111 (
+	.A(n_30391),
+	.B(n_29023),
+	.X(n_30542), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g687112 (
+	.A1_N(n_30398),
+	.A2_N(brqrv_top_brqrv_dec_i0_predict_p_d[47]),
+	.B1(n_30398),
+	.B2(brqrv_top_brqrv_dec_i0_predict_p_d[47]),
+	.Y(n_30541), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g687113 (
+	.A1_N(n_30399),
+	.A2_N(brqrv_top_brqrv_dec_i0_predict_p_d[45]),
+	.B1(n_30399),
+	.B2(brqrv_top_brqrv_dec_i0_predict_p_d[45]),
+	.Y(n_30540), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g687114 (
+	.A1_N(n_30400),
+	.A2_N(brqrv_top_brqrv_dec_i0_predict_p_d[43]),
+	.B1(n_30400),
+	.B2(brqrv_top_brqrv_dec_i0_predict_p_d[43]),
+	.Y(n_30539), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g687115 (
+	.A1(n_29850),
+	.A2(n_30453),
+	.B1(n_30464),
+	.Y(n_30538), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687116 (
+	.A1(n_30387),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[13]),
+	.B1(n_30386),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[9]),
+	.Y(n_30537), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687117 (
+	.A1(n_30389),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[1]),
+	.B1(n_30388),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[5]),
+	.Y(n_30536), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687118 (
+	.A1(n_30387),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[108]),
+	.B1(n_30386),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[76]),
+	.Y(n_30535), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687119 (
+	.A1(n_30387),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[12]),
+	.B1(n_30386),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[8]),
+	.Y(n_30534), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g687120 (
+	.A1_N(n_30401),
+	.A2_N(brqrv_top_brqrv_dec_i0_predict_p_d[44]),
+	.B1(n_30401),
+	.B2(brqrv_top_brqrv_dec_i0_predict_p_d[44]),
+	.Y(n_30533), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g687121 (
+	.A1_N(n_30397),
+	.A2_N(brqrv_top_brqrv_dec_i0_predict_p_d[42]),
+	.B1(n_30397),
+	.B2(brqrv_top_brqrv_dec_i0_predict_p_d[42]),
+	.Y(n_30532), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g687122 (
+	.A1_N(n_30390),
+	.A2_N(n_32409),
+	.B1(n_30390),
+	.B2(n_32409),
+	.Y(n_30531), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g687123 (
+	.A(n_29621),
+	.B(n_34823),
+	.C(n_29597),
+	.D(n_30454),
+	.Y(n_30530), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g687124 (
+	.A(n_30299),
+	.B(n_30296),
+	.C(n_30303),
+	.D(n_30376),
+	.Y(n_30529), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g687125 (
+	.A(n_35777),
+	.B(n_35778),
+	.C(n_35775),
+	.D(n_35776),
+	.Y(n_30528), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g687126 (
+	.A(n_30395),
+	.B(n_32406),
+	.X(n_30527), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g687127 (
+	.A(n_35779),
+	.B(n_35782),
+	.C(n_35781),
+	.D(n_35780),
+	.Y(n_34895), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g687128 (
+	.A1(n_34849),
+	.A2(n_30088),
+	.B1(n_30150),
+	.C1(n_30083),
+	.D1(n_30282),
+	.Y(brqrv_top_brqrv_dec_decode_i0_dp_raw[63]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g687129 (
+	.A(n_30522),
+	.Y(n_30521), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g687130 (
+	.A(n_30520),
+	.Y(n_30519), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g687131 (
+	.A(n_28817),
+	.Y(n_30518), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g687132 (
+	.A(n_30515),
+	.Y(n_30514), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g687133 (
+	.A(n_30368),
+	.B(n_30257),
+	.C(n_29942),
+	.D(n_29943),
+	.Y(n_30513), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g687134 (
+	.A(brqrv_top_brqrv_dec_i0_predict_p_d[46]),
+	.B(n_30396),
+	.Y(n_30512), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g687135 (
+	.A(n_30443),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[5]),
+	.Y(n_30511), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g687136 (
+	.A(n_30436),
+	.B(n_30445),
+	.Y(n_30510), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g687137 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[21]),
+	.B(n_30393),
+	.Y(n_30509), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111oi_0 g687138 (
+	.A1(n_29558),
+	.A2(brqrv_top_brqrv_lsu_lsu_addr_r[5]),
+	.B1(n_29761),
+	.C1(n_29991),
+	.D1(n_30287),
+	.Y(n_30508), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g687139 (
+	.A1(n_30315),
+	.A2(n_29620),
+	.B1_N(n_30451),
+	.Y(n_30507), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g687140 (
+	.A1(brqrv_top_brqrv_lsu_end_addr_m[11]),
+	.A2(n_34832),
+	.B1(n_29832),
+	.C1(n_30354),
+	.Y(n_30506), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g687141 (
+	.A1(n_1885),
+	.A2(n_30023),
+	.B1(n_29865),
+	.C1(n_29864),
+	.D1(n_30007),
+	.Y(n_30505), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g687142 (
+	.A(n_30236),
+	.B(n_30433),
+	.Y(n_30504), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g687143 (
+	.A(n_34797),
+	.B(n_29656),
+	.C(n_30446),
+	.D(n_30080),
+	.Y(n_30503), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g687144 (
+	.A1(n_29603),
+	.A2(n_30136),
+	.B1(n_28814),
+	.Y(n_30502), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g687145 (
+	.A(n_29961),
+	.B(n_29962),
+	.C(n_33871),
+	.D(n_30229),
+	.Y(n_30501), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g687146 (
+	.A1(n_29896),
+	.A2(n_29895),
+	.B1(n_30452),
+	.Y(n_30500), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g687147 (
+	.A(n_29850),
+	.B(n_30316),
+	.C(brqrv_top_brqrv_dec_i0_predict_p_d[42]),
+	.D(n_31667),
+	.Y(n_30499), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g687148 (
+	.A1(n_29903),
+	.A2(n_29902),
+	.B1(n_30452),
+	.Y(n_30498), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g687149 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[48]),
+	.A2(n_41994),
+	.B1(n_29812),
+	.C1(n_30348),
+	.Y(n_30497), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g687150 (
+	.A(n_29867),
+	.B(n_30402),
+	.Y(n_30496), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111oi_0 g687151 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[12]),
+	.A2(n_29571),
+	.B1(n_29888),
+	.C1(n_29769),
+	.D1(n_30200),
+	.Y(n_30495), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111oi_0 g687152 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[28]),
+	.A2(n_28809),
+	.B1(n_29884),
+	.C1(n_29765),
+	.D1(n_30194),
+	.Y(n_30494), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g687153 (
+	.A1(brqrv_top_brqrv_dbg_cmd_wrdata[1]),
+	.A2(brqrv_top_brqrv_dbg_cmd_wrdata[0]),
+	.B1_N(n_35509),
+	.Y(n_30493), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g687154 (
+	.A(n_30353),
+	.B(n_29995),
+	.C(n_29994),
+	.D(n_29996),
+	.Y(n_30492), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g687155 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [20]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [21]),
+	.C(n_34192),
+	.X(n_34520), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g687156 (
+	.A1(n_29088),
+	.A2(n_30030),
+	.B1(n_29655),
+	.B2(n_29733),
+	.C1(n_30279),
+	.Y(n_30491), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g687157 (
+	.A1(n_29088),
+	.A2(n_30046),
+	.B1(n_29639),
+	.B2(n_29733),
+	.C1(n_30280),
+	.Y(n_30526), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g687158 (
+	.A_N(n_36246),
+	.B(brqrv_top_brqrv_dma_mem_write),
+	.Y(n_34896), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g687159 (
+	.A(n_30447),
+	.B(n_29085),
+	.Y(n_30525), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g687160 (
+	.A(n_30407),
+	.B(n_35474),
+	.Y(brqrv_top_brqrv_dec_decode_i0_icaf_d), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g687162 (
+	.A(n_30436),
+	.B(n_28973),
+	.Y(n_30524), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g687163 (
+	.A(n_30444),
+	.B(n_28973),
+	.Y(n_30523), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g687164 (
+	.A(n_30444),
+	.B(n_29085),
+	.Y(n_30522), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g687165 (
+	.A(n_30436),
+	.B(n_29085),
+	.Y(n_30520), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g687167 (
+	.A(n_30444),
+	.B(n_28976),
+	.Y(n_30517), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g687168 (
+	.A(n_28978),
+	.B(n_30435),
+	.Y(n_30516), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_0 g687169 (
+	.A(n_29843),
+	.B(n_30409),
+	.X(n_30515), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g687170 (
+	.A(n_30486),
+	.Y(n_30485), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g687171 (
+	.A(n_30484),
+	.Y(n_30483), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g687172 (
+	.A(n_35552),
+	.B(n_35549),
+	.C(n_30318),
+	.D(n_30237),
+	.Y(n_30482), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g687173 (
+	.A(n_29975),
+	.B(n_29972),
+	.C(n_29977),
+	.D(n_30233),
+	.Y(n_30481), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g687174 (
+	.A1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[28]),
+	.A2(n_28809),
+	.B1(n_29816),
+	.C1(n_30231),
+	.D1(n_30261),
+	.Y(n_30480), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g687175 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[55]),
+	.A2(n_29569),
+	.B1(n_29809),
+	.C1(n_30226),
+	.D1(n_30258),
+	.Y(n_30479), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g687176 (
+	.A1(n_43112),
+	.A2(n_30175),
+	.B1(n_29603),
+	.B2(n_34823),
+	.Y(n_30478), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111oi_0 g687177 (
+	.A1(n_29558),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[5]),
+	.B1(n_29796),
+	.C1(n_30269),
+	.D1(n_30340),
+	.Y(n_30477), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687178 (
+	.A1(n_30167),
+	.A2(brqrv_top_brqrv_dec_decode_x_d[3]),
+	.B1(n_17414),
+	.B2(n_29612),
+	.Y(n_30476), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g687179 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[123]),
+	.A2(n_41995),
+	.B1(n_29783),
+	.C1(n_30252),
+	.D1(n_30210),
+	.Y(n_30475), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g687180 (
+	.A1(n_33909),
+	.A2(n_30157),
+	.B1(n_35459),
+	.B2(n_29730),
+	.Y(n_30474), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g687181 (
+	.A(brqrv_top_brqrv_dec_decode_i0_dp_raw[50]),
+	.B(brqrv_top_brqrv_dec_decode_i0_dp_raw[66]),
+	.C(brqrv_top_brqrv_dec_decode_i0_dp_raw[73]),
+	.D(n_30321),
+	.X(n_30473), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g687182 (
+	.A1(n_29089),
+	.A2(n_32720),
+	.B1(n_29392),
+	.C1(n_30173),
+	.D1(n_30300),
+	.Y(n_30472), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111oi_0 g687183 (
+	.A1(n_29556),
+	.A2(brqrv_top_brqrv_lsu_lsu_addr_r[8]),
+	.B1(n_29760),
+	.C1(n_30019),
+	.D1(n_30273),
+	.Y(n_30471), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g687184 (
+	.A1(n_29567),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[30]),
+	.B1(n_29770),
+	.C1(n_30202),
+	.D1(n_30249),
+	.Y(n_30470), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g687185 (
+	.A(n_29906),
+	.B(n_29907),
+	.C(n_30352),
+	.Y(n_30469), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g687186 (
+	.A(brqrv_top_brqrv_dec_i0_predict_p_d[46]),
+	.B(n_29583),
+	.C_N(n_30453),
+	.Y(n_30468), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g687187 (
+	.A(n_30438),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[2]),
+	.C(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[45]),
+	.Y(n_30467), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g687188 (
+	.A1(n_30316),
+	.A2(brqrv_top_brqrv_dec_dec_i0_instr_d[2]),
+	.B1(n_30177),
+	.Y(n_30466), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111oi_0 g687189 (
+	.A1(n_41998),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[46]),
+	.B1(n_29834),
+	.C1(n_30172),
+	.D1(n_30329),
+	.Y(n_30465), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g687190 (
+	.A(n_28897),
+	.B(n_30315),
+	.C_N(n_29853),
+	.Y(n_30464), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111oi_0 g687191 (
+	.A1(n_29561),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[73]),
+	.B1(n_29183),
+	.C1(n_29986),
+	.D1(n_30158),
+	.Y(n_30463), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111oi_0 g687192 (
+	.A1(n_29562),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[6]),
+	.B1(n_29182),
+	.C1(n_29947),
+	.D1(n_30168),
+	.Y(n_30462), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g687193 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[100]),
+	.A2(n_29559),
+	.B1(n_29787),
+	.C1(n_30268),
+	.D1(n_30359),
+	.Y(n_30461), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g687194 (
+	.A(n_30266),
+	.B(n_30284),
+	.C(n_30143),
+	.D(n_30291),
+	.Y(n_30460), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g687195 (
+	.A(n_30362),
+	.B(n_30221),
+	.C(n_29125),
+	.D(n_29688),
+	.Y(n_30459), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o32ai_1 g687196 (
+	.A1(n_29008),
+	.A2(n_29599),
+	.A3(n_29601),
+	.B1(n_30075),
+	.B2(n_30176),
+	.Y(n_30458), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g687197 (
+	.A(n_30205),
+	.B(n_30326),
+	.C(n_29654),
+	.D(n_29715),
+	.Y(n_30457), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g687198 (
+	.A1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[21]),
+	.A2(n_29561),
+	.B1(n_30074),
+	.C1(n_29897),
+	.D1(n_30006),
+	.Y(n_30456), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g687199 (
+	.A(n_29989),
+	.B(n_29990),
+	.C(n_30301),
+	.D(n_30358),
+	.Y(n_30455), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g687200 (
+	.A1(brqrv_top_brqrv_dec_decode_cam_raw[26]),
+	.A2(n_29837),
+	.B1(brqrv_top_brqrv_dec_decode_cam_raw[29]),
+	.C1(n_30152),
+	.D1(n_30125),
+	.Y(n_34803), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g687201 (
+	.A1(brqrv_top_brqrv_dec_decode_cam_raw[6]),
+	.A2(n_29837),
+	.B1(brqrv_top_brqrv_dec_decode_cam_raw[9]),
+	.C1(n_30156),
+	.D1(n_30134),
+	.Y(n_34801), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g687202 (
+	.A1(brqrv_top_brqrv_dec_decode_cam_raw[35]),
+	.A2(n_29838),
+	.B1(brqrv_top_brqrv_dec_decode_cam_raw[39]),
+	.C1(n_30153),
+	.D1(n_30124),
+	.Y(n_34805), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g687203 (
+	.A1(brqrv_top_brqrv_dec_decode_cam_raw[15]),
+	.A2(n_29838),
+	.B1(brqrv_top_brqrv_dec_decode_cam_raw[19]),
+	.C1(n_30155),
+	.D1(n_30133),
+	.Y(n_34807), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g687204 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[2]),
+	.B(n_28977),
+	.C(n_30435),
+	.Y(n_30490), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g687205 (
+	.A(n_28837),
+	.B(n_28977),
+	.C(n_30435),
+	.Y(n_30489), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g687206 (
+	.A(n_30448),
+	.B(n_29574),
+	.C(n_28976),
+	.X(n_30488), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g687208 (
+	.A(n_43130),
+	.B(n_30408),
+	.Y(n_30486), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g687209 (
+	.A(n_43130),
+	.B(n_30418),
+	.Y(n_30484), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g687211 (
+	.A(n_34799),
+	.Y(n_30442), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g687212 (
+	.A(n_30440),
+	.Y(n_30439), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g687214 (
+	.A(n_30436),
+	.Y(n_30435), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g687215 (
+	.A(n_34855),
+	.Y(n_30433), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g687216 (
+	.A(n_30432),
+	.Y(n_34862), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g687217 (
+	.A(n_34851),
+	.Y(n_12042), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g687218 (
+	.A(n_34864),
+	.Y(n_30428), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g687219 (
+	.A(n_30427),
+	.Y(n_34852), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g687220 (
+	.A(n_34850),
+	.Y(n_12079), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g687221 (
+	.A(n_34856),
+	.Y(n_30425), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g687222 (
+	.A(n_34861),
+	.Y(n_30424), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g687223 (
+	.A(n_30423),
+	.Y(n_34860), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g687224 (
+	.A(n_34854),
+	.Y(n_30422), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g687225 (
+	.A(n_28815),
+	.Y(n_30421), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g687226 (
+	.A(n_30193),
+	.B(n_29880),
+	.Y(n_36815), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g687227 (
+	.A(n_30309),
+	.B_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[9]),
+	.Y(n_30420), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g687228 (
+	.A(n_30310),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[13]),
+	.Y(n_30419), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g687229 (
+	.A(n_30312),
+	.B_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_byteen[3]),
+	.Y(n_30418), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g687231 (
+	.A(n_30312),
+	.B_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[11]),
+	.Y(n_30416), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g687232 (
+	.A(n_30311),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[15]),
+	.Y(n_30415), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g687236 (
+	.A1(n_36276),
+	.A2(n_29557),
+	.B1(n_1843),
+	.B2(brqrv_top_brqrv_lsu_end_addr_m[11]),
+	.C1(n_30230),
+	.Y(n_30411), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g687237 (
+	.A1(n_30120),
+	.A2(n_34847),
+	.B1(n_29578),
+	.Y(n_30410), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g687238 (
+	.A1(n_29625),
+	.A2(n_28854),
+	.B1(n_29037),
+	.C1(n_30312),
+	.Y(n_30409), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g687239 (
+	.A(n_30309),
+	.B_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_byteen[1]),
+	.Y(n_30408), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g687240 (
+	.A(brqrv_top_brqrv_ifu_aln_alignicaf[1]),
+	.B(FE_DBTN25_brqrv_top_brqrv_ifu_aln_first2B),
+	.Y(n_30407), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g687241 (
+	.A(n_30315),
+	.B(n_29583),
+	.Y(n_30406), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g687242 (
+	.A(n_30181),
+	.B(n_29868),
+	.Y(n_36805), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g687243 (
+	.A(n_30182),
+	.B(n_29869),
+	.Y(n_36806), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g687244 (
+	.A(n_30183),
+	.B(n_29870),
+	.Y(n_36807), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g687245 (
+	.A(n_30185),
+	.B(n_29872),
+	.Y(n_36808), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g687246 (
+	.A(n_30186),
+	.B(n_29898),
+	.Y(n_36809), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g687247 (
+	.A(n_30187),
+	.B(n_29873),
+	.Y(n_36810), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g687248 (
+	.A(n_30188),
+	.B(n_29875),
+	.Y(n_36811), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111oi_0 g687249 (
+	.A1(n_29371),
+	.A2(brqrv_top_brqrv_lsu_lsu_addr_r[21]),
+	.B1(n_29821),
+	.C1(n_29978),
+	.D1(n_30180),
+	.Y(n_30405), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g687250 (
+	.A(n_30190),
+	.B(n_29876),
+	.Y(n_36812), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g687251 (
+	.A(n_30191),
+	.B(n_29877),
+	.Y(n_36813), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g687252 (
+	.A(n_30192),
+	.B(n_29879),
+	.Y(n_36814), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g687253 (
+	.A(n_30247),
+	.B(n_30091),
+	.Y(n_36804), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g687254 (
+	.A(n_30246),
+	.B(n_30092),
+	.Y(n_36803), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g687255 (
+	.A(n_30244),
+	.B(n_30093),
+	.Y(n_36802), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g687256 (
+	.A_N(n_41937),
+	.B(n_36141),
+	.Y(n_30404), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g687257 (
+	.A1(n_35483),
+	.A2(brqrv_top_brqrv_lsu_end_addr_m[19]),
+	.B1(n_34909),
+	.B2(brqrv_top_brqrv_lsu_end_addr_m[21]),
+	.C1(n_30286),
+	.Y(n_30403), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g687258 (
+	.A(n_30198),
+	.B(n_29901),
+	.Y(n_36819), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g687259 (
+	.A(n_30195),
+	.B(n_29882),
+	.Y(n_36816), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g687260 (
+	.A(n_30197),
+	.B(n_29885),
+	.Y(n_36818), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g687261 (
+	.A(n_30196),
+	.B(n_29883),
+	.Y(n_36817), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g687262 (
+	.A1(n_29570),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[22]),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[23]),
+	.B2(n_29569),
+	.C1(n_30245),
+	.Y(n_30402), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g687263 (
+	.A(n_29845),
+	.B(n_30203),
+	.Y(n_30454), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g687264 (
+	.A(n_30238),
+	.B(n_30099),
+	.Y(n_35782), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g687265 (
+	.A(n_30241),
+	.B(n_30095),
+	.Y(n_35785), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g687266 (
+	.A(n_30240),
+	.B(n_30096),
+	.Y(brqrv_top_brqrv_dma_mem_write), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g687267 (
+	.A(n_30239),
+	.B(n_30097),
+	.Y(n_36246), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g687268 (
+	.A(n_35501),
+	.B_N(n_30319),
+	.Y(n_30453), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g687269 (
+	.A(n_30264),
+	.B(n_30100),
+	.Y(n_35780), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g687270 (
+	.A(n_30272),
+	.B(n_30101),
+	.Y(n_35781), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g687271 (
+	.A(n_30281),
+	.B(n_30108),
+	.Y(n_35779), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g687272 (
+	.A(n_30288),
+	.B(n_30009),
+	.Y(n_35777), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g687273 (
+	.A(n_30289),
+	.B(n_30114),
+	.Y(n_35778), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g687274 (
+	.A(n_30290),
+	.B(n_30115),
+	.Y(n_35775), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g687275 (
+	.A(n_29011),
+	.B(n_33869),
+	.Y(n_30452), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g687276 (
+	.A(n_30293),
+	.B(n_30118),
+	.Y(n_35776), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g687277 (
+	.A(n_30285),
+	.B(n_11472),
+	.Y(n_35474), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g687278 (
+	.A(n_28832),
+	.B(n_30318),
+	.Y(n_30451), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g687279 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [22]),
+	.B(n_34521),
+	.X(n_34192), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g687280 (
+	.A(n_29840),
+	.B(n_30235),
+	.Y(n_30450), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g687281 (
+	.A1(n_30110),
+	.A2(FE_DBTN25_brqrv_top_brqrv_ifu_aln_first2B),
+	.B1(n_29393),
+	.Y(n_30449), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g687282 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[4]),
+	.B(n_33869),
+	.Y(n_30448), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g687283 (
+	.A(n_29016),
+	.B(n_33869),
+	.Y(n_30447), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g687284 (
+	.A(n_30317),
+	.B(n_43112),
+	.Y(n_30446), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g687286 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[4]),
+	.B(n_33870),
+	.Y(n_30445), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g687287 (
+	.A(n_29011),
+	.B(n_33870),
+	.Y(n_30444), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g687288 (
+	.A(n_29725),
+	.B(n_33870),
+	.Y(n_30443), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g687289 (
+	.A(n_30067),
+	.B(n_36249),
+	.Y(n_34799), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g687290 (
+	.A(n_29615),
+	.B(n_33869),
+	.Y(n_30441), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g687291 (
+	.A(n_29596),
+	.B(n_33870),
+	.Y(n_30440), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g687292 (
+	.A(n_29615),
+	.B(n_33870),
+	.Y(n_30438), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g687293 (
+	.A(n_29084),
+	.B(n_35703),
+	.Y(n_30437), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g687294 (
+	.A(n_29016),
+	.B(n_33870),
+	.Y(n_30436), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g687295 (
+	.A(n_28977),
+	.B(n_35703),
+	.Y(n_30434), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g687296 (
+	.A_N(n_35063),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_ageQ[4]),
+	.Y(n_34855), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g687297 (
+	.A(n_35063),
+	.B_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_ageQ[8]),
+	.Y(n_30432), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g687298 (
+	.A(n_28974),
+	.B(n_35703),
+	.Y(n_30431), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g687299 (
+	.A(n_28978),
+	.B(n_35703),
+	.Y(n_30430), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g687300 (
+	.A(n_30314),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_ageQ[1]),
+	.Y(n_34851), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g687301 (
+	.A(n_30313),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_ageQ[11]),
+	.Y(n_34867), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g687302 (
+	.A(n_30314),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_ageQ[9]),
+	.Y(n_34864), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g687303 (
+	.A(n_34857),
+	.B_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_ageQ[2]),
+	.Y(n_30427), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g687304 (
+	.A(n_30313),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_ageQ[3]),
+	.Y(n_34850), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g687305 (
+	.A_N(n_34857),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_ageQ[14]),
+	.Y(n_34856), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g687306 (
+	.A(n_30314),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_ageQ[13]),
+	.Y(n_34861), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g687307 (
+	.A(n_35063),
+	.B_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_ageQ[12]),
+	.Y(n_30423), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g687308 (
+	.A(n_30313),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_ageQ[7]),
+	.Y(n_34853), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g687309 (
+	.A_N(n_34857),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_ageQ[6]),
+	.Y(n_34854), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g687311 (
+	.A(n_33879),
+	.Y(n_30389), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g687312 (
+	.A(n_30388),
+	.Y(n_33882), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g687313 (
+	.A(n_30387),
+	.Y(n_33883), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g687314 (
+	.A(n_30386),
+	.Y(n_33884), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g687315 (
+	.A1(brqrv_top_brqrv_lsu_end_addr_m[15]),
+	.A2(n_35485),
+	.B1(n_30020),
+	.B2(brqrv_top_brqrv_lsu_lsu_busreq_r),
+	.C1(n_29836),
+	.Y(n_30385), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111oi_0 g687316 (
+	.A1(n_29564),
+	.A2(brqrv_top_brqrv_lsu_lsu_addr_r[17]),
+	.B1(n_29828),
+	.C1(n_29992),
+	.D1(n_30025),
+	.Y(n_30384), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111oi_0 g687317 (
+	.A1(n_41996),
+	.A2(brqrv_top_brqrv_lsu_lsu_addr_r[13]),
+	.B1(n_29987),
+	.C1(n_29825),
+	.D1(n_30292),
+	.Y(n_30383), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g687318 (
+	.A1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[19]),
+	.A2(n_41997),
+	.B1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[21]),
+	.B2(n_29371),
+	.C1(n_30307),
+	.Y(n_30382), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g687319 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[54]),
+	.A2(n_29570),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[56]),
+	.B2(n_29566),
+	.C1(n_30225),
+	.Y(n_30381), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g687320 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[53]),
+	.A2(n_29371),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[63]),
+	.B2(n_29563),
+	.C1(n_30224),
+	.Y(n_30380), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g687321 (
+	.A1(n_30116),
+	.A2(n_29007),
+	.B1(n_30154),
+	.Y(n_30379), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g687322 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[121]),
+	.A2(n_35522),
+	.B1(n_29056),
+	.C1(n_30208),
+	.Y(n_30378), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g687323 (
+	.A1(n_29568),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[15]),
+	.B1(n_41994),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[16]),
+	.C1(n_30189),
+	.Y(n_30377), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g687324 (
+	.A(n_30228),
+	.B(n_29296),
+	.C(n_29295),
+	.D(n_29297),
+	.Y(n_30376), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g687325 (
+	.A1(n_29558),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[37]),
+	.B1(n_29177),
+	.C1(n_30165),
+	.Y(n_30375), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g687326 (
+	.A(n_30223),
+	.B(n_30038),
+	.C(n_29265),
+	.D(n_29686),
+	.Y(n_30374), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111oi_0 g687327 (
+	.A1(n_1547),
+	.A2(brqrv_top_brqrv_lsu_lsu_addr_m[10]),
+	.B1(n_29361),
+	.C1(n_29360),
+	.D1(n_30217),
+	.Y(n_30373), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g687328 (
+	.A1(n_29556),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[8]),
+	.B1(n_29181),
+	.C1(n_30207),
+	.Y(n_30372), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g687329 (
+	.A1(n_29556),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[104]),
+	.B1(n_29180),
+	.C1(n_30209),
+	.Y(n_30371), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g687330 (
+	.A(n_30206),
+	.B(n_30065),
+	.C(n_29201),
+	.D(n_29649),
+	.Y(n_30370), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g687331 (
+	.A1(n_28990),
+	.A2(n_29607),
+	.B1(n_29858),
+	.B2(brqrv_top_brqrv_dec_dec_i0_instr_d[23]),
+	.C1(n_29753),
+	.Y(n_30369), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g687332 (
+	.A(n_29941),
+	.B(n_29940),
+	.C(n_29939),
+	.D(n_29938),
+	.Y(n_30368), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g687333 (
+	.A(n_29931),
+	.B(n_29929),
+	.C(n_29930),
+	.Y(n_30367), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g687334 (
+	.A1(n_29752),
+	.A2(n_29727),
+	.A3(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[12]),
+	.B1(n_30151),
+	.Y(n_30366), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221a_1 g687335 (
+	.A1(n_29596),
+	.A2(n_29336),
+	.B1(n_29343),
+	.B2(n_29574),
+	.C1(n_30132),
+	.X(n_30365), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221a_1 g687336 (
+	.A1(n_29596),
+	.A2(n_29317),
+	.B1(n_29335),
+	.B2(n_29574),
+	.C1(n_30123),
+	.X(n_30364), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g687337 (
+	.A(n_29853),
+	.B(n_29643),
+	.C(n_8174),
+	.D(n_42917),
+	.Y(n_30363), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g687338 (
+	.A(n_29137),
+	.B(n_29684),
+	.C(n_30079),
+	.D(n_30220),
+	.Y(n_30362), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g687339 (
+	.A1(brqrv_top_brqrv_lsu_end_addr_m[3]),
+	.A2(n_1847),
+	.B1(n_29559),
+	.B2(n_36269),
+	.C1(n_30174),
+	.Y(n_30361), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111oi_0 g687340 (
+	.A1(n_41998),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[10]),
+	.B1(n_29945),
+	.C1(n_29806),
+	.D1(n_30222),
+	.Y(n_30360), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g687341 (
+	.A(n_29915),
+	.B(n_29916),
+	.C(n_30213),
+	.Y(n_30359), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g687342 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[69]),
+	.A2(n_29558),
+	.B1(n_29829),
+	.C1(n_30271),
+	.Y(n_30358), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g687343 (
+	.A1(n_29559),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[4]),
+	.B1(n_29800),
+	.C1(n_30270),
+	.Y(n_30357), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g687344 (
+	.A1(n_1885),
+	.A2(n_30143),
+	.B1(n_30276),
+	.Y(n_30356), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g687345 (
+	.A1(n_1885),
+	.A2(n_30024),
+	.B1(n_30294),
+	.Y(n_30355), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g687346 (
+	.A1(n_34913),
+	.A2(brqrv_top_brqrv_lsu_end_addr_m[13]),
+	.B1(n_29831),
+	.C1(n_29997),
+	.D1(n_30297),
+	.Y(n_30354), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g687347 (
+	.A1(n_29560),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[27]),
+	.B1(n_41998),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[34]),
+	.C1(n_30170),
+	.Y(n_30353), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g687348 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[117]),
+	.A2(n_29371),
+	.B1(n_30098),
+	.C1(n_29904),
+	.D1(n_29905),
+	.Y(n_30352), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g687349 (
+	.A1(n_29569),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[87]),
+	.B1(n_29566),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[88]),
+	.C1(n_30263),
+	.Y(n_30351), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111oi_0 g687350 (
+	.A1(n_29567),
+	.A2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[30]),
+	.B1(n_29817),
+	.C1(n_29863),
+	.D1(n_29969),
+	.Y(n_30350), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g687351 (
+	.A1(n_41997),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[83]),
+	.B1(n_29567),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[94]),
+	.C1(n_30262),
+	.Y(n_30349), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g687352 (
+	.A1(n_29377),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[46]),
+	.B1(n_29564),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[49]),
+	.C1(n_30260),
+	.Y(n_30348), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111oi_0 g687353 (
+	.A1(n_29561),
+	.A2(n_36274),
+	.B1(n_29889),
+	.C1(n_29866),
+	.D1(n_29741),
+	.Y(n_30347), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111oi_0 g687354 (
+	.A1(n_28809),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[28]),
+	.B1(n_29922),
+	.C1(n_29921),
+	.D1(n_29791),
+	.Y(n_30346), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g687355 (
+	.A1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[22]),
+	.A2(n_41998),
+	.B1(n_29833),
+	.C1(n_30003),
+	.D1(n_30001),
+	.Y(n_30345), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g687356 (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[13]),
+	.A2(n_28863),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[14]),
+	.B2(n_28864),
+	.C1(n_30164),
+	.Y(n_30344), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g687357 (
+	.A(n_30037),
+	.B(n_29683),
+	.C(n_29235),
+	.D(n_29232),
+	.Y(n_30343), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g687358 (
+	.A(n_30036),
+	.B(n_29680),
+	.C(n_29294),
+	.D(n_29311),
+	.Y(n_30342), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g687359 (
+	.A1(n_41994),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[16]),
+	.B1(n_29564),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[17]),
+	.C1(n_30256),
+	.Y(n_30341), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g687360 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[10]),
+	.A2(n_41998),
+	.B1(n_29926),
+	.C1(n_29795),
+	.D1(n_30216),
+	.Y(n_30340), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g687361 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[19]),
+	.A2(n_41997),
+	.B1(n_29801),
+	.C1(n_29935),
+	.D1(n_30162),
+	.Y(n_30339), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g687362 (
+	.A1(n_29377),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[14]),
+	.B1(n_41994),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[16]),
+	.C1(n_30255),
+	.Y(n_30338), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g687363 (
+	.A1(n_29570),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[22]),
+	.B1(n_29566),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[24]),
+	.C1(n_30254),
+	.Y(n_30337), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111oi_0 g687364 (
+	.A1(n_29567),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[30]),
+	.B1(n_29790),
+	.C1(n_29918),
+	.D1(n_29919),
+	.Y(n_30336), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g687365 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[20]),
+	.A2(n_41939),
+	.B1(n_29803),
+	.C1(n_29936),
+	.D1(n_29937),
+	.Y(n_30335), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g687366 (
+	.A1(n_29376),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[122]),
+	.B1(n_29372),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[125]),
+	.C1(n_30251),
+	.Y(n_30334), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g687367 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[62]),
+	.A2(n_29567),
+	.B1(n_29949),
+	.C1(n_30103),
+	.D1(n_29950),
+	.Y(n_30333), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g687368 (
+	.A(n_30057),
+	.B(n_30027),
+	.C(n_29230),
+	.D(n_29663),
+	.Y(n_30332), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111oi_0 g687369 (
+	.A1(n_29563),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[95]),
+	.B1(n_29814),
+	.C1(n_29965),
+	.D1(n_30232),
+	.Y(n_30331), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g687370 (
+	.A1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[27]),
+	.A2(n_41995),
+	.B1(n_29819),
+	.C1(n_29974),
+	.D1(n_29976),
+	.Y(n_30330), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g687371 (
+	.A1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[46]),
+	.A2(n_41998),
+	.B1(n_29768),
+	.C1(n_29890),
+	.D1(n_30008),
+	.Y(n_30329), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g687372 (
+	.A1(brqrv_top_brqrv_lsu_stbuf_n_604),
+	.A2(n_29556),
+	.B1(n_30072),
+	.C1(n_30014),
+	.D1(n_30122),
+	.Y(n_30328), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g687373 (
+	.A(n_30026),
+	.B(n_29717),
+	.C(n_29659),
+	.D(n_29774),
+	.Y(n_30327), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111oi_0 g687374 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[4]),
+	.A2(n_35518),
+	.B1(n_29776),
+	.C1(n_29215),
+	.D1(n_30056),
+	.Y(n_30326), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g687375 (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[2]),
+	.A2(n_30052),
+	.B1(n_28837),
+	.B2(n_30048),
+	.Y(n_30325), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g687376 (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[2]),
+	.A2(n_30028),
+	.B1(n_28837),
+	.B2(n_30029),
+	.Y(n_30324), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g687377 (
+	.A1(brqrv_top_brqrv_lsu_stbuf_n_612),
+	.A2(n_41998),
+	.B1(n_29750),
+	.C1(n_29835),
+	.D1(n_30012),
+	.Y(n_30323), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g687378 (
+	.A(n_29734),
+	.B(n_31667),
+	.C(n_30137),
+	.Y(n_30322), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g687379 (
+	.A_N(brqrv_top_brqrv_dec_decode_i0_dp_raw[55]),
+	.B(n_34814),
+	.C(n_34812),
+	.Y(n_30321), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g687380 (
+	.A1(n_42910),
+	.A2(n_29846),
+	.B1(n_30166),
+	.Y(n_36111), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g687381 (
+	.A1(brqrv_top_brqrv_ifu_aln_misc2[13]),
+	.A2(n_35421),
+	.B1(n_35422),
+	.B2(brqrv_top_brqrv_ifu_aln_misc0[13]),
+	.C1(n_35423),
+	.C2(brqrv_top_brqrv_ifu_aln_misc1[13]),
+	.Y(n_30401), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g687382 (
+	.A1(brqrv_top_brqrv_ifu_aln_misc1[12]),
+	.A2(n_35423),
+	.B1(n_35422),
+	.B2(brqrv_top_brqrv_ifu_aln_misc0[12]),
+	.C1(n_35421),
+	.C2(brqrv_top_brqrv_ifu_aln_misc2[12]),
+	.Y(n_30400), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g687383 (
+	.A1(brqrv_top_brqrv_ifu_aln_misc2[14]),
+	.A2(n_35421),
+	.B1(n_35422),
+	.B2(brqrv_top_brqrv_ifu_aln_misc0[14]),
+	.C1(n_35423),
+	.C2(brqrv_top_brqrv_ifu_aln_misc1[14]),
+	.Y(n_30399), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g687384 (
+	.A1(brqrv_top_brqrv_ifu_aln_misc1[16]),
+	.A2(n_35423),
+	.B1(n_35422),
+	.B2(brqrv_top_brqrv_ifu_aln_misc0[16]),
+	.C1(n_35421),
+	.C2(brqrv_top_brqrv_ifu_aln_misc2[16]),
+	.Y(n_30398), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g687385 (
+	.A1(brqrv_top_brqrv_ifu_aln_misc2[11]),
+	.A2(n_35421),
+	.B1(n_35423),
+	.B2(brqrv_top_brqrv_ifu_aln_misc1[11]),
+	.C1(n_35422),
+	.C2(brqrv_top_brqrv_ifu_aln_misc0[11]),
+	.Y(n_30397), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g687387 (
+	.A1(brqrv_top_brqrv_ifu_aln_misc1[15]),
+	.A2(n_35423),
+	.B1(n_35422),
+	.B2(brqrv_top_brqrv_ifu_aln_misc0[15]),
+	.C1(n_35421),
+	.C2(brqrv_top_brqrv_ifu_aln_misc2[15]),
+	.Y(n_30396), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g687388 (
+	.A1(n_36114),
+	.A2(n_402475_BAR),
+	.B1(n_36113),
+	.B2(n_28841),
+	.C1(n_30121),
+	.X(n_36092), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4b_1 g687389 (
+	.A_N(brqrv_top_brqrv_dbg_cmd_addr[5]),
+	.B(n_30304),
+	.C(n_30045),
+	.D(brqrv_top_brqrv_dbg_cmd_addr[9]),
+	.Y(n_35509), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g687390 (
+	.A1(brqrv_top_brqrv_ifu_aln_misc1[18]),
+	.A2(n_35423),
+	.B1(n_35422),
+	.B2(brqrv_top_brqrv_ifu_aln_misc0[18]),
+	.C1(n_35421),
+	.C2(brqrv_top_brqrv_ifu_aln_misc2[18]),
+	.Y(n_30395), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g687391 (
+	.A1(brqrv_top_brqrv_ifu_aln_misc2[17]),
+	.A2(n_35421),
+	.B1(n_35423),
+	.B2(brqrv_top_brqrv_ifu_aln_misc1[17]),
+	.C1(n_35422),
+	.C2(brqrv_top_brqrv_ifu_aln_misc0[17]),
+	.Y(n_30394), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g687392 (
+	.A1(brqrv_top_brqrv_ifu_aln_misc2[7]),
+	.A2(n_35421),
+	.B1(n_35422),
+	.B2(brqrv_top_brqrv_ifu_aln_misc0[7]),
+	.C1(n_35423),
+	.C2(brqrv_top_brqrv_ifu_aln_misc1[7]),
+	.Y(n_30393), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g687393 (
+	.A1(brqrv_top_brqrv_ifu_aln_misc1[10]),
+	.A2(n_35423),
+	.B1(n_35422),
+	.B2(brqrv_top_brqrv_ifu_aln_misc0[10]),
+	.C1(n_35421),
+	.C2(brqrv_top_brqrv_ifu_aln_misc2[10]),
+	.Y(n_30392), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g687394 (
+	.A1(brqrv_top_brqrv_ifu_aln_misc2[8]),
+	.A2(n_35421),
+	.B1(n_35423),
+	.B2(brqrv_top_brqrv_ifu_aln_misc1[8]),
+	.C1(n_35422),
+	.C2(brqrv_top_brqrv_ifu_aln_misc0[8]),
+	.Y(n_30391), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g687395 (
+	.A1(brqrv_top_brqrv_ifu_aln_misc1[9]),
+	.A2(n_35423),
+	.B1(n_35422),
+	.B2(brqrv_top_brqrv_ifu_aln_misc0[9]),
+	.C1(n_35421),
+	.C2(brqrv_top_brqrv_ifu_aln_misc2[9]),
+	.Y(n_30390), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g687396 (
+	.A(n_30041),
+	.B(n_29899),
+	.C(n_29694),
+	.D(n_29695),
+	.Y(n_33879), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g687397 (
+	.A(n_29696),
+	.B(n_29608),
+	.C(n_30053),
+	.D(n_30042),
+	.Y(n_30388), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4b_1 g687398 (
+	.A_N(n_29605),
+	.B(n_30043),
+	.C(n_30054),
+	.D(n_29698),
+	.X(n_30387), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4b_1 g687399 (
+	.A_N(n_29609),
+	.B(n_30044),
+	.C(n_30055),
+	.D(n_29700),
+	.X(n_30386), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g687401 (
+	.A(n_30317),
+	.Y(n_30318), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g687404 (
+	.A(n_30311),
+	.Y(n_30312), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g687405 (
+	.A(n_30310),
+	.Y(n_30309), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g687406 (
+	.A1(n_41998),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[74]),
+	.B1(n_29979),
+	.C1(n_29820),
+	.Y(n_30308), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g687407 (
+	.A1(n_41997),
+	.A2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[19]),
+	.B1(n_29371),
+	.B2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[21]),
+	.C1(n_29971),
+	.Y(n_30307), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g687409 (
+	.A(n_30016),
+	.B_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_byteen[0]),
+	.Y(n_30305), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4b_1 g687410 (
+	.A_N(n_35548),
+	.B(brqrv_top_brqrv_dbg_cmd_addr[7]),
+	.C(brqrv_top_brqrv_dbg_cmd_addr[6]),
+	.D(brqrv_top_brqrv_dbg_cmd_addr[10]),
+	.X(n_30304), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g687411 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[83]),
+	.A2(n_35524),
+	.B1(n_29045),
+	.C1(n_29703),
+	.D1(n_30059),
+	.Y(n_30303), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g687412 (
+	.A1(n_29571),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[76]),
+	.B1(n_41996),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[77]),
+	.C1(n_29980),
+	.Y(n_30302), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g687413 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[81]),
+	.A2(n_29564),
+	.B1(n_29826),
+	.C1(n_29988),
+	.Y(n_30301), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g687414 (
+	.A(n_30085),
+	.B(n_32728),
+	.Y(n_30300), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g687415 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[67]),
+	.A2(n_35519),
+	.B1(n_29053),
+	.C1(n_29707),
+	.D1(n_30060),
+	.Y(n_30299), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g687416 (
+	.A1(brqrv_top_brqrv_lsu_end_addr_m[26]),
+	.A2(n_34834),
+	.B1(brqrv_top_brqrv_lsu_end_addr_m[29]),
+	.B2(n_34907),
+	.C1(n_30002),
+	.Y(n_30298), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g687417 (
+	.A(n_30019),
+	.B(brqrv_top_brqrv_lsu_lsu_busreq_r),
+	.Y(n_30297), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g687418 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[71]),
+	.A2(n_35516),
+	.B1(n_28960),
+	.C1(n_29642),
+	.D1(n_30061),
+	.Y(n_30296), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g687419 (
+	.A1(n_29556),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[44]),
+	.B1(n_29561),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[45]),
+	.C1(n_29893),
+	.Y(n_30295), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g687420 (
+	.A1(brqrv_top_brqrv_lsu_end_addr_m[3]),
+	.A2(n_35491),
+	.B1(brqrv_top_brqrv_lsu_end_addr_m[5]),
+	.B2(n_34918),
+	.C1(n_30106),
+	.Y(n_30294), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g687421 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_byteen[9]),
+	.A2(n_1671),
+	.B1(n_29552),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_byteen[25]),
+	.C1(n_1677),
+	.C2(brqrv_top_brqrv_dma_ctrl_n_782),
+	.Y(n_30293), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g687422 (
+	.A1(brqrv_top_brqrv_lsu_lsu_addr_r[6]),
+	.A2(n_29562),
+	.B1(brqrv_top_brqrv_lsu_lsu_addr_r[9]),
+	.B2(n_29561),
+	.C1(n_30023),
+	.Y(n_30292), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g687423 (
+	.A(n_29984),
+	.B(n_29985),
+	.Y(n_30291), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g687424 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_byteen[8]),
+	.A2(n_1671),
+	.B1(n_29552),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_byteen[24]),
+	.C1(n_1887),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_byteen[32]),
+	.Y(n_30290), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g687425 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_byteen[11]),
+	.A2(n_1671),
+	.B1(n_29552),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_byteen[27]),
+	.C1(n_1677),
+	.C2(brqrv_top_brqrv_dma_ctrl_n_792),
+	.Y(n_30289), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g687426 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_byteen[10]),
+	.A2(n_1671),
+	.B1(n_29552),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_byteen[26]),
+	.C1(n_1887),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_byteen[34]),
+	.Y(n_30288), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211o_1 g687427 (
+	.A1(n_29568),
+	.A2(brqrv_top_brqrv_lsu_lsu_addr_r[15]),
+	.B1(n_29827),
+	.C1(n_30020),
+	.X(n_30287), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g687428 (
+	.A1(brqrv_top_brqrv_lsu_end_addr_m[18]),
+	.A2(n_34837),
+	.B1(brqrv_top_brqrv_lsu_end_addr_m[19]),
+	.B2(n_35483),
+	.C1(n_30013),
+	.Y(n_30286), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g687429 (
+	.A1(FE_DBTN14_n_11528),
+	.A2(n_29732),
+	.B1(n_30049),
+	.Y(n_30285), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g687430 (
+	.A1(n_29565),
+	.A2(brqrv_top_brqrv_lsu_lsu_addr_r[25]),
+	.B1(n_28809),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_r[28]),
+	.C1(n_30018),
+	.Y(n_30284), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g687431 (
+	.A1(n_29604),
+	.A2(n_29602),
+	.B1(n_30150),
+	.Y(n_30283), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g687432 (
+	.A(n_30082),
+	.B(n_29579),
+	.C(brqrv_top_brqrv_dec_dec_i0_instr_d[4]),
+	.D(n_32406),
+	.Y(n_30282), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g687433 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_byteen[12]),
+	.A2(n_1671),
+	.B1(n_29552),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_byteen[28]),
+	.C1(n_1677),
+	.C2(brqrv_top_brqrv_dma_ctrl_n_797),
+	.Y(n_30281), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o31ai_1 g687434 (
+	.A1(n_29544),
+	.A2(n_29463),
+	.A3(n_29424),
+	.B1(FE_DBTN25_brqrv_top_brqrv_ifu_aln_first2B),
+	.Y(n_30280), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o31ai_1 g687435 (
+	.A1(n_29538),
+	.A2(n_29532),
+	.A3(n_29459),
+	.B1(FE_DBTN25_brqrv_top_brqrv_ifu_aln_first2B),
+	.Y(n_30279), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g687436 (
+	.A(n_30151),
+	.B(n_29847),
+	.C(n_28863),
+	.D(n_29107),
+	.Y(n_30278), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g687437 (
+	.A1(brqrv_top_brqrv_lsu_end_addr_m[31]),
+	.A2(n_34890),
+	.B1(n_29763),
+	.C1(n_29861),
+	.Y(n_30277), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g687438 (
+	.A1(brqrv_top_brqrv_lsu_end_addr_m[22]),
+	.A2(n_34838),
+	.B1(brqrv_top_brqrv_lsu_end_addr_m[25]),
+	.B2(n_34891),
+	.C1(n_30105),
+	.Y(n_30276), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g687439 (
+	.A1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[33]),
+	.A2(n_29561),
+	.B1(n_30076),
+	.Y(n_30275), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g687440 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[40]),
+	.A2(n_29556),
+	.B1(n_30077),
+	.Y(n_30274), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g687441 (
+	.A1(brqrv_top_brqrv_lsu_lsu_addr_r[11]),
+	.A2(n_29557),
+	.B1(brqrv_top_brqrv_lsu_lsu_addr_r[12]),
+	.B2(n_29571),
+	.C1(n_29184),
+	.Y(n_30273), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g687442 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_byteen[14]),
+	.A2(n_1671),
+	.B1(n_29552),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_byteen[30]),
+	.C1(n_1887),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_byteen[38]),
+	.Y(n_30272), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g687443 (
+	.A1(n_29558),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[69]),
+	.B1(n_30069),
+	.Y(n_30271), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g687444 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[3]),
+	.A2(n_29560),
+	.B1(n_30070),
+	.Y(n_30270), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g687445 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[3]),
+	.A2(n_29560),
+	.B1(n_30071),
+	.Y(n_30269), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g687446 (
+	.A1(n_29559),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[100]),
+	.B1(n_30068),
+	.Y(n_30268), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g687447 (
+	.A1(brqrv_top_brqrv_lsu_stbuf_n_592),
+	.A2(n_29558),
+	.B1(brqrv_top_brqrv_lsu_stbuf_n_616),
+	.B2(n_29557),
+	.C1(n_30010),
+	.Y(n_30267), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g687448 (
+	.A1(n_29566),
+	.A2(brqrv_top_brqrv_lsu_lsu_addr_r[24]),
+	.B1(n_29824),
+	.C1(n_29983),
+	.Y(n_30266), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g687449 (
+	.A1(n_29567),
+	.A2(brqrv_top_brqrv_lsu_lsu_addr_r[30]),
+	.B1(n_29822),
+	.C1(n_29981),
+	.Y(n_30265), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g687450 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_byteen[13]),
+	.A2(n_1671),
+	.B1(n_29552),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_byteen[29]),
+	.C1(n_1887),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_byteen[37]),
+	.Y(n_30264), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g687451 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[87]),
+	.A2(n_29569),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[88]),
+	.B2(n_29566),
+	.C1(n_29970),
+	.Y(n_30263), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g687452 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[83]),
+	.A2(n_41997),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[95]),
+	.B2(n_29563),
+	.C1(n_29967),
+	.Y(n_30262), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g687453 (
+	.A1(n_29570),
+	.A2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[22]),
+	.B1(n_29815),
+	.C1(n_29966),
+	.Y(n_30261), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g687454 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[46]),
+	.A2(n_29377),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[49]),
+	.B2(n_29564),
+	.C1(n_29959),
+	.Y(n_30260), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g687455 (
+	.A1(n_29557),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[43]),
+	.B1(n_29810),
+	.C1(n_29956),
+	.Y(n_30259), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g687456 (
+	.A1(n_28809),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[60]),
+	.B1(n_29954),
+	.C1(n_29808),
+	.Y(n_30258), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g687457 (
+	.A1(n_28809),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[28]),
+	.B1(n_29944),
+	.C1(n_29805),
+	.Y(n_30257), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g687458 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[16]),
+	.A2(n_41994),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[17]),
+	.B2(n_29564),
+	.C1(n_29932),
+	.Y(n_30256), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g687459 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[14]),
+	.A2(n_29377),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[16]),
+	.B2(n_41994),
+	.C1(n_29924),
+	.Y(n_30255), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g687460 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[22]),
+	.A2(n_29570),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[23]),
+	.B2(n_29569),
+	.C1(n_29920),
+	.Y(n_30254), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g687461 (
+	.A1(n_29557),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[107]),
+	.B1(n_29784),
+	.C1(n_29912),
+	.Y(n_30253), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g687462 (
+	.A1(n_29566),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[120]),
+	.B1(n_29910),
+	.C1(n_29782),
+	.Y(n_30252), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g687463 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[122]),
+	.A2(n_29376),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[125]),
+	.B2(n_29372),
+	.C1(n_29908),
+	.Y(n_30251), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g687464 (
+	.A(n_29665),
+	.B(n_29238),
+	.C(n_29239),
+	.D(n_29240),
+	.Y(n_30250), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g687465 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[8]),
+	.A2(n_29556),
+	.B1(n_29957),
+	.C1(n_29771),
+	.Y(n_30249), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g687466 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[14]),
+	.A2(n_35512),
+	.B1(n_29075),
+	.C1(n_29638),
+	.D1(n_30051),
+	.Y(n_30248), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g687467 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_addr[46]),
+	.A2(n_1671),
+	.B1(n_29552),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[110]),
+	.C1(n_1677),
+	.C2(brqrv_top_brqrv_dma_ctrl_n_648),
+	.Y(n_30247), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g687468 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_addr[45]),
+	.A2(n_1671),
+	.B1(n_29552),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[109]),
+	.C1(n_1677),
+	.C2(brqrv_top_brqrv_dma_ctrl_n_643),
+	.Y(n_30246), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g687469 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[22]),
+	.A2(n_29570),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[23]),
+	.B2(n_29569),
+	.C1(n_30094),
+	.Y(n_30245), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g687470 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_addr[44]),
+	.A2(n_1671),
+	.B1(n_29552),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[108]),
+	.C1(n_1677),
+	.C2(brqrv_top_brqrv_dma_ctrl_n_638),
+	.Y(n_30244), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g687471 (
+	.A(n_29714),
+	.B(n_29365),
+	.C(n_29364),
+	.D(n_29114),
+	.Y(n_30243), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g687472 (
+	.A1(brqrv_top_brqrv_lsu_end_addr_m[14]),
+	.A2(n_34829),
+	.B1(brqrv_top_brqrv_lsu_end_addr_m[16]),
+	.B2(n_35492),
+	.C1(n_29859),
+	.Y(n_30242), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g687473 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_addr[34]),
+	.A2(n_1671),
+	.B1(n_29552),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[98]),
+	.C1(n_1677),
+	.C2(brqrv_top_brqrv_dma_ctrl_n_588),
+	.Y(n_30241), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g687474 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_write[1]),
+	.A2(n_1671),
+	.B1(n_29552),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_write[3]),
+	.C1(n_1887),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_write[4]),
+	.Y(n_30240), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g687475 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_dbg[1]),
+	.A2(n_1671),
+	.B1(n_29552),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_dbg[3]),
+	.C1(n_1677),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_dbg[0]),
+	.Y(n_30239), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g687476 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_byteen[15]),
+	.A2(n_1671),
+	.B1(n_29552),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_byteen[31]),
+	.C1(n_1887),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_byteen[39]),
+	.Y(n_30238), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g687477 (
+	.A(n_29843),
+	.B(n_30148),
+	.Y(n_30320), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 g687479 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [23]),
+	.B(n_34522),
+	.X(n_34521), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g687480 (
+	.A(n_30149),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[24]),
+	.Y(n_34814), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g687481 (
+	.A(n_110),
+	.B(n_30138),
+	.Y(n_30319), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g687482 (
+	.A(n_127),
+	.B(n_30146),
+	.Y(brqrv_top_brqrv_dec_decode_i0_dp_raw[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g687483 (
+	.A(n_34874),
+	.B(n_30136),
+	.Y(n_30317), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g687484 (
+	.A(n_43112),
+	.B(n_30138),
+	.Y(n_30316), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g687485 (
+	.A(n_35662),
+	.B(n_33868),
+	.X(n_33871), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g687486 (
+	.A_N(brqrv_top_brqrv_dec_tlu_force_halt),
+	.B(n_34858),
+	.Y(n_34857), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g687487 (
+	.A(n_30137),
+	.B(n_28988),
+	.Y(n_30315), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g687488 (
+	.A(brqrv_top_brqrv_dec_tlu_force_halt),
+	.B_N(n_34866),
+	.Y(n_30314), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g687489 (
+	.A_N(brqrv_top_brqrv_dec_tlu_force_halt),
+	.B(n_34863),
+	.Y(n_35063), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g687490 (
+	.A(brqrv_top_brqrv_dec_tlu_force_halt),
+	.B_N(n_34868),
+	.Y(n_30313), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g687491 (
+	.A(n_30141),
+	.B(n_29005),
+	.Y(n_35703), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g687492 (
+	.A1(n_34887),
+	.A2(n_41938),
+	.B1(n_28857),
+	.Y(n_30311), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g687493 (
+	.A1(n_41938),
+	.A2(n_28857),
+	.B1(n_8168),
+	.Y(n_30310), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g687494 (
+	.A(n_30141),
+	.B(n_29095),
+	.Y(n_33870), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g687495 (
+	.A(n_30236),
+	.Y(n_30235), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g687496 (
+	.A1(n_29376),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[90]),
+	.B1(n_28809),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[92]),
+	.C1(n_29973),
+	.X(n_30233), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g687497 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[94]),
+	.A2(n_29567),
+	.B1(n_30104),
+	.Y(n_30232), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g687498 (
+	.A1(n_29569),
+	.A2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[23]),
+	.B1(n_29565),
+	.B2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[25]),
+	.C1(n_29968),
+	.Y(n_30231), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g687499 (
+	.A1(n_29571),
+	.A2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[12]),
+	.B1(n_41996),
+	.B2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[13]),
+	.C1(n_29964),
+	.Y(n_30230), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g687500 (
+	.A1(n_29568),
+	.A2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[15]),
+	.B1(n_41994),
+	.B2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[16]),
+	.C1(n_29960),
+	.X(n_30229), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g687501 (
+	.A(n_29286),
+	.B(n_29287),
+	.C(n_29699),
+	.D(n_30113),
+	.Y(n_30228), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g687502 (
+	.A1(n_29571),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[44]),
+	.B1(n_41996),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[45]),
+	.C1(n_29955),
+	.Y(n_30227), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g687503 (
+	.A1(n_29376),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[58]),
+	.B1(n_29372),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[61]),
+	.C1(n_29953),
+	.Y(n_30226), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g687504 (
+	.A1(n_29566),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[56]),
+	.B1(n_29565),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[57]),
+	.C1(n_29952),
+	.Y(n_30225), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g687505 (
+	.A1(n_41997),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[51]),
+	.B1(n_29567),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[62]),
+	.C1(n_29951),
+	.Y(n_30224), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g687506 (
+	.A(n_29269),
+	.B(n_29270),
+	.C(n_29691),
+	.D(n_30112),
+	.Y(n_30223), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g687507 (
+	.A1(n_29571),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[12]),
+	.B1(n_41996),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[13]),
+	.C1(n_29946),
+	.X(n_30222), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g687508 (
+	.A(n_29195),
+	.B(n_29687),
+	.C(n_29197),
+	.X(n_30221), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g687509 (
+	.A(n_29685),
+	.B(n_29127),
+	.C(n_29216),
+	.D(n_29222),
+	.Y(n_30220), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g687510 (
+	.A1(n_28921),
+	.A2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[30]),
+	.B1(n_28870),
+	.B2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[31]),
+	.C1(n_30102),
+	.Y(n_30219), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g687511 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[60]),
+	.A2(n_34880),
+	.B1(n_29026),
+	.C1(n_29677),
+	.D1(n_30035),
+	.Y(n_30218), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g687512 (
+	.A1(brqrv_top_brqrv_lsu_lsu_addr_m[11]),
+	.A2(n_1843),
+	.B1(brqrv_top_brqrv_lsu_lsu_addr_m[10]),
+	.B2(n_1547),
+	.C1(n_29757),
+	.Y(n_30217), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g687513 (
+	.A1(n_29571),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[12]),
+	.B1(n_41996),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[13]),
+	.C1(n_29927),
+	.Y(n_30216), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g687514 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[45]),
+	.A2(n_34912),
+	.B1(n_29035),
+	.C1(n_29674),
+	.D1(n_30031),
+	.Y(n_30215), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g687515 (
+	.A1(n_29374),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[18]),
+	.B1(n_41997),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[19]),
+	.C1(n_29917),
+	.Y(n_30214), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g687516 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[110]),
+	.A2(n_29377),
+	.B1(n_29786),
+	.C1(n_29914),
+	.Y(n_30213), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g687517 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[119]),
+	.A2(n_34883),
+	.B1(n_29048),
+	.C1(n_29671),
+	.D1(n_30058),
+	.Y(n_30212), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g687518 (
+	.A1(n_29571),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[108]),
+	.B1(n_41996),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[109]),
+	.C1(n_29911),
+	.Y(n_30211), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g687519 (
+	.A1(n_29569),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[119]),
+	.B1(n_29565),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[121]),
+	.C1(n_29909),
+	.Y(n_30210), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g687520 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[104]),
+	.A2(n_29556),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[102]),
+	.B2(n_29562),
+	.C1(n_29785),
+	.Y(n_30209), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g687521 (
+	.A(n_29242),
+	.B(n_29241),
+	.C(n_29667),
+	.D(n_30111),
+	.Y(n_30208), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g687522 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[8]),
+	.A2(n_29556),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[6]),
+	.B2(n_29562),
+	.C1(n_29793),
+	.Y(n_30207), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g687523 (
+	.A(n_29243),
+	.B(n_29202),
+	.C(n_29645),
+	.D(n_30109),
+	.Y(n_30206), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g687524 (
+	.A(n_29206),
+	.B(n_29204),
+	.C(n_29652),
+	.D(n_30033),
+	.Y(n_30205), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g687525 (
+	.A(brqrv_top_brqrv_dec_i0_predict_p_d[44]),
+	.B(n_35499),
+	.C(n_34872),
+	.D(n_34840),
+	.Y(n_30204), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g687526 (
+	.A(n_29589),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[4]),
+	.C(n_29022),
+	.D(n_28987),
+	.Y(n_30203), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g687527 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[9]),
+	.A2(n_29561),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[7]),
+	.B2(n_28893),
+	.C1(n_29894),
+	.Y(n_30202), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g687528 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[5]),
+	.A2(n_29558),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[31]),
+	.B2(n_29563),
+	.C1(n_29892),
+	.Y(n_30201), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g687529 (
+	.A1(n_41996),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[13]),
+	.B1(n_29767),
+	.C1(n_29886),
+	.Y(n_30200), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g687530 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[26]),
+	.A2(n_34882),
+	.B1(n_29024),
+	.C1(n_29640),
+	.D1(n_30066),
+	.Y(n_30199), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g687531 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_addr[63]),
+	.A2(n_1671),
+	.B1(n_29552),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[127]),
+	.C1(n_1887),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_addr[159]),
+	.Y(n_30198), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g687532 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_addr[62]),
+	.A2(n_1671),
+	.B1(n_29552),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[126]),
+	.C1(n_1677),
+	.C2(brqrv_top_brqrv_dma_ctrl_n_718),
+	.Y(n_30197), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g687533 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_addr[59]),
+	.A2(n_1671),
+	.B1(n_29552),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[123]),
+	.C1(n_1677),
+	.C2(brqrv_top_brqrv_dma_ctrl_n_713),
+	.Y(n_30196), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g687534 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_addr[58]),
+	.A2(n_1671),
+	.B1(n_29552),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[122]),
+	.C1(n_1887),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_addr[154]),
+	.Y(n_30195), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g687535 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[26]),
+	.A2(n_29376),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[27]),
+	.B2(n_41995),
+	.C1(n_29881),
+	.X(n_30194), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g687536 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_addr[57]),
+	.A2(n_1671),
+	.B1(n_29552),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[121]),
+	.C1(n_1677),
+	.C2(brqrv_top_brqrv_dma_ctrl_n_703),
+	.Y(n_30193), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g687537 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_addr[56]),
+	.A2(n_1671),
+	.B1(n_29552),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[120]),
+	.C1(n_1677),
+	.C2(brqrv_top_brqrv_dma_ctrl_n_698),
+	.Y(n_30192), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g687538 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_addr[55]),
+	.A2(n_1671),
+	.B1(n_29552),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[119]),
+	.C1(n_1887),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_addr[151]),
+	.Y(n_30191), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g687539 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_addr[54]),
+	.A2(n_1671),
+	.B1(n_29552),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[118]),
+	.C1(n_1677),
+	.C2(brqrv_top_brqrv_dma_ctrl_n_688),
+	.Y(n_30190), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g687540 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[15]),
+	.A2(n_29568),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[16]),
+	.B2(n_41994),
+	.C1(n_29874),
+	.Y(n_30189), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g687541 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_addr[53]),
+	.A2(n_1671),
+	.B1(n_29552),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[117]),
+	.C1(n_1887),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_addr[149]),
+	.Y(n_30188), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g687542 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_addr[52]),
+	.A2(n_1671),
+	.B1(n_29552),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[116]),
+	.C1(n_1677),
+	.C2(brqrv_top_brqrv_dma_ctrl_n_678),
+	.Y(n_30187), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g687543 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_addr[51]),
+	.A2(n_1671),
+	.B1(n_29552),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[115]),
+	.C1(n_1887),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_addr[147]),
+	.Y(n_30186), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g687544 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_addr[50]),
+	.A2(n_1671),
+	.B1(n_29552),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[114]),
+	.C1(n_1677),
+	.C2(brqrv_top_brqrv_dma_ctrl_n_668),
+	.Y(n_30185), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g687545 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[18]),
+	.A2(n_29374),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[21]),
+	.B2(n_29371),
+	.C1(n_29871),
+	.Y(n_30184), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g687546 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_addr[49]),
+	.A2(n_1671),
+	.B1(n_29552),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[113]),
+	.C1(n_1887),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_addr[145]),
+	.Y(n_30183), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g687547 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_addr[48]),
+	.A2(n_1671),
+	.B1(n_29552),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[112]),
+	.C1(n_1887),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_addr[144]),
+	.Y(n_30182), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g687548 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_addr[47]),
+	.A2(n_1671),
+	.B1(n_29552),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[111]),
+	.C1(n_1677),
+	.C2(brqrv_top_brqrv_dma_ctrl_n_653),
+	.Y(n_30181), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g687549 (
+	.A1(brqrv_top_brqrv_lsu_lsu_addr_r[31]),
+	.A2(n_29563),
+	.B1(brqrv_top_brqrv_lsu_lsu_addr_r[20]),
+	.B2(n_41939),
+	.C1(n_29093),
+	.Y(n_30180), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g687550 (
+	.A1(brqrv_top_brqrv_lsu_lsu_addr_m[5]),
+	.A2(n_1844),
+	.B1(brqrv_top_brqrv_lsu_lsu_addr_m[4]),
+	.B2(n_1848),
+	.C1(n_29758),
+	.Y(n_30179), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g687551 (
+	.A1(n_29845),
+	.A2(n_28904),
+	.B1(n_28984),
+	.Y(n_30178), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g687552 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[4]),
+	.B(n_31667),
+	.C(n_30136),
+	.D(n_28987),
+	.Y(n_30177), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g687553 (
+	.A1(n_28997),
+	.A2(n_28990),
+	.B1(n_29878),
+	.Y(n_30176), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g687554 (
+	.A1_N(n_34875),
+	.A2_N(n_32406),
+	.B1(n_29389),
+	.B2(n_29850),
+	.Y(n_30175), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g687555 (
+	.A1(n_36269),
+	.A2(n_29559),
+	.B1(n_30084),
+	.Y(n_30174), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g687556 (
+	.A1(n_29617),
+	.A2(n_8172),
+	.B1(n_29606),
+	.B2(brqrv_top_brqrv_dec_dec_i0_instr_d[18]),
+	.C1(brqrv_top_brqrv_dec_dec_i0_instr_d[17]),
+	.Y(n_30173), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g687557 (
+	.A1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[41]),
+	.A2(n_29558),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[47]),
+	.B2(n_29557),
+	.C1(n_29749),
+	.Y(n_30172), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g687558 (
+	.A1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[17]),
+	.A2(n_29558),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[23]),
+	.B2(n_29557),
+	.C1(n_29748),
+	.Y(n_30171), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g687559 (
+	.A1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[27]),
+	.A2(n_29560),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[35]),
+	.B2(n_29557),
+	.C1(n_29747),
+	.Y(n_30170), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g687560 (
+	.A1(n_11528),
+	.A2(n_29701),
+	.B1(FE_DBTN14_n_11528),
+	.B2(n_29730),
+	.Y(n_30169), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g687561 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[9]),
+	.A2(n_29561),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[6]),
+	.B2(n_29562),
+	.C1(n_29807),
+	.Y(n_30168), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o32ai_1 g687562 (
+	.A1(n_29012),
+	.A2(n_32738),
+	.A3(n_8272),
+	.B1(n_29052),
+	.B2(n_29312),
+	.Y(n_30167), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g687563 (
+	.A1(n_28839),
+	.A2(n_36113),
+	.B1(n_36112),
+	.B2(n_28841),
+	.C1(n_29848),
+	.C2(n_402475_BAR),
+	.Y(n_30166), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g687564 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[36]),
+	.A2(n_29559),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[37]),
+	.B2(n_29558),
+	.C1(n_29811),
+	.Y(n_30165), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g687565 (
+	.A1(n_28863),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[13]),
+	.B1(n_28864),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[14]),
+	.C1(n_30050),
+	.Y(n_30164), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g687566 (
+	.A(n_29129),
+	.B(n_29128),
+	.C(n_29111),
+	.D(n_29187),
+	.Y(n_30163), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g687567 (
+	.A1(n_41939),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[20]),
+	.B1(n_29098),
+	.C1(n_29802),
+	.Y(n_30162), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g687568 (
+	.A1(n_29371),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[21]),
+	.B1(n_29103),
+	.C1(n_29789),
+	.Y(n_30161), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g687569 (
+	.A1(n_29704),
+	.A2(brqrv_top_brqrv_dec_decode_x_d[3]),
+	.B1(n_30078),
+	.Y(n_30160), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111oi_0 g687570 (
+	.A1(n_8173),
+	.A2(brqrv_top_brqrv_dec_div_waddr_wb[1]),
+	.B1(n_29798),
+	.C1(n_29166),
+	.D1(n_29250),
+	.Y(n_30159), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g687571 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[72]),
+	.A2(n_29556),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[73]),
+	.B2(n_29561),
+	.C1(n_29823),
+	.Y(n_30158), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_2 g687572 (
+	.A0(n_29681),
+	.A1(n_29692),
+	.S(brqrv_top_brqrv_ifu_aln_q1ptr),
+	.X(n_30157), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g687573 (
+	.A1_N(brqrv_top_brqrv_dec_decode_cam_raw[5]),
+	.A2_N(n_29838),
+	.B1(brqrv_top_brqrv_dec_decode_cam_raw[5]),
+	.B2(n_29838),
+	.Y(n_30156), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g687574 (
+	.A1_N(brqrv_top_brqrv_dec_decode_cam_raw[16]),
+	.A2_N(n_29837),
+	.B1(brqrv_top_brqrv_dec_decode_cam_raw[16]),
+	.B2(n_29837),
+	.Y(n_30155), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g687575 (
+	.A1(n_29015),
+	.A2(n_29852),
+	.B1(n_29518),
+	.Y(n_30154), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g687576 (
+	.A1_N(brqrv_top_brqrv_dec_decode_cam_raw[36]),
+	.A2_N(n_29837),
+	.B1(brqrv_top_brqrv_dec_decode_cam_raw[36]),
+	.B2(n_29837),
+	.Y(n_30153), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g687577 (
+	.A1_N(brqrv_top_brqrv_dec_decode_cam_raw[25]),
+	.A2_N(n_29838),
+	.B1(brqrv_top_brqrv_dec_decode_cam_raw[25]),
+	.B2(n_29838),
+	.Y(n_30152), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g687578 (
+	.A1(n_35459),
+	.A2(n_29732),
+	.B1(n_29031),
+	.C1(n_28970),
+	.D1(n_29754),
+	.Y(brqrv_top_brqrv_ifu_aln_alignicaf[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g687579 (
+	.A(brqrv_top_brqrv_dec_i0_predict_p_d[44]),
+	.B(n_29382),
+	.C(n_29620),
+	.D(n_29597),
+	.X(n_30237), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g687580 (
+	.A1(n_34067),
+	.A2(n_29849),
+	.B1(n_34068),
+	.B2(n_29846),
+	.Y(n_36075), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g687581 (
+	.A1(n_42910),
+	.A2(n_29849),
+	.B1(n_29185),
+	.Y(n_36059), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g687582 (
+	.A(n_29854),
+	.B(n_29739),
+	.C(n_29396),
+	.Y(n_36249), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g687583 (
+	.A(n_43130),
+	.B(n_29839),
+	.C(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_byteen[0]),
+	.Y(n_30236), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g687584 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_ldst_byteen_m[0]),
+	.A2_N(n_8179),
+	.B1(n_8168),
+	.B2(n_29773),
+	.Y(n_30234), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g687585 (
+	.A(n_30141),
+	.B(n_28863),
+	.C(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[14]),
+	.Y(n_33869), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g687590 (
+	.A(n_30139),
+	.Y(n_30140), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g687591 (
+	.A(n_30138),
+	.Y(n_30137), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g687592 (
+	.A(n_29627),
+	.B(n_29628),
+	.C(n_29629),
+	.D(n_29626),
+	.Y(n_30135), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g687593 (
+	.A(n_29837),
+	.B(brqrv_top_brqrv_dec_decode_cam_raw[6]),
+	.Y(n_30134), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g687594 (
+	.A(n_29838),
+	.B(brqrv_top_brqrv_dec_decode_cam_raw[15]),
+	.Y(n_30133), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g687595 (
+	.A_N(n_29725),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[2]),
+	.Y(n_30132), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g687596 (
+	.A(n_29840),
+	.B_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[4]),
+	.Y(n_30131), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g687601 (
+	.A(n_29841),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[10]),
+	.Y(n_30126), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g687602 (
+	.A(n_29837),
+	.B(brqrv_top_brqrv_dec_decode_cam_raw[26]),
+	.Y(n_30125), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g687603 (
+	.A(n_29838),
+	.B(brqrv_top_brqrv_dec_decode_cam_raw[35]),
+	.Y(n_30124), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g687604 (
+	.A_N(n_29725),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[3]),
+	.Y(n_30123), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g687605 (
+	.A1_N(brqrv_top_brqrv_lsu_stbuf_n_608),
+	.A2_N(n_29561),
+	.B1(brqrv_top_brqrv_lsu_stbuf_n_604),
+	.B2(n_29556),
+	.Y(n_30122), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g687606 (
+	.A(n_34068),
+	.B(n_29849),
+	.Y(n_30121), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g687607 (
+	.A(n_29721),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[14]),
+	.Y(n_30120), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g687608 (
+	.A(n_29843),
+	.B(n_29856),
+	.Y(n_30119), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687609 (
+	.A1(n_1887),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_byteen[33]),
+	.B1(n_1669),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_byteen[17]),
+	.Y(n_30118), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g687610 (
+	.A(n_29844),
+	.B(n_29600),
+	.Y(n_30117), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g687611 (
+	.A(n_29845),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[14]),
+	.Y(n_30116), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687612 (
+	.A1(n_1677),
+	.A2(brqrv_top_brqrv_dma_ctrl_n_777),
+	.B1(n_1669),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_byteen[16]),
+	.Y(n_30115), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687613 (
+	.A1(n_1887),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_byteen[35]),
+	.B1(n_1669),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_byteen[19]),
+	.Y(n_30114), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g687614 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[91]),
+	.A2(n_34881),
+	.B1(n_29292),
+	.C1(n_29042),
+	.D1(n_29293),
+	.Y(n_30113), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g687615 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[57]),
+	.A2(n_35522),
+	.B1(n_29076),
+	.C1(n_29277),
+	.D1(n_29276),
+	.Y(n_30112), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g687616 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[116]),
+	.A2(n_34885),
+	.B1(n_29062),
+	.C1(n_29246),
+	.D1(n_29244),
+	.Y(n_30111), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g687617 (
+	.A1(n_28952),
+	.A2(n_35554),
+	.B1(n_29073),
+	.C1(n_29545),
+	.D1(n_29651),
+	.Y(n_30110), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g687618 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[25]),
+	.A2(n_35522),
+	.B1(n_28966),
+	.C1(n_29248),
+	.D1(n_29205),
+	.Y(n_30109), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687619 (
+	.A1(n_1887),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_byteen[36]),
+	.B1(n_1669),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_byteen[20]),
+	.Y(n_30108), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4b_1 g687620 (
+	.A_N(n_29094),
+	.B(n_29847),
+	.C(n_29095),
+	.D(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[12]),
+	.X(n_30107), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g687621 (
+	.A1(n_34918),
+	.A2(brqrv_top_brqrv_lsu_end_addr_m[5]),
+	.B1(n_29660),
+	.Y(n_30106), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g687622 (
+	.A1_N(n_34836),
+	.A2_N(brqrv_top_brqrv_lsu_end_addr_m[23]),
+	.B1(n_34891),
+	.B2(brqrv_top_brqrv_lsu_end_addr_m[25]),
+	.Y(n_30105), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g687623 (
+	.A1(n_1762),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [23]),
+	.B1(n_2068),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [101]),
+	.C1(n_29729),
+	.X(n_35763), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g687624 (
+	.A1(n_1762),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [31]),
+	.B1(n_2068),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [109]),
+	.C1(n_29728),
+	.X(n_35771), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g687625 (
+	.A1(n_41939),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[84]),
+	.B1(n_29106),
+	.Y(n_30104), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g687626 (
+	.A1(n_41939),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[52]),
+	.B1(n_29097),
+	.Y(n_30103), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g687627 (
+	.A1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[30]),
+	.A2(n_28921),
+	.B1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[31]),
+	.B2(n_28870),
+	.C1(n_29759),
+	.Y(n_30102), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687628 (
+	.A1(n_1677),
+	.A2(brqrv_top_brqrv_dma_ctrl_n_807),
+	.B1(n_1669),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_byteen[22]),
+	.Y(n_30101), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687629 (
+	.A1(n_1677),
+	.A2(brqrv_top_brqrv_dma_ctrl_n_802),
+	.B1(n_1669),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_byteen[21]),
+	.Y(n_30100), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687630 (
+	.A1(n_1677),
+	.A2(brqrv_top_brqrv_dma_ctrl_n_812),
+	.B1(n_1669),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_byteen[23]),
+	.Y(n_30099), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g687631 (
+	.A1(n_41939),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[116]),
+	.B1(n_29110),
+	.Y(n_30098), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687632 (
+	.A1(n_1887),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_dbg[4]),
+	.B1(n_1669),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_dbg[2]),
+	.Y(n_30097), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687633 (
+	.A1(n_1677),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_write[0]),
+	.B1(n_1669),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_write[2]),
+	.Y(n_30096), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687634 (
+	.A1(n_1887),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_addr[130]),
+	.B1(n_1669),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[66]),
+	.Y(n_30095), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g687635 (
+	.A1_N(n_29565),
+	.A2_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[25]),
+	.B1(n_29565),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[25]),
+	.Y(n_30094), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687636 (
+	.A1(n_1887),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_addr[140]),
+	.B1(n_1669),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[76]),
+	.Y(n_30093), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687637 (
+	.A1(n_1887),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_addr[141]),
+	.B1(n_1669),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[77]),
+	.Y(n_30092), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687638 (
+	.A1(n_1887),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_addr[142]),
+	.B1(n_1669),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[78]),
+	.Y(n_30091), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g687639 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[9]),
+	.A2(n_35515),
+	.B1(n_29071),
+	.C1(n_29226),
+	.D1(n_29213),
+	.Y(n_30090), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g687640 (
+	.A1(n_34820),
+	.A2(brqrv_top_brqrv_lsu_end_addr_m[2]),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[4]),
+	.B2(n_29559),
+	.C1(n_28957),
+	.Y(n_30089), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g687641 (
+	.A1(n_29618),
+	.A2(brqrv_top_brqrv_dec_i0_predict_p_d[46]),
+	.A3(brqrv_top_brqrv_dec_dec_i0_instr_d[14]),
+	.B1(n_29775),
+	.Y(n_30088), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g687642 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[44]),
+	.A2(n_35513),
+	.B1(n_29034),
+	.C1(n_29231),
+	.D1(n_29254),
+	.Y(n_30087), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g687643 (
+	.A(n_29533),
+	.B(n_29362),
+	.C(n_29444),
+	.D(n_29363),
+	.Y(n_30086), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g687644 (
+	.A1(n_29607),
+	.A2(brqrv_top_brqrv_dec_dec_i0_instr_d[18]),
+	.B1(n_29614),
+	.B2(n_8172),
+	.Y(n_30085), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g687645 (
+	.A1(n_29563),
+	.A2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[31]),
+	.B1(brqrv_top_brqrv_lsu_lsu_pkt_r[4]),
+	.C1(n_29178),
+	.Y(n_30084), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g687646 (
+	.A(n_35499),
+	.B(n_28832),
+	.C(n_29648),
+	.X(n_30083), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g687647 (
+	.A1(brqrv_top_brqrv_dec_i0_predict_p_d[42]),
+	.A2(n_29616),
+	.B1(n_34816),
+	.Y(n_30082), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g687648 (
+	.A1(n_34901),
+	.A2(n_29422),
+	.B1(n_29603),
+	.B2(n_29022),
+	.X(n_30081), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g687649 (
+	.A(n_35550),
+	.B(n_34828),
+	.C(n_28810),
+	.D(n_29601),
+	.X(n_30080), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g687650 (
+	.A1(brqrv_top_brqrv_lsu_lsu_addr_r[31]),
+	.A2(n_28870),
+	.B1(n_29029),
+	.C1(n_29112),
+	.D1(n_29657),
+	.Y(n_30079), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g687651 (
+	.A1(n_29403),
+	.A2(n_42917),
+	.B1(n_28811),
+	.Y(n_30078), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g687652 (
+	.A1(n_28893),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[39]),
+	.B1(n_29556),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[40]),
+	.C1(n_29058),
+	.Y(n_30077), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g687653 (
+	.A1(n_28893),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[31]),
+	.B1(n_29561),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[33]),
+	.C1(n_29047),
+	.Y(n_30076), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g687654 (
+	.A1(n_29599),
+	.A2(n_34821),
+	.B1(n_16970),
+	.X(n_30075), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g687655 (
+	.A1(n_28893),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[19]),
+	.B1(n_29561),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[21]),
+	.C1(n_28959),
+	.Y(n_30074), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g687656 (
+	.A1(n_28893),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[43]),
+	.B1(n_29562),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[42]),
+	.C1(n_28963),
+	.Y(n_30073), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g687657 (
+	.A1(n_28893),
+	.A2(brqrv_top_brqrv_lsu_stbuf_n_600),
+	.B1(n_29561),
+	.B2(brqrv_top_brqrv_lsu_stbuf_n_608),
+	.C1(n_28961),
+	.Y(n_30072), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g687658 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[2]),
+	.A2(n_28894),
+	.B1(n_29560),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[3]),
+	.C1(n_29025),
+	.Y(n_30071), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g687659 (
+	.A1(n_29560),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[3]),
+	.B1(n_29176),
+	.Y(n_30070), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g687660 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[67]),
+	.A2(n_29560),
+	.B1(n_29179),
+	.Y(n_30069), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g687661 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[99]),
+	.A2(n_29560),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[98]),
+	.B2(n_28894),
+	.C1(n_29068),
+	.Y(n_30068), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g687662 (
+	.A(n_29779),
+	.B(n_29772),
+	.Y(n_30067), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111oi_0 g687663 (
+	.A1(n_34885),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[20]),
+	.B1(n_29237),
+	.C1(n_29041),
+	.D1(n_29641),
+	.Y(n_30066), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111oi_0 g687664 (
+	.A1(n_35513),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[12]),
+	.B1(n_29198),
+	.C1(n_28953),
+	.D1(n_29644),
+	.Y(n_30065), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g687665 (
+	.A(n_29855),
+	.B(n_28904),
+	.C(n_1708),
+	.Y(n_30064), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o31ai_1 g687666 (
+	.A1(n_28832),
+	.A2(n_29010),
+	.A3(n_29583),
+	.B1(n_29719),
+	.Y(n_30063), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3b_1 g687667 (
+	.A(n_34798),
+	.B(brqrv_top_brqrv_dec_decode_n_1216),
+	.C_N(n_29855),
+	.X(n_30062), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111oi_0 g687668 (
+	.A1(n_35513),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[76]),
+	.B1(n_29306),
+	.C1(n_29057),
+	.D1(n_29646),
+	.Y(n_30061), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111oi_0 g687669 (
+	.A1(n_34876),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[80]),
+	.B1(n_29301),
+	.C1(n_29049),
+	.D1(n_29706),
+	.Y(n_30060), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111oi_0 g687670 (
+	.A1(n_35522),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[89]),
+	.B1(n_29298),
+	.C1(n_29050),
+	.D1(n_29702),
+	.Y(n_30059), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111oi_0 g687671 (
+	.A1(n_35513),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[108]),
+	.B1(n_29207),
+	.C1(n_29059),
+	.D1(n_29670),
+	.Y(n_30058), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111oi_0 g687672 (
+	.A1(n_35515),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[105]),
+	.B1(n_29233),
+	.C1(n_29064),
+	.D1(n_29664),
+	.Y(n_30057), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g687673 (
+	.A1(n_34881),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[27]),
+	.B1(n_29051),
+	.C1(n_29203),
+	.D1(n_29716),
+	.Y(n_30056), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111oi_0 g687674 (
+	.A1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[35]),
+	.A2(n_28849),
+	.B1(n_29036),
+	.C1(n_29291),
+	.D1(n_29740),
+	.Y(n_30055), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111oi_0 g687675 (
+	.A1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[47]),
+	.A2(n_28849),
+	.B1(n_29069),
+	.C1(n_29288),
+	.D1(n_29745),
+	.Y(n_30054), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g687676 (
+	.A(n_29283),
+	.B(n_29191),
+	.C(n_29117),
+	.D(n_29284),
+	.X(n_30053), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g687677 (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[45]),
+	.A2(n_28979),
+	.B1(n_28976),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[61]),
+	.C1(n_28973),
+	.C2(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[29]),
+	.Y(n_30052), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111oi_0 g687678 (
+	.A1(n_35518),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[4]),
+	.B1(n_29199),
+	.C1(n_28954),
+	.D1(n_29710),
+	.Y(n_30051), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g687679 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_picm_wren_ff),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_picm_rden_ff),
+	.C(n_29367),
+	.Y(n_30050), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g687680 (
+	.A1(n_29000),
+	.A2(brqrv_top_brqrv_ifu_aln_brdata0[6]),
+	.B1(brqrv_top_brqrv_ifu_aln_brdata2[6]),
+	.B2(n_29001),
+	.C1(brqrv_top_brqrv_ifu_aln_brdata1[6]),
+	.C2(n_29002),
+	.Y(n_30049), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g687681 (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[47]),
+	.A2(n_28979),
+	.B1(n_28976),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[63]),
+	.C1(n_28973),
+	.C2(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[31]),
+	.Y(n_30048), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g687682 (
+	.A(brqrv_top_brqrv_dec_i0_predict_p_d[44]),
+	.B(n_35501),
+	.C(n_34849),
+	.D(n_34872),
+	.Y(n_30047), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g687683 (
+	.A1(n_29002),
+	.A2(brqrv_top_brqrv_ifu_aln_brdata1[0]),
+	.B1(brqrv_top_brqrv_ifu_aln_brdata2[0]),
+	.B2(n_29001),
+	.C1(brqrv_top_brqrv_ifu_aln_brdata0[0]),
+	.C2(n_29000),
+	.Y(n_30046), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4bb_1 g687684 (
+	.A(brqrv_top_brqrv_dbg_cmd_addr[0]),
+	.B(brqrv_top_brqrv_dbg_cmd_addr[11]),
+	.C_N(brqrv_top_brqrv_dbg_cmd_addr[8]),
+	.D_N(n_29173),
+	.Y(n_30045), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g687685 (
+	.A(n_29289),
+	.B(n_29290),
+	.C(n_29123),
+	.D(n_29139),
+	.Y(n_30044), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g687686 (
+	.A(n_29697),
+	.B(n_29134),
+	.C(n_29121),
+	.X(n_30043), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g687687 (
+	.A(n_29132),
+	.B(n_29120),
+	.C(n_29282),
+	.D(n_29281),
+	.Y(n_30042), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g687688 (
+	.A(n_29119),
+	.B(n_29131),
+	.C(n_29610),
+	.Y(n_30041), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g687689 (
+	.A(n_29690),
+	.B(n_29359),
+	.C(n_29188),
+	.Y(n_30040), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g687690 (
+	.A(n_29689),
+	.B(n_29189),
+	.C(n_29192),
+	.Y(n_30039), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111oi_0 g687691 (
+	.A1(n_35515),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[41]),
+	.B1(n_29261),
+	.C1(n_29028),
+	.D1(n_29679),
+	.Y(n_30038), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g687692 (
+	.A(n_29682),
+	.B(n_29266),
+	.C(n_29273),
+	.X(n_30037), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g687693 (
+	.A(n_29678),
+	.B(n_29357),
+	.C(n_29358),
+	.X(n_30036), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111oi_0 g687694 (
+	.A1(n_34885),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[52]),
+	.B1(n_29309),
+	.C1(n_29032),
+	.D1(n_29675),
+	.Y(n_30035), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g687695 (
+	.A(n_29090),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[5]),
+	.C(brqrv_top_brqrv_dec_dec_i0_instr_d[1]),
+	.X(n_30034), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g687696 (
+	.A1(n_34885),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[20]),
+	.B1(n_28969),
+	.C1(n_29210),
+	.D1(n_29666),
+	.Y(n_30033), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g687697 (
+	.A(n_29847),
+	.B(n_29107),
+	.C(n_29005),
+	.Y(n_30032), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111oi_0 g687698 (
+	.A1(n_34910),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[49]),
+	.B1(n_29038),
+	.C1(n_29252),
+	.D1(n_29673),
+	.Y(n_30031), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g687699 (
+	.A1(n_29000),
+	.A2(brqrv_top_brqrv_ifu_aln_brdata0[3]),
+	.B1(brqrv_top_brqrv_ifu_aln_brdata2[3]),
+	.B2(n_29001),
+	.C1(brqrv_top_brqrv_ifu_aln_brdata1[3]),
+	.C2(n_29002),
+	.Y(n_30030), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g687700 (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[46]),
+	.A2(n_28979),
+	.B1(n_28976),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[62]),
+	.C1(n_28973),
+	.C2(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[30]),
+	.Y(n_30029), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g687701 (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[44]),
+	.A2(n_28979),
+	.B1(n_28976),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[60]),
+	.C1(n_28973),
+	.C2(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[28]),
+	.Y(n_30028), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111oi_0 g687702 (
+	.A1(n_35518),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[100]),
+	.B1(n_29228),
+	.C1(n_29066),
+	.D1(n_29722),
+	.Y(n_30027), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111oi_0 g687703 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[31]),
+	.A2(n_35520),
+	.B1(n_29065),
+	.C1(n_29218),
+	.D1(n_29217),
+	.Y(n_30026), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3b_1 g687704 (
+	.A(n_35526),
+	.B(n_28995),
+	.C_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_5337),
+	.X(n_34863), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3b_1 g687705 (
+	.A(n_34889),
+	.B(n_28995),
+	.C_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_5424),
+	.X(n_34866), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3b_1 g687706 (
+	.A(n_35527),
+	.B(n_28995),
+	.C_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_5601),
+	.X(n_34868), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g687707 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[12]),
+	.B(n_29727),
+	.C_N(brqrv_top_brqrv_pic_ctrl_inst_picm_mken_ff),
+	.Y(n_30151), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g687708 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [24]),
+	.B(n_34524),
+	.C(n_34523),
+	.X(n_34522), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3b_1 g687709 (
+	.A(n_34859),
+	.B(n_28995),
+	.C_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_5511),
+	.X(n_34858), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g687710 (
+	.A(n_34874),
+	.B(n_28808),
+	.C(n_29015),
+	.D(n_34901),
+	.X(n_34812), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g687711 (
+	.A(n_28984),
+	.B(n_32406),
+	.C(n_29852),
+	.Y(brqrv_top_brqrv_dec_decode_i0_dp_raw[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g687712 (
+	.A(brqrv_top_brqrv_dec_i0_predict_p_d[47]),
+	.B(n_29579),
+	.C(n_29004),
+	.D(n_31667),
+	.Y(n_30150), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g687713 (
+	.A(n_28832),
+	.B(n_29661),
+	.Y(n_30149), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g687714 (
+	.A1(n_34848),
+	.A2(n_29017),
+	.B1(n_29604),
+	.C1(n_29619),
+	.Y(brqrv_top_brqrv_dec_decode_i0_dp_raw[73]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g687715 (
+	.A(n_29397),
+	.B(n_29399),
+	.C(n_29401),
+	.D(n_29400),
+	.Y(n_35753), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g687716 (
+	.A(n_29488),
+	.B(n_29407),
+	.C(n_29418),
+	.D(n_29406),
+	.Y(n_35756), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g687717 (
+	.A(n_29550),
+	.B(n_29541),
+	.C(n_29415),
+	.D(n_29414),
+	.Y(n_35718), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g687718 (
+	.A(n_29497),
+	.B(n_29478),
+	.C(n_29417),
+	.D(n_29416),
+	.Y(n_35726), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g687719 (
+	.A(n_29547),
+	.B(n_29408),
+	.C(n_29450),
+	.D(n_29421),
+	.Y(n_35757), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g687720 (
+	.A(n_29425),
+	.B(n_29427),
+	.C(n_29428),
+	.D(n_29426),
+	.Y(n_35719), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g687721 (
+	.A(n_29430),
+	.B(n_29423),
+	.C(n_29534),
+	.D(n_29429),
+	.Y(n_35766), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g687722 (
+	.A(n_29431),
+	.B(n_29432),
+	.C(n_29521),
+	.D(n_29519),
+	.Y(n_35727), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g687723 (
+	.A(n_29436),
+	.B(n_29435),
+	.C(n_29433),
+	.D(n_29434),
+	.Y(n_35758), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g687724 (
+	.A(n_29437),
+	.B(n_29438),
+	.C(n_29440),
+	.D(n_29439),
+	.Y(n_35728), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g687725 (
+	.A(n_29441),
+	.B(n_29442),
+	.C(n_29409),
+	.D(n_29443),
+	.Y(n_35767), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g687726 (
+	.A(n_29446),
+	.B(n_29410),
+	.C(n_29448),
+	.D(n_29447),
+	.Y(n_35720), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g687727 (
+	.A(n_29451),
+	.B(n_29454),
+	.C(n_29452),
+	.D(n_29453),
+	.Y(n_35759), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g687728 (
+	.A1(n_28854),
+	.A2(n_29623),
+	.B1(n_34892),
+	.B2(n_29021),
+	.Y(n_30148), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g687729 (
+	.A(n_29712),
+	.B(n_29720),
+	.Y(n_30147), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g687730 (
+	.A(n_29536),
+	.B(n_33977),
+	.C(n_29537),
+	.D(n_29539),
+	.Y(n_35764), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g687731 (
+	.A(n_35465),
+	.B(n_29517),
+	.C(n_29546),
+	.D(n_29420),
+	.Y(n_35765), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g687732 (
+	.A(n_29398),
+	.B(n_29461),
+	.C(n_29462),
+	.D(n_29460),
+	.Y(n_35768), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g687733 (
+	.A(n_29465),
+	.B(n_29466),
+	.C(n_29468),
+	.D(n_29467),
+	.Y(n_35721), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g687734 (
+	.A(n_29472),
+	.B(n_29470),
+	.C(n_29471),
+	.D(n_29469),
+	.Y(n_35760), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g687735 (
+	.A(n_34846),
+	.B(n_29852),
+	.X(n_30146), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g687736 (
+	.A(n_29713),
+	.B(n_29718),
+	.Y(n_30145), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g687737 (
+	.A(n_29708),
+	.B(n_29711),
+	.Y(n_30144), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g687738 (
+	.A(n_29480),
+	.B(n_29482),
+	.C(n_29481),
+	.D(n_29483),
+	.Y(n_35769), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g687739 (
+	.A(n_29484),
+	.B(n_29486),
+	.C(n_29485),
+	.D(n_29487),
+	.Y(n_35761), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g687740 (
+	.A(n_29489),
+	.B(n_29492),
+	.C(n_29491),
+	.D(n_29490),
+	.Y(n_35723), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g687741 (
+	.A(n_29493),
+	.B(n_29496),
+	.C(n_29495),
+	.D(n_29540),
+	.Y(n_35731), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g687742 (
+	.A(n_29498),
+	.B(n_29500),
+	.C(n_29499),
+	.D(n_29501),
+	.Y(n_35770), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g687743 (
+	.A(n_29502),
+	.B(n_29505),
+	.C(n_29503),
+	.D(n_29504),
+	.Y(n_35762), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g687744 (
+	.A(n_29506),
+	.B(n_29507),
+	.C(n_29509),
+	.D(n_29508),
+	.Y(n_35724), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687745 (
+	.A1(n_29570),
+	.A2(brqrv_top_brqrv_lsu_lsu_addr_r[22]),
+	.B1(n_29569),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_r[23]),
+	.Y(n_30143), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g687746 (
+	.A(n_29510),
+	.B(n_29543),
+	.C(n_29512),
+	.D(n_29511),
+	.Y(n_35732), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4b_1 g687747 (
+	.A_N(n_33866),
+	.B(brqrv_top_brqrv_lsu_addr_in_dccm_r),
+	.C(n_43001),
+	.D(brqrv_top_brqrv_lsu_lsu_pkt_r[6]),
+	.Y(n_33868), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g687748 (
+	.A(n_29524),
+	.B(n_29525),
+	.C(n_29527),
+	.D(n_29526),
+	.Y(n_35717), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g687749 (
+	.A(n_29693),
+	.B(n_29676),
+	.Y(n_30142), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g687750 (
+	.A(n_29449),
+	.B(n_29404),
+	.C(n_29405),
+	.D(n_29542),
+	.Y(n_35748), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g687751 (
+	.A(n_29548),
+	.B(n_29402),
+	.C(n_29413),
+	.D(n_29549),
+	.Y(n_35733), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g687752 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[12]),
+	.B(n_29094),
+	.C_N(n_29847),
+	.Y(n_30141), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32oi_1 g687753 (
+	.A1(n_34892),
+	.A2(n_28859),
+	.A3(brqrv_top_brqrv_lsu_bus_intf_ldst_byteen_r[3]),
+	.B1(n_29624),
+	.B2(n_28854),
+	.Y(n_30139), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g687754 (
+	.A(n_29851),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[4]),
+	.Y(n_30138), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g687755 (
+	.A(n_1665),
+	.B(n_29851),
+	.Y(n_30136), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g687756 (
+	.A(n_30024),
+	.Y(n_30025), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g687757 (
+	.A(n_30021),
+	.Y(n_30022), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g687758 (
+	.A(n_30017),
+	.Y(n_30018), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g687759 (
+	.A(n_30016),
+	.Y(n_30015), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g687760 (
+	.A1_N(brqrv_top_brqrv_lsu_stbuf_n_596),
+	.A2_N(n_29562),
+	.B1(brqrv_top_brqrv_lsu_stbuf_n_596),
+	.B2(n_29562),
+	.Y(n_30014), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g687761 (
+	.A1(brqrv_top_brqrv_lsu_end_addr_m[20]),
+	.A2(n_35486),
+	.B1(brqrv_top_brqrv_lsu_end_addr_m[21]),
+	.B2(n_34909),
+	.X(n_30013), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687762 (
+	.A1(n_29559),
+	.A2(brqrv_top_brqrv_lsu_stbuf_n_588),
+	.B1(n_41998),
+	.B2(brqrv_top_brqrv_lsu_stbuf_n_612),
+	.Y(n_30012), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g687763 (
+	.A(n_29140),
+	.B(n_29144),
+	.C(n_29154),
+	.D(n_29153),
+	.Y(n_30011), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g687764 (
+	.A1_N(brqrv_top_brqrv_lsu_stbuf_n_588),
+	.A2_N(n_29559),
+	.B1(brqrv_top_brqrv_lsu_stbuf_n_616),
+	.B2(n_29557),
+	.Y(n_30010), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687765 (
+	.A1(n_1677),
+	.A2(brqrv_top_brqrv_dma_ctrl_n_787),
+	.B1(n_1669),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_byteen[18]),
+	.Y(n_30009), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g687766 (
+	.A1_N(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[39]),
+	.A2_N(n_29560),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[47]),
+	.B2(n_29557),
+	.Y(n_30008), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687767 (
+	.A1(brqrv_top_brqrv_lsu_end_addr_m[6]),
+	.A2(n_34831),
+	.B1(brqrv_top_brqrv_lsu_end_addr_m[9]),
+	.B2(n_34833),
+	.Y(n_30007), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g687768 (
+	.A1_N(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[20]),
+	.A2_N(n_29556),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[20]),
+	.B2(n_29556),
+	.Y(n_30006), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g687769 (
+	.A(n_29155),
+	.B(n_29156),
+	.C(n_29146),
+	.D(n_29145),
+	.Y(n_30005), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687770 (
+	.A1(brqrv_top_brqrv_lsu_end_addr_m[27]),
+	.A2(n_35490),
+	.B1(brqrv_top_brqrv_lsu_end_addr_m[28]),
+	.B2(n_35484),
+	.Y(n_30004), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g687771 (
+	.A1_N(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[16]),
+	.A2_N(n_29559),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[17]),
+	.B2(n_29558),
+	.Y(n_30003), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g687772 (
+	.A1(n_35484),
+	.A2(brqrv_top_brqrv_lsu_end_addr_m[28]),
+	.B1(n_34907),
+	.B2(brqrv_top_brqrv_lsu_end_addr_m[29]),
+	.Y(n_30002), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687773 (
+	.A1(n_29560),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[15]),
+	.B1(n_29559),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[16]),
+	.Y(n_30001), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g687774 (
+	.A1_N(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[32]),
+	.A2_N(n_29556),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[32]),
+	.B2(n_29556),
+	.Y(n_30000), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g687775 (
+	.A1_N(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[30]),
+	.A2_N(n_29562),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[30]),
+	.B2(n_29562),
+	.Y(n_29999), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g687776 (
+	.A(n_29160),
+	.B(n_29161),
+	.C(n_29151),
+	.D(n_29150),
+	.Y(n_29998), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687777 (
+	.A1(brqrv_top_brqrv_lsu_end_addr_m[10]),
+	.A2(n_34835),
+	.B1(brqrv_top_brqrv_lsu_end_addr_m[13]),
+	.B2(n_34913),
+	.Y(n_29997), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g687778 (
+	.A1_N(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[28]),
+	.A2_N(n_29559),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[28]),
+	.B2(n_29559),
+	.Y(n_29996), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g687779 (
+	.A1_N(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[34]),
+	.A2_N(n_41998),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[35]),
+	.B2(n_29557),
+	.Y(n_29995), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g687780 (
+	.A1_N(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[29]),
+	.A2_N(n_29558),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[29]),
+	.B2(n_29558),
+	.Y(n_29994), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g687781 (
+	.A1_N(brqrv_top_brqrv_lsu_lsu_addr_r[4]),
+	.A2_N(n_29559),
+	.B1(brqrv_top_brqrv_lsu_lsu_addr_r[4]),
+	.B2(n_29559),
+	.Y(n_29993), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g687782 (
+	.A1(brqrv_top_brqrv_lsu_lsu_addr_r[14]),
+	.A2(n_29377),
+	.B1(brqrv_top_brqrv_lsu_lsu_addr_r[2]),
+	.B2(n_28894),
+	.Y(n_29992), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g687783 (
+	.A1(brqrv_top_brqrv_lsu_lsu_addr_r[3]),
+	.A2(n_29560),
+	.B1(brqrv_top_brqrv_lsu_lsu_addr_r[17]),
+	.B2(n_29564),
+	.Y(n_29991), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g687784 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[78]),
+	.A2(n_29377),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[79]),
+	.B2(n_29568),
+	.Y(n_29990), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g687785 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[80]),
+	.A2_N(n_41994),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[80]),
+	.B2(n_41994),
+	.Y(n_29989), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687786 (
+	.A1(n_29377),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[78]),
+	.B1(n_29568),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[79]),
+	.Y(n_29988), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g687787 (
+	.A1(n_1838),
+	.A2(brqrv_top_brqrv_lsu_end_addr_m[11]),
+	.B1(brqrv_top_brqrv_lsu_lsu_addr_r[10]),
+	.B2(n_41998),
+	.Y(n_29987), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g687788 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[70]),
+	.A2_N(n_29562),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[70]),
+	.B2(n_29562),
+	.Y(n_29986), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g687789 (
+	.A1(brqrv_top_brqrv_lsu_lsu_addr_r[23]),
+	.A2(n_29569),
+	.B1(brqrv_top_brqrv_lsu_lsu_addr_r[27]),
+	.B2(n_41995),
+	.Y(n_29985), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g687790 (
+	.A1(brqrv_top_brqrv_lsu_lsu_addr_r[22]),
+	.A2(n_29570),
+	.B1(brqrv_top_brqrv_lsu_lsu_addr_r[26]),
+	.B2(n_29376),
+	.Y(n_29984), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g687791 (
+	.A1(brqrv_top_brqrv_lsu_lsu_addr_r[24]),
+	.A2(n_29566),
+	.B1(brqrv_top_brqrv_lsu_lsu_addr_r[25]),
+	.B2(n_29565),
+	.Y(n_29983), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g687792 (
+	.A1_N(brqrv_top_brqrv_lsu_lsu_addr_r[29]),
+	.A2_N(n_29372),
+	.B1(brqrv_top_brqrv_lsu_lsu_addr_r[29]),
+	.B2(n_29372),
+	.Y(n_29982), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g687793 (
+	.A1(brqrv_top_brqrv_lsu_lsu_addr_r[21]),
+	.A2(n_29371),
+	.B1(brqrv_top_brqrv_lsu_lsu_addr_r[30]),
+	.B2(n_29567),
+	.Y(n_29981), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g687794 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[75]),
+	.A2_N(n_29557),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[75]),
+	.B2(n_29557),
+	.Y(n_29980), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g687795 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[76]),
+	.A2(n_29571),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[77]),
+	.B2(n_41996),
+	.Y(n_29979), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g687796 (
+	.A1(n_41997),
+	.A2(brqrv_top_brqrv_lsu_lsu_addr_r[19]),
+	.B1(n_29563),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_r[31]),
+	.X(n_29978), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g687797 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[89]),
+	.A2_N(n_29565),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[89]),
+	.B2(n_29565),
+	.Y(n_29977), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g687798 (
+	.A1_N(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[26]),
+	.A2_N(n_29376),
+	.B1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[29]),
+	.B2(n_29372),
+	.Y(n_29976), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g687799 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[90]),
+	.A2(n_29376),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[93]),
+	.B2(n_29372),
+	.Y(n_29975), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g687800 (
+	.A1_N(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[29]),
+	.A2_N(n_29372),
+	.B1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[26]),
+	.B2(n_29376),
+	.Y(n_29974), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g687801 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[91]),
+	.B(brqrv_top_brqrv_lsu_end_addr_m[27]),
+	.X(n_29973), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g687802 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[93]),
+	.A2_N(n_29372),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[92]),
+	.B2(n_28809),
+	.Y(n_29972), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g687803 (
+	.A1_N(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[18]),
+	.A2_N(n_29374),
+	.B1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[18]),
+	.B2(n_29374),
+	.Y(n_29971), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g687804 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[86]),
+	.B(brqrv_top_brqrv_lsu_end_addr_m[22]),
+	.Y(n_29970), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g687805 (
+	.A1(n_1847),
+	.A2(brqrv_top_brqrv_lsu_end_addr_m[3]),
+	.B1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[30]),
+	.B2(n_29567),
+	.Y(n_29969), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g687806 (
+	.A1_N(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[24]),
+	.A2_N(n_29566),
+	.B1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[24]),
+	.B2(n_29566),
+	.Y(n_29968), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g687807 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[85]),
+	.A2_N(n_29371),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[85]),
+	.B2(n_29371),
+	.Y(n_29967), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g687808 (
+	.A1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[23]),
+	.A2(n_29569),
+	.B1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[25]),
+	.B2(n_29565),
+	.Y(n_29966), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g687809 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[82]),
+	.A2_N(n_29374),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[82]),
+	.B2(n_29374),
+	.Y(n_29965), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g687810 (
+	.A1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[12]),
+	.A2(n_29571),
+	.B1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[13]),
+	.B2(n_41996),
+	.Y(n_29964), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g687811 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[41]),
+	.A2_N(n_29561),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[41]),
+	.B2(n_29561),
+	.Y(n_29963), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g687812 (
+	.A1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[16]),
+	.A2(n_41994),
+	.B1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[17]),
+	.B2(n_29564),
+	.Y(n_29962), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g687813 (
+	.A1_N(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[17]),
+	.A2_N(n_29564),
+	.B1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[15]),
+	.B2(n_29568),
+	.Y(n_29961), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g687814 (
+	.A1_N(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[14]),
+	.A2_N(n_29377),
+	.B1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[14]),
+	.B2(n_29377),
+	.Y(n_29960), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g687815 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[47]),
+	.A2_N(n_29568),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[47]),
+	.B2(n_29568),
+	.Y(n_29959), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g687816 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[35]),
+	.A2_N(n_29560),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[35]),
+	.B2(n_29560),
+	.Y(n_29958), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g687817 (
+	.A1(n_29562),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[6]),
+	.B1(n_29561),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[9]),
+	.Y(n_29957), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g687818 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[44]),
+	.A2(n_29571),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[45]),
+	.B2(n_41996),
+	.Y(n_29956), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g687819 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[42]),
+	.A2_N(n_41998),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[42]),
+	.B2(n_41998),
+	.Y(n_29955), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g687820 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[58]),
+	.A2(n_29376),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[61]),
+	.B2(n_29372),
+	.Y(n_29954), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g687821 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[59]),
+	.A2_N(n_41995),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[59]),
+	.B2(n_41995),
+	.Y(n_29953), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g687822 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[54]),
+	.A2_N(n_29570),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[57]),
+	.B2(n_29565),
+	.Y(n_29952), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g687823 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[53]),
+	.A2_N(n_29371),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[51]),
+	.B2(n_41997),
+	.Y(n_29951), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g687824 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[52]),
+	.A2_N(n_41939),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[63]),
+	.B2(n_29563),
+	.Y(n_29950), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g687825 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[50]),
+	.A2_N(n_29374),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[50]),
+	.B2(n_29374),
+	.Y(n_29949), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g687826 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[5]),
+	.A2_N(n_29558),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[5]),
+	.B2(n_29558),
+	.Y(n_29948), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g687827 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[8]),
+	.A2_N(n_29556),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[8]),
+	.B2(n_29556),
+	.Y(n_29947), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g687828 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[11]),
+	.A2_N(n_29557),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[11]),
+	.B2(n_29557),
+	.Y(n_29946), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g687829 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[12]),
+	.A2(n_29571),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[13]),
+	.B2(n_41996),
+	.Y(n_29945), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g687830 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[27]),
+	.A2(n_41995),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[29]),
+	.B2(n_29372),
+	.Y(n_29944), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687831 (
+	.A1(n_41995),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[27]),
+	.B1(n_29372),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[29]),
+	.Y(n_29943), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g687832 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[26]),
+	.A2_N(n_29376),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[26]),
+	.B2(n_29376),
+	.Y(n_29942), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g687833 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[25]),
+	.A2_N(n_29565),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[25]),
+	.B2(n_29565),
+	.Y(n_29941), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g687834 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[23]),
+	.A2_N(n_29569),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[23]),
+	.B2(n_29569),
+	.Y(n_29940), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g687835 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[22]),
+	.A2_N(n_29570),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[22]),
+	.B2(n_29570),
+	.Y(n_29939), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g687836 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[24]),
+	.A2_N(n_29566),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[24]),
+	.B2(n_29566),
+	.Y(n_29938), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g687837 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[31]),
+	.A2_N(n_29563),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[30]),
+	.B2(n_29567),
+	.Y(n_29937), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g687838 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[21]),
+	.A2_N(n_29371),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[21]),
+	.B2(n_29371),
+	.Y(n_29936), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g687839 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[18]),
+	.A2_N(n_29374),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[18]),
+	.B2(n_29374),
+	.Y(n_29935), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687840 (
+	.A1(n_1847),
+	.A2(brqrv_top_brqrv_lsu_lsu_addr_m[3]),
+	.B1(n_36268),
+	.B2(n_28913),
+	.Y(n_29934), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g687841 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[8]),
+	.B(n_36273),
+	.X(n_29933), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g687842 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[15]),
+	.A2_N(n_29568),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[15]),
+	.B2(n_29568),
+	.Y(n_29932), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g687843 (
+	.A1(n_28847),
+	.A2(n_36271),
+	.B1(n_12038),
+	.B2(n_36272),
+	.Y(n_29931), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g687844 (
+	.A1(brqrv_top_brqrv_lsu_lsu_addr_m[6]),
+	.A2(n_1851),
+	.B1(brqrv_top_brqrv_lsu_lsu_addr_m[9]),
+	.B2(n_1544),
+	.Y(n_29930), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g687845 (
+	.A1(n_28910),
+	.A2(n_36274),
+	.B1(brqrv_top_brqrv_lsu_lsu_addr_m[7]),
+	.B2(n_1849),
+	.Y(n_29929), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g687846 (
+	.A(n_29366),
+	.B(n_29113),
+	.C(n_29115),
+	.D(n_29138),
+	.Y(n_29928), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g687847 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[12]),
+	.A2(n_29571),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[13]),
+	.B2(n_41996),
+	.Y(n_29927), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g687848 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[11]),
+	.A2_N(n_29557),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[11]),
+	.B2(n_29557),
+	.Y(n_29926), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g687849 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[25]),
+	.A2_N(n_29565),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[25]),
+	.B2(n_29565),
+	.Y(n_29925), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g687850 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[15]),
+	.A2_N(n_29568),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[15]),
+	.B2(n_29568),
+	.Y(n_29924), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g687851 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[29]),
+	.A2_N(n_29372),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[29]),
+	.B2(n_29372),
+	.Y(n_29923), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g687852 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[27]),
+	.B(brqrv_top_brqrv_lsu_end_addr_m[27]),
+	.X(n_29922), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g687853 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[26]),
+	.A2_N(n_29376),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[26]),
+	.B2(n_29376),
+	.Y(n_29921), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g687854 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[24]),
+	.A2_N(n_29566),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[23]),
+	.B2(n_29569),
+	.Y(n_29920), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g687855 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[18]),
+	.A2(n_29374),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[20]),
+	.B2(n_41939),
+	.Y(n_29919), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g687856 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[31]),
+	.A2_N(n_29563),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[31]),
+	.B2(n_29563),
+	.Y(n_29918), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g687857 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[20]),
+	.A2_N(n_41939),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[19]),
+	.B2(n_41997),
+	.Y(n_29917), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g687858 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[112]),
+	.A2(n_41994),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[113]),
+	.B2(n_29564),
+	.Y(n_29916), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g687859 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[111]),
+	.A2_N(n_29568),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[111]),
+	.B2(n_29568),
+	.Y(n_29915), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687860 (
+	.A1(n_29377),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[110]),
+	.B1(n_29564),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[113]),
+	.Y(n_29914), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g687861 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[105]),
+	.A2_N(n_29561),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[105]),
+	.B2(n_29561),
+	.Y(n_29913), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g687862 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[108]),
+	.A2(n_29571),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[109]),
+	.B2(n_41996),
+	.Y(n_29912), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g687863 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[106]),
+	.A2_N(n_41998),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[106]),
+	.B2(n_41998),
+	.Y(n_29911), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g687864 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[118]),
+	.A2(n_29570),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[121]),
+	.B2(n_29565),
+	.Y(n_29910), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g687865 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[118]),
+	.A2_N(n_29570),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[119]),
+	.B2(n_29569),
+	.Y(n_29909), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g687866 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[124]),
+	.B(brqrv_top_brqrv_lsu_end_addr_m[28]),
+	.Y(n_29908), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g687867 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[126]),
+	.A2_N(n_29567),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[127]),
+	.B2(n_29563),
+	.Y(n_29907), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g687868 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[117]),
+	.A2_N(n_29371),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[126]),
+	.B2(n_29567),
+	.Y(n_29906), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g687869 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[116]),
+	.A2_N(n_41939),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[127]),
+	.B2(n_29563),
+	.Y(n_29905), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g687870 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[114]),
+	.B(brqrv_top_brqrv_lsu_end_addr_m[18]),
+	.Y(n_29904), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g687871 (
+	.A1(n_28978),
+	.A2(n_29342),
+	.B1(n_28977),
+	.B2(n_29355),
+	.Y(n_29903), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g687872 (
+	.A1(n_29084),
+	.A2(n_29340),
+	.B1(n_28974),
+	.B2(n_29341),
+	.Y(n_29902), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687873 (
+	.A1(n_1677),
+	.A2(brqrv_top_brqrv_dma_ctrl_n_723),
+	.B1(n_1669),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[95]),
+	.Y(n_29901), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g687874 (
+	.A1_N(n_29560),
+	.A2_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[3]),
+	.B1(n_29560),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[3]),
+	.Y(n_29900), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g687875 (
+	.A(n_29275),
+	.B(n_29274),
+	.C(n_29118),
+	.D(n_29130),
+	.Y(n_29899), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687876 (
+	.A1(n_1677),
+	.A2(brqrv_top_brqrv_dma_ctrl_n_673),
+	.B1(n_1669),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[83]),
+	.Y(n_29898), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g687877 (
+	.A1_N(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[18]),
+	.A2_N(n_29562),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[18]),
+	.B2(n_29562),
+	.Y(n_29897), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g687878 (
+	.A1(n_28978),
+	.A2(n_29333),
+	.B1(n_28977),
+	.B2(n_29314),
+	.Y(n_29896), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g687879 (
+	.A1(n_29084),
+	.A2(n_29315),
+	.B1(n_28974),
+	.B2(n_29316),
+	.Y(n_29895), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g687880 (
+	.A1_N(n_29562),
+	.A2_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[6]),
+	.B1(n_28893),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[7]),
+	.Y(n_29894), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g687881 (
+	.A1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[42]),
+	.A2(n_29562),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[45]),
+	.B2(n_29561),
+	.Y(n_29893), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g687882 (
+	.A1(n_29559),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[4]),
+	.B1(n_29563),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[31]),
+	.Y(n_29892), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g687883 (
+	.A1_N(brqrv_top_brqrv_lsu_stbuf_n_584),
+	.A2_N(n_29560),
+	.B1(brqrv_top_brqrv_lsu_stbuf_n_584),
+	.B2(n_29560),
+	.Y(n_29891), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687884 (
+	.A1(n_29559),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[40]),
+	.B1(n_29558),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[41]),
+	.Y(n_29890), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g687885 (
+	.A1(n_1851),
+	.A2(brqrv_top_brqrv_lsu_end_addr_m[6]),
+	.B1(n_36272),
+	.B2(n_28893),
+	.Y(n_29889), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g687886 (
+	.A1(n_41998),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[10]),
+	.B1(n_29557),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[11]),
+	.Y(n_29888), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g687887 (
+	.A1_N(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[22]),
+	.A2_N(n_41998),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[15]),
+	.B2(n_29560),
+	.Y(n_29887), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687888 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[10]),
+	.A2(n_41998),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[11]),
+	.B2(n_29557),
+	.Y(n_29886), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687889 (
+	.A1(n_1887),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_addr[158]),
+	.B1(n_1669),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[94]),
+	.Y(n_29885), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g687890 (
+	.A1(n_29376),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[26]),
+	.B1(n_41995),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[27]),
+	.Y(n_29884), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687891 (
+	.A1(n_1887),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_addr[155]),
+	.B1(n_1669),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[91]),
+	.Y(n_29883), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687892 (
+	.A1(n_1677),
+	.A2(brqrv_top_brqrv_dma_ctrl_n_708),
+	.B1(n_1669),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[90]),
+	.Y(n_29882), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g687893 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[29]),
+	.A2_N(n_29372),
+	.B1(n_29372),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[29]),
+	.Y(n_29881), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687894 (
+	.A1(n_1887),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_addr[153]),
+	.B1(n_1669),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[89]),
+	.Y(n_29880), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687895 (
+	.A1(n_1887),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_addr[152]),
+	.B1(n_1669),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[88]),
+	.Y(n_29879), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g687896 (
+	.A1(n_29599),
+	.A2(brqrv_top_brqrv_dec_dec_i0_instr_d[22]),
+	.B1(n_16971),
+	.C1(n_34872),
+	.Y(n_29878), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687897 (
+	.A1(n_1677),
+	.A2(brqrv_top_brqrv_dma_ctrl_n_693),
+	.B1(n_1669),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[87]),
+	.Y(n_29877), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687898 (
+	.A1(n_1887),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_addr[150]),
+	.B1(n_1669),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[86]),
+	.Y(n_29876), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687899 (
+	.A1(n_1677),
+	.A2(brqrv_top_brqrv_dma_ctrl_n_683),
+	.B1(n_1669),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[85]),
+	.Y(n_29875), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g687900 (
+	.A1_N(n_29564),
+	.A2_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[17]),
+	.B1(n_29564),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[17]),
+	.Y(n_29874), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687901 (
+	.A1(n_1887),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_addr[148]),
+	.B1(n_1669),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[84]),
+	.Y(n_29873), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687902 (
+	.A1(n_1887),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_addr[146]),
+	.B1(n_1669),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[82]),
+	.Y(n_29872), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g687903 (
+	.A1(n_29374),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[18]),
+	.B1(n_29371),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[21]),
+	.Y(n_29871), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687904 (
+	.A1(n_1677),
+	.A2(brqrv_top_brqrv_dma_ctrl_n_663),
+	.B1(n_1669),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[81]),
+	.Y(n_29870), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687905 (
+	.A1(n_1677),
+	.A2(brqrv_top_brqrv_dma_ctrl_n_658),
+	.B1(n_1669),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[80]),
+	.Y(n_29869), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687906 (
+	.A1(n_1887),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_addr[143]),
+	.B1(n_1669),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[79]),
+	.Y(n_29868), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g687907 (
+	.A1_N(n_29566),
+	.A2_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[24]),
+	.B1(n_29566),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[24]),
+	.Y(n_29867), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g687908 (
+	.A1(n_36271),
+	.A2(n_29562),
+	.B1(brqrv_top_brqrv_lsu_end_addr_m[7]),
+	.B2(n_1849),
+	.Y(n_29866), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g687909 (
+	.A(n_34830),
+	.B(n_29559),
+	.Y(n_29865), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g687910 (
+	.A(n_34915),
+	.B(n_29556),
+	.Y(n_29864), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g687911 (
+	.A1(n_36270),
+	.A2(n_29558),
+	.B1(n_1844),
+	.B2(brqrv_top_brqrv_lsu_end_addr_m[5]),
+	.Y(n_29863), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g687912 (
+	.A1(n_36275),
+	.A2(n_41998),
+	.B1(n_1547),
+	.B2(brqrv_top_brqrv_lsu_end_addr_m[10]),
+	.Y(n_29862), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g687913 (
+	.A1_N(n_34911),
+	.A2_N(brqrv_top_brqrv_lsu_end_addr_m[17]),
+	.B1(n_34911),
+	.B2(brqrv_top_brqrv_lsu_end_addr_m[17]),
+	.Y(n_29861), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g687914 (
+	.A1_N(n_35494),
+	.A2_N(brqrv_top_brqrv_lsu_end_addr_m[24]),
+	.B1(n_35494),
+	.B2(brqrv_top_brqrv_lsu_end_addr_m[24]),
+	.Y(n_29860), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g687915 (
+	.A1_N(n_34905),
+	.A2_N(brqrv_top_brqrv_lsu_end_addr_m[30]),
+	.B1(n_34905),
+	.B2(brqrv_top_brqrv_lsu_end_addr_m[30]),
+	.Y(n_29859), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g687916 (
+	.A1_N(n_29614),
+	.A2_N(n_8174),
+	.B1(n_29617),
+	.B2(n_8174),
+	.Y(n_29858), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687917 (
+	.A1(n_29560),
+	.A2(brqrv_top_brqrv_lsu_lsu_addr_r[3]),
+	.B1(n_28894),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_r[2]),
+	.Y(n_30024), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687918 (
+	.A1(n_29562),
+	.A2(brqrv_top_brqrv_lsu_lsu_addr_r[6]),
+	.B1(n_29561),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_r[9]),
+	.Y(n_30023), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687919 (
+	.A1(n_29374),
+	.A2(brqrv_top_brqrv_lsu_lsu_addr_r[18]),
+	.B1(n_41939),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_r[20]),
+	.Y(n_30021), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g687920 (
+	.A1(n_29377),
+	.A2(brqrv_top_brqrv_lsu_lsu_addr_r[14]),
+	.B1(n_41994),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_r[16]),
+	.X(n_30020), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g687921 (
+	.A1(n_41998),
+	.A2(brqrv_top_brqrv_lsu_lsu_addr_r[10]),
+	.B1(n_29571),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_r[12]),
+	.X(n_30019), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687922 (
+	.A1(n_29376),
+	.A2(brqrv_top_brqrv_lsu_lsu_addr_r[26]),
+	.B1(n_41995),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_r[27]),
+	.Y(n_30017), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687923 (
+	.A1(n_29577),
+	.A2(brqrv_top_brqrv_lsu_lsu_pkt_m[10]),
+	.B1(n_35711),
+	.B2(brqrv_top_brqrv_lsu_lsu_pkt_m[9]),
+	.Y(n_30016), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g687924 (
+	.A(n_29856),
+	.Y(n_29857), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g687925 (
+	.A(n_29854),
+	.Y(brqrv_top_brqrv_dec_decode_i0_dec_n_168), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g687926 (
+	.A(n_29849),
+	.Y(n_29848), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g687927 (
+	.A(n_29846),
+	.Y(n_36114), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g687928 (
+	.A(n_29845),
+	.Y(n_29844), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g687929 (
+	.A(n_29843),
+	.Y(n_29842), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g687930 (
+	.A(n_29840),
+	.Y(n_29839), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g687931 (
+	.A(n_36335),
+	.Y(n_29838), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g687932 (
+	.A(n_36336),
+	.Y(n_29837), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g687933 (
+	.A(n_35485),
+	.B(brqrv_top_brqrv_lsu_end_addr_m[15]),
+	.Y(n_29836), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g687934 (
+	.A(n_29558),
+	.B(brqrv_top_brqrv_lsu_stbuf_n_592),
+	.Y(n_29835), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g687935 (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[40]),
+	.B(n_29559),
+	.Y(n_29834), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g687936 (
+	.A(n_29557),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[23]),
+	.Y(n_29833), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g687937 (
+	.A(n_34832),
+	.B(brqrv_top_brqrv_lsu_end_addr_m[11]),
+	.Y(n_29832), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g687938 (
+	.A(brqrv_top_brqrv_lsu_end_addr_m[12]),
+	.B(n_35488),
+	.Y(n_29831), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g687939 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[68]),
+	.B(n_29559),
+	.Y(n_29830), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g687940 (
+	.A(n_29560),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[67]),
+	.Y(n_29829), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g687941 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_r[16]),
+	.B(n_41994),
+	.Y(n_29828), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g687942 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_r[15]),
+	.B(n_29568),
+	.Y(n_29827), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g687943 (
+	.A(n_29564),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[81]),
+	.Y(n_29826), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g687944 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_r[13]),
+	.B(n_41996),
+	.Y(n_29825), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g687945 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_r[28]),
+	.B(n_28809),
+	.Y(n_29824), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g687946 (
+	.A(n_29556),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[72]),
+	.Y(n_29823), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g687947 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_r[19]),
+	.B(n_41997),
+	.Y(n_29822), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g687948 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_r[18]),
+	.B(n_29374),
+	.Y(n_29821), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g687949 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[74]),
+	.B(n_41998),
+	.Y(n_29820), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g687950 (
+	.A(n_41995),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[27]),
+	.Y(n_29819), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g687951 (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[20]),
+	.B(n_41939),
+	.Y(n_29818), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g687952 (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[31]),
+	.B(n_29563),
+	.Y(n_29817), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g687953 (
+	.A(n_28809),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[28]),
+	.Y(n_29816), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g687954 (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[22]),
+	.B(n_29570),
+	.Y(n_29815), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g687955 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[84]),
+	.B(n_41939),
+	.Y(n_29814), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g687956 (
+	.A(n_29562),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[38]),
+	.Y(n_29813), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g687957 (
+	.A(n_41994),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[48]),
+	.Y(n_29812), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g687958 (
+	.A(n_29559),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[36]),
+	.Y(n_29811), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g687959 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[43]),
+	.B(n_29557),
+	.Y(n_29810), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g687960 (
+	.A(n_29569),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[55]),
+	.Y(n_29809), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g687961 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[60]),
+	.B(n_28809),
+	.Y(n_29808), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g687962 (
+	.A(n_29561),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[9]),
+	.Y(n_29807), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g687963 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[10]),
+	.B(n_41998),
+	.Y(n_29806), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g687964 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[28]),
+	.B(n_28809),
+	.Y(n_29805), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g687965 (
+	.A1(brqrv_top_brqrv_dec_decode_cam_raw[30]),
+	.A2(n_1708),
+	.B1(brqrv_top_brqrv_dec_decode_cam_raw[34]),
+	.B2(n_16970),
+	.C1(n_28964),
+	.Y(n_29804), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g687966 (
+	.A(n_29563),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[31]),
+	.Y(n_29803), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g687967 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[30]),
+	.B(n_29567),
+	.Y(n_29802), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g687968 (
+	.A(n_41997),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[19]),
+	.Y(n_29801), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g687969 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[4]),
+	.B(n_29559),
+	.Y(n_29800), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g687970 (
+	.A(n_29377),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[14]),
+	.Y(n_29799), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g687971 (
+	.A1(brqrv_top_brqrv_dec_div_waddr_wb[0]),
+	.A2(n_1708),
+	.B1(brqrv_top_brqrv_dec_div_waddr_wb[4]),
+	.B2(n_16970),
+	.C1(n_29072),
+	.Y(n_29798), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g687972 (
+	.A(n_29559),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[4]),
+	.Y(n_29797), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g687973 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[5]),
+	.B(n_29558),
+	.Y(n_29796), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g687974 (
+	.A(n_41998),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[10]),
+	.Y(n_29795), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g687975 (
+	.A(n_29561),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[9]),
+	.Y(n_29794), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g687976 (
+	.A(n_29562),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[6]),
+	.Y(n_29793), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g687977 (
+	.A(n_29564),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[17]),
+	.Y(n_29792), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g687978 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[28]),
+	.B(n_28809),
+	.Y(n_29791), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g687979 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[21]),
+	.B(n_29371),
+	.Y(n_29790), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g687980 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[30]),
+	.B(n_29567),
+	.Y(n_29789), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g687981 (
+	.A(n_29558),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[101]),
+	.Y(n_29788), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g687982 (
+	.A(n_29560),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[99]),
+	.Y(n_29787), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g687983 (
+	.A(n_41994),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[112]),
+	.Y(n_29786), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g687984 (
+	.A(n_29562),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[102]),
+	.Y(n_29785), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g687985 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[107]),
+	.B(n_29557),
+	.Y(n_29784), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g687986 (
+	.A(n_41995),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[123]),
+	.Y(n_29783), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g687987 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[120]),
+	.B(n_29566),
+	.Y(n_29782), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g687988 (
+	.A(n_41997),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[115]),
+	.Y(n_29781), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g687989 (
+	.A(n_28861),
+	.B(brqrv_top_brqrv_dec_i0_predict_p_d[46]),
+	.C(n_8174),
+	.D(n_28810),
+	.X(n_29780), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g687990 (
+	.A(n_32734),
+	.B(n_29611),
+	.Y(n_29779), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g687994 (
+	.A1(n_35518),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[4]),
+	.B1(n_29116),
+	.Y(n_29776), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g687995 (
+	.A1(n_28965),
+	.A2(n_28808),
+	.B1(n_29602),
+	.Y(n_29775), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g687996 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[16]),
+	.A2(n_34876),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[17]),
+	.B2(n_34910),
+	.C1(n_29305),
+	.Y(n_29774), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g687997 (
+	.A1(n_8238),
+	.A2(brqrv_top_brqrv_lsu_lsu_pkt_m[10]),
+	.B1(brqrv_top_brqrv_lsu_lsu_pkt_m[9]),
+	.Y(n_29773), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g687998 (
+	.A(n_29595),
+	.B(n_29464),
+	.Y(n_29772), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g687999 (
+	.A(n_29556),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[8]),
+	.Y(n_29771), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688000 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[30]),
+	.B(n_29567),
+	.Y(n_29770), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688001 (
+	.A(n_29571),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[12]),
+	.Y(n_29769), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688002 (
+	.A(n_29560),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[39]),
+	.Y(n_29768), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688003 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[13]),
+	.B(n_41996),
+	.Y(n_29767), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688004 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[20]),
+	.B(n_41939),
+	.Y(n_29766), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688005 (
+	.A(n_28809),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[28]),
+	.Y(n_29765), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688006 (
+	.A(n_29377),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[14]),
+	.Y(n_29764), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688007 (
+	.A(n_34890),
+	.B(brqrv_top_brqrv_lsu_end_addr_m[31]),
+	.Y(n_29763), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688008 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[19]),
+	.B(n_41997),
+	.Y(n_29762), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g688009 (
+	.A(n_35632),
+	.B_N(brqrv_top_brqrv_dbg_cmd_addr[11]),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g688010 (
+	.A(n_35632),
+	.B_N(brqrv_top_brqrv_dbg_cmd_addr[6]),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g688011 (
+	.A(n_35632),
+	.B_N(brqrv_top_brqrv_dbg_cmd_addr[10]),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g688012 (
+	.A(n_35632),
+	.B_N(brqrv_top_brqrv_dbg_cmd_addr[9]),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g688013 (
+	.A(n_35632),
+	.B_N(brqrv_top_brqrv_dbg_cmd_addr[5]),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688014 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_r[5]),
+	.B(n_29558),
+	.Y(n_29761), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688015 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_r[8]),
+	.B(n_29556),
+	.Y(n_29760), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211o_1 g688016 (
+	.A1(n_28916),
+	.A2(brqrv_top_brqrv_lsu_end_addr_r[2]),
+	.B1(brqrv_top_brqrv_lsu_lsu_pkt_r[4]),
+	.C1(n_29027),
+	.X(n_29759), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688017 (
+	.A(n_1848),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_m[4]),
+	.Y(n_29758), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688018 (
+	.A(n_1843),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_m[11]),
+	.Y(n_29757), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g688020 (
+	.A(n_29579),
+	.B_N(n_34825),
+	.Y(n_29755), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g688021 (
+	.A1(n_28873),
+	.A2(brqrv_top_brqrv_ifu_aln_brdata2[6]),
+	.B1(n_28939),
+	.B2(brqrv_top_brqrv_ifu_aln_brdata1[6]),
+	.C1(n_29520),
+	.Y(n_29754), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688022 (
+	.A(n_29606),
+	.B(n_34844),
+	.Y(n_29753), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g688023 (
+	.A1(n_29094),
+	.A2(n_10392),
+	.B1_N(brqrv_top_brqrv_pic_ctrl_inst_picm_mken_ff),
+	.Y(n_29752), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g688024 (
+	.A_N(n_34847),
+	.B(n_29579),
+	.Y(n_35495), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g688025 (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[2]),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[17]),
+	.B1(n_28837),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[19]),
+	.C1(n_28973),
+	.Y(n_29751), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g688026 (
+	.A1(brqrv_top_brqrv_lsu_stbuf_n_580),
+	.A2(n_28894),
+	.B1(n_29044),
+	.C1(n_29610),
+	.Y(n_29750), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g688027 (
+	.A1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[38]),
+	.A2(n_28894),
+	.B1(n_29060),
+	.C1(n_29605),
+	.Y(n_29749), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g688028 (
+	.A1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[14]),
+	.A2(n_28894),
+	.B1(n_29061),
+	.C1(n_29608),
+	.Y(n_29748), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g688029 (
+	.A1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[26]),
+	.A2(n_28894),
+	.B1(n_29055),
+	.C1(n_29609),
+	.Y(n_29747), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g688030 (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[16]),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[2]),
+	.B1(n_28837),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[18]),
+	.C1(n_28973),
+	.Y(n_29746), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g688031 (
+	.A1(n_28910),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[45]),
+	.B1(n_28849),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[47]),
+	.C1(n_29122),
+	.Y(n_29745), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688032 (
+	.A(n_29613),
+	.B(n_28975),
+	.Y(n_29744), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688033 (
+	.A(n_35499),
+	.B(n_34840),
+	.Y(n_29743), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688034 (
+	.A(n_29556),
+	.B(n_36273),
+	.Y(n_29742), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688035 (
+	.A(n_36274),
+	.B(n_29561),
+	.Y(n_29741), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g688036 (
+	.A1(n_28910),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[33]),
+	.B1(n_28849),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[35]),
+	.C1(n_29124),
+	.Y(n_29740), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688037 (
+	.A(n_28832),
+	.B(n_29592),
+	.Y(n_29739), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688038 (
+	.A(n_28854),
+	.B(n_29625),
+	.Y(n_29856), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688039 (
+	.A(n_35552),
+	.B(n_28812),
+	.Y(n_29855), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688040 (
+	.A(n_29622),
+	.B(n_29604),
+	.Y(brqrv_top_brqrv_dec_decode_i0_dp_raw[66]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688041 (
+	.A(n_29600),
+	.B(n_127),
+	.Y(n_29854), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688042 (
+	.A(n_28902),
+	.B(n_29583),
+	.Y(n_29853), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688043 (
+	.A(n_34828),
+	.B(n_28812),
+	.Y(n_29852), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688044 (
+	.A(n_29589),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[3]),
+	.Y(n_29851), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688045 (
+	.A(brqrv_top_brqrv_dec_i0_predict_p_d[43]),
+	.B(n_29597),
+	.Y(n_29850), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g688046 (
+	.A1(n_28946),
+	.A2(brqrv_top_brqrv_lsu_store_data_hi_r[9]),
+	.B1(n_28951),
+	.B2(brqrv_top_brqrv_lsu_store_data_hi_r[1]),
+	.C1(n_29419),
+	.Y(n_29849), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g688047 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[7]),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[9]),
+	.C(n_29394),
+	.Y(n_29847), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g688048 (
+	.A1(n_28946),
+	.A2(brqrv_top_brqrv_lsu_store_data_hi_r[17]),
+	.B1(n_28951),
+	.B2(brqrv_top_brqrv_lsu_store_data_hi_r[9]),
+	.C1(n_29412),
+	.Y(n_29846), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g688049 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[11]),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[8]),
+	.C(n_32409),
+	.D(n_28806),
+	.Y(n_29845), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688050 (
+	.A(n_35794),
+	.B(n_43131),
+	.Y(n_29843), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688051 (
+	.A(n_34888),
+	.B(n_29580),
+	.Y(n_29841), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688052 (
+	.A(n_17435),
+	.B(brqrv_top_brqrv_lsu_bus_intf_ldst_byteen_m[0]),
+	.Y(n_29840), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688053 (
+	.A(n_34810),
+	.B(n_34809),
+	.Y(n_36335), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688054 (
+	.A(n_34808),
+	.B(n_34809),
+	.Y(n_36336), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g688055 (
+	.A(n_29709),
+	.Y(n_38107), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g688056 (
+	.A(n_29705),
+	.Y(n_38103), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g688057 (
+	.A(n_29672),
+	.Y(n_38102), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g688058 (
+	.A(n_29669),
+	.Y(n_38101), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g688059 (
+	.A(n_29668),
+	.Y(n_38104), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g688060 (
+	.A(n_29658),
+	.Y(n_38106), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g688061 (
+	.A(n_29653),
+	.Y(n_38108), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g688062 (
+	.A(n_29650),
+	.Y(n_38105), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g688063 (
+	.A(n_1930),
+	.Y(n_36067), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g688064 (
+	.A(n_29637),
+	.Y(n_36100), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g688065 (
+	.A(n_29636),
+	.Y(n_36102), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g688066 (
+	.A(n_29635),
+	.Y(n_36098), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g688067 (
+	.A(n_1597),
+	.Y(n_36069), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g688068 (
+	.A(n_29633),
+	.Y(n_36090), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g688069 (
+	.A(n_1617),
+	.Y(n_36063), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g688070 (
+	.A(n_29632),
+	.Y(n_36096), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g688071 (
+	.A(n_29631),
+	.Y(n_36094), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g688072 (
+	.A(n_1601),
+	.Y(n_36065), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g688073 (
+	.A(n_1908),
+	.Y(n_36061), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g688074 (
+	.A(n_29726),
+	.Y(n_36104), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g688075 (
+	.A(n_1915),
+	.Y(n_36057), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g688076 (
+	.A1(n_35519),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[99]),
+	.B1(n_34916),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[101]),
+	.C1(n_29227),
+	.X(n_29722), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g688077 (
+	.A1(n_34841),
+	.A2(n_28996),
+	.B1(n_73),
+	.B2(n_34824),
+	.Y(n_29721), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688078 (
+	.A(n_29455),
+	.B(n_29456),
+	.Y(n_29720), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g688079 (
+	.A(brqrv_top_brqrv_dec_i0_predict_p_d[45]),
+	.B(brqrv_top_brqrv_dec_i0_predict_p_d[46]),
+	.C(n_28810),
+	.D(n_34824),
+	.X(n_29719), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688080 (
+	.A(n_29473),
+	.B(n_29535),
+	.Y(n_29718), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g688081 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[24]),
+	.A2(n_35523),
+	.B1(n_29219),
+	.C1(n_28958),
+	.Y(n_29717), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688082 (
+	.A(n_29214),
+	.B(n_29256),
+	.Y(n_29716), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g688083 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[8]),
+	.A2(n_34914),
+	.B1(n_29211),
+	.C1(n_28968),
+	.Y(n_29715), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g688084 (
+	.A1(n_28837),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[2]),
+	.B1(n_28918),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[3]),
+	.C1(n_29223),
+	.Y(n_29714), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688085 (
+	.A(n_29474),
+	.B(n_29475),
+	.Y(n_29713), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688086 (
+	.A(n_29457),
+	.B(n_29458),
+	.Y(n_29712), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688087 (
+	.A(n_29476),
+	.B(n_29477),
+	.Y(n_29711), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g688088 (
+	.A1(n_35519),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[3]),
+	.B1(n_34916),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[5]),
+	.C1(n_29194),
+	.X(n_29710), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g688089 (
+	.A1(n_11517),
+	.A2(brqrv_top_brqrv_ifu_aln_q1pc[30]),
+	.B1(brqrv_top_brqrv_ifu_aln_q0pc[30]),
+	.B2(FE_DBTN9_n_11562),
+	.C1(brqrv_top_brqrv_ifu_aln_q2pc[30]),
+	.C2(FE_DBTN8_n_11566),
+	.Y(n_29709), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688090 (
+	.A(n_29523),
+	.B(n_29479),
+	.Y(n_29708), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g688091 (
+	.A1(n_34879),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[66]),
+	.B1(n_34916),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[69]),
+	.C1(n_29304),
+	.Y(n_29707), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g688092 (
+	.A1(n_35511),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[79]),
+	.B1(n_34910),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[81]),
+	.C1(n_29302),
+	.X(n_29706), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g688093 (
+	.A1(brqrv_top_brqrv_ifu_aln_q0pc[26]),
+	.A2(FE_DBTN9_n_11562),
+	.B1(n_11517),
+	.B2(brqrv_top_brqrv_ifu_aln_q1pc[26]),
+	.C1(FE_DBTN8_n_11566),
+	.C2(brqrv_top_brqrv_ifu_aln_q2pc[26]),
+	.Y(n_29705), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o32ai_1 g688094 (
+	.A1(brqrv_top_brqrv_dec_decode_x_d[5]),
+	.A2(n_28925),
+	.A3(n_34798),
+	.B1(n_29012),
+	.B2(n_34797),
+	.Y(n_29704), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g688095 (
+	.A1(n_34886),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[82]),
+	.B1(n_34908),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[85]),
+	.C1(n_29300),
+	.Y(n_29703), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g688096 (
+	.A1(n_35523),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[88]),
+	.B1(n_34884),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[86]),
+	.C1(n_29299),
+	.X(n_29702), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g688097 (
+	.A1(n_11517),
+	.A2(brqrv_top_brqrv_ifu_aln_brdata1[5]),
+	.B1(brqrv_top_brqrv_ifu_aln_brdata0[5]),
+	.B2(FE_DBTN9_n_11562),
+	.C1(brqrv_top_brqrv_ifu_aln_brdata2[5]),
+	.C2(FE_DBTN8_n_11566),
+	.Y(n_29701), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g688098 (
+	.A1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[27]),
+	.A2(n_28913),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[29]),
+	.B2(n_28851),
+	.C1(n_29136),
+	.Y(n_29700), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g688099 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[94]),
+	.A2(n_34904),
+	.B1(n_29385),
+	.Y(n_29699), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g688100 (
+	.A1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[39]),
+	.A2(n_28913),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[41]),
+	.B2(n_28851),
+	.C1(n_29135),
+	.Y(n_29698), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g688101 (
+	.A1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[44]),
+	.A2(n_28850),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[45]),
+	.B2(n_28910),
+	.C1(n_29285),
+	.Y(n_29697), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g688102 (
+	.A1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[15]),
+	.A2(n_28913),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[17]),
+	.B2(n_28851),
+	.C1(n_29133),
+	.X(n_29696), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g688103 (
+	.A1(brqrv_top_brqrv_lsu_stbuf_n_604),
+	.A2(n_28850),
+	.B1(brqrv_top_brqrv_lsu_stbuf_n_608),
+	.B2(n_28910),
+	.C1(n_29279),
+	.Y(n_29695), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g688104 (
+	.A1(brqrv_top_brqrv_lsu_stbuf_n_584),
+	.A2(n_28913),
+	.B1(brqrv_top_brqrv_lsu_stbuf_n_588),
+	.B2(n_28912),
+	.C1(n_29278),
+	.Y(n_29694), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688105 (
+	.A(n_29528),
+	.B(n_29529),
+	.Y(n_29693), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g688106 (
+	.A1(FE_DBTN9_n_11562),
+	.A2(brqrv_top_brqrv_ifu_aln_brdata1[13]),
+	.B1(brqrv_top_brqrv_ifu_aln_brdata0[13]),
+	.B2(FE_DBTN8_n_11566),
+	.C1(brqrv_top_brqrv_ifu_aln_brdata2[13]),
+	.C2(n_11517),
+	.Y(n_29692), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g688107 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[62]),
+	.A2(n_34904),
+	.B1(n_29388),
+	.Y(n_29691), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g688108 (
+	.A1(n_28923),
+	.A2(brqrv_top_brqrv_lsu_lsu_addr_r[22]),
+	.B1(n_28933),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_r[24]),
+	.C1(n_29272),
+	.Y(n_29690), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g688109 (
+	.A1(n_28935),
+	.A2(brqrv_top_brqrv_lsu_lsu_addr_r[14]),
+	.B1(n_28930),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_r[17]),
+	.C1(n_29271),
+	.Y(n_29689), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g688110 (
+	.A1(n_28847),
+	.A2(brqrv_top_brqrv_lsu_lsu_addr_r[6]),
+	.B1(n_28850),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_r[8]),
+	.C1(n_29268),
+	.Y(n_29688), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g688111 (
+	.A1(n_28927),
+	.A2(brqrv_top_brqrv_lsu_lsu_addr_r[18]),
+	.B1(n_28869),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_r[21]),
+	.C1(n_29267),
+	.Y(n_29687), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g688112 (
+	.A1(n_35519),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[35]),
+	.B1(n_34879),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[34]),
+	.C1(n_29263),
+	.Y(n_29686), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g688113 (
+	.A1(n_28871),
+	.A2(brqrv_top_brqrv_lsu_lsu_addr_r[26]),
+	.B1(n_28931),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_r[29]),
+	.C1(n_29264),
+	.Y(n_29685), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g688114 (
+	.A1(n_28870),
+	.A2(brqrv_top_brqrv_lsu_lsu_addr_r[31]),
+	.B1(brqrv_top_brqrv_lsu_lsu_addr_m[5]),
+	.B2(n_1836),
+	.C1(n_29126),
+	.X(n_29684), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g688115 (
+	.A1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[22]),
+	.A2(n_28923),
+	.B1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[25]),
+	.B2(n_28867),
+	.C1(n_29262),
+	.Y(n_29683), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g688116 (
+	.A1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[18]),
+	.A2(n_28927),
+	.B1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[21]),
+	.B2(n_28869),
+	.C1(n_29303),
+	.Y(n_29682), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g688117 (
+	.A1(FE_DBTN9_n_11562),
+	.A2(brqrv_top_brqrv_ifu_aln_brdata1[5]),
+	.B1(brqrv_top_brqrv_ifu_aln_brdata0[5]),
+	.B2(FE_DBTN8_n_11566),
+	.C1(brqrv_top_brqrv_ifu_aln_brdata2[5]),
+	.C2(n_11517),
+	.Y(n_29681), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g688118 (
+	.A1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[15]),
+	.A2(n_28926),
+	.B1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[17]),
+	.B2(n_28930),
+	.C1(n_29260),
+	.Y(n_29680), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g688119 (
+	.A1(n_35516),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[39]),
+	.B1(n_34914),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[40]),
+	.C1(n_29259),
+	.X(n_29679), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g688120 (
+	.A1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[26]),
+	.A2(n_28871),
+	.B1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[29]),
+	.B2(n_28931),
+	.C1(n_29308),
+	.Y(n_29678), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g688121 (
+	.A1(n_34882),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[58]),
+	.B1(n_34906),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[61]),
+	.C1(n_29258),
+	.Y(n_29677), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688122 (
+	.A(n_29530),
+	.B(n_29531),
+	.Y(n_29676), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g688123 (
+	.A1(n_35524),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[51]),
+	.B1(n_34886),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[50]),
+	.C1(n_29255),
+	.X(n_29675), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g688124 (
+	.A1(n_34877),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[43]),
+	.B1(n_34878),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[42]),
+	.C1(n_29253),
+	.Y(n_29674), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g688125 (
+	.A1(n_35511),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[47]),
+	.B1(n_35512),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[46]),
+	.C1(n_29251),
+	.X(n_29673), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g688126 (
+	.A1(brqrv_top_brqrv_ifu_aln_q0pc[25]),
+	.A2(FE_DBTN9_n_11562),
+	.B1(n_11517),
+	.B2(brqrv_top_brqrv_ifu_aln_q1pc[25]),
+	.C1(FE_DBTN8_n_11566),
+	.C2(brqrv_top_brqrv_ifu_aln_q2pc[25]),
+	.Y(n_29672), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g688127 (
+	.A1(n_35523),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[120]),
+	.B1(n_34884),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[118]),
+	.C1(n_29249),
+	.Y(n_29671), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g688128 (
+	.A1(n_34877),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[107]),
+	.B1(n_34912),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[109]),
+	.C1(n_29247),
+	.X(n_29670), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g688129 (
+	.A1(brqrv_top_brqrv_ifu_aln_q1pc[24]),
+	.A2(n_11517),
+	.B1(FE_DBTN8_n_11566),
+	.B2(brqrv_top_brqrv_ifu_aln_q2pc[24]),
+	.C1(FE_DBTN9_n_11562),
+	.C2(brqrv_top_brqrv_ifu_aln_q0pc[24]),
+	.Y(n_29669), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g688130 (
+	.A1(n_11517),
+	.A2(brqrv_top_brqrv_ifu_aln_q1pc[27]),
+	.B1(brqrv_top_brqrv_ifu_aln_q0pc[27]),
+	.B2(FE_DBTN9_n_11562),
+	.C1(brqrv_top_brqrv_ifu_aln_q2pc[27]),
+	.C2(FE_DBTN8_n_11566),
+	.Y(n_29668), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g688131 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[126]),
+	.A2(n_34904),
+	.B1(n_29391),
+	.Y(n_29667), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g688132 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[18]),
+	.A2(n_34886),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[21]),
+	.B2(n_34908),
+	.C1(n_29208),
+	.Y(n_29666), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g688133 (
+	.A1(n_34880),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[124]),
+	.B1(n_34906),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[125]),
+	.C1(n_29236),
+	.Y(n_29665), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g688134 (
+	.A1(n_35516),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[103]),
+	.B1(n_34914),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[104]),
+	.C1(n_29234),
+	.X(n_29664), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g688135 (
+	.A1(n_35512),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[110]),
+	.B1(n_34910),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[113]),
+	.C1(n_29229),
+	.Y(n_29663), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o32ai_1 g688136 (
+	.A1(brqrv_top_brqrv_dec_dec_i0_instr_d[20]),
+	.A2(n_28902),
+	.A3(n_28989),
+	.B1(brqrv_top_brqrv_dec_dec_i0_instr_d[24]),
+	.B2(brqrv_top_brqrv_dec_i0_predict_p_d[47]),
+	.Y(n_29662), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g688137 (
+	.A(n_29009),
+	.B(brqrv_top_brqrv_dec_i0_predict_p_d[47]),
+	.C(n_28917),
+	.D(n_29004),
+	.Y(n_29661), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g688138 (
+	.A1(brqrv_top_brqrv_lsu_end_addr_m[7]),
+	.A2(n_35487),
+	.B1(n_34839),
+	.B2(brqrv_top_brqrv_lsu_end_addr_m[2]),
+	.C1(n_28955),
+	.Y(n_29660), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g688139 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[25]),
+	.A2(n_35522),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[23]),
+	.B2(n_34883),
+	.C1(n_29209),
+	.Y(n_29659), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g688140 (
+	.A1(FE_DBTN8_n_11566),
+	.A2(brqrv_top_brqrv_ifu_aln_q2pc[29]),
+	.B1(brqrv_top_brqrv_ifu_aln_q1pc[29]),
+	.B2(n_11517),
+	.C1(brqrv_top_brqrv_ifu_aln_q0pc[29]),
+	.C2(FE_DBTN9_n_11562),
+	.Y(n_29658), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g688141 (
+	.A1(n_28851),
+	.A2(brqrv_top_brqrv_lsu_lsu_addr_r[5]),
+	.B1(n_29384),
+	.Y(n_29657), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g688142 (
+	.A(n_32720),
+	.B(n_28861),
+	.C(brqrv_top_brqrv_dec_dec_i0_instr_d[22]),
+	.D(n_29017),
+	.X(n_29656), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g688143 (
+	.A1(n_11517),
+	.A2(brqrv_top_brqrv_ifu_aln_brdata1[11]),
+	.B1(brqrv_top_brqrv_ifu_aln_brdata0[11]),
+	.B2(FE_DBTN9_n_11562),
+	.C1(brqrv_top_brqrv_ifu_aln_brdata2[11]),
+	.C2(FE_DBTN8_n_11566),
+	.Y(n_29655), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g688144 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[9]),
+	.A2(n_35515),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[7]),
+	.B2(n_35516),
+	.C1(n_29212),
+	.Y(n_29654), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g688145 (
+	.A1(n_11517),
+	.A2(brqrv_top_brqrv_ifu_aln_q1pc[31]),
+	.B1(brqrv_top_brqrv_ifu_aln_q0pc[31]),
+	.B2(FE_DBTN9_n_11562),
+	.C1(brqrv_top_brqrv_ifu_aln_q2pc[31]),
+	.C2(FE_DBTN8_n_11566),
+	.Y(n_29653), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g688146 (
+	.A1(n_34877),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[11]),
+	.B1(n_28967),
+	.C1(n_29220),
+	.Y(n_29652), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g688147 (
+	.A1(brqrv_top_brqrv_ifu_aln_brdata2[1]),
+	.A2(n_28873),
+	.B1(n_28939),
+	.B2(brqrv_top_brqrv_ifu_aln_brdata1[1]),
+	.C1(brqrv_top_brqrv_ifu_aln_brdata0eff[9]),
+	.C2(n_28874),
+	.Y(n_29651), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g688148 (
+	.A1(n_11517),
+	.A2(brqrv_top_brqrv_ifu_aln_q1pc[28]),
+	.B1(brqrv_top_brqrv_ifu_aln_q0pc[28]),
+	.B2(FE_DBTN9_n_11562),
+	.C1(brqrv_top_brqrv_ifu_aln_q2pc[28]),
+	.C2(FE_DBTN8_n_11566),
+	.Y(n_29650), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g688149 (
+	.A1(n_35516),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[7]),
+	.B1(n_34914),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[8]),
+	.C1(n_29200),
+	.Y(n_29649), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g688150 (
+	.A1(brqrv_top_brqrv_dec_i0_predict_p_d[47]),
+	.A2(n_34816),
+	.B1(n_29616),
+	.X(n_29648), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g688151 (
+	.A1(n_29105),
+	.A2(n_28884),
+	.B1(n_29522),
+	.Y(n_29647), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g688152 (
+	.A1(n_34877),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[75]),
+	.B1(n_34912),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[77]),
+	.C1(n_29307),
+	.X(n_29646), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g688153 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[30]),
+	.A2(n_34904),
+	.B1(n_29387),
+	.Y(n_29645), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g688154 (
+	.A1(n_34877),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[11]),
+	.B1(n_34912),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[13]),
+	.C1(n_29193),
+	.X(n_29644), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g688155 (
+	.A(n_28907),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[3]),
+	.C(n_16970),
+	.D(n_28987),
+	.Y(n_29643), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g688156 (
+	.A1(n_35517),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[70]),
+	.B1(n_34914),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[72]),
+	.C1(n_29196),
+	.Y(n_29642), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g688157 (
+	.A1(n_35524),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[19]),
+	.B1(n_34908),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[21]),
+	.C1(n_29186),
+	.X(n_29641), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g688158 (
+	.A1(n_34880),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[28]),
+	.B1(n_34906),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[29]),
+	.C1(n_29190),
+	.Y(n_29640), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g688159 (
+	.A1(n_11517),
+	.A2(brqrv_top_brqrv_ifu_aln_brdata1[8]),
+	.B1(brqrv_top_brqrv_ifu_aln_brdata0[8]),
+	.B2(FE_DBTN9_n_11562),
+	.C1(brqrv_top_brqrv_ifu_aln_brdata2[8]),
+	.C2(FE_DBTN8_n_11566),
+	.Y(n_29639), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g688160 (
+	.A1(n_35511),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[15]),
+	.B1(n_34910),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[17]),
+	.C1(n_29310),
+	.Y(n_29638), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g688161 (
+	.A1(n_28839),
+	.A2(n_36131),
+	.B1(n_36132),
+	.B2(n_402475_BAR),
+	.C1(n_36133),
+	.C2(n_28844),
+	.Y(n_1930), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g688162 (
+	.A1(n_28839),
+	.A2(n_36133),
+	.B1(n_36132),
+	.B2(n_28841),
+	.C1(n_36134),
+	.C2(n_402475_BAR),
+	.Y(n_29637), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g688163 (
+	.A1(n_28839),
+	.A2(n_36138),
+	.B1(n_36137),
+	.B2(n_28841),
+	.C1(n_36139),
+	.C2(n_402475_BAR),
+	.Y(n_29636), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g688164 (
+	.A1(n_28839),
+	.A2(n_36128),
+	.B1(n_36127),
+	.B2(n_28841),
+	.C1(n_36129),
+	.C2(n_402475_BAR),
+	.Y(n_29635), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32o_1 g688165 (
+	.A1(n_29091),
+	.A2(n_28937),
+	.A3(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_tag1[0]),
+	.B1(n_29099),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_tag0[0]),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_5424), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o32ai_1 g688166 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_tag1[0]),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_tag1[1]),
+	.A3(n_29092),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_tag0[0]),
+	.B2(n_29100),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_5337), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o32ai_1 g688167 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_tag1[0]),
+	.A2(n_28937),
+	.A3(n_29092),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_tag0[0]),
+	.B2(n_29019),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_5511), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g688168 (
+	.A(n_28907),
+	.B(n_32406),
+	.C(n_29578),
+	.D(n_28902),
+	.Y(brqrv_top_brqrv_dec_decode_i0_dp_raw[50]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g688169 (
+	.A1(n_28839),
+	.A2(n_36136),
+	.B1(n_36137),
+	.B2(n_402475_BAR),
+	.C1(n_36138),
+	.C2(n_28844),
+	.Y(n_1597), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g688170 (
+	.A(n_29578),
+	.B(n_34841),
+	.C(n_29010),
+	.Y(brqrv_top_brqrv_dec_decode_i0_dp_raw[55]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688171 (
+	.A(n_29626),
+	.B(n_29629),
+	.Y(n_29634), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688172 (
+	.A(n_29628),
+	.B(n_29627),
+	.Y(n_33984), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g688174 (
+	.A1(n_28839),
+	.A2(n_36109),
+	.B1(n_36110),
+	.B2(n_402475_BAR),
+	.C1(n_36108),
+	.C2(n_28841),
+	.Y(n_29633), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g688175 (
+	.A1(n_28839),
+	.A2(n_36121),
+	.B1(n_43587),
+	.B2(n_402475_BAR),
+	.C1(n_36123),
+	.C2(n_28844),
+	.Y(n_1617), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g688176 (
+	.A(brqrv_top_brqrv_dec_i0_predict_p_d[44]),
+	.B(brqrv_top_brqrv_dec_i0_predict_p_d[47]),
+	.C(n_34901),
+	.D(n_29583),
+	.Y(n_29734), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g688177 (
+	.A1(n_28839),
+	.A2(n_36123),
+	.B1(n_43587),
+	.B2(n_28841),
+	.C1(n_36124),
+	.C2(n_402475_BAR),
+	.Y(n_29632), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g688178 (
+	.A1(n_28839),
+	.A2(n_36118),
+	.B1(n_36117),
+	.B2(n_28841),
+	.C1(n_36119),
+	.C2(n_402475_BAR),
+	.Y(n_29631), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g688179 (
+	.A1_N(n_35459),
+	.A2_N(brqrv_top_brqrv_ifu_aln_first2B),
+	.B1(n_11528),
+	.B2(n_29087),
+	.Y(n_29733), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g688180 (
+	.A1(n_28839),
+	.A2(n_36126),
+	.B1(n_36127),
+	.B2(n_402475_BAR),
+	.C1(n_36128),
+	.C2(n_28844),
+	.Y(n_1601), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g688181 (
+	.A1(n_11517),
+	.A2(brqrv_top_brqrv_ifu_aln_brdata1[14]),
+	.B1(brqrv_top_brqrv_ifu_aln_brdata0[14]),
+	.B2(FE_DBTN9_n_11562),
+	.C1(brqrv_top_brqrv_ifu_aln_brdata2[14]),
+	.C2(FE_DBTN8_n_11566),
+	.Y(n_29732), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g688182 (
+	.A1(n_28839),
+	.A2(n_36116),
+	.B1(n_36117),
+	.B2(n_402475_BAR),
+	.C1(n_36118),
+	.C2(n_28844),
+	.Y(n_1908), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g688183 (
+	.A1(n_11517),
+	.A2(brqrv_top_brqrv_ifu_aln_brdata1[13]),
+	.B1(brqrv_top_brqrv_ifu_aln_brdata0[13]),
+	.B2(FE_DBTN9_n_11562),
+	.C1(brqrv_top_brqrv_ifu_aln_brdata2[13]),
+	.C2(FE_DBTN8_n_11566),
+	.Y(n_29730), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g688184 (
+	.A1(n_28877),
+	.A2(n_34031),
+	.B1(n_28876),
+	.B2(n_35073),
+	.C1(n_29039),
+	.Y(n_36241), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32o_1 g688185 (
+	.A1(n_29091),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_tag1[1]),
+	.A3(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_tag1[0]),
+	.B1(n_29018),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_tag0[0]),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_5601), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g688186 (
+	.A(n_29515),
+	.B(n_29516),
+	.Y(n_29729), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688187 (
+	.A(n_29513),
+	.B(n_29514),
+	.Y(n_29728), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g688188 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[3]),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[2]),
+	.C(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[4]),
+	.D(n_29084),
+	.Y(n_29727), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g688189 (
+	.A1(n_28881),
+	.A2(brqrv_top_brqrv_lsu_stbuf_n_1475),
+	.B1(brqrv_top_brqrv_lsu_lsu_pkt_r[8]),
+	.B2(n_34031),
+	.C1(n_29494),
+	.X(n_36201), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g688190 (
+	.A1(n_28839),
+	.A2(n_36143),
+	.B1(n_36144),
+	.B2(n_402475_BAR),
+	.C1(n_36142),
+	.C2(n_28841),
+	.Y(n_29726), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g688191 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[3]),
+	.B(n_28837),
+	.C(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[4]),
+	.D(n_29084),
+	.X(n_29725), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g688192 (
+	.A1(n_28877),
+	.A2(n_33990),
+	.B1(brqrv_top_brqrv_lsu_lsu_addr_r[1]),
+	.B2(n_28919),
+	.C1(n_29030),
+	.Y(n_36204), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g688193 (
+	.A1(n_28844),
+	.A2(n_36109),
+	.B1(n_36107),
+	.B2(n_28839),
+	.C1(n_36108),
+	.C2(n_402475_BAR),
+	.Y(n_1915), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g688194 (
+	.A1(n_28956),
+	.A2(brqrv_top_brqrv_lsu_lsu_pkt_r[9]),
+	.B1(n_28940),
+	.B2(brqrv_top_brqrv_lsu_stbuf_n_1476),
+	.C1(brqrv_top_brqrv_lsu_lsu_pkt_r[8]),
+	.X(n_36206), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2o_4 g688196 (
+	.A1_N(n_11562),
+	.A2_N(n_35078),
+	.B1(n_11517),
+	.B2(n_35078),
+	.X(n_35423), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_4 g688197 (
+	.A1(n_29086),
+	.A2(n_11517),
+	.B1(n_35078),
+	.B2(FE_DBTN8_n_11566),
+	.X(n_35421), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2o_4 g688198 (
+	.A1_N(n_11566),
+	.A2_N(n_35078),
+	.B1(FE_DBTN9_n_11562),
+	.B2(n_35078),
+	.X(n_35422), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g688199 (
+	.A(n_29623),
+	.Y(n_29624), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g688200 (
+	.A(n_29618),
+	.Y(n_29619), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g688201 (
+	.A(n_29611),
+	.Y(n_35449), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g688203 (
+	.A(n_29595),
+	.Y(brqrv_top_brqrv_dec_decode_n_1216), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g688205 (
+	.A(n_43131),
+	.Y(n_29594), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g688206 (
+	.A(n_1848),
+	.Y(n_36269), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g688207 (
+	.A(n_29592),
+	.Y(n_35499), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g688208 (
+	.A(n_1849),
+	.Y(n_36272), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g688209 (
+	.A(n_1843),
+	.Y(n_36276), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g688210 (
+	.A(n_29589),
+	.Y(brqrv_top_brqrv_dec_dec_i0_instr_d[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g688211 (
+	.A(n_1547),
+	.Y(n_36275), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g688212 (
+	.A(n_1544),
+	.Y(n_36274), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g688213 (
+	.A(n_1851),
+	.Y(n_36271), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g688214 (
+	.A(n_1844),
+	.Y(n_36270), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g688215 (
+	.A(n_1847),
+	.Y(n_36268), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g688217 (
+	.A(n_35711),
+	.Y(n_17435), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g688218 (
+	.A(n_29580),
+	.Y(n_29581), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g688219 (
+	.A(n_29579),
+	.Y(n_29578), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g688221 (
+	.A(n_29575),
+	.Y(n_29574), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g688223 (
+	.A(n_41939),
+	.Y(brqrv_top_brqrv_lsu_end_addr_m[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g688224 (
+	.A(n_29571),
+	.Y(brqrv_top_brqrv_lsu_end_addr_m[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g688225 (
+	.A(n_29570),
+	.Y(brqrv_top_brqrv_lsu_end_addr_m[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g688226 (
+	.A(n_29569),
+	.Y(brqrv_top_brqrv_lsu_end_addr_m[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g688227 (
+	.A(n_29568),
+	.Y(brqrv_top_brqrv_lsu_end_addr_m[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g688228 (
+	.A(n_29567),
+	.Y(brqrv_top_brqrv_lsu_end_addr_m[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g688229 (
+	.A(n_29566),
+	.Y(brqrv_top_brqrv_lsu_end_addr_m[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g688230 (
+	.A(n_29565),
+	.Y(brqrv_top_brqrv_lsu_end_addr_m[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g688231 (
+	.A(n_29564),
+	.Y(brqrv_top_brqrv_lsu_end_addr_m[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g688232 (
+	.A(n_29563),
+	.Y(brqrv_top_brqrv_lsu_end_addr_m[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g688233 (
+	.A(n_29562),
+	.Y(brqrv_top_brqrv_lsu_end_addr_m[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g688234 (
+	.A(n_29561),
+	.Y(brqrv_top_brqrv_lsu_end_addr_m[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g688235 (
+	.A(n_29560),
+	.Y(brqrv_top_brqrv_lsu_end_addr_m[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g688236 (
+	.A(n_29559),
+	.Y(brqrv_top_brqrv_lsu_end_addr_m[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g688237 (
+	.A(n_29558),
+	.Y(brqrv_top_brqrv_lsu_end_addr_m[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g688238 (
+	.A(n_29557),
+	.Y(brqrv_top_brqrv_lsu_end_addr_m[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g688239 (
+	.A(n_29556),
+	.Y(brqrv_top_brqrv_lsu_end_addr_m[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g688240 (
+	.A(n_1671),
+	.Y(n_34900), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g688242 (
+	.A(n_1669),
+	.Y(n_34899), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g688243 (
+	.A(n_29552),
+	.Y(n_34898), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g688244 (
+	.A(n_1677),
+	.Y(n_34903), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688245 (
+	.A(n_270072_BAR),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [1]),
+	.Y(n_29550), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688246 (
+	.A(n_270073_BAR),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [94]),
+	.Y(n_29549), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688247 (
+	.A(n_270072_BAR),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [16]),
+	.Y(n_29548), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688248 (
+	.A(n_1762),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [17]),
+	.Y(n_29547), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688249 (
+	.A(n_1762),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [15]),
+	.Y(n_29629), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688250 (
+	.A(FE_DBTN10_n_34088),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [142]),
+	.Y(n_29546), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g688251 (
+	.A1(n_28875),
+	.A2(brqrv_top_brqrv_ifu_aln_brdata0[1]),
+	.B1(n_28938),
+	.B2(brqrv_top_brqrv_ifu_aln_brdata0[9]),
+	.Y(n_29545), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g688252 (
+	.A1(n_35555),
+	.A2(n_28882),
+	.B1(n_35553),
+	.B2(n_28886),
+	.Y(n_29544), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688253 (
+	.A(FE_DBTN10_n_34088),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [132]),
+	.Y(n_29628), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688254 (
+	.A(n_270074_BAR),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [54]),
+	.Y(n_29543), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688255 (
+	.A(n_2068),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [85]),
+	.Y(n_29542), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688256 (
+	.A(n_270074_BAR),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [40]),
+	.Y(n_29541), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688257 (
+	.A(n_270074_BAR),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [53]),
+	.Y(n_29540), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688258 (
+	.A(n_8323),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [63]),
+	.Y(n_29539), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g688259 (
+	.A1(n_35554),
+	.A2(n_28887),
+	.B1(n_35553),
+	.B2(n_28950),
+	.Y(n_29538), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688260 (
+	.A(FE_DBTN10_n_34088),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [141]),
+	.Y(n_29537), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688261 (
+	.A(n_1762),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [24]),
+	.Y(n_29536), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688262 (
+	.A(n_270074_BAR),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [44]),
+	.Y(n_29535), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688263 (
+	.A(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [26]),
+	.B(n_1762),
+	.Y(n_29534), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g688264 (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[10]),
+	.A2(n_28891),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[11]),
+	.B2(n_28945),
+	.Y(n_29533), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g688265 (
+	.A1_N(brqrv_top_brqrv_ifu_aln_brdata2[3]),
+	.A2_N(n_28873),
+	.B1(n_35555),
+	.B2(n_28948),
+	.Y(n_29532), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688266 (
+	.A(n_270071_BAR),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [125]),
+	.Y(n_29531), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688267 (
+	.A(n_270073_BAR),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [86]),
+	.Y(n_29530), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688268 (
+	.A(n_270074_BAR),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [47]),
+	.Y(n_29529), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688269 (
+	.A(n_270072_BAR),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [8]),
+	.Y(n_29528), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688270 (
+	.A(n_270071_BAR),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [117]),
+	.Y(n_29527), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688271 (
+	.A(n_270073_BAR),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [78]),
+	.Y(n_29526), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688272 (
+	.A(n_270074_BAR),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [39]),
+	.Y(n_29525), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688273 (
+	.A(n_270072_BAR),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [0]),
+	.Y(n_29524), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688274 (
+	.A(n_270073_BAR),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [91]),
+	.Y(n_29523), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g688275 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[96]),
+	.B_N(n_29104),
+	.Y(n_29522), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688276 (
+	.A(n_270071_BAR),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [127]),
+	.Y(n_29521), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g688277 (
+	.A1(n_28949),
+	.A2(n_35555),
+	.B1(n_28883),
+	.B2(n_35553),
+	.Y(n_29520), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688278 (
+	.A(n_270073_BAR),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [88]),
+	.Y(n_29519), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688279 (
+	.A(n_29090),
+	.B(n_29013),
+	.Y(n_29518), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688280 (
+	.A(n_1762),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [25]),
+	.Y(n_29517), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g688281 (
+	.A(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [140]),
+	.B(FE_DBTN10_n_34088),
+	.Y(n_29516), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g688282 (
+	.A(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [62]),
+	.B(n_8323),
+	.Y(n_29515), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688283 (
+	.A(FE_DBTN10_n_34088),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [148]),
+	.Y(n_29514), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688284 (
+	.A(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [70]),
+	.B(n_8323),
+	.Y(n_29513), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688285 (
+	.A(n_270071_BAR),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [132]),
+	.Y(n_29512), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688286 (
+	.A(n_270073_BAR),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [93]),
+	.Y(n_29511), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688287 (
+	.A(n_270072_BAR),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [15]),
+	.Y(n_29510), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688288 (
+	.A(n_270071_BAR),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [124]),
+	.Y(n_29509), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688289 (
+	.A(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [85]),
+	.B(n_270073_BAR),
+	.Y(n_29508), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688290 (
+	.A(n_270074_BAR),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [46]),
+	.Y(n_29507), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688291 (
+	.A(n_270072_BAR),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [7]),
+	.Y(n_29506), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688292 (
+	.A(FE_DBTN10_n_34088),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [139]),
+	.Y(n_29505), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688293 (
+	.A(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [61]),
+	.B(n_8323),
+	.Y(n_29504), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688294 (
+	.A(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [100]),
+	.B(n_2068),
+	.Y(n_29503), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688295 (
+	.A(n_1762),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [22]),
+	.Y(n_29502), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688296 (
+	.A(FE_DBTN10_n_34088),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [147]),
+	.Y(n_29501), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688297 (
+	.A(n_8323),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [69]),
+	.Y(n_29500), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688298 (
+	.A(n_2068),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [108]),
+	.Y(n_29499), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688299 (
+	.A(n_1762),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [30]),
+	.Y(n_29498), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688300 (
+	.A(n_270072_BAR),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [9]),
+	.Y(n_29497), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688301 (
+	.A(n_270071_BAR),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [131]),
+	.Y(n_29496), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688302 (
+	.A(n_270073_BAR),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [92]),
+	.Y(n_29495), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g688303 (
+	.A1(n_28876),
+	.A2(n_33990),
+	.B1(n_12043),
+	.B2(n_33999),
+	.Y(n_29494), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688304 (
+	.A(n_270072_BAR),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [14]),
+	.Y(n_29493), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688305 (
+	.A(n_270071_BAR),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [123]),
+	.Y(n_29492), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688306 (
+	.A(n_270073_BAR),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [84]),
+	.Y(n_29491), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688307 (
+	.A(n_270074_BAR),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [45]),
+	.Y(n_29490), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688308 (
+	.A(n_270072_BAR),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [6]),
+	.Y(n_29489), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688309 (
+	.A(n_1762),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [16]),
+	.Y(n_29488), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688310 (
+	.A(FE_DBTN10_n_34088),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [138]),
+	.Y(n_29487), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688311 (
+	.A(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [60]),
+	.B(n_8323),
+	.Y(n_29486), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688312 (
+	.A(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [99]),
+	.B(n_2068),
+	.Y(n_29485), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688313 (
+	.A(n_1762),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [21]),
+	.Y(n_29484), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688314 (
+	.A(FE_DBTN10_n_34088),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [146]),
+	.Y(n_29483), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688315 (
+	.A(n_8323),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [68]),
+	.Y(n_29482), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688316 (
+	.A(n_2068),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [107]),
+	.Y(n_29481), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688317 (
+	.A(n_1762),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [29]),
+	.Y(n_29480), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688318 (
+	.A(n_270071_BAR),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [130]),
+	.Y(n_29479), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688319 (
+	.A(n_270074_BAR),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [48]),
+	.Y(n_29478), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688320 (
+	.A(n_270074_BAR),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [52]),
+	.Y(n_29477), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688321 (
+	.A(n_270072_BAR),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [13]),
+	.Y(n_29476), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688322 (
+	.A(n_270071_BAR),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [122]),
+	.Y(n_29475), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688323 (
+	.A(n_270073_BAR),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [83]),
+	.Y(n_29474), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688324 (
+	.A(n_270072_BAR),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [5]),
+	.Y(n_29473), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688325 (
+	.A(FE_DBTN10_n_34088),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [137]),
+	.Y(n_29472), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688326 (
+	.A(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [59]),
+	.B(n_8323),
+	.Y(n_29471), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688327 (
+	.A(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [98]),
+	.B(n_2068),
+	.Y(n_29470), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688328 (
+	.A(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [20]),
+	.B(n_1762),
+	.Y(n_29469), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688329 (
+	.A(n_270071_BAR),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [121]),
+	.Y(n_29468), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688330 (
+	.A(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [82]),
+	.B(n_270073_BAR),
+	.Y(n_29467), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688331 (
+	.A(n_270074_BAR),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [43]),
+	.Y(n_29466), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688332 (
+	.A(n_270072_BAR),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [4]),
+	.Y(n_29465), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688333 (
+	.A(n_35564),
+	.B(n_34827),
+	.Y(n_29464), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g688334 (
+	.A1_N(brqrv_top_brqrv_ifu_aln_brdata1[0]),
+	.A2_N(n_28939),
+	.B1(n_35554),
+	.B2(n_28889),
+	.Y(n_29463), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688335 (
+	.A(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [67]),
+	.B(n_8323),
+	.Y(n_29462), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688336 (
+	.A(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [106]),
+	.B(n_2068),
+	.Y(n_29461), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688337 (
+	.A(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [28]),
+	.B(n_1762),
+	.Y(n_29460), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g688338 (
+	.A1_N(n_28939),
+	.A2_N(brqrv_top_brqrv_ifu_aln_brdata1[3]),
+	.B1(n_35559),
+	.B2(n_28947),
+	.Y(n_29459), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688339 (
+	.A(n_270071_BAR),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [129]),
+	.Y(n_29458), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688340 (
+	.A(n_270073_BAR),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [90]),
+	.Y(n_29457), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688341 (
+	.A(n_270074_BAR),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [51]),
+	.Y(n_29456), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688342 (
+	.A(n_270072_BAR),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [12]),
+	.Y(n_29455), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688343 (
+	.A(n_8323),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [58]),
+	.Y(n_29454), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688344 (
+	.A(FE_DBTN10_n_34088),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [136]),
+	.Y(n_29453), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688345 (
+	.A(n_2068),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [97]),
+	.Y(n_29452), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688346 (
+	.A(n_1762),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [19]),
+	.Y(n_29451), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688347 (
+	.A(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [95]),
+	.B(n_2068),
+	.Y(n_29450), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688348 (
+	.A(n_1762),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [7]),
+	.Y(n_29449), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688349 (
+	.A(n_270071_BAR),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [120]),
+	.Y(n_29448), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688350 (
+	.A(n_270073_BAR),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [81]),
+	.Y(n_29447), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688351 (
+	.A(n_270072_BAR),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [3]),
+	.Y(n_29446), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g688353 (
+	.A1(n_28879),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[10]),
+	.B1(n_28880),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[11]),
+	.Y(n_29444), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688354 (
+	.A(FE_DBTN10_n_34088),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [144]),
+	.Y(n_29443), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688355 (
+	.A(n_8323),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [66]),
+	.Y(n_29442), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688356 (
+	.A(n_1762),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [27]),
+	.Y(n_29441), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688357 (
+	.A(n_270071_BAR),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [128]),
+	.Y(n_29440), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688358 (
+	.A(n_270073_BAR),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [89]),
+	.Y(n_29439), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688359 (
+	.A(n_270074_BAR),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [50]),
+	.Y(n_29438), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688360 (
+	.A(n_270072_BAR),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [11]),
+	.Y(n_29437), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688361 (
+	.A(FE_DBTN10_n_34088),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [135]),
+	.Y(n_29436), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688362 (
+	.A(n_8323),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [57]),
+	.Y(n_29435), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688363 (
+	.A(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [96]),
+	.B(n_2068),
+	.Y(n_29434), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688364 (
+	.A(n_1762),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [18]),
+	.Y(n_29433), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688365 (
+	.A(n_270074_BAR),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [49]),
+	.Y(n_29432), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688366 (
+	.A(n_270072_BAR),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [10]),
+	.Y(n_29431), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688367 (
+	.A(FE_DBTN10_n_34088),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [143]),
+	.Y(n_29430), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688368 (
+	.A(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [65]),
+	.B(n_8323),
+	.Y(n_29429), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688369 (
+	.A(n_270071_BAR),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [119]),
+	.Y(n_29428), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688370 (
+	.A(n_270073_BAR),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [80]),
+	.Y(n_29427), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688371 (
+	.A(n_270074_BAR),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [41]),
+	.Y(n_29426), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688372 (
+	.A(n_270072_BAR),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [2]),
+	.Y(n_29425), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g688373 (
+	.A1_N(brqrv_top_brqrv_ifu_aln_brdata2[0]),
+	.A2_N(n_28873),
+	.B1(n_35559),
+	.B2(n_28885),
+	.Y(n_29424), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688374 (
+	.A(n_8323),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [54]),
+	.Y(n_29627), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688375 (
+	.A(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [104]),
+	.B(n_2068),
+	.Y(n_29423), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688376 (
+	.A(n_29009),
+	.B(n_29090),
+	.Y(n_29422), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688377 (
+	.A(FE_DBTN10_n_34088),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [134]),
+	.Y(n_29421), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688378 (
+	.A(n_8323),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [64]),
+	.Y(n_29420), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g688379 (
+	.A1(n_28943),
+	.A2(n_34052),
+	.B1(n_28890),
+	.B2(n_34054),
+	.Y(n_29419), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688380 (
+	.A(n_8323),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [55]),
+	.Y(n_29418), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688381 (
+	.A(n_270071_BAR),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [126]),
+	.Y(n_29417), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688382 (
+	.A(n_270073_BAR),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [87]),
+	.Y(n_29416), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688383 (
+	.A(n_270071_BAR),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [118]),
+	.Y(n_29415), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688384 (
+	.A(n_270073_BAR),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [79]),
+	.Y(n_29414), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688385 (
+	.A(n_270071_BAR),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [133]),
+	.Y(n_29413), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g688386 (
+	.A1(n_28941),
+	.A2(n_34052),
+	.B1(n_28943),
+	.B2(n_34054),
+	.Y(n_29412), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g688387 (
+	.A(brqrv_top_brqrv_dec_dec_tlu_debug_stall),
+	.B(brqrv_top_brqrv_dec_decode_postsync_stall),
+	.C(brqrv_top_brqrv_dec_extint_stall),
+	.Y(n_29411), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688388 (
+	.A(n_270074_BAR),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [42]),
+	.Y(n_29410), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688389 (
+	.A(n_2068),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [105]),
+	.Y(n_29409), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688390 (
+	.A(n_8323),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [56]),
+	.Y(n_29408), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688391 (
+	.A(FE_DBTN10_n_34088),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [133]),
+	.Y(n_29407), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688392 (
+	.A(n_2068),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [94]),
+	.Y(n_29406), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688393 (
+	.A(n_2068),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [93]),
+	.Y(n_29626), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688394 (
+	.A(FE_DBTN10_n_34088),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [124]),
+	.Y(n_29405), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688395 (
+	.A(n_8323),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [46]),
+	.Y(n_29404), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g688396 (
+	.A(brqrv_top_brqrv_dec_decode_x_d[4]),
+	.B(brqrv_top_brqrv_dec_decode_x_d[5]),
+	.C(brqrv_top_brqrv_dec_decode_x_d[3]),
+	.Y(n_29403), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688397 (
+	.A(n_270074_BAR),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [55]),
+	.Y(n_29402), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688398 (
+	.A(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [12]),
+	.B(n_1762),
+	.Y(n_29401), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688399 (
+	.A(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [51]),
+	.B(n_8323),
+	.Y(n_29400), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688400 (
+	.A(n_2068),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [90]),
+	.Y(n_29399), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688401 (
+	.A(FE_DBTN10_n_34088),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [145]),
+	.Y(n_29398), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688402 (
+	.A(FE_DBTN10_n_34088),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [129]),
+	.Y(n_29397), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3b_1 g688403 (
+	.A(\brqrv_top_brqrv_dec_tlu_freeff_dff_dout[3]_63 ),
+	.B(n_36411),
+	.C_N(brqrv_top_brqrv_dec_decode_r_d[1]),
+	.X(n_33865), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688404 (
+	.A(n_29090),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[2]),
+	.Y(n_29396), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688405 (
+	.A(n_29108),
+	.B(n_34870),
+	.Y(n_29395), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g688406 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[8]),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[10]),
+	.C(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[11]),
+	.X(n_29394), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g688407 (
+	.A1(n_35598),
+	.A2(FE_DBTN25_brqrv_top_brqrv_ifu_aln_first2B),
+	.B1(n_33910),
+	.Y(n_29393), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688408 (
+	.A(n_32720),
+	.B(n_29089),
+	.Y(n_29392), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g688409 (
+	.A1(n_35520),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[127]),
+	.B1(n_29110),
+	.Y(n_29391), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688410 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[14]),
+	.B(n_29008),
+	.Y(n_346215_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g688411 (
+	.A_N(n_35564),
+	.B(n_29054),
+	.Y(n_29390), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688412 (
+	.A(n_34874),
+	.B(brqrv_top_brqrv_dec_i0_predict_p_d[44]),
+	.Y(n_29389), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g688413 (
+	.A1(n_35520),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[63]),
+	.B1(n_29097),
+	.Y(n_29388), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g688414 (
+	.A1(n_35520),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[31]),
+	.B1(n_29098),
+	.Y(n_29387), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688415 (
+	.A(n_29089),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[24]),
+	.Y(n_29386), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g688416 (
+	.A1(n_35520),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[95]),
+	.B1(n_29106),
+	.Y(n_29385), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g688417 (
+	.A1(brqrv_top_brqrv_lsu_lsu_addr_r[2]),
+	.A2(n_28916),
+	.B1(n_29093),
+	.Y(n_29384), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g688418 (
+	.A(n_29020),
+	.B(n_8229),
+	.X(n_29383), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688419 (
+	.A(n_28990),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[24]),
+	.Y(n_35319), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688420 (
+	.A(n_8174),
+	.B(n_29003),
+	.Y(n_29382), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g688421 (
+	.A(n_35050),
+	.B(n_34204),
+	.X(n_34523), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g688422 (
+	.A1(brqrv_top_brqrv_dbg_data1_reg[7]),
+	.A2(n_12030),
+	.B1(brqrv_top_brqrv_dbg_command_reg[7]),
+	.B2(n_36371),
+	.X(brqrv_top_brqrv_dbg_cmd_addr[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g688423 (
+	.A1(n_28859),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_ldst_byteen_r[0]),
+	.B1(n_34819),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_ldst_byteen_r[1]),
+	.Y(n_29625), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g688425 (
+	.A1(n_28859),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_ldst_byteen_r[1]),
+	.B1(n_34819),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_ldst_byteen_r[3]),
+	.Y(n_29623), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g688426 (
+	.A(n_110),
+	.B(n_28986),
+	.C(brqrv_top_brqrv_dec_i0_predict_p_d[42]),
+	.Y(n_29622), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g688427 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [25]),
+	.B(n_34102),
+	.X(n_34524), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g688429 (
+	.A(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [102]),
+	.B(n_2068),
+	.Y(n_33977), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688430 (
+	.A(brqrv_top_brqrv_dec_decode_leak1_mode),
+	.B(n_34842),
+	.Y(n_35707), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688431 (
+	.A(n_32734),
+	.B(n_17414),
+	.Y(n_29621), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688432 (
+	.A(n_28998),
+	.B(n_8173),
+	.Y(n_29620), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688433 (
+	.A(n_31667),
+	.B(n_35504),
+	.Y(n_29618), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g688434 (
+	.A_N(n_29102),
+	.B(brqrv_top_brqrv_dec_decode_x_d[6]),
+	.Y(n_29617), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688435 (
+	.A(n_28997),
+	.B(n_28902),
+	.Y(n_29616), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688436 (
+	.A(n_28990),
+	.B(n_16970),
+	.Y(n_34815), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688437 (
+	.A(n_28994),
+	.B(n_28973),
+	.Y(n_29615), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688438 (
+	.A(brqrv_top_brqrv_dec_decode_x_d[6]),
+	.B(n_29102),
+	.Y(n_29614), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g688439 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [1]),
+	.B(n_34528),
+	.X(n_34527), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688440 (
+	.A(n_2068),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [103]),
+	.Y(n_35465), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3b_1 g688441 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[2]),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[0]),
+	.C_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[1]),
+	.X(n_35526), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688442 (
+	.A(n_28999),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[5]),
+	.Y(n_34825), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3b_1 g688443 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[5]),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[3]),
+	.C_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[4]),
+	.X(n_34889), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g688444 (
+	.A(brqrv_top_brqrv_lsu_addr_external_m),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_r_in[5]),
+	.C_N(brqrv_top_brqrv_lsu_lsu_pkt_m[11]),
+	.Y(n_29613), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g688445 (
+	.A1(brqrv_top_brqrv_dec_decode_x_d[5]),
+	.A2(brqrv_top_brqrv_dec_decode_x_d[4]),
+	.B1(brqrv_top_brqrv_dec_decode_x_d[3]),
+	.Y(n_29612), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g688446 (
+	.A_N(n_29101),
+	.B(n_29088),
+	.Y(brqrv_top_brqrv_dec_dec_ib0_valid_d), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3b_1 g688447 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[11]),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[9]),
+	.C_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[10]),
+	.X(n_35527), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g688448 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [10]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [11]),
+	.C(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [9]),
+	.X(n_34519), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3b_1 g688449 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[8]),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[6]),
+	.C_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[7]),
+	.X(n_34859), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g688450 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dualhi[1]),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dual[1]),
+	.B1(n_33320),
+	.X(n_34810), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688451 (
+	.A(n_28999),
+	.B(n_43112),
+	.Y(n_35480), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g688452 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dualhi[2]),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dual[2]),
+	.B1(n_33319),
+	.X(n_34808), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688453 (
+	.A(n_32728),
+	.B(n_34845),
+	.Y(n_29611), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g688454 (
+	.A_N(n_35321),
+	.B(brqrv_top_brqrv_lsu_addr_in_dccm_m),
+	.Y(n_29610), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g688455 (
+	.A_N(brqrv_top_brqrv_lsu_stbuf_stbuf_dma_kill[2]),
+	.B(brqrv_top_brqrv_lsu_addr_in_dccm_m),
+	.C(brqrv_top_brqrv_lsu_stbuf_stbuf_vld[2]),
+	.Y(n_29609), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g688456 (
+	.A_N(brqrv_top_brqrv_lsu_stbuf_stbuf_dma_kill[1]),
+	.B(brqrv_top_brqrv_lsu_addr_in_dccm_m),
+	.C(brqrv_top_brqrv_lsu_stbuf_stbuf_vld[1]),
+	.Y(n_29608), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g688457 (
+	.A1(brqrv_top_brqrv_dec_decode_x_d[7]),
+	.A2(brqrv_top_brqrv_dec_decode_x_d[6]),
+	.B1(brqrv_top_brqrv_dec_decode_x_d[3]),
+	.Y(n_29607), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g688458 (
+	.A_N(brqrv_top_brqrv_dec_decode_x_d[7]),
+	.B(brqrv_top_brqrv_dec_decode_x_d[6]),
+	.C(brqrv_top_brqrv_dec_decode_x_d[3]),
+	.Y(n_29606), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g688459 (
+	.A_N(brqrv_top_brqrv_lsu_stbuf_stbuf_dma_kill[3]),
+	.B(brqrv_top_brqrv_lsu_addr_in_dccm_m),
+	.C(brqrv_top_brqrv_lsu_stbuf_stbuf_vld[3]),
+	.Y(n_29605), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688460 (
+	.A(n_28991),
+	.B(brqrv_top_brqrv_dec_i0_predict_p_d[44]),
+	.Y(n_29604), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g688461 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[4]),
+	.B(n_29014),
+	.X(n_29603), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g688462 (
+	.A1(n_12043),
+	.A2(n_34031),
+	.B1(n_28919),
+	.Y(n_36200), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688463 (
+	.A(n_1742),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[5]),
+	.Y(n_29602), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g688464 (
+	.A1(brqrv_top_brqrv_lsu_lsu_addr_r[1]),
+	.A2(brqrv_top_brqrv_lsu_lsu_pkt_r[9]),
+	.B1(brqrv_top_brqrv_lsu_lsu_pkt_r[8]),
+	.X(n_36203), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g688465 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dualhi[3]),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dual[3]),
+	.B1(n_33318),
+	.X(n_34809), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688466 (
+	.A(n_73),
+	.B(n_28998),
+	.Y(n_29601), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688467 (
+	.A(n_28984),
+	.B(n_31667),
+	.Y(n_29600), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g688468 (
+	.A(n_8174),
+	.B(n_42917),
+	.X(n_29599), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g688469 (
+	.A1(n_28919),
+	.A2(n_28877),
+	.B1(n_33999),
+	.X(n_33873), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g688470 (
+	.A_N(n_29021),
+	.B(n_34892),
+	.Y(n_29598), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g688471 (
+	.A1(brqrv_top_brqrv_dbg_data1_reg[5]),
+	.A2(n_12030),
+	.B1(brqrv_top_brqrv_dbg_command_reg[5]),
+	.B2(n_36371),
+	.X(brqrv_top_brqrv_dbg_cmd_addr[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g688472 (
+	.A1(brqrv_top_brqrv_dbg_data1_reg[10]),
+	.A2(n_12030),
+	.B1(brqrv_top_brqrv_dbg_command_reg[10]),
+	.B2(n_36371),
+	.X(brqrv_top_brqrv_dbg_cmd_addr[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g688473 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[14]),
+	.B(brqrv_top_brqrv_dec_i0_predict_p_d[44]),
+	.C(brqrv_top_brqrv_dec_i0_predict_p_d[42]),
+	.Y(n_34847), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g688474 (
+	.A1(brqrv_top_brqrv_dbg_data1_reg[9]),
+	.A2(n_12030),
+	.B1(brqrv_top_brqrv_dbg_command_reg[9]),
+	.B2(n_36371),
+	.X(brqrv_top_brqrv_dbg_cmd_addr[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688475 (
+	.A(n_29006),
+	.B(n_29109),
+	.Y(n_29597), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g688476 (
+	.A1(brqrv_top_brqrv_dbg_data1_reg[11]),
+	.A2(n_12030),
+	.B1(brqrv_top_brqrv_dbg_command_reg[11]),
+	.B2(n_36371),
+	.X(brqrv_top_brqrv_dbg_cmd_addr[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g688477 (
+	.A1(brqrv_top_brqrv_dbg_data1_reg[6]),
+	.A2(n_12030),
+	.B1(brqrv_top_brqrv_dbg_command_reg[6]),
+	.B2(n_36371),
+	.X(brqrv_top_brqrv_dbg_cmd_addr[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688478 (
+	.A(n_1742),
+	.B(n_110),
+	.Y(n_34823), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688479 (
+	.A(n_28994),
+	.B(n_29085),
+	.Y(n_29596), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688480 (
+	.A(n_28980),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[14]),
+	.Y(n_34840), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688481 (
+	.A(n_35566),
+	.B(n_28806),
+	.Y(n_29595), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688484 (
+	.A(n_8238),
+	.B(n_34887),
+	.Y(n_35712), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g688485 (
+	.A1(n_11604),
+	.A2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[4]),
+	.B1(n_35662),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_r[4]),
+	.Y(n_1848), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688486 (
+	.A(n_34875),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[2]),
+	.Y(n_29592), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g688487 (
+	.A1(n_11604),
+	.A2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[7]),
+	.B1(n_35662),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_r[7]),
+	.Y(n_1849), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g688488 (
+	.A1(n_11604),
+	.A2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[8]),
+	.B1(n_35662),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_r[8]),
+	.X(n_36273), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g688489 (
+	.A1(n_11604),
+	.A2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[11]),
+	.B1(n_35662),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_r[11]),
+	.Y(n_1843), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688490 (
+	.A(n_29101),
+	.B(brqrv_top_brqrv_ifu_aln_uncompress0[1]),
+	.Y(n_29589), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g688491 (
+	.A1(n_11604),
+	.A2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[10]),
+	.B1(n_35662),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_r[10]),
+	.Y(n_1547), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g688492 (
+	.A1(n_11604),
+	.A2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[9]),
+	.B1(n_35662),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_r[9]),
+	.Y(n_1544), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g688493 (
+	.A1(n_11604),
+	.A2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[6]),
+	.B1(n_35662),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_r[6]),
+	.Y(n_1851), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g688494 (
+	.A1(n_11604),
+	.A2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[5]),
+	.B1(n_35662),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_r[5]),
+	.Y(n_1844), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g688495 (
+	.A1(n_11604),
+	.A2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[3]),
+	.B1(n_35662),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_r[3]),
+	.Y(n_1847), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688496 (
+	.A(n_29109),
+	.B(n_29003),
+	.Y(n_29583), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688497 (
+	.A(n_34888),
+	.B(n_34887),
+	.Y(n_35711), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688498 (
+	.A(n_8168),
+	.B(brqrv_top_brqrv_lsu_lsu_pkt_m[9]),
+	.Y(n_29580), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688499 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[2]),
+	.B(n_35501),
+	.Y(n_29579), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688500 (
+	.A(n_34887),
+	.B(n_34888),
+	.Y(n_29577), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688501 (
+	.A(n_34887),
+	.B(n_8238),
+	.Y(n_8179), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688502 (
+	.A(n_28977),
+	.B(n_28993),
+	.Y(n_29575), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688503 (
+	.A(n_28978),
+	.B(n_28993),
+	.Y(n_29573), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2a_1 g688505 (
+	.A1_N(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_m[12]),
+	.A2_N(FE_DBTN6_n_41918),
+	.B1(n_28936),
+	.B2(FE_DBTN6_n_41918),
+	.X(n_29571), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2a_1 g688506 (
+	.A1_N(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_m[22]),
+	.A2_N(FE_DBTN6_n_41918),
+	.B1(n_28923),
+	.B2(FE_DBTN6_n_41918),
+	.X(n_29570), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g688507 (
+	.A1(FE_DBTN6_n_41918),
+	.A2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_m[23]),
+	.B1(n_41918),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_m[23]),
+	.Y(n_29569), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2a_1 g688508 (
+	.A1_N(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_m[15]),
+	.A2_N(FE_DBTN6_n_41918),
+	.B1(n_28926),
+	.B2(FE_DBTN6_n_41918),
+	.X(n_29568), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2a_1 g688509 (
+	.A1_N(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_m[30]),
+	.A2_N(FE_DBTN6_n_41918),
+	.B1(n_28921),
+	.B2(FE_DBTN6_n_41918),
+	.X(n_29567), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2a_1 g688510 (
+	.A1_N(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_m[24]),
+	.A2_N(FE_DBTN6_n_41918),
+	.B1(n_28933),
+	.B2(FE_DBTN6_n_41918),
+	.X(n_29566), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2a_1 g688511 (
+	.A1_N(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_m[25]),
+	.A2_N(FE_DBTN6_n_41918),
+	.B1(n_28867),
+	.B2(FE_DBTN6_n_41918),
+	.X(n_29565), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2a_1 g688512 (
+	.A1_N(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_m[17]),
+	.A2_N(FE_DBTN6_n_41918),
+	.B1(n_28930),
+	.B2(FE_DBTN6_n_41918),
+	.X(n_29564), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2a_1 g688513 (
+	.A1_N(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_m[31]),
+	.A2_N(FE_DBTN6_n_41918),
+	.B1(n_28870),
+	.B2(FE_DBTN6_n_41918),
+	.X(n_29563), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2a_1 g688514 (
+	.A1_N(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_m[6]),
+	.A2_N(FE_DBTN6_n_41918),
+	.B1(n_28847),
+	.B2(FE_DBTN6_n_41918),
+	.X(n_29562), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2a_1 g688515 (
+	.A1_N(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_m[9]),
+	.A2_N(FE_DBTN6_n_41918),
+	.B1(n_28910),
+	.B2(FE_DBTN6_n_41918),
+	.X(n_29561), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2a_1 g688516 (
+	.A1_N(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_m[3]),
+	.A2_N(FE_DBTN6_n_41918),
+	.B1(n_28913),
+	.B2(FE_DBTN6_n_41918),
+	.X(n_29560), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2a_1 g688517 (
+	.A1_N(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_m[4]),
+	.A2_N(FE_DBTN6_n_41918),
+	.B1(n_28912),
+	.B2(FE_DBTN6_n_41918),
+	.X(n_29559), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2a_1 g688518 (
+	.A1_N(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_m[5]),
+	.A2_N(FE_DBTN6_n_41918),
+	.B1(n_28851),
+	.B2(FE_DBTN6_n_41918),
+	.X(n_29558), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2a_1 g688519 (
+	.A1_N(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_m[11]),
+	.A2_N(FE_DBTN6_n_41918),
+	.B1(n_28849),
+	.B2(FE_DBTN6_n_41918),
+	.X(n_29557), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2a_1 g688520 (
+	.A1_N(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_m[8]),
+	.A2_N(FE_DBTN6_n_41918),
+	.B1(n_28850),
+	.B2(FE_DBTN6_n_41918),
+	.X(n_29556), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3b_2 g688521 (
+	.A_N(brqrv_top_brqrv_dma_mem_tag[1]),
+	.B(n_28929),
+	.C(brqrv_top_brqrv_dma_mem_tag[0]),
+	.X(n_1671), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688522 (
+	.A(n_29096),
+	.B(brqrv_top_brqrv_dma_mem_tag[2]),
+	.Y(n_34897), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3b_2 g688523 (
+	.A_N(brqrv_top_brqrv_dma_mem_tag[0]),
+	.B(n_28929),
+	.C(brqrv_top_brqrv_dma_mem_tag[1]),
+	.X(n_1669), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688524 (
+	.A(brqrv_top_brqrv_dma_mem_tag[2]),
+	.B(n_35440),
+	.Y(n_29552), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2b_4 g688525 (
+	.A_N(brqrv_top_brqrv_dma_mem_tag[2]),
+	.B(n_29096),
+	.X(n_1677), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g688526 (
+	.A(n_13654),
+	.Y(n_36081), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g688527 (
+	.A(n_29379),
+	.Y(n_36087), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g688528 (
+	.A(n_1926),
+	.Y(n_36082), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g688529 (
+	.A(n_29377),
+	.Y(brqrv_top_brqrv_lsu_end_addr_m[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g688530 (
+	.A(n_29376),
+	.Y(brqrv_top_brqrv_lsu_end_addr_m[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g688531 (
+	.A(n_41994),
+	.Y(brqrv_top_brqrv_lsu_end_addr_m[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g688532 (
+	.A(n_29374),
+	.Y(brqrv_top_brqrv_lsu_end_addr_m[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g688533 (
+	.A(n_41995),
+	.Y(brqrv_top_brqrv_lsu_end_addr_m[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g688534 (
+	.A(n_29372),
+	.Y(brqrv_top_brqrv_lsu_end_addr_m[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g688535 (
+	.A(n_28809),
+	.Y(brqrv_top_brqrv_lsu_end_addr_m[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g688536 (
+	.A(n_29371),
+	.Y(brqrv_top_brqrv_lsu_end_addr_m[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g688537 (
+	.A(n_41996),
+	.Y(brqrv_top_brqrv_lsu_end_addr_m[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g688538 (
+	.A(n_41997),
+	.Y(brqrv_top_brqrv_lsu_end_addr_m[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g688539 (
+	.A(n_41998),
+	.Y(brqrv_top_brqrv_lsu_end_addr_m[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g688540 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[30]),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[29]),
+	.Y(n_29367), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g688541 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[7]),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[7]),
+	.X(n_29366), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g688542 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[0]),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[0]),
+	.Y(n_29365), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g688543 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[1]),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[1]),
+	.Y(n_29364), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g688544 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[8]),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[8]),
+	.X(n_29363), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g688545 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[9]),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[9]),
+	.X(n_29362), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g688546 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[13]),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[13]),
+	.X(n_29361), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g688547 (
+	.A1_N(n_28936),
+	.A2_N(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[12]),
+	.B1(n_28936),
+	.B2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[12]),
+	.Y(n_29360), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g688548 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_r[25]),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_m[25]),
+	.Y(n_29359), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g688549 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[27]),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[27]),
+	.Y(n_29358), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g688550 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[28]),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[28]),
+	.Y(n_29357), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g688551 (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[83]),
+	.A2(n_28837),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[87]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[2]),
+	.Y(n_29356), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g688552 (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[56]),
+	.A2(n_28837),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[58]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[2]),
+	.Y(n_29355), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g688553 (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[115]),
+	.A2(n_28837),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[119]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[2]),
+	.Y(n_29354), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g688554 (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[33]),
+	.A2(n_28837),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[35]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[2]),
+	.Y(n_29353), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g688555 (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[112]),
+	.A2(n_28837),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[2]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[116]),
+	.Y(n_29352), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g688556 (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[80]),
+	.A2(n_28837),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[2]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[84]),
+	.Y(n_29351), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g688557 (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[59]),
+	.A2(n_28837),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[63]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[2]),
+	.Y(n_29350), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g688558 (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[96]),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[2]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[100]),
+	.B2(n_28837),
+	.Y(n_29349), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g688559 (
+	.A1(n_28837),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[57]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[2]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[61]),
+	.Y(n_29348), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g688560 (
+	.A1(n_28837),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[48]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[2]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[52]),
+	.Y(n_29347), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g688561 (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[56]),
+	.A2(n_28837),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[2]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[60]),
+	.Y(n_29346), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g688562 (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[24]),
+	.A2(n_28837),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[2]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[28]),
+	.Y(n_29345), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g688563 (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[12]),
+	.A2(n_28837),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[2]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[14]),
+	.Y(n_29344), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g688564 (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[2]),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[52]),
+	.B1(n_28837),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[54]),
+	.Y(n_29343), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g688565 (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[40]),
+	.A2(n_28837),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[42]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[2]),
+	.Y(n_29342), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g688566 (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[24]),
+	.A2(n_28837),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[26]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[2]),
+	.Y(n_29341), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g688567 (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[8]),
+	.A2(n_28837),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[10]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[2]),
+	.Y(n_29340), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g688568 (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[82]),
+	.A2(n_28837),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[86]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[2]),
+	.Y(n_29339), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g688569 (
+	.A1(n_28837),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[17]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[2]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[21]),
+	.Y(n_29338), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g688570 (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[58]),
+	.A2(n_28837),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[62]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[2]),
+	.Y(n_29337), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g688571 (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[4]),
+	.A2(n_28837),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[6]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[2]),
+	.Y(n_29336), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g688572 (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[2]),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[53]),
+	.B1(n_28837),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[55]),
+	.Y(n_29335), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g688573 (
+	.A1(n_28837),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[49]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[2]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[53]),
+	.Y(n_29334), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g688574 (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[41]),
+	.A2(n_28837),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[43]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[2]),
+	.Y(n_29333), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g688575 (
+	.A1(n_28837),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[81]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[2]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[85]),
+	.Y(n_29332), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g688576 (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[114]),
+	.A2(n_28837),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[118]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[2]),
+	.Y(n_29331), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g688577 (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[67]),
+	.A2(n_28837),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[71]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[2]),
+	.X(n_29330), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g688578 (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[99]),
+	.A2(n_28837),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[103]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[2]),
+	.X(n_29329), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g688579 (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[18]),
+	.A2(n_28837),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[22]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[2]),
+	.Y(n_29328), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g688580 (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[51]),
+	.A2(n_28837),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[55]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[2]),
+	.Y(n_29327), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g688581 (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[19]),
+	.A2(n_28837),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[23]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[2]),
+	.Y(n_29326), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g688582 (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[98]),
+	.A2(n_28837),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[102]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[2]),
+	.Y(n_29325), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g688583 (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[66]),
+	.A2(n_28837),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[70]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[2]),
+	.X(n_29324), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g688584 (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[50]),
+	.A2(n_28837),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[54]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[2]),
+	.Y(n_29323), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g688585 (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[32]),
+	.A2(n_28837),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[2]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[34]),
+	.Y(n_29322), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g688586 (
+	.A1(n_28837),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[113]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[2]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[117]),
+	.Y(n_29321), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g688587 (
+	.A1(n_28837),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[97]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[2]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[101]),
+	.Y(n_29320), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g688588 (
+	.A1(n_28837),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[25]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[2]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[29]),
+	.Y(n_29319), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g688589 (
+	.A1(n_28837),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[9]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[2]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[13]),
+	.Y(n_29318), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g688590 (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[5]),
+	.A2(n_28837),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[7]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[2]),
+	.Y(n_29317), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g688591 (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[25]),
+	.A2(n_28837),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[27]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[2]),
+	.Y(n_29316), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g688592 (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[9]),
+	.A2(n_28837),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[11]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[2]),
+	.Y(n_29315), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g688593 (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[57]),
+	.A2(n_28837),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[59]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[2]),
+	.Y(n_29314), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g688594 (
+	.A1(n_28837),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[65]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[2]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[69]),
+	.X(n_29313), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g688595 (
+	.A1(brqrv_top_brqrv_dec_decode_x_d[5]),
+	.A2(brqrv_top_brqrv_dec_dec_i0_instr_d[16]),
+	.B1(n_35072),
+	.X(n_29312), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g688596 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[16]),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[16]),
+	.Y(n_29311), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g688597 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[15]),
+	.A2(n_35511),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[17]),
+	.B2(n_34910),
+	.Y(n_29310), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g688598 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[53]),
+	.A2_N(n_34908),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[53]),
+	.B2(n_34908),
+	.Y(n_29309), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g688599 (
+	.A1(n_28871),
+	.A2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[26]),
+	.B1(n_28931),
+	.B2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[29]),
+	.Y(n_29308), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g688600 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[74]),
+	.A2_N(n_34878),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[75]),
+	.B2(n_34877),
+	.Y(n_29307), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g688601 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[74]),
+	.A2(n_34878),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[77]),
+	.B2(n_34912),
+	.Y(n_29306), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g688602 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[15]),
+	.A2(n_35511),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[14]),
+	.B2(n_35512),
+	.X(n_29305), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g688603 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[66]),
+	.A2(n_34879),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[69]),
+	.B2(n_34916),
+	.Y(n_29304), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g688604 (
+	.A1(n_28927),
+	.A2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[18]),
+	.B1(n_28869),
+	.B2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[21]),
+	.Y(n_29303), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g688605 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[78]),
+	.A2_N(n_35512),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[79]),
+	.B2(n_35511),
+	.Y(n_29302), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g688606 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[78]),
+	.A2(n_35512),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[81]),
+	.B2(n_34910),
+	.Y(n_29301), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g688607 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[82]),
+	.A2(n_34886),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[85]),
+	.B2(n_34908),
+	.Y(n_29300), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g688608 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[87]),
+	.A2_N(n_34883),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[88]),
+	.B2(n_35523),
+	.Y(n_29299), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g688609 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[87]),
+	.A2(n_34883),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[86]),
+	.B2(n_34884),
+	.Y(n_29298), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g688610 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[73]),
+	.A2_N(n_35515),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[73]),
+	.B2(n_35515),
+	.Y(n_29297), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g688611 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[84]),
+	.A2_N(n_34885),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[84]),
+	.B2(n_34885),
+	.Y(n_29296), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g688612 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[68]),
+	.A2_N(n_35518),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[68]),
+	.B2(n_35518),
+	.Y(n_29295), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g688613 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[14]),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[14]),
+	.Y(n_29294), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g688614 (
+	.A1(n_34904),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[94]),
+	.B1(n_34906),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[93]),
+	.Y(n_29293), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g688615 (
+	.A1(n_34880),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[92]),
+	.B1(n_34882),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[90]),
+	.Y(n_29292), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g688616 (
+	.A1(n_28913),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[27]),
+	.B1(n_28912),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[28]),
+	.Y(n_29291), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g688617 (
+	.A1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[32]),
+	.A2(n_28850),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[33]),
+	.B2(n_28910),
+	.X(n_29290), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g688618 (
+	.A1_N(n_28912),
+	.A2_N(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[28]),
+	.B1(n_28850),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[32]),
+	.Y(n_29289), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g688619 (
+	.A1(n_28913),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[39]),
+	.B1(n_28912),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[40]),
+	.Y(n_29288), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g688620 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[92]),
+	.A2(n_34880),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[90]),
+	.B2(n_34882),
+	.Y(n_29287), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g688621 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[95]),
+	.A2(n_35520),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[93]),
+	.B2(n_34906),
+	.Y(n_29286), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g688622 (
+	.A1_N(n_28912),
+	.A2_N(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[40]),
+	.B1(n_28850),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[44]),
+	.Y(n_29285), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g688623 (
+	.A1(n_28910),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[21]),
+	.B1(n_28849),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[23]),
+	.Y(n_29284), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g688624 (
+	.A1_N(n_28849),
+	.A2_N(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[23]),
+	.B1(n_28851),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[17]),
+	.Y(n_29283), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g688625 (
+	.A1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[20]),
+	.A2(n_28850),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[21]),
+	.B2(n_28910),
+	.Y(n_29282), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g688626 (
+	.A1_N(n_28850),
+	.A2_N(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[20]),
+	.B1(n_28912),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[16]),
+	.Y(n_29281), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g688627 (
+	.A1_N(brqrv_top_brqrv_dec_decode_cam_raw[2]),
+	.A2_N(brqrv_top_brqrv_dec_dec_i0_instr_d[17]),
+	.B1(brqrv_top_brqrv_dec_decode_cam_raw[2]),
+	.B2(brqrv_top_brqrv_dec_dec_i0_instr_d[17]),
+	.Y(n_29280), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g688628 (
+	.A1(n_28850),
+	.A2(brqrv_top_brqrv_lsu_stbuf_n_604),
+	.B1(n_28910),
+	.B2(brqrv_top_brqrv_lsu_stbuf_n_608),
+	.Y(n_29279), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g688629 (
+	.A1(n_28912),
+	.A2(brqrv_top_brqrv_lsu_stbuf_n_588),
+	.B1(n_28851),
+	.B2(brqrv_top_brqrv_lsu_stbuf_n_592),
+	.Y(n_29278), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g688630 (
+	.A1(n_34883),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[55]),
+	.B1(n_34884),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[54]),
+	.Y(n_29277), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g688631 (
+	.A1(n_35523),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[56]),
+	.B1(n_34904),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[62]),
+	.Y(n_29276), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g688632 (
+	.A1_N(n_28851),
+	.A2_N(brqrv_top_brqrv_lsu_stbuf_n_592),
+	.B1(n_28849),
+	.B2(brqrv_top_brqrv_lsu_stbuf_n_616),
+	.Y(n_29275), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g688633 (
+	.A1_N(n_28849),
+	.A2_N(brqrv_top_brqrv_lsu_stbuf_n_616),
+	.B1(n_28913),
+	.B2(brqrv_top_brqrv_lsu_stbuf_n_584),
+	.Y(n_29274), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g688634 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[19]),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[19]),
+	.Y(n_29273), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g688635 (
+	.A1(brqrv_top_brqrv_lsu_lsu_addr_r[22]),
+	.A2(n_28923),
+	.B1(brqrv_top_brqrv_lsu_lsu_addr_r[24]),
+	.B2(n_28933),
+	.Y(n_29272), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g688636 (
+	.A1(brqrv_top_brqrv_lsu_lsu_addr_r[14]),
+	.A2(n_28935),
+	.B1(brqrv_top_brqrv_lsu_lsu_addr_r[17]),
+	.B2(n_28930),
+	.Y(n_29271), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g688637 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[56]),
+	.A2(n_35523),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[55]),
+	.B2(n_34883),
+	.Y(n_29270), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g688638 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[63]),
+	.A2(n_35520),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[54]),
+	.B2(n_34884),
+	.Y(n_29269), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g688639 (
+	.A1(brqrv_top_brqrv_lsu_lsu_addr_r[6]),
+	.A2(n_28847),
+	.B1(brqrv_top_brqrv_lsu_lsu_addr_r[8]),
+	.B2(n_28850),
+	.Y(n_29268), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g688640 (
+	.A1(brqrv_top_brqrv_lsu_lsu_addr_r[18]),
+	.A2(n_28927),
+	.B1(brqrv_top_brqrv_lsu_lsu_addr_r[21]),
+	.B2(n_28869),
+	.Y(n_29267), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g688641 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[20]),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[20]),
+	.Y(n_29266), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g688642 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[37]),
+	.A2_N(n_34916),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[37]),
+	.B2(n_34916),
+	.Y(n_29265), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g688643 (
+	.A1(brqrv_top_brqrv_lsu_lsu_addr_r[26]),
+	.A2(n_28871),
+	.B1(brqrv_top_brqrv_lsu_lsu_addr_r[29]),
+	.B2(n_28931),
+	.Y(n_29264), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g688644 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[35]),
+	.A2(n_35519),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[34]),
+	.B2(n_34879),
+	.Y(n_29263), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g688645 (
+	.A1(n_28923),
+	.A2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[22]),
+	.B1(n_28867),
+	.B2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[25]),
+	.Y(n_29262), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g688646 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[38]),
+	.A2_N(n_35517),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[38]),
+	.B2(n_35517),
+	.Y(n_29261), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g688647 (
+	.A1(n_28926),
+	.A2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[15]),
+	.B1(n_28930),
+	.B2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[17]),
+	.Y(n_29260), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g688648 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[39]),
+	.A2(n_35516),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[40]),
+	.B2(n_34914),
+	.Y(n_29259), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g688649 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[58]),
+	.A2(n_34882),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[61]),
+	.B2(n_34906),
+	.Y(n_29258), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g688650 (
+	.A1_N(brqrv_top_brqrv_dec_decode_cam_raw[32]),
+	.A2_N(brqrv_top_brqrv_dec_dec_i0_instr_d[17]),
+	.B1(brqrv_top_brqrv_dec_decode_cam_raw[32]),
+	.B2(brqrv_top_brqrv_dec_dec_i0_instr_d[17]),
+	.Y(n_29257), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g688651 (
+	.A1_N(n_34880),
+	.A2_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[28]),
+	.B1(n_34880),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[28]),
+	.Y(n_29256), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g688652 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[51]),
+	.A2(n_35524),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[50]),
+	.B2(n_34886),
+	.Y(n_29255), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g688653 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[59]),
+	.A2_N(n_34881),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[59]),
+	.B2(n_34881),
+	.Y(n_29254), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g688654 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[43]),
+	.A2(n_34877),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[42]),
+	.B2(n_34878),
+	.Y(n_29253), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g688655 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[48]),
+	.A2_N(n_34876),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[48]),
+	.B2(n_34876),
+	.Y(n_29252), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g688656 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[47]),
+	.A2(n_35511),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[46]),
+	.B2(n_35512),
+	.Y(n_29251), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g688657 (
+	.A1_N(brqrv_top_brqrv_dec_div_waddr_wb[0]),
+	.A2_N(n_1708),
+	.B1(brqrv_top_brqrv_dec_div_waddr_wb[1]),
+	.B2(n_8173),
+	.Y(n_29250), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g688658 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[120]),
+	.A2(n_35523),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[118]),
+	.B2(n_34884),
+	.Y(n_29249), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g688659 (
+	.A1(n_34883),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[23]),
+	.B1(n_34884),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[22]),
+	.Y(n_29248), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g688660 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[106]),
+	.A2_N(n_34878),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[107]),
+	.B2(n_34877),
+	.Y(n_29247), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g688661 (
+	.A1(n_34904),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[126]),
+	.B1(n_34908),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[117]),
+	.Y(n_29246), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g688662 (
+	.A1_N(brqrv_top_brqrv_dec_decode_cam_raw[0]),
+	.A2_N(brqrv_top_brqrv_dec_dec_i0_instr_d[20]),
+	.B1(brqrv_top_brqrv_dec_decode_cam_raw[0]),
+	.B2(brqrv_top_brqrv_dec_dec_i0_instr_d[20]),
+	.Y(n_29245), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g688663 (
+	.A1(n_35524),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[115]),
+	.B1(n_34886),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[114]),
+	.Y(n_29244), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g688664 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[31]),
+	.A2(n_35520),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[22]),
+	.B2(n_34884),
+	.Y(n_29243), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g688665 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[115]),
+	.A2(n_35524),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[114]),
+	.B2(n_34886),
+	.Y(n_29242), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g688666 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[127]),
+	.A2(n_35520),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[117]),
+	.B2(n_34908),
+	.Y(n_29241), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g688667 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[112]),
+	.A2_N(n_34876),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[112]),
+	.B2(n_34876),
+	.Y(n_29240), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g688668 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[122]),
+	.A2_N(n_34882),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[122]),
+	.B2(n_34882),
+	.Y(n_29239), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g688669 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[123]),
+	.A2_N(n_34881),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[123]),
+	.B2(n_34881),
+	.Y(n_29238), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g688670 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[18]),
+	.A2_N(n_34886),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[18]),
+	.B2(n_34886),
+	.Y(n_29237), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g688671 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[124]),
+	.A2(n_34880),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[125]),
+	.B2(n_34906),
+	.Y(n_29236), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g688672 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[23]),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[23]),
+	.Y(n_29235), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g688673 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[102]),
+	.A2_N(n_35517),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[103]),
+	.B2(n_35516),
+	.Y(n_29234), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g688674 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[102]),
+	.A2(n_35517),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[104]),
+	.B2(n_34914),
+	.Y(n_29233), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g688675 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[24]),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[24]),
+	.Y(n_29232), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g688676 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[36]),
+	.A2_N(n_35518),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[36]),
+	.B2(n_35518),
+	.Y(n_29231), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g688677 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[111]),
+	.A2_N(n_35511),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[111]),
+	.B2(n_35511),
+	.Y(n_29230), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g688678 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[110]),
+	.A2(n_35512),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[113]),
+	.B2(n_34910),
+	.Y(n_29229), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g688679 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[98]),
+	.A2_N(n_34879),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[98]),
+	.B2(n_34879),
+	.Y(n_29228), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g688680 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[99]),
+	.A2(n_35519),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[101]),
+	.B2(n_34916),
+	.Y(n_29227), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g688681 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[16]),
+	.A2_N(n_34876),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[16]),
+	.B2(n_34876),
+	.Y(n_29226), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g688682 (
+	.A1_N(brqrv_top_brqrv_dec_div_waddr_wb[2]),
+	.A2_N(brqrv_top_brqrv_dec_dec_i0_instr_d[17]),
+	.B1(brqrv_top_brqrv_dec_div_waddr_wb[2]),
+	.B2(brqrv_top_brqrv_dec_dec_i0_instr_d[17]),
+	.Y(n_29225), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g688683 (
+	.A1_N(brqrv_top_brqrv_dec_div_waddr_wb[2]),
+	.A2_N(brqrv_top_brqrv_dec_dec_i0_instr_d[22]),
+	.B1(brqrv_top_brqrv_dec_div_waddr_wb[2]),
+	.B2(brqrv_top_brqrv_dec_dec_i0_instr_d[22]),
+	.Y(n_29224), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g688684 (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[2]),
+	.A2(n_28837),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[3]),
+	.B2(n_28918),
+	.Y(n_29223), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g688685 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_r[27]),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_m[27]),
+	.Y(n_29222), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g688686 (
+	.A1_N(brqrv_top_brqrv_dec_decode_cam_raw[20]),
+	.A2_N(brqrv_top_brqrv_dec_dec_i0_instr_d[20]),
+	.B1(brqrv_top_brqrv_dec_decode_cam_raw[20]),
+	.B2(brqrv_top_brqrv_dec_dec_i0_instr_d[20]),
+	.Y(n_29221), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g688687 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[11]),
+	.A2(n_34877),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[13]),
+	.B2(n_34912),
+	.Y(n_29220), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g688688 (
+	.A1(n_35522),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[25]),
+	.B1(n_34884),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[22]),
+	.Y(n_29219), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g688689 (
+	.A1(n_35512),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[14]),
+	.B1(n_34910),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[17]),
+	.Y(n_29218), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g688690 (
+	.A1(n_34876),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[16]),
+	.B1(n_35511),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[15]),
+	.Y(n_29217), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g688691 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_r[28]),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_m[28]),
+	.Y(n_29216), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g688692 (
+	.A1_N(n_34916),
+	.A2_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[5]),
+	.B1(n_34916),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[5]),
+	.Y(n_29215), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g688693 (
+	.A1(n_34882),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[26]),
+	.B1(n_34906),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[29]),
+	.Y(n_29214), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g688694 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[27]),
+	.A2_N(n_34881),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[27]),
+	.B2(n_34881),
+	.Y(n_29213), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g688695 (
+	.A1_N(n_35517),
+	.A2_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[6]),
+	.B1(n_35516),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[7]),
+	.Y(n_29212), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g688696 (
+	.A1(n_35515),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[9]),
+	.B1(n_35517),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[6]),
+	.Y(n_29211), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g688697 (
+	.A1_N(n_35524),
+	.A2_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[19]),
+	.B1(n_35524),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[19]),
+	.Y(n_29210), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g688698 (
+	.A1_N(n_34884),
+	.A2_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[22]),
+	.B1(n_34883),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[23]),
+	.Y(n_29209), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g688699 (
+	.A1(n_34886),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[18]),
+	.B1(n_34908),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[21]),
+	.Y(n_29208), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g688700 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[106]),
+	.A2(n_34878),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[109]),
+	.B2(n_34912),
+	.Y(n_29207), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g688701 (
+	.A1(n_34878),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[10]),
+	.B1(n_34912),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[13]),
+	.Y(n_29206), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g688702 (
+	.A1(n_35523),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[24]),
+	.B1(n_34904),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[30]),
+	.Y(n_29205), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g688703 (
+	.A1_N(n_35513),
+	.A2_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[12]),
+	.B1(n_35513),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[12]),
+	.Y(n_29204), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g688704 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[27]),
+	.A2(n_34881),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[29]),
+	.B2(n_34906),
+	.Y(n_29203), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g688705 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[24]),
+	.A2(n_35523),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[23]),
+	.B2(n_34883),
+	.Y(n_29202), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g688706 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[6]),
+	.A2_N(n_35517),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[6]),
+	.B2(n_35517),
+	.Y(n_29201), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g688707 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[7]),
+	.A2(n_35516),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[8]),
+	.B2(n_34914),
+	.Y(n_29200), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g688708 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[2]),
+	.A2_N(n_34879),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[2]),
+	.B2(n_34879),
+	.Y(n_29199), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g688709 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[10]),
+	.A2_N(n_34878),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[10]),
+	.B2(n_34878),
+	.Y(n_29198), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g688710 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_r[20]),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_m[20]),
+	.Y(n_29197), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g688711 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[70]),
+	.A2(n_35517),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[72]),
+	.B2(n_34914),
+	.Y(n_29196), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g688712 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[19]),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_r[19]),
+	.Y(n_29195), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g688713 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[3]),
+	.A2(n_35519),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[5]),
+	.B2(n_34916),
+	.Y(n_29194), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g688714 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[11]),
+	.A2(n_34877),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[13]),
+	.B2(n_34912),
+	.Y(n_29193), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g688715 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_r[15]),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_m[15]),
+	.Y(n_29192), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g688716 (
+	.A1(n_28913),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[15]),
+	.B1(n_28912),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[16]),
+	.Y(n_29191), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g688717 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[28]),
+	.A2(n_34880),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[29]),
+	.B2(n_34906),
+	.Y(n_29190), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g688718 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_r[16]),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_m[16]),
+	.Y(n_29189), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g688719 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_r[23]),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_m[23]),
+	.Y(n_29188), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g688720 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_r[12]),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_m[12]),
+	.Y(n_29187), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g688721 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[19]),
+	.A2(n_35524),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[21]),
+	.B2(n_34908),
+	.Y(n_29186), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g688722 (
+	.A1(n_36112),
+	.A2(n_28839),
+	.B1(n_36113),
+	.B2(n_402475_BAR),
+	.Y(n_29185), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g688723 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_r[7]),
+	.B(brqrv_top_brqrv_lsu_end_addr_m[7]),
+	.Y(n_29184), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g688724 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[71]),
+	.A2_N(n_28893),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[71]),
+	.B2(n_28893),
+	.Y(n_29183), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g688725 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[7]),
+	.A2_N(n_28893),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[7]),
+	.B2(n_28893),
+	.Y(n_29182), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g688726 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[7]),
+	.A2_N(n_28893),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[7]),
+	.B2(n_28893),
+	.Y(n_29181), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g688727 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[103]),
+	.A2_N(n_28893),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[103]),
+	.B2(n_28893),
+	.Y(n_29180), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g688728 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[66]),
+	.B(brqrv_top_brqrv_lsu_end_addr_m[2]),
+	.Y(n_29179), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g688729 (
+	.A1_N(brqrv_top_brqrv_lsu_end_addr_r[2]),
+	.A2_N(n_28894),
+	.B1(brqrv_top_brqrv_lsu_end_addr_r[2]),
+	.B2(n_28894),
+	.Y(n_29178), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g688730 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[34]),
+	.A2_N(n_28894),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[34]),
+	.B2(n_28894),
+	.Y(n_29177), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g688731 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[2]),
+	.A2_N(n_28894),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[2]),
+	.B2(n_28894),
+	.Y(n_29176), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g688732 (
+	.A1_N(brqrv_top_brqrv_dec_decode_cam_raw[14]),
+	.A2_N(n_16970),
+	.B1(brqrv_top_brqrv_dec_decode_cam_raw[14]),
+	.B2(n_16970),
+	.Y(n_29175), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g688733 (
+	.A1_N(brqrv_top_brqrv_dec_decode_cam_raw[4]),
+	.A2_N(n_16970),
+	.B1(brqrv_top_brqrv_dec_decode_cam_raw[4]),
+	.B2(n_16970),
+	.Y(n_29174), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4b_1 g688734 (
+	.A(brqrv_top_brqrv_dbg_cmd_addr[3]),
+	.B(brqrv_top_brqrv_dbg_cmd_addr[4]),
+	.C(brqrv_top_brqrv_dbg_cmd_addr[1]),
+	.D_N(n_41919),
+	.Y(n_29173), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g688735 (
+	.A1_N(brqrv_top_brqrv_dec_decode_cam_raw[2]),
+	.A2_N(n_8174),
+	.B1(brqrv_top_brqrv_dec_decode_cam_raw[2]),
+	.B2(n_8174),
+	.Y(n_29172), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g688736 (
+	.A1_N(brqrv_top_brqrv_dec_decode_cam_raw[12]),
+	.A2_N(n_8174),
+	.B1(brqrv_top_brqrv_dec_decode_cam_raw[12]),
+	.B2(n_8174),
+	.Y(n_29171), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g688737 (
+	.A1_N(brqrv_top_brqrv_dec_decode_cam_raw[22]),
+	.A2_N(n_8174),
+	.B1(brqrv_top_brqrv_dec_decode_cam_raw[22]),
+	.B2(n_8174),
+	.Y(n_29170), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g688738 (
+	.A1_N(brqrv_top_brqrv_dec_decode_cam_raw[32]),
+	.A2_N(n_8174),
+	.B1(brqrv_top_brqrv_dec_decode_cam_raw[32]),
+	.B2(n_8174),
+	.Y(n_29169), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g688739 (
+	.A1_N(brqrv_top_brqrv_dec_decode_cam_raw[3]),
+	.A2_N(n_16971),
+	.B1(brqrv_top_brqrv_dec_decode_cam_raw[3]),
+	.B2(n_16971),
+	.Y(n_29168), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g688740 (
+	.A1_N(brqrv_top_brqrv_dec_decode_cam_raw[13]),
+	.A2_N(n_16971),
+	.B1(brqrv_top_brqrv_dec_decode_cam_raw[13]),
+	.B2(n_16971),
+	.Y(n_29167), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g688741 (
+	.A1_N(brqrv_top_brqrv_dec_div_waddr_wb[3]),
+	.A2_N(n_16971),
+	.B1(brqrv_top_brqrv_dec_div_waddr_wb[3]),
+	.B2(n_16971),
+	.Y(n_29166), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g688742 (
+	.A1_N(brqrv_top_brqrv_dec_decode_cam_raw[23]),
+	.A2_N(n_16971),
+	.B1(brqrv_top_brqrv_dec_decode_cam_raw[23]),
+	.B2(n_16971),
+	.Y(n_29165), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g688743 (
+	.A1_N(brqrv_top_brqrv_dec_decode_cam_raw[33]),
+	.A2_N(n_16971),
+	.B1(brqrv_top_brqrv_dec_decode_cam_raw[33]),
+	.B2(n_16971),
+	.Y(n_29164), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g688744 (
+	.A1_N(brqrv_top_brqrv_dec_decode_cam_raw[1]),
+	.A2_N(n_8173),
+	.B1(brqrv_top_brqrv_dec_decode_cam_raw[1]),
+	.B2(n_8173),
+	.Y(n_29163), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g688745 (
+	.A1_N(brqrv_top_brqrv_dec_decode_cam_raw[21]),
+	.A2_N(n_8173),
+	.B1(brqrv_top_brqrv_dec_decode_cam_raw[21]),
+	.B2(n_8173),
+	.Y(n_29162), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g688746 (
+	.A1_N(brqrv_top_brqrv_dec_decode_cam_raw[3]),
+	.A2_N(n_8172),
+	.B1(brqrv_top_brqrv_dec_decode_cam_raw[3]),
+	.B2(n_8172),
+	.Y(n_29161), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g688747 (
+	.A1_N(brqrv_top_brqrv_dec_decode_cam_raw[1]),
+	.A2_N(n_8272),
+	.B1(brqrv_top_brqrv_dec_decode_cam_raw[1]),
+	.B2(n_8272),
+	.Y(n_29160), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g688748 (
+	.A1_N(brqrv_top_brqrv_dec_decode_cam_raw[11]),
+	.A2_N(n_8272),
+	.B1(brqrv_top_brqrv_dec_decode_cam_raw[11]),
+	.B2(n_8272),
+	.Y(n_29159), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g688749 (
+	.A1_N(brqrv_top_brqrv_dec_decode_cam_raw[21]),
+	.A2_N(n_8272),
+	.B1(brqrv_top_brqrv_dec_decode_cam_raw[21]),
+	.B2(n_8272),
+	.Y(n_29158), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g688750 (
+	.A1_N(brqrv_top_brqrv_dec_decode_cam_raw[13]),
+	.A2_N(n_8172),
+	.B1(brqrv_top_brqrv_dec_decode_cam_raw[13]),
+	.B2(n_8172),
+	.Y(n_29157), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g688751 (
+	.A(brqrv_top_brqrv_dec_div_waddr_wb[3]),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[18]),
+	.Y(n_29156), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g688752 (
+	.A(brqrv_top_brqrv_dec_div_waddr_wb[1]),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[16]),
+	.Y(n_29155), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g688753 (
+	.A1_N(brqrv_top_brqrv_dec_decode_cam_raw[33]),
+	.A2_N(n_8172),
+	.B1(brqrv_top_brqrv_dec_decode_cam_raw[33]),
+	.B2(n_8172),
+	.Y(n_29154), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g688754 (
+	.A1_N(brqrv_top_brqrv_dec_decode_cam_raw[31]),
+	.A2_N(n_8272),
+	.B1(brqrv_top_brqrv_dec_decode_cam_raw[31]),
+	.B2(n_8272),
+	.Y(n_29153), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g688755 (
+	.A1_N(brqrv_top_brqrv_dec_decode_cam_raw[23]),
+	.A2_N(n_8172),
+	.B1(brqrv_top_brqrv_dec_decode_cam_raw[23]),
+	.B2(n_8172),
+	.Y(n_29152), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g688756 (
+	.A(brqrv_top_brqrv_dec_decode_cam_raw[4]),
+	.B(n_32720),
+	.Y(n_29151), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g688757 (
+	.A(brqrv_top_brqrv_dec_decode_cam_raw[0]),
+	.B(n_32738),
+	.Y(n_29150), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g688758 (
+	.A(brqrv_top_brqrv_dec_decode_cam_raw[10]),
+	.B(n_32738),
+	.Y(n_29149), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g688759 (
+	.A1_N(brqrv_top_brqrv_dec_decode_cam_raw[12]),
+	.A2_N(n_32728),
+	.B1(brqrv_top_brqrv_dec_decode_cam_raw[12]),
+	.B2(n_32728),
+	.Y(n_29148), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g688760 (
+	.A(brqrv_top_brqrv_dec_decode_cam_raw[14]),
+	.B(n_32720),
+	.X(n_29147), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g688761 (
+	.A(brqrv_top_brqrv_dec_div_waddr_wb[4]),
+	.B(n_32720),
+	.Y(n_29146), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g688762 (
+	.A(brqrv_top_brqrv_dec_div_waddr_wb[0]),
+	.B(n_32738),
+	.Y(n_29145), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g688763 (
+	.A(brqrv_top_brqrv_dec_decode_cam_raw[30]),
+	.B(n_32738),
+	.X(n_29144), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g688764 (
+	.A(brqrv_top_brqrv_dec_decode_cam_raw[20]),
+	.B(n_32738),
+	.Y(n_29143), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g688765 (
+	.A1_N(brqrv_top_brqrv_dec_decode_cam_raw[22]),
+	.A2_N(n_32728),
+	.B1(brqrv_top_brqrv_dec_decode_cam_raw[22]),
+	.B2(n_32728),
+	.Y(n_29142), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g688766 (
+	.A(brqrv_top_brqrv_dec_decode_cam_raw[24]),
+	.B(n_32720),
+	.X(n_29141), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g688767 (
+	.A(brqrv_top_brqrv_dec_decode_cam_raw[34]),
+	.B(n_32720),
+	.X(n_29140), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g688768 (
+	.A1_N(n_28847),
+	.A2_N(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[30]),
+	.B1(n_28847),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[30]),
+	.Y(n_29139), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g688769 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[4]),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[4]),
+	.X(n_29138), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g688770 (
+	.A1_N(brqrv_top_brqrv_lsu_lsu_addr_r[4]),
+	.A2_N(n_28912),
+	.B1(brqrv_top_brqrv_lsu_lsu_addr_r[4]),
+	.B2(n_28912),
+	.Y(n_29137), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g688771 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[10]),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[34]),
+	.X(n_29136), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g688772 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[10]),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[46]),
+	.X(n_29135), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g688773 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[6]),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[42]),
+	.Y(n_29134), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g688774 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[10]),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[22]),
+	.X(n_29133), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g688775 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[6]),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[18]),
+	.Y(n_29132), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g688776 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[10]),
+	.B(brqrv_top_brqrv_lsu_stbuf_n_612),
+	.X(n_29131), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g688777 (
+	.A1_N(n_28847),
+	.A2_N(brqrv_top_brqrv_lsu_stbuf_n_596),
+	.B1(n_28847),
+	.B2(brqrv_top_brqrv_lsu_stbuf_n_596),
+	.Y(n_29130), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g688778 (
+	.A1(brqrv_top_brqrv_lsu_lsu_addr_r[11]),
+	.A2(n_28849),
+	.B1(n_1838),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_m[11]),
+	.Y(n_29129), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g688779 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_r[10]),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_m[10]),
+	.Y(n_29128), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g688780 (
+	.A1_N(brqrv_top_brqrv_lsu_lsu_addr_r[9]),
+	.A2_N(n_28910),
+	.B1(brqrv_top_brqrv_lsu_lsu_addr_r[9]),
+	.B2(n_28910),
+	.Y(n_29127), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g688781 (
+	.A1_N(brqrv_top_brqrv_lsu_lsu_addr_r[3]),
+	.A2_N(n_28913),
+	.B1(brqrv_top_brqrv_lsu_lsu_addr_r[3]),
+	.B2(n_28913),
+	.Y(n_29126), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g688782 (
+	.A1_N(brqrv_top_brqrv_lsu_lsu_addr_r[7]),
+	.A2_N(n_12038),
+	.B1(brqrv_top_brqrv_lsu_lsu_addr_r[7]),
+	.B2(n_12038),
+	.Y(n_29125), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g688783 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[7]),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[31]),
+	.Y(n_29124), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g688784 (
+	.A1_N(n_28916),
+	.A2_N(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[26]),
+	.B1(n_28916),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[26]),
+	.Y(n_29123), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g688785 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[7]),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[43]),
+	.Y(n_29122), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g688786 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[2]),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[38]),
+	.Y(n_29121), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g688787 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[2]),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[14]),
+	.Y(n_29120), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g688788 (
+	.A1_N(n_12038),
+	.A2_N(brqrv_top_brqrv_lsu_stbuf_n_600),
+	.B1(n_12038),
+	.B2(brqrv_top_brqrv_lsu_stbuf_n_600),
+	.Y(n_29119), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g688789 (
+	.A1_N(n_28916),
+	.A2_N(brqrv_top_brqrv_lsu_stbuf_n_580),
+	.B1(n_28916),
+	.B2(brqrv_top_brqrv_lsu_stbuf_n_580),
+	.Y(n_29118), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g688790 (
+	.A1_N(n_12038),
+	.A2_N(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[19]),
+	.B1(n_12038),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[19]),
+	.Y(n_29117), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g688791 (
+	.A(n_34820),
+	.B(n_34879),
+	.Y(n_29116), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g688792 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[6]),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[6]),
+	.X(n_29115), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g688793 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[12]),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[12]),
+	.Y(n_29114), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g688794 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[5]),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[5]),
+	.X(n_29113), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g688795 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_r[30]),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_m[30]),
+	.Y(n_29112), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g688796 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_r[13]),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_m[13]),
+	.Y(n_29111), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g688797 (
+	.A1(n_36139),
+	.A2(n_28839),
+	.B1(n_36138),
+	.B2(n_28841),
+	.X(n_36085), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g688798 (
+	.A1(n_36119),
+	.A2(n_28839),
+	.B1(n_36118),
+	.B2(n_28841),
+	.X(n_36077), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g688799 (
+	.A1(n_36134),
+	.A2(n_28839),
+	.B1(n_36133),
+	.B2(n_28841),
+	.X(n_36083), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g688800 (
+	.A1(n_36136),
+	.A2(n_402475_BAR),
+	.B1(n_36137),
+	.B2(n_28844),
+	.X(n_36086), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g688801 (
+	.A1(n_36121),
+	.A2(n_402475_BAR),
+	.B1(n_43587),
+	.B2(n_28844),
+	.X(n_36080), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g688802 (
+	.A1(n_36110),
+	.A2(n_28839),
+	.B1(n_36109),
+	.B2(n_28841),
+	.X(n_36073), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g688803 (
+	.A1(n_36124),
+	.A2(n_28839),
+	.B1(n_36123),
+	.B2(n_28841),
+	.X(n_36079), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g688804 (
+	.A1_N(n_35519),
+	.A2_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[3]),
+	.B1(n_35519),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[3]),
+	.Y(n_29381), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g688805 (
+	.A1(n_36131),
+	.A2(n_402475_BAR),
+	.B1(n_36132),
+	.B2(n_28844),
+	.X(n_36084), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g688806 (
+	.A1(n_36116),
+	.A2(n_402475_BAR),
+	.B1(n_36117),
+	.B2(n_28844),
+	.X(n_36078), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g688807 (
+	.A1(n_36129),
+	.A2(n_28839),
+	.B1(n_36128),
+	.B2(n_28841),
+	.Y(n_13654), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g688808 (
+	.A1(n_36144),
+	.A2(n_28839),
+	.B1(n_36143),
+	.B2(n_28841),
+	.Y(n_29379), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g688809 (
+	.A1(n_36126),
+	.A2(n_402475_BAR),
+	.B1(n_36127),
+	.B2(n_28844),
+	.Y(n_1926), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g688810 (
+	.A(n_33318),
+	.B(n_33320),
+	.C(n_33319),
+	.D(n_33321),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_lsu_nonblock_load_data_ready), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2a_1 g688811 (
+	.A1_N(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_m[14]),
+	.A2_N(FE_DBTN6_n_41918),
+	.B1(n_28935),
+	.B2(FE_DBTN6_n_41918),
+	.X(n_29377), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2a_1 g688812 (
+	.A1_N(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_m[26]),
+	.A2_N(FE_DBTN6_n_41918),
+	.B1(n_28871),
+	.B2(FE_DBTN6_n_41918),
+	.X(n_29376), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2a_1 g688814 (
+	.A1_N(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_m[18]),
+	.A2_N(FE_DBTN6_n_41918),
+	.B1(n_28927),
+	.B2(FE_DBTN6_n_41918),
+	.X(n_29374), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2a_1 g688816 (
+	.A1_N(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_m[29]),
+	.A2_N(FE_DBTN6_n_41918),
+	.B1(n_28931),
+	.B2(FE_DBTN6_n_41918),
+	.X(n_29372), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2a_1 g688818 (
+	.A1_N(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_m[21]),
+	.A2_N(FE_DBTN6_n_41918),
+	.B1(n_28869),
+	.B2(FE_DBTN6_n_41918),
+	.X(n_29371), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g688822 (
+	.A(n_29108),
+	.Y(brqrv_top_brqrv_lsu_dccm_ctl_n_1137), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g688823 (
+	.A(n_29099),
+	.Y(n_29100), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g688824 (
+	.A(n_34033),
+	.Y(n_29093), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g688825 (
+	.A(n_29092),
+	.Y(n_29091), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g688826 (
+	.A(n_29088),
+	.Y(n_29087), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g688827 (
+	.A(n_29086),
+	.Y(n_35078), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g688828 (
+	.A(n_29085),
+	.Y(n_29084), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g688829 (
+	.A(n_8148),
+	.Y(n_33885), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g688831 (
+	.A(n_34887),
+	.Y(n_8168), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g688832 (
+	.A(n_8323),
+	.Y(n_34089), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g688834 (
+	.A(n_2068),
+	.Y(n_34092), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g688835 (
+	.A(n_1762),
+	.Y(n_34093), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688836 (
+	.A(n_35522),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[57]),
+	.Y(n_29076), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688837 (
+	.A(n_35512),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[14]),
+	.Y(n_29075), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688838 (
+	.A(n_34904),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[30]),
+	.Y(n_29074), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g688839 (
+	.A_N(n_35555),
+	.B(brqrv_top_brqrv_ifu_aln_brdata1[9]),
+	.Y(n_29073), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688840 (
+	.A(n_16970),
+	.B(brqrv_top_brqrv_dec_div_waddr_wb[4]),
+	.Y(n_29072), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688841 (
+	.A(n_35515),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[9]),
+	.Y(n_29071), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688843 (
+	.A(n_28851),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[41]),
+	.Y(n_29069), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g688844 (
+	.A(n_35632),
+	.B_N(brqrv_top_brqrv_dbg_cmd_addr[8]),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688845 (
+	.A(n_28894),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[98]),
+	.Y(n_29068), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g688846 (
+	.A(n_34870),
+	.B_N(brqrv_top_brqrv_lsu_lsu_pkt_m[7]),
+	.Y(n_29067), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688847 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[100]),
+	.B(n_35518),
+	.Y(n_29066), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688848 (
+	.A(n_35520),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[31]),
+	.Y(n_29065), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688849 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[105]),
+	.B(n_35515),
+	.Y(n_29064), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688850 (
+	.A(brqrv_top_brqrv_dec_decode_cam_raw[11]),
+	.B(n_8173),
+	.Y(n_29063), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688851 (
+	.A(n_34885),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[116]),
+	.Y(n_29062), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688852 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_ldst_byteen_m[1]),
+	.B(brqrv_top_brqrv_lsu_addr_external_m),
+	.Y(n_34060), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688853 (
+	.A(n_28894),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[14]),
+	.Y(n_29061), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688854 (
+	.A(n_28894),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[38]),
+	.Y(n_29060), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688855 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[108]),
+	.B(n_35513),
+	.Y(n_29059), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688856 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[39]),
+	.B(n_28893),
+	.Y(n_29058), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688857 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[76]),
+	.B(n_35513),
+	.Y(n_29057), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688858 (
+	.A(n_35522),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[121]),
+	.Y(n_29056), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688859 (
+	.A(n_28894),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[26]),
+	.Y(n_29055), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688860 (
+	.A(n_35547),
+	.B(n_35546),
+	.Y(n_29054), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688861 (
+	.A(n_35519),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[67]),
+	.Y(n_29053), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688862 (
+	.A(n_32738),
+	.B(brqrv_top_brqrv_dec_decode_x_d[4]),
+	.Y(n_29052), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688863 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[26]),
+	.B(n_34882),
+	.Y(n_29051), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688864 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[89]),
+	.B(n_35522),
+	.Y(n_29050), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688865 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[80]),
+	.B(n_34876),
+	.Y(n_29049), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688866 (
+	.A(n_34883),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[119]),
+	.Y(n_29048), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688867 (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[31]),
+	.B(n_28893),
+	.Y(n_29047), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688868 (
+	.A(n_8173),
+	.B(brqrv_top_brqrv_dec_decode_cam_raw[11]),
+	.Y(n_29046), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688869 (
+	.A(n_35524),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[83]),
+	.Y(n_29045), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688870 (
+	.A(n_28894),
+	.B(brqrv_top_brqrv_lsu_stbuf_n_580),
+	.Y(n_29044), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688872 (
+	.A(n_34881),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[91]),
+	.Y(n_29042), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688873 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[20]),
+	.B(n_34885),
+	.Y(n_29041), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688874 (
+	.A(n_1708),
+	.B(brqrv_top_brqrv_dec_decode_cam_raw[30]),
+	.Y(n_29040), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688875 (
+	.A(brqrv_top_brqrv_lsu_lsu_pkt_r[9]),
+	.B(brqrv_top_brqrv_lsu_lsu_pkt_r[8]),
+	.Y(n_29039), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688876 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[49]),
+	.B(n_34910),
+	.Y(n_29038), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688877 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_ldst_byteen_r[3]),
+	.B(n_28854),
+	.Y(n_29037), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688878 (
+	.A(n_28851),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[29]),
+	.Y(n_29036), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688879 (
+	.A(n_34912),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[45]),
+	.Y(n_29035), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688880 (
+	.A(n_35513),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[44]),
+	.Y(n_29034), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688881 (
+	.A(brqrv_top_brqrv_dec_decode_cam_raw[24]),
+	.B(n_16970),
+	.Y(n_29033), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688882 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[52]),
+	.B(n_34885),
+	.Y(n_29032), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g688883 (
+	.A_N(n_35554),
+	.B(brqrv_top_brqrv_ifu_aln_brdata2[14]),
+	.Y(n_29031), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g688884 (
+	.A_N(n_33999),
+	.B(brqrv_top_brqrv_lsu_stbuf_n_1476),
+	.Y(n_29030), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688885 (
+	.A(n_28916),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_r[2]),
+	.Y(n_29029), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688886 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[41]),
+	.B(n_35515),
+	.Y(n_29028), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688887 (
+	.A(brqrv_top_brqrv_lsu_end_addr_r[2]),
+	.B(n_28916),
+	.Y(n_29027), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688888 (
+	.A(n_34880),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[60]),
+	.Y(n_29026), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688889 (
+	.A(n_28894),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[2]),
+	.Y(n_29025), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688890 (
+	.A(n_34882),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[26]),
+	.Y(n_29024), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688891 (
+	.A(n_33326),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_write[3]),
+	.Y(n_29110), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688892 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[31]),
+	.B(brqrv_top_brqrv_dec_i0_predict_p_d[45]),
+	.Y(n_29109), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688893 (
+	.A(brqrv_top_brqrv_lsu_lsu_pkt_m[7]),
+	.B(brqrv_top_brqrv_lsu_lsu_pkt_m[0]),
+	.Y(n_29108), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g688894 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [30]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [31]),
+	.X(n_35050), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688895 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[1]),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[0]),
+	.Y(n_29107), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688896 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_3467),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_write[2]),
+	.Y(n_29106), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g688897 (
+	.A_N(brqrv_top_brqrv_lsu_stbuf_stbuf_dma_kill[0]),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_vld[0]),
+	.Y(n_35321), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688898 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[68]),
+	.B(n_28837),
+	.Y(n_29105), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688899 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[64]),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[2]),
+	.Y(n_29104), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688900 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_write),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_valid),
+	.Y(n_29103), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688901 (
+	.A(brqrv_top_brqrv_dec_decode_x_d[7]),
+	.B(brqrv_top_brqrv_dec_decode_x_d[3]),
+	.Y(n_29102), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688903 (
+	.A(n_35609),
+	.B(n_35598),
+	.Y(n_29101), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g688904 (
+	.A(n_35455),
+	.B_N(n_35454),
+	.Y(n_29023), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688905 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_tag0[1]),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_tag0[2]),
+	.Y(n_29099), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688906 (
+	.A(n_34869),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_write[0]),
+	.Y(n_29098), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688907 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_3460),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_write[1]),
+	.Y(n_29097), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688908 (
+	.A(brqrv_top_brqrv_dma_mem_tag[0]),
+	.B(brqrv_top_brqrv_dma_mem_tag[1]),
+	.Y(n_29096), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g688909 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [2]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [3]),
+	.X(n_34528), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g688910 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [18]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [19]),
+	.X(n_34525), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688911 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[13]),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[14]),
+	.Y(n_29095), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688912 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_picm_rden_ff),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[29]),
+	.Y(n_29094), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g688913 (
+	.A_N(n_33309),
+	.B(brqrv_top_brqrv_lsu_lsu_pkt_r[6]),
+	.Y(n_34033), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g688914 (
+	.A_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_tag1[2]),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_merge),
+	.Y(n_29092), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688915 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[4]),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[3]),
+	.Y(n_29090), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688916 (
+	.A(brqrv_top_brqrv_dec_decode_x_d[8]),
+	.B(brqrv_top_brqrv_dec_decode_x_d[3]),
+	.Y(n_29089), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688917 (
+	.A(brqrv_top_brqrv_ifu_aln_first2B),
+	.B(n_11472),
+	.Y(n_29088), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688918 (
+	.A(n_33909),
+	.B(brqrv_top_brqrv_ifu_aln_first2B),
+	.Y(n_29086), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688919 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[5]),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[6]),
+	.Y(n_29085), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688920 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[0]),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_m[1]),
+	.Y(n_8148), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688921 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[1]),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_m[0]),
+	.Y(n_35713), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2b_1 g688922 (
+	.A_N(\brqrv_top_mem_Gen_dccm_enable.dccm_n_267 ),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_n_266 ),
+	.X(n_270074_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g688923 (
+	.A(\brqrv_top_mem_Gen_dccm_enable.dccm_n_266 ),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_n_267 ),
+	.X(n_270071_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g688924 (
+	.A(n_34917),
+	.B(n_1919),
+	.X(n_34887), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2b_4 g688925 (
+	.A_N(\brqrv_top_mem_Gen_dccm_enable.dccm_n_269 ),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_n_268 ),
+	.X(n_8323), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688926 (
+	.A(\brqrv_top_mem_Gen_dccm_enable.dccm_n_269 ),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_n_268 ),
+	.Y(n_34088), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2b_4 g688927 (
+	.A_N(\brqrv_top_mem_Gen_dccm_enable.dccm_n_268 ),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_n_269 ),
+	.X(n_2068), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688928 (
+	.A(\brqrv_top_mem_Gen_dccm_enable.dccm_n_268 ),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_n_269 ),
+	.Y(n_1762), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g688929 (
+	.A(n_29018),
+	.Y(n_29019), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g688930 (
+	.A(n_29013),
+	.Y(n_35706), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g688932 (
+	.A(n_28999),
+	.Y(n_34826), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g688933 (
+	.A(n_34919),
+	.Y(n_28998), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g688934 (
+	.A(n_28996),
+	.Y(n_28997), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g688936 (
+	.A(n_28994),
+	.Y(n_28993), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g688938 (
+	.A(n_34849),
+	.Y(n_28991), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g688939 (
+	.A(n_28989),
+	.Y(n_28990), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g688940 (
+	.A(n_28988),
+	.Y(n_28987), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g688941 (
+	.A(n_28986),
+	.Y(n_35501), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g688942 (
+	.A(n_34824),
+	.Y(n_1742), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g688944 (
+	.A(n_17414),
+	.Y(n_34828), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g688945 (
+	.A(n_28982),
+	.Y(n_28981), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g688949 (
+	.A(n_28832),
+	.Y(n_28980), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g688951 (
+	.A(n_28979),
+	.Y(n_28978), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g688952 (
+	.A(n_28977),
+	.Y(n_28976), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g688953 (
+	.A(n_28975),
+	.Y(n_35714), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g688954 (
+	.A(n_28974),
+	.Y(n_28973), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g688955 (
+	.A(n_35715),
+	.Y(n_8265), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g688956 (
+	.A(n_34888),
+	.Y(n_8238), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688957 (
+	.A(n_28875),
+	.B(brqrv_top_brqrv_ifu_aln_brdata0[6]),
+	.Y(n_28970), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688958 (
+	.A(n_28852),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[4]),
+	.Y(n_34873), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688959 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[20]),
+	.B(n_34885),
+	.Y(n_28969), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688960 (
+	.A(n_31667),
+	.B(n_28852),
+	.Y(n_34871), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688961 (
+	.A(brqrv_top_brqrv_lsu_lsu_pkt_m[9]),
+	.B(n_8229),
+	.Y(n_34085), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688962 (
+	.A(n_34914),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[8]),
+	.Y(n_28968), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688963 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[10]),
+	.B(n_34878),
+	.Y(n_28967), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688965 (
+	.A(n_35522),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[25]),
+	.Y(n_28966), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688966 (
+	.A(brqrv_top_brqrv_dec_i0_predict_p_d[47]),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[14]),
+	.Y(n_28965), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688967 (
+	.A(brqrv_top_brqrv_lsu_lsu_pkt_m[9]),
+	.B(brqrv_top_brqrv_lsu_addr_external_m),
+	.Y(n_34084), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688968 (
+	.A(n_16970),
+	.B(brqrv_top_brqrv_dec_decode_cam_raw[34]),
+	.Y(n_28964), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688969 (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[43]),
+	.B(n_28893),
+	.Y(n_28963), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g688971 (
+	.A(n_35632),
+	.B_N(brqrv_top_brqrv_dbg_cmd_addr[0]),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g688972 (
+	.A(n_35632),
+	.B_N(brqrv_top_brqrv_dbg_cmd_addr[4]),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688973 (
+	.A(brqrv_top_brqrv_lsu_stbuf_n_600),
+	.B(n_28893),
+	.Y(n_28961), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688974 (
+	.A(n_35516),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[71]),
+	.Y(n_28960), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688975 (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[19]),
+	.B(n_28893),
+	.Y(n_28959), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688976 (
+	.A(n_35523),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[24]),
+	.Y(n_28958), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688977 (
+	.A(brqrv_top_brqrv_lsu_end_addr_m[2]),
+	.B(n_34820),
+	.Y(n_28957), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688978 (
+	.A(n_35073),
+	.B(n_33990),
+	.Y(n_28956), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g688979 (
+	.A(n_35632),
+	.B_N(brqrv_top_brqrv_dbg_cmd_addr[3]),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688980 (
+	.A(n_35487),
+	.B(brqrv_top_brqrv_lsu_end_addr_m[7]),
+	.Y(n_28955), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688981 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[4]),
+	.B(n_35518),
+	.Y(n_28954), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688982 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[12]),
+	.B(n_35513),
+	.Y(n_28953), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g688983 (
+	.A(n_35632),
+	.B_N(brqrv_top_brqrv_dbg_cmd_addr[1]),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688984 (
+	.A(brqrv_top_brqrv_dma_mem_tag[1]),
+	.B(brqrv_top_brqrv_dma_mem_tag[0]),
+	.Y(n_35440), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g688985 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [28]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [29]),
+	.X(n_34204), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688986 (
+	.A(n_28902),
+	.B(brqrv_top_brqrv_dec_i0_predict_p_d[47]),
+	.Y(n_34848), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688987 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[2]),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[3]),
+	.Y(n_29022), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 g688988 (
+	.A(n_35598),
+	.B(n_33910),
+	.X(n_34842), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688989 (
+	.A(n_34819),
+	.B(brqrv_top_brqrv_lsu_bus_intf_ldst_byteen_r[0]),
+	.Y(n_29021), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g688990 (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_r_in[5]),
+	.B_N(brqrv_top_brqrv_lsu_lsu_pkt_m[10]),
+	.Y(n_29020), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688991 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[16]),
+	.B(brqrv_top_brqrv_dec_decode_x_d[5]),
+	.Y(n_35072), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g688992 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_tag0[2]),
+	.B_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_tag0[1]),
+	.Y(n_29018), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688993 (
+	.A(brqrv_top_brqrv_dec_i0_predict_p_d[46]),
+	.B(n_110),
+	.Y(n_29017), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688994 (
+	.A(n_8272),
+	.B(n_32738),
+	.Y(n_34827), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 g688995 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [26]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [27]),
+	.X(n_34102), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688996 (
+	.A(n_32406),
+	.B(n_28852),
+	.Y(n_35504), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688997 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[4]),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[3]),
+	.Y(n_29016), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688998 (
+	.A(n_31667),
+	.B(n_1665),
+	.Y(n_29015), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688999 (
+	.A(n_43112),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[5]),
+	.Y(n_29014), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g689000 (
+	.A(n_28897),
+	.B(brqrv_top_brqrv_dec_i0_predict_p_d[42]),
+	.Y(n_34813), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 g689001 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [6]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [7]),
+	.X(n_34526), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g689002 (
+	.A(n_43112),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[2]),
+	.Y(n_29013), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g689004 (
+	.A(n_28925),
+	.B(brqrv_top_brqrv_dec_decode_x_d[5]),
+	.Y(n_29012), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g689005 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[4]),
+	.B(n_28918),
+	.Y(n_29011), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g689006 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[22]),
+	.B(n_16971),
+	.Y(n_34844), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g689007 (
+	.A(brqrv_top_brqrv_dec_i0_predict_p_d[47]),
+	.B(brqrv_top_brqrv_dec_i0_predict_p_d[44]),
+	.Y(n_29010), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g689008 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[2]),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[14]),
+	.Y(n_29009), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g689009 (
+	.A(brqrv_top_brqrv_dec_i0_predict_p_d[46]),
+	.B(brqrv_top_brqrv_dec_i0_predict_p_d[44]),
+	.Y(n_29008), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g689010 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[2]),
+	.B(n_31667),
+	.Y(n_29007), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g689011 (
+	.A(brqrv_top_brqrv_dec_i0_predict_p_d[46]),
+	.B(brqrv_top_brqrv_dec_i0_predict_p_d[47]),
+	.Y(n_29006), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g689012 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[14]),
+	.B(n_28863),
+	.Y(n_29005), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g689013 (
+	.A(brqrv_top_brqrv_dec_i0_predict_p_d[46]),
+	.B(n_28897),
+	.Y(n_34816), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g689014 (
+	.A(n_28907),
+	.B(brqrv_top_brqrv_dec_i0_predict_p_d[44]),
+	.Y(n_29004), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g689015 (
+	.A(brqrv_top_brqrv_dec_i0_predict_p_d[42]),
+	.B(brqrv_top_brqrv_dec_i0_predict_p_d[43]),
+	.Y(n_29003), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g689016 (
+	.A(n_11556),
+	.B(n_11528),
+	.Y(n_29002), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g689017 (
+	.A(n_11566),
+	.B(n_11528),
+	.Y(n_29001), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g689018 (
+	.A(n_31667),
+	.B(n_32406),
+	.Y(n_34841), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g689019 (
+	.A(n_11562),
+	.B(n_11528),
+	.Y(n_29000), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g689020 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[4]),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[2]),
+	.Y(n_28999), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g689021 (
+	.A(n_16971),
+	.B(n_16970),
+	.Y(n_34919), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g689022 (
+	.A(n_73),
+	.B(brqrv_top_brqrv_dec_i0_predict_p_d[44]),
+	.Y(n_28996), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g689023 (
+	.A(n_28917),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[4]),
+	.Y(n_34875), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g689024 (
+	.A(n_8172),
+	.B(n_8171),
+	.Y(n_34845), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g689025 (
+	.A(brqrv_top_brqrv_dec_i0_predict_p_d[47]),
+	.B(brqrv_top_brqrv_dec_i0_predict_p_d[46]),
+	.Y(n_34872), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g689026 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[4]),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[5]),
+	.Y(n_34874), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g689027 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_enQ),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_valid),
+	.Y(n_28995), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g689028 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[4]),
+	.B(n_28918),
+	.Y(n_28994), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g689029 (
+	.A(n_127),
+	.B(n_28852),
+	.Y(n_34901), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g689031 (
+	.A(n_1708),
+	.B(n_8173),
+	.Y(n_34821), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g689032 (
+	.A(n_28854),
+	.B(brqrv_top_brqrv_lsu_bus_intf_ldst_byteen_r[3]),
+	.Y(n_28992), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g689033 (
+	.A(n_1665),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[4]),
+	.Y(n_34849), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g689034 (
+	.A(n_8174),
+	.B(n_16971),
+	.Y(n_28989), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g689035 (
+	.A(brqrv_top_brqrv_dec_decode_x_d[1]),
+	.B(brqrv_top_brqrv_dec_decode_x_d[3]),
+	.Y(n_34622), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g689036 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[5]),
+	.B(n_43112),
+	.Y(n_28988), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g689037 (
+	.A(n_28917),
+	.B(n_43112),
+	.Y(n_28986), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g689038 (
+	.A(n_28904),
+	.B(n_127),
+	.Y(n_34824), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g689039 (
+	.A(n_43112),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[4]),
+	.Y(n_28984), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g689040 (
+	.A(n_32738),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[16]),
+	.Y(n_17414), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g689041 (
+	.A(n_12045),
+	.B(brqrv_top_brqrv_lsu_addr_in_dccm_m),
+	.Y(n_28982), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g689042 (
+	.A(n_28904),
+	.B(n_32406),
+	.Y(n_28832), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g689043 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[5]),
+	.B(n_28920),
+	.Y(n_28979), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g689044 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[6]),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[5]),
+	.Y(n_28977), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g689045 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[0]),
+	.B(n_1919),
+	.Y(n_28975), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g689046 (
+	.A(n_28920),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[5]),
+	.Y(n_28974), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g689047 (
+	.A(n_1919),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_m[0]),
+	.Y(n_35715), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g689048 (
+	.A(\brqrv_top_mem_Gen_dccm_enable.dccm_n_266 ),
+	.B_N(\brqrv_top_mem_Gen_dccm_enable.dccm_n_267 ),
+	.Y(n_270073_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g689049 (
+	.A(\brqrv_top_mem_Gen_dccm_enable.dccm_n_266 ),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_n_267 ),
+	.Y(n_270072_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g689050 (
+	.A_N(n_34917),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_m[0]),
+	.Y(n_34888), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g689051 (
+	.A(brqrv_top_brqrv_ifu_aln_brdata2[9]),
+	.Y(n_28952), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g689053 (
+	.A(brqrv_top_brqrv_ifu_aln_brdata0[11]),
+	.Y(n_28950), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g689054 (
+	.A(brqrv_top_brqrv_ifu_aln_brdata1[14]),
+	.Y(n_28949), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g689055 (
+	.A(brqrv_top_brqrv_ifu_aln_brdata1[11]),
+	.Y(n_28948), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g689056 (
+	.A(brqrv_top_brqrv_ifu_aln_brdata0[3]),
+	.Y(n_28947), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g689058 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[11]),
+	.Y(n_28945), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g689060 (
+	.A(brqrv_top_brqrv_lsu_store_data_lo_r[25]),
+	.Y(n_28943), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g689062 (
+	.A(brqrv_top_brqrv_lsu_store_data_hi_r[1]),
+	.Y(n_28941), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g689063 (
+	.A(n_34031),
+	.Y(n_28940), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g689066 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_tag1[1]),
+	.Y(n_28937), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g689067 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[12]),
+	.Y(n_28936), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g689068 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[14]),
+	.Y(n_28935), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g689070 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[24]),
+	.Y(n_28933), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g689072 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[29]),
+	.Y(n_28931), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g689073 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[17]),
+	.Y(n_28930), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g689074 (
+	.A(brqrv_top_brqrv_dma_mem_tag[2]),
+	.Y(n_28929), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g689077 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[18]),
+	.Y(n_28927), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g689078 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[15]),
+	.Y(n_28926), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g689079 (
+	.A(brqrv_top_brqrv_dec_decode_x_d[4]),
+	.Y(n_28925), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g689081 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[22]),
+	.Y(n_28923), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g689084 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[30]),
+	.Y(n_28921), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g689085 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[6]),
+	.Y(n_28920), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g689086 (
+	.A(brqrv_top_brqrv_lsu_lsu_pkt_r[8]),
+	.Y(n_28919), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g689087 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[3]),
+	.Y(n_28918), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g689088 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[5]),
+	.Y(n_28917), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g689090 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[2]),
+	.Y(n_28916), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g689091 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[2]),
+	.Y(n_1665), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g689093 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[3]),
+	.Y(n_28913), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g689094 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[4]),
+	.Y(n_28912), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g689095 (
+	.A(n_34870),
+	.Y(n_28911), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g689096 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[9]),
+	.Y(n_28910), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g689098 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[18]),
+	.Y(n_8172), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g689099 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[4]),
+	.Y(n_28907), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 g689100 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[16]),
+	.Y(n_8272), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g689103 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[21]),
+	.Y(n_8173), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g689104 (
+	.A(brqrv_top_brqrv_dec_i0_predict_p_d[46]),
+	.Y(n_28902), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g689105 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[20]),
+	.Y(n_1708), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g689109 (
+	.A(brqrv_top_brqrv_dec_i0_predict_p_d[44]),
+	.Y(n_28897), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g689111 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[22]),
+	.Y(n_8174), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g689112 (
+	.A(brqrv_top_brqrv_lsu_end_addr_m[2]),
+	.Y(n_28894), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g689113 (
+	.A(brqrv_top_brqrv_lsu_end_addr_m[7]),
+	.Y(n_28893), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g689115 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[10]),
+	.Y(n_28891), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g689116 (
+	.A(brqrv_top_brqrv_lsu_store_data_lo_r[17]),
+	.Y(n_28890), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g689117 (
+	.A(brqrv_top_brqrv_ifu_aln_brdata2[8]),
+	.Y(n_28889), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g689120 (
+	.A(brqrv_top_brqrv_ifu_aln_brdata2[11]),
+	.Y(n_28887), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g689121 (
+	.A(brqrv_top_brqrv_ifu_aln_brdata0[8]),
+	.Y(n_28886), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g689122 (
+	.A(brqrv_top_brqrv_ifu_aln_brdata0[0]),
+	.Y(n_28885), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g689123 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[100]),
+	.Y(n_28884), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g689124 (
+	.A(brqrv_top_brqrv_ifu_aln_brdata0[14]),
+	.Y(n_28883), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g689125 (
+	.A(brqrv_top_brqrv_ifu_aln_brdata1[8]),
+	.Y(n_28882), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g689126 (
+	.A(n_35073),
+	.Y(n_28881), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g689127 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[11]),
+	.Y(n_28880), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g689130 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[10]),
+	.Y(n_28879), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g689131 (
+	.A(brqrv_top_brqrv_dec_decode_leak1_mode),
+	.Y(n_28878), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g689137 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[1]),
+	.Y(n_1919), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g689138 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[26]),
+	.Y(n_28871), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g689139 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[31]),
+	.Y(n_28870), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g689140 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[21]),
+	.Y(n_28869), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g689142 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[25]),
+	.Y(n_28867), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g689144 (
+	.A(brqrv_top_brqrv_lsu_lsu_pkt_r[9]),
+	.Y(n_12043), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g689145 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[14]),
+	.Y(n_28864), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g689146 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[13]),
+	.Y(n_28863), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g689148 (
+	.A(brqrv_top_brqrv_dec_i0_predict_p_d[45]),
+	.Y(n_28861), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g689150 (
+	.A(n_34819),
+	.Y(n_28859), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g689151 (
+	.A(brqrv_top_brqrv_lsu_addr_in_pic_m),
+	.Y(n_12045), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g689152 (
+	.A(brqrv_top_brqrv_lsu_lsu_pkt_m[9]),
+	.Y(n_28857), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g689154 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[7]),
+	.Y(n_12038), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g689155 (
+	.A(n_34892),
+	.Y(n_28854), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g689157 (
+	.A(n_43112),
+	.Y(n_28852), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g689158 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[5]),
+	.Y(n_28851), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g689159 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[8]),
+	.Y(n_28850), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g689160 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[11]),
+	.Y(n_28849), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g689162 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[6]),
+	.Y(n_28847), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g689165 (
+	.A(n_42910),
+	.Y(n_28844), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g689166 (
+	.A(brqrv_top_brqrv_dec_i0_predict_p_d[47]),
+	.Y(n_73), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g689167 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[23]),
+	.Y(n_16971), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g689168 (
+	.A(n_34067),
+	.Y(n_28841), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g689169 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[24]),
+	.Y(n_16970), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g689170 (
+	.A(n_34068),
+	.Y(n_28839), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_6 g689172 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[2]),
+	.Y(n_28837), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_2 g636231 (
+	.A(n_31431),
+	.B_N(n_31619),
+	.Y(n_28831), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g689173 (
+	.A1(n_35715),
+	.A2(n_31338),
+	.B1(n_33885),
+	.B2(n_31204),
+	.X(n_28830), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g689174 (
+	.A(n_31302),
+	.B(n_31223),
+	.C(n_31225),
+	.X(n_28829), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_0 g689177 (
+	.A(n_29594),
+	.B(n_30586),
+	.X(n_28826), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g689182 (
+	.A_N(n_35654),
+	.B(n_35653),
+	.Y(n_35407), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g689183 (
+	.A(brqrv_top_brqrv_dec_decode_lsu_idle),
+	.B_N(n_31519),
+	.Y(n_28825), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4bb_1 g689184 (
+	.A(n_30937),
+	.B(n_30969),
+	.C_N(n_30967),
+	.D_N(n_30966),
+	.Y(n_28824), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g689185 (
+	.A_N(n_34046),
+	.B(brqrv_top_brqrv_lsu_store_data_hi_r[7]),
+	.Y(n_28823), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g689186 (
+	.A1(n_30433),
+	.A2(n_43119),
+	.B1_N(n_43121),
+	.Y(n_28822), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g689187 (
+	.A_N(n_30867),
+	.B(n_30140),
+	.Y(n_28821), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3b_2 g689189 (
+	.A(n_30901),
+	.B(n_30677),
+	.C_N(n_30863),
+	.X(n_28819), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g689190 (
+	.A_N(n_43125),
+	.B(n_30234),
+	.C(n_28859),
+	.Y(n_28818), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g689191 (
+	.A(n_28978),
+	.B_N(n_30444),
+	.Y(n_28817), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g689192 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[2]),
+	.B_N(n_30438),
+	.Y(n_28816), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g689193 (
+	.A_N(n_30320),
+	.B(n_30234),
+	.Y(n_28815), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4b_1 g689194 (
+	.A_N(n_29583),
+	.B(n_28988),
+	.C(n_29851),
+	.D(n_29004),
+	.Y(n_28814), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g689195 (
+	.A(n_33869),
+	.B_N(n_29573),
+	.Y(n_28813), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g689196 (
+	.A_N(n_34845),
+	.B(n_32728),
+	.Y(n_28812), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g689197 (
+	.A_N(n_34821),
+	.B(n_29612),
+	.Y(n_28811), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g689198 (
+	.A_N(n_28984),
+	.B(n_31667),
+	.Y(n_34846), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g689200 (
+	.A_N(brqrv_top_brqrv_dec_dec_i0_instr_d[31]),
+	.B(n_29003),
+	.C(n_28897),
+	.Y(n_28810), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 g689203 (
+	.A0(brqrv_top_brqrv_lsu_lsu_addr_m[28]),
+	.A1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_m[28]),
+	.S(FE_DBTN6_n_41918),
+	.Y(n_28809), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g689204 (
+	.A_N(brqrv_top_brqrv_dec_i0_predict_p_d[42]),
+	.B(brqrv_top_brqrv_dec_i0_predict_p_d[44]),
+	.Y(n_28808), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g689205 (
+	.A_N(n_43965),
+	.B(n_30841),
+	.Y(n_28807), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g689206 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[7]),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[9]),
+	.X(n_28806), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g615182 (
+	.A(n_28805),
+	.B(n_28802),
+	.C(n_28799),
+	.D(n_28800),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_fetchghr_en ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g615183 (
+	.A(n_28803),
+	.B(n_28804),
+	.Y(n_28805), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o41a_1 g615184 (
+	.A1(n_28748),
+	.A2(n_28773),
+	.A3(n_28783),
+	.A4(n_28794),
+	.B1(brqrv_top_brqrv_dec_data_en[0]),
+	.X(brqrv_top_brqrv_exu_i_npc_r_ff_dff_dff_left_final_en), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g615185 (
+	.A(n_28798),
+	.B(n_28801),
+	.Y(n_28804), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g615186 (
+	.A(n_28723),
+	.B(n_28726),
+	.C(n_28725),
+	.Y(n_28803), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g615187 (
+	.A(n_28796),
+	.B(n_28797),
+	.Y(n_28802), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g615188 (
+	.A1(n_43593),
+	.A2(n_28736),
+	.B1(n_28763),
+	.Y(n_28801), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g615189 (
+	.A(brqrv_top_brqrv_ifu_ifu_bp_fghr_f[4]),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_fghr_ns [4]),
+	.Y(n_28800), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g615190 (
+	.A(brqrv_top_brqrv_ifu_ifu_bp_fghr_f[3]),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_fghr_ns [3]),
+	.Y(n_28799), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g615191 (
+	.A(brqrv_top_brqrv_ifu_ifu_bp_fghr_f[6]),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_fghr_ns [6]),
+	.Y(n_28798), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g615195 (
+	.A1_N(n_28735),
+	.A2_N(n_43135),
+	.B1(brqrv_top_brqrv_ifu_ifu_bp_fghr_f[1]),
+	.B2(n_28795),
+	.Y(n_28797), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g615196 (
+	.A1(n_28729),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_fghr_ns [1]),
+	.B1(n_28735),
+	.B2(n_43135),
+	.Y(n_28796), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g615197 (
+	.A(n_28792),
+	.B(n_28778),
+	.C(n_323582_BAR),
+	.D(n_28777),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_fghr_ns [4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g615198 (
+	.A(n_28787),
+	.B(n_28775),
+	.C(n_323486_BAR),
+	.D(n_28769),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_fghr_ns [6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g615201 (
+	.A(n_28789),
+	.B(n_28776),
+	.C(n_323534_BAR),
+	.D(n_28781),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_fghr_ns [3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g615202 (
+	.A(n_28786),
+	.B(n_28780),
+	.C(n_323510_BAR),
+	.D(n_28779),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_fghr_ns [5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g615204 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_fghr_ns [1]),
+	.Y(n_28795), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g615205 (
+	.A(n_28782),
+	.B(n_28767),
+	.C(n_28744),
+	.D(n_28745),
+	.Y(n_28794), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g615206 (
+	.A(n_28790),
+	.B(n_43136),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_fghr_ns [1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g689211 (
+	.A(n_28774),
+	.B(brqrv_top_brqrv_ifu_ifu_bp_fghr_f[4]),
+	.Y(n_28792), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g689213 (
+	.A(n_28774),
+	.B(brqrv_top_brqrv_ifu_ifu_bp_fghr_f[1]),
+	.Y(n_28790), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g689214 (
+	.A(n_28774),
+	.B(brqrv_top_brqrv_ifu_ifu_bp_fghr_f[3]),
+	.Y(n_28789), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g689215 (
+	.A(n_28774),
+	.B(brqrv_top_brqrv_ifu_ifu_bp_fghr_f[2]),
+	.Y(n_28788), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g689216 (
+	.A(n_28774),
+	.B(brqrv_top_brqrv_ifu_ifu_bp_fghr_f[6]),
+	.Y(n_28787), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g689217 (
+	.A(n_28774),
+	.B(brqrv_top_brqrv_ifu_ifu_bp_fghr_f[5]),
+	.Y(n_28786), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g689220 (
+	.A(n_28753),
+	.B(n_28752),
+	.C(n_28751),
+	.D(n_28750),
+	.Y(n_28783), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111oi_0 g689221 (
+	.A1(n_28731),
+	.A2(brqrv_top_brqrv_exu_pred_correct_npc_r[21]),
+	.B1(n_28746),
+	.C1(n_28747),
+	.D1(n_28768),
+	.Y(n_28782), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g689222 (
+	.A(n_28727),
+	.B(brqrv_top_brqrv_ifu_ifu_bp_fghr_f[1]),
+	.Y(n_28781), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g689223 (
+	.A(n_28766),
+	.B(brqrv_top_brqrv_ifu_ifu_bp_fghr_f[4]),
+	.Y(n_28780), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g689224 (
+	.A(n_28727),
+	.B(brqrv_top_brqrv_ifu_ifu_bp_fghr_f[3]),
+	.Y(n_28779), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g689225 (
+	.A(n_28766),
+	.B(brqrv_top_brqrv_ifu_ifu_bp_fghr_f[3]),
+	.Y(n_28778), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g689226 (
+	.A(n_28727),
+	.B(brqrv_top_brqrv_ifu_ifu_bp_fghr_f[2]),
+	.Y(n_28777), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g689227 (
+	.A(n_28766),
+	.B(brqrv_top_brqrv_ifu_ifu_bp_fghr_f[2]),
+	.Y(n_28776), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g689228 (
+	.A(n_28766),
+	.B(brqrv_top_brqrv_ifu_ifu_bp_fghr_f[5]),
+	.Y(n_28775), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g689229 (
+	.A1(brqrv_top_brqrv_exu_pred_correct_npc_r[13]),
+	.A2(n_28737),
+	.B1(n_28754),
+	.C1(n_28764),
+	.Y(n_28773), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g689231 (
+	.A(n_28727),
+	.B(brqrv_top_brqrv_ifu_ifu_bp_fghr_f[0]),
+	.Y(n_28771), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g689233 (
+	.A(n_28727),
+	.B(brqrv_top_brqrv_ifu_ifu_bp_fghr_f[4]),
+	.Y(n_28769), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g689234 (
+	.A1(brqrv_top_brqrv_exu_pred_correct_npc_r[21]),
+	.A2(n_28731),
+	.B1(n_28749),
+	.C1(n_28755),
+	.Y(n_28768), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g689235 (
+	.A(n_28743),
+	.B(n_28756),
+	.C(n_28741),
+	.D(n_28742),
+	.Y(n_28767), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g689236 (
+	.A(n_43030),
+	.B(n_28759),
+	.Y(n_28774), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g689237 (
+	.A(n_28765),
+	.Y(n_28766), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g689238 (
+	.A(n_356302_BAR),
+	.B(n_28761),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_count_in [5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g689239 (
+	.A(n_356299_BAR),
+	.B(n_28761),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_count_in [4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g689240 (
+	.A(n_28761),
+	.B_N(n_34182),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_count_in [2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g689241 (
+	.A(n_356298_BAR),
+	.B(n_28761),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_count_in [1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g689242 (
+	.A(n_28758),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_miss_pending),
+	.Y(n_34160), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g689243 (
+	.A(n_43139),
+	.B(n_34185),
+	.Y(n_28765), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g689244 (
+	.A1(n_28730),
+	.A2(brqrv_top_brqrv_pred_correct_npc_x[14]),
+	.B1(n_28737),
+	.B2(brqrv_top_brqrv_exu_pred_correct_npc_r[13]),
+	.C1(n_28732),
+	.C2(brqrv_top_brqrv_exu_pred_correct_npc_r[14]),
+	.Y(n_28764), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g689245 (
+	.A(n_1036),
+	.B(n_28757),
+	.Y(n_34926), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g689246 (
+	.A(n_356303_BAR),
+	.B(n_28761),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_count_in [0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g689247 (
+	.A(n_356305_BAR),
+	.B(n_28761),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_count_in [6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g689248 (
+	.A(n_356301_BAR),
+	.B(n_28761),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_count_in [3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g689249 (
+	.A(n_28760),
+	.B(n_337676_BAR),
+	.Y(n_28763), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g689250 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_n_10401 ),
+	.B(brqrv_top_brqrv_exu_flush_final),
+	.Y(n_28760), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g689251 (
+	.A1(brqrv_top_brqrv_ifu_mem_ctl_uncacheable_miss_ff),
+	.A2(n_28733),
+	.B1(brqrv_top_brqrv_ifu_mem_ctl_n_1836),
+	.Y(n_34958), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g689253 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_leak_one_f_d1 ),
+	.A2(n_1059),
+	.B1_N(\brqrv_top_brqrv_ifu_bpred.bp_n_10401 ),
+	.Y(n_28759), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g689256 (
+	.A1(n_28734),
+	.A2(brqrv_top_brqrv_exu_flush_final),
+	.B1_N(brqrv_top_brqrv_ifu_mem_ctl_n_3474),
+	.Y(n_28758), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g689257 (
+	.A1(brqrv_top_brqrv_ifu_mem_ctl_flush_final_f),
+	.A2(brqrv_top_brqrv_ifu_mem_ctl_n_3474),
+	.B1(brqrv_top_brqrv_ifu_mem_ctl_n_3477),
+	.Y(n_28757), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g689258 (
+	.A(n_1036),
+	.B(n_43140),
+	.Y(n_106844_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g689259 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_flush_final_f),
+	.B(brqrv_top_brqrv_dec_tlu_force_halt),
+	.C(brqrv_top_brqrv_exu_flush_final),
+	.Y(n_358663_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g689260 (
+	.A1_N(brqrv_top_brqrv_exu_pred_correct_npc_r[17]),
+	.A2_N(brqrv_top_brqrv_pred_correct_npc_x[17]),
+	.B1(brqrv_top_brqrv_exu_pred_correct_npc_r[17]),
+	.B2(brqrv_top_brqrv_pred_correct_npc_x[17]),
+	.Y(n_28756), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g689262 (
+	.A(n_34250),
+	.B(n_35192),
+	.C(n_372685_BAR),
+	.Y(n_28761), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g689263 (
+	.A(brqrv_top_brqrv_exu_pred_correct_npc_r[27]),
+	.B(brqrv_top_brqrv_pred_correct_npc_x[27]),
+	.Y(n_28755), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g689264 (
+	.A(brqrv_top_brqrv_exu_pred_correct_npc_r[25]),
+	.B(brqrv_top_brqrv_pred_correct_npc_x[25]),
+	.Y(n_28754), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g689265 (
+	.A(brqrv_top_brqrv_exu_pred_correct_npc_r[31]),
+	.B(brqrv_top_brqrv_pred_correct_npc_x[31]),
+	.Y(n_28753), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g689266 (
+	.A(brqrv_top_brqrv_exu_pred_correct_npc_r[30]),
+	.B(brqrv_top_brqrv_pred_correct_npc_x[30]),
+	.Y(n_28752), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g689267 (
+	.A(brqrv_top_brqrv_exu_pred_correct_npc_r[28]),
+	.B(brqrv_top_brqrv_pred_correct_npc_x[28]),
+	.Y(n_28751), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g689268 (
+	.A(brqrv_top_brqrv_exu_pred_correct_npc_r[29]),
+	.B(brqrv_top_brqrv_pred_correct_npc_x[29]),
+	.Y(n_28750), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g689269 (
+	.A(brqrv_top_brqrv_exu_pred_correct_npc_r[23]),
+	.B(brqrv_top_brqrv_pred_correct_npc_x[23]),
+	.Y(n_28749), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g689270 (
+	.A(brqrv_top_brqrv_exu_pred_correct_npc_r[15]),
+	.B(brqrv_top_brqrv_pred_correct_npc_x[15]),
+	.X(n_28748), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g689271 (
+	.A(brqrv_top_brqrv_exu_pred_correct_npc_r[22]),
+	.B(brqrv_top_brqrv_pred_correct_npc_x[22]),
+	.X(n_28747), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g689272 (
+	.A(brqrv_top_brqrv_exu_pred_correct_npc_r[24]),
+	.B(brqrv_top_brqrv_pred_correct_npc_x[24]),
+	.X(n_28746), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g689273 (
+	.A(brqrv_top_brqrv_exu_pred_correct_npc_r[26]),
+	.B(brqrv_top_brqrv_pred_correct_npc_x[26]),
+	.Y(n_28745), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g689274 (
+	.A(brqrv_top_brqrv_exu_pred_correct_npc_r[20]),
+	.B(brqrv_top_brqrv_pred_correct_npc_x[20]),
+	.Y(n_28744), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g689275 (
+	.A(brqrv_top_brqrv_exu_pred_correct_npc_r[16]),
+	.B(brqrv_top_brqrv_pred_correct_npc_x[16]),
+	.X(n_28743), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g689276 (
+	.A(brqrv_top_brqrv_exu_pred_correct_npc_r[19]),
+	.B(brqrv_top_brqrv_pred_correct_npc_x[19]),
+	.X(n_28742), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g689277 (
+	.A(brqrv_top_brqrv_exu_pred_correct_npc_r[18]),
+	.B(brqrv_top_brqrv_pred_correct_npc_x[18]),
+	.X(n_28741), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g689279 (
+	.A(n_41719),
+	.B(n_1036),
+	.Y(n_35062), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g689280 (
+	.A(n_34957),
+	.B(n_1036),
+	.Y(n_328488_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g689281 (
+	.A(n_34631),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_n_1836),
+	.Y(n_35049), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g689284 (
+	.A_N(brqrv_top_brqrv_ifu_mem_ctl_n_3474),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_flush_final_f),
+	.Y(n_34186), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g689286 (
+	.A(brqrv_top_brqrv_pred_correct_npc_x[13]),
+	.Y(n_28737), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g689287 (
+	.A(brqrv_top_brqrv_ifu_ifu_bp_fghr_f[2]),
+	.Y(n_28736), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g689288 (
+	.A(brqrv_top_brqrv_ifu_ifu_bp_fghr_f[0]),
+	.Y(n_28735), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g689289 (
+	.A(n_34728),
+	.Y(n_28734), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g689290 (
+	.A(n_34631),
+	.Y(n_28733), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g689291 (
+	.A(brqrv_top_brqrv_pred_correct_npc_x[14]),
+	.Y(n_28732), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g689292 (
+	.A(brqrv_top_brqrv_pred_correct_npc_x[21]),
+	.Y(n_28731), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g689293 (
+	.A(brqrv_top_brqrv_exu_pred_correct_npc_r[14]),
+	.Y(n_28730), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g689294 (
+	.A(brqrv_top_brqrv_ifu_ifu_bp_fghr_f[1]),
+	.Y(n_28729), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_0 g689296 (
+	.A(n_43139),
+	.B(n_314459_BAR),
+	.X(n_28727), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g689297 (
+	.A_N(\brqrv_top_brqrv_ifu_bpred.bp_fghr_ns [5]),
+	.B(brqrv_top_brqrv_ifu_ifu_bp_fghr_f[5]),
+	.Y(n_28726), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g689298 (
+	.A_N(n_43593),
+	.B(brqrv_top_brqrv_ifu_ifu_bp_fghr_f[2]),
+	.Y(n_28725), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g689299 (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_end_addr_in_pic_d),
+	.B(n_35065),
+	.X(n_34104), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g689300 (
+	.A_N(brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_end_addr_in_dccm_d),
+	.B(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.end_addr_dccm_rangecheck_n_15 ),
+	.Y(n_35065), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g689302 (
+	.A_N(brqrv_top_brqrv_ifu_ifu_bp_fghr_f[5]),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_fghr_ns [5]),
+	.Y(n_28723), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g614902 (
+	.A1(n_28722),
+	.A2(brqrv_top_brqrv_exu_i0_pc_x[31]),
+	.B1(n_28696),
+	.B2(n_37942),
+	.C1(n_28720),
+	.X(brqrv_top_brqrv_pred_correct_npc_x[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g614903 (
+	.A1(n_28721),
+	.A2(brqrv_top_brqrv_exu_i0_pc_x[30]),
+	.B1(n_28696),
+	.B2(n_37941),
+	.C1(n_28719),
+	.X(brqrv_top_brqrv_pred_correct_npc_x[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g614904 (
+	.A1(n_28718),
+	.A2(brqrv_top_brqrv_exu_i0_pc_x[21]),
+	.B1(n_28696),
+	.B2(n_37932),
+	.C1(n_28711),
+	.X(brqrv_top_brqrv_pred_correct_npc_x[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g614905 (
+	.A1(n_28718),
+	.A2(brqrv_top_brqrv_exu_i0_pc_x[22]),
+	.B1(n_28696),
+	.B2(n_37933),
+	.C1(n_28712),
+	.X(brqrv_top_brqrv_pred_correct_npc_x[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g614906 (
+	.A1(n_28697),
+	.A2(brqrv_top_brqrv_exu_i0_pc_x[30]),
+	.B1(n_28721),
+	.X(n_28722), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g614907 (
+	.A1(n_28715),
+	.A2(brqrv_top_brqrv_exu_i0_pc_x[20]),
+	.B1(n_28696),
+	.B2(n_37931),
+	.C1(n_28714),
+	.X(brqrv_top_brqrv_pred_correct_npc_x[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g614908 (
+	.A1(n_28716),
+	.A2(brqrv_top_brqrv_exu_i0_pc_x[25]),
+	.B1(n_28696),
+	.B2(n_37936),
+	.C1(n_28700),
+	.X(brqrv_top_brqrv_pred_correct_npc_x[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g614909 (
+	.A1(n_28716),
+	.A2(brqrv_top_brqrv_exu_i0_pc_x[26]),
+	.B1(n_28696),
+	.B2(n_37937),
+	.C1(n_28705),
+	.X(brqrv_top_brqrv_pred_correct_npc_x[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g614910 (
+	.A1(n_28715),
+	.A2(brqrv_top_brqrv_exu_i0_pc_x[19]),
+	.B1(n_28696),
+	.B2(n_37930),
+	.C1(n_28709),
+	.X(brqrv_top_brqrv_pred_correct_npc_x[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g614911 (
+	.A1(n_28717),
+	.A2(brqrv_top_brqrv_exu_i0_pc_x[29]),
+	.B1(n_28696),
+	.B2(n_37940),
+	.C1(n_43141),
+	.X(brqrv_top_brqrv_pred_correct_npc_x[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g614912 (
+	.A1(n_28698),
+	.A2(brqrv_top_brqrv_exu_i0_pc_x[24]),
+	.B1(n_28696),
+	.B2(n_37935),
+	.C1(n_28710),
+	.X(brqrv_top_brqrv_pred_correct_npc_x[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g614913 (
+	.A1(n_28698),
+	.A2(brqrv_top_brqrv_exu_i0_pc_x[18]),
+	.B1(n_28696),
+	.B2(n_37929),
+	.C1(n_28708),
+	.X(brqrv_top_brqrv_pred_correct_npc_x[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g614914 (
+	.A(brqrv_top_brqrv_exu_i0_pc_x[31]),
+	.B_N(n_28719),
+	.Y(n_28720), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g614915 (
+	.A1(n_28698),
+	.A2(brqrv_top_brqrv_exu_i0_pc_x[23]),
+	.B1(n_28696),
+	.B2(n_37934),
+	.C1(n_28713),
+	.X(brqrv_top_brqrv_pred_correct_npc_x[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g614916 (
+	.A1(n_28697),
+	.A2(brqrv_top_brqrv_exu_i0_pc_x[29]),
+	.B1(n_28717),
+	.X(n_28721), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g614917 (
+	.A1(n_28698),
+	.A2(brqrv_top_brqrv_exu_i0_pc_x[27]),
+	.B1(n_28696),
+	.B2(n_37938),
+	.C1(n_28703),
+	.X(brqrv_top_brqrv_pred_correct_npc_x[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g614918 (
+	.A1(n_28698),
+	.A2(brqrv_top_brqrv_exu_i0_pc_x[17]),
+	.B1(n_28696),
+	.B2(n_37928),
+	.C1(n_28707),
+	.X(brqrv_top_brqrv_pred_correct_npc_x[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g614919 (
+	.A1(n_28698),
+	.A2(brqrv_top_brqrv_exu_i0_pc_x[16]),
+	.B1(n_28696),
+	.B2(n_37927),
+	.C1(n_28704),
+	.X(brqrv_top_brqrv_pred_correct_npc_x[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g614920 (
+	.A1(n_28698),
+	.A2(brqrv_top_brqrv_exu_i0_pc_x[15]),
+	.B1(n_28696),
+	.B2(n_37926),
+	.C1(n_28699),
+	.X(brqrv_top_brqrv_pred_correct_npc_x[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g614921 (
+	.A1(n_28698),
+	.A2(brqrv_top_brqrv_exu_i0_pc_x[14]),
+	.B1(n_28696),
+	.B2(n_37925),
+	.C1(n_28702),
+	.X(brqrv_top_brqrv_pred_correct_npc_x[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g614922 (
+	.A1(n_28698),
+	.A2(brqrv_top_brqrv_exu_i0_pc_x[28]),
+	.B1(n_28696),
+	.B2(n_37939),
+	.C1(n_28701),
+	.X(brqrv_top_brqrv_pred_correct_npc_x[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g614923 (
+	.A(brqrv_top_brqrv_exu_i0_pc_x[30]),
+	.B_N(n_43141),
+	.Y(n_28719), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g614924 (
+	.A1(n_28697),
+	.A2(n_35151),
+	.B1(n_28698),
+	.X(n_28718), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g614925 (
+	.A1(n_28697),
+	.A2(n_35148),
+	.B1(n_28698),
+	.X(n_28717), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g614926 (
+	.A1_N(brqrv_top_brqrv_exu_i0_pc_x[13]),
+	.A2_N(n_28698),
+	.B1(brqrv_top_brqrv_exu_i0_pc_x[13]),
+	.B2(n_28698),
+	.Y(brqrv_top_brqrv_pred_correct_npc_x[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g614927 (
+	.A1(n_28697),
+	.A2(n_35149),
+	.B1(n_28698),
+	.X(n_28716), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g614928 (
+	.A1(n_28697),
+	.A2(n_35152),
+	.B1(n_28698),
+	.X(n_28715), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g614929 (
+	.A(n_356347_BAR),
+	.B(n_28695),
+	.Y(n_28714), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g614930 (
+	.A(n_356373_BAR),
+	.B(n_28695),
+	.Y(n_28713), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g614931 (
+	.A(n_356368_BAR),
+	.B(n_28695),
+	.Y(n_28712), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g614932 (
+	.A(n_34110),
+	.B(n_28695),
+	.Y(n_28711), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g614933 (
+	.A(n_356375_BAR),
+	.B(n_28695),
+	.Y(n_28710), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g614934 (
+	.A(n_34111),
+	.B(n_28695),
+	.Y(n_28709), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g614935 (
+	.A(n_356342_BAR),
+	.B(n_28695),
+	.Y(n_28708), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g614936 (
+	.A(n_356343_BAR),
+	.B(n_28695),
+	.Y(n_28707), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g614937 (
+	.A(n_356348_BAR),
+	.B(n_28695),
+	.Y(n_28705), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g614938 (
+	.A(n_356344_BAR),
+	.B(n_28695),
+	.Y(n_28704), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g614939 (
+	.A(n_356374_BAR),
+	.B(n_28695),
+	.Y(n_28703), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g614940 (
+	.A(n_356421_BAR),
+	.B(n_28695),
+	.Y(n_28702), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g614941 (
+	.A(n_356345_BAR),
+	.B(n_28695),
+	.Y(n_28701), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g614942 (
+	.A(n_34109),
+	.B(n_28695),
+	.Y(n_28700), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g614943 (
+	.A(n_356422_BAR),
+	.B(n_28695),
+	.Y(n_28699), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g614945 (
+	.A(n_28695),
+	.Y(n_28697), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 g614946 (
+	.A(n_28682),
+	.B(n_28694),
+	.COUT(n_28696),
+	.SUM(n_28698), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g614947 (
+	.A(n_28682),
+	.B(n_28694),
+	.X(n_28695), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g614948 (
+	.A(n_28693),
+	.B(n_35055),
+	.Y(n_28694), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g614949 (
+	.A(n_34431),
+	.B(n_34198),
+	.Y(n_28693), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 g614950 (
+	.A(brqrv_top_brqrv_exu_i0_pc_x[11]),
+	.B(brqrv_top_brqrv_dec_decode_last_br_immed_x[11]),
+	.CIN(n_28692),
+	.COUT(n_34431),
+	.SUM(brqrv_top_brqrv_pred_correct_npc_x[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 g614951 (
+	.A(brqrv_top_brqrv_exu_i0_pc_x[10]),
+	.B(brqrv_top_brqrv_dec_decode_last_br_immed_x[10]),
+	.CIN(n_28691),
+	.COUT(n_28692),
+	.SUM(brqrv_top_brqrv_pred_correct_npc_x[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 g614952 (
+	.A(brqrv_top_brqrv_exu_i0_pc_x[9]),
+	.B(brqrv_top_brqrv_dec_decode_last_br_immed_x[9]),
+	.CIN(n_28690),
+	.COUT(n_28691),
+	.SUM(brqrv_top_brqrv_pred_correct_npc_x[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 g614953 (
+	.A(brqrv_top_brqrv_exu_i0_pc_x[8]),
+	.B(brqrv_top_brqrv_dec_decode_last_br_immed_x[8]),
+	.CIN(n_28689),
+	.COUT(n_28690),
+	.SUM(brqrv_top_brqrv_pred_correct_npc_x[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 g614954 (
+	.A(brqrv_top_brqrv_exu_i0_pc_x[7]),
+	.B(brqrv_top_brqrv_dec_decode_last_br_immed_x[7]),
+	.CIN(n_43632),
+	.COUT(n_28689),
+	.SUM(brqrv_top_brqrv_pred_correct_npc_x[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 g614961 (
+	.A(brqrv_top_brqrv_exu_i0_pc_x[3]),
+	.B(brqrv_top_brqrv_dec_decode_last_br_immed_x[3]),
+	.CIN(n_28684),
+	.COUT(n_34434),
+	.SUM(brqrv_top_brqrv_pred_correct_npc_x[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g614962 (
+	.A1(n_28683),
+	.A2(n_34435),
+	.B1(n_35054),
+	.Y(n_28684), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g614964 (
+	.A(brqrv_top_brqrv_dec_decode_last_br_immed_x[2]),
+	.B(brqrv_top_brqrv_exu_i0_pc_x[2]),
+	.Y(n_28683), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g614965 (
+	.A(brqrv_top_brqrv_exu_i0_pc_x[12]),
+	.B(brqrv_top_brqrv_dec_decode_last_br_immed_x[12]),
+	.Y(n_35055), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g614966 (
+	.A(brqrv_top_brqrv_exu_i0_pc_x[2]),
+	.B(brqrv_top_brqrv_dec_decode_last_br_immed_x[2]),
+	.Y(n_35054), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g614967 (
+	.A_N(brqrv_top_brqrv_exu_i0_pc_x[12]),
+	.B(n_28682),
+	.Y(n_34198), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g614968 (
+	.A(brqrv_top_brqrv_dec_decode_last_br_immed_x[12]),
+	.Y(n_28682), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g614463 (
+	.A1_N(n_28679),
+	.A2_N(n_34431),
+	.B1(n_28679),
+	.B2(n_34431),
+	.Y(brqrv_top_brqrv_pred_correct_npc_x[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g614464 (
+	.A(n_43017),
+	.B(n_34435),
+	.X(brqrv_top_brqrv_pred_correct_npc_x[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g614468 (
+	.A(n_34198),
+	.B(n_35055),
+	.Y(n_28679), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g614470 (
+	.A1(n_28674),
+	.A2(n_34007),
+	.B1(n_28675),
+	.C1(n_28676),
+	.D1(n_28677),
+	.Y(n_36024), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g614471 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[8]),
+	.A2(n_28673),
+	.B1(n_28669),
+	.B2(n_36073),
+	.C1(n_28670),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[40]),
+	.Y(n_28677), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g614472 (
+	.A1(n_28671),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[104]),
+	.B1(n_28672),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[72]),
+	.Y(n_28676), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g614473 (
+	.A_N(n_34069),
+	.B(n_36074),
+	.Y(n_28675), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g614474 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[8]),
+	.Y(n_28674), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g689303 (
+	.A(n_34009),
+	.Y(n_28673), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g689304 (
+	.A(n_34014),
+	.Y(n_28672), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g689305 (
+	.A(n_34008),
+	.Y(n_28671), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g689306 (
+	.A(n_34015),
+	.Y(n_28670), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g689307 (
+	.A(n_34075),
+	.Y(n_28669), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g618452 (
+	.A1(n_28668),
+	.A2(brqrv_top_brqrv_exu_i0_pc_x[28]),
+	.B1_N(n_35148),
+	.Y(n_356345_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g618453 (
+	.A(brqrv_top_brqrv_exu_i0_pc_x[28]),
+	.B(n_28668),
+	.X(n_35148), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g618454 (
+	.A(n_28649),
+	.B(n_28626),
+	.C(n_28663),
+	.D(n_28667),
+	.X(n_35949), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g618455 (
+	.A(n_28659),
+	.B(n_28643),
+	.C(n_28658),
+	.D(n_28656),
+	.X(n_35956), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g618456 (
+	.A(n_28630),
+	.B(n_28638),
+	.C(n_28657),
+	.D(n_28662),
+	.X(n_35938), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g618457 (
+	.A1_N(brqrv_top_brqrv_exu_i0_pc_x[27]),
+	.A2_N(n_28666),
+	.B1(brqrv_top_brqrv_exu_i0_pc_x[27]),
+	.B2(n_28666),
+	.Y(n_356374_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g618458 (
+	.A(n_28570),
+	.B(n_28631),
+	.C(n_28665),
+	.D(n_28654),
+	.X(n_35946), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g618459 (
+	.A(n_28628),
+	.B(n_28647),
+	.C(n_28661),
+	.D(n_28641),
+	.X(n_35947), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g618460 (
+	.A(n_28634),
+	.B(n_28637),
+	.C(n_28660),
+	.D(n_28640),
+	.X(n_36242), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g618461 (
+	.A(n_28566),
+	.B(n_28632),
+	.C(n_28651),
+	.D(n_28653),
+	.X(n_35943), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g618462 (
+	.A(n_28633),
+	.B(n_28635),
+	.C(n_28636),
+	.D(n_28652),
+	.X(n_35990), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g618463 (
+	.A(n_28627),
+	.B(n_28664),
+	.C(n_28644),
+	.D(n_28650),
+	.X(n_35954), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g618464 (
+	.A(n_28629),
+	.B(n_28646),
+	.C(n_28645),
+	.D(n_28639),
+	.X(n_35944), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g618465 (
+	.A(n_28625),
+	.B(n_28642),
+	.C(n_28648),
+	.D(n_28655),
+	.X(n_35941), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g618466 (
+	.A_N(brqrv_top_brqrv_exu_i0_pc_x[27]),
+	.B(n_28666),
+	.Y(n_28668), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g618467 (
+	.A(n_28597),
+	.B(n_28595),
+	.C(n_35181),
+	.D(n_33945),
+	.Y(n_28667), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g618468 (
+	.A1(brqrv_top_brqrv_exu_i0_pc_x[26]),
+	.A2(brqrv_top_brqrv_exu_i0_pc_x[25]),
+	.B1(n_28666),
+	.Y(n_356348_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g618469 (
+	.A(n_28588),
+	.B(n_28620),
+	.C(n_33931),
+	.D(n_33930),
+	.Y(n_28665), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g618470 (
+	.A(n_28600),
+	.B(n_406775_BAR),
+	.C(n_33920),
+	.D(n_33921),
+	.Y(n_28664), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g618471 (
+	.A(n_28598),
+	.B(n_272508_BAR),
+	.C(n_35183),
+	.D(n_35184),
+	.Y(n_28663), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g618472 (
+	.A(n_28621),
+	.B(n_28596),
+	.C(n_33960),
+	.D(n_275193_BAR),
+	.Y(n_28662), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g618473 (
+	.A(n_28614),
+	.B(n_28577),
+	.C(n_35219),
+	.D(n_35218),
+	.Y(n_28661), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g618474 (
+	.A(n_28611),
+	.B(n_28569),
+	.C(n_33924),
+	.D(n_33923),
+	.Y(n_28660), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g618475 (
+	.A(n_28553),
+	.B(n_28548),
+	.C(n_33919),
+	.D(n_406810_BAR),
+	.Y(n_28659), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g618476 (
+	.A(n_28599),
+	.B(n_28539),
+	.C(n_33953),
+	.D(n_33952),
+	.Y(n_28658), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g618477 (
+	.A(n_28590),
+	.B(n_28624),
+	.C(n_33943),
+	.D(n_35162),
+	.Y(n_28657), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g618478 (
+	.A(n_28592),
+	.B(n_28622),
+	.C(n_33932),
+	.D(n_271794_BAR),
+	.Y(n_28656), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g618479 (
+	.A(n_28623),
+	.B(n_28593),
+	.C(n_33939),
+	.D(n_33940),
+	.Y(n_28655), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g618480 (
+	.A(n_28610),
+	.B(n_28608),
+	.C(n_405968_BAR),
+	.D(n_406824_BAR),
+	.Y(n_28654), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g618481 (
+	.A(n_28606),
+	.B(n_28603),
+	.C(n_405725_BAR),
+	.D(n_405714_BAR),
+	.Y(n_28653), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g618482 (
+	.A(brqrv_top_brqrv_exu_i0_pc_x[26]),
+	.B(n_34109),
+	.Y(n_28666), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g618483 (
+	.A(n_28589),
+	.B(n_28547),
+	.C(n_33941),
+	.D(n_406257_BAR),
+	.Y(n_28652), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g618484 (
+	.A(n_28585),
+	.B(n_28617),
+	.C(n_33927),
+	.D(n_35147),
+	.Y(n_28651), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g618485 (
+	.A(n_28586),
+	.B(n_28618),
+	.C(n_33935),
+	.D(n_33936),
+	.Y(n_28650), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g618486 (
+	.A(n_28604),
+	.B(n_273936_BAR),
+	.C(n_33928),
+	.Y(n_28649), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g618487 (
+	.A(n_28613),
+	.B(n_271080_BAR),
+	.C(n_35273),
+	.D(n_33963),
+	.Y(n_28648), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g618488 (
+	.A(n_28612),
+	.B(n_271920_BAR),
+	.C(n_33947),
+	.D(n_33946),
+	.Y(n_28647), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g618489 (
+	.A(n_28584),
+	.B(n_270828_BAR),
+	.C(n_35286),
+	.D(n_33967),
+	.Y(n_28646), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g618490 (
+	.A(n_28602),
+	.B(n_275583_BAR),
+	.C(n_35129),
+	.D(n_35128),
+	.Y(n_28645), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g618491 (
+	.A(n_28601),
+	.B(n_405930_BAR),
+	.C(n_33955),
+	.D(n_33954),
+	.Y(n_28644), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g618492 (
+	.A(n_28615),
+	.B(n_33933),
+	.C(n_33950),
+	.D(n_33934),
+	.Y(n_28643), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g618493 (
+	.A(n_28616),
+	.B(n_405787_BAR),
+	.C(n_33962),
+	.D(n_33961),
+	.Y(n_28642), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g618494 (
+	.A(n_28594),
+	.B(n_28574),
+	.C(n_33929),
+	.D(n_406364_BAR),
+	.Y(n_28641), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g618495 (
+	.A(n_28591),
+	.B(n_28583),
+	.C(n_33942),
+	.D(n_406243_BAR),
+	.Y(n_28640), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g618496 (
+	.A(n_28619),
+	.B(n_28587),
+	.C(n_33938),
+	.D(n_33937),
+	.Y(n_28639), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g618497 (
+	.A(n_28578),
+	.B(n_405823_BAR),
+	.C(n_33926),
+	.D(n_33925),
+	.Y(n_28638), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g618498 (
+	.A(n_28609),
+	.B(n_405866_BAR),
+	.C(n_33959),
+	.D(n_33958),
+	.Y(n_28637), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g618499 (
+	.A(n_28607),
+	.B(n_271458_BAR),
+	.C(n_35270),
+	.D(n_35271),
+	.Y(n_28636), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g618500 (
+	.A(n_28605),
+	.B(n_405886_BAR),
+	.C(n_33957),
+	.D(n_33956),
+	.Y(n_28635), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g618501 (
+	.A(n_28572),
+	.B(n_28573),
+	.C(n_33969),
+	.D(n_405612_BAR),
+	.Y(n_28634), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g618502 (
+	.A(n_28564),
+	.B(n_28565),
+	.C(n_33922),
+	.D(n_406724_BAR),
+	.Y(n_28633), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 g618503 (
+	.A(brqrv_top_brqrv_exu_i0_pc_x[25]),
+	.B(n_35149),
+	.X(n_34109), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g618504 (
+	.A(n_28546),
+	.B(n_28543),
+	.C(n_33971),
+	.D(n_405553_BAR),
+	.Y(n_28632), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g618505 (
+	.A(n_28561),
+	.B(n_28558),
+	.C(n_33968),
+	.D(n_405658_BAR),
+	.Y(n_28631), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g618506 (
+	.A(n_28540),
+	.B(n_28549),
+	.C(n_33970),
+	.D(n_405587_BAR),
+	.Y(n_28630), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g618507 (
+	.A(n_28551),
+	.B(n_28552),
+	.C(n_33972),
+	.D(n_405541_BAR),
+	.Y(n_28629), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g618508 (
+	.A(n_28581),
+	.B(n_28579),
+	.C(n_33916),
+	.D(n_406842_BAR),
+	.Y(n_28628), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g618509 (
+	.A(n_28545),
+	.B(n_28544),
+	.C(n_35296),
+	.D(n_270573_BAR),
+	.Y(n_28627), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g618510 (
+	.A(n_28542),
+	.B(n_28541),
+	.C(n_33915),
+	.D(n_406964_BAR),
+	.Y(n_28626), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g618511 (
+	.A(n_28536),
+	.B(n_28537),
+	.C(n_35130),
+	.D(n_275490_BAR),
+	.Y(n_28625), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g618512 (
+	.A1(n_42924),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[260]),
+	.B1(n_8252),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[420]),
+	.C1(n_273088_BAR),
+	.Y(n_28624), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g618513 (
+	.A1(n_42924),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[263]),
+	.B1(n_42927),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[295]),
+	.C1(n_406263_BAR),
+	.Y(n_28623), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g618514 (
+	.A1(n_42924),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[287]),
+	.B1(n_8252),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[447]),
+	.C1(n_271790_BAR),
+	.Y(n_28622), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g618515 (
+	.A1(n_42921),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[644]),
+	.B1(n_42923),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[548]),
+	.C1(n_405819_BAR),
+	.Y(n_28621), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g618516 (
+	.A1(n_42924),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[269]),
+	.B1(n_8252),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[429]),
+	.C1(n_406342_BAR),
+	.Y(n_28620), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g618517 (
+	.A1(n_42924),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[266]),
+	.B1(n_8252),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[426]),
+	.C1(n_406287_BAR),
+	.Y(n_28619), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g618518 (
+	.A1(n_42924),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[285]),
+	.B1(n_8252),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[445]),
+	.C1(n_406301_BAR),
+	.Y(n_28618), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g618519 (
+	.A1(n_42924),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[265]),
+	.B1(n_8252),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[425]),
+	.C1(n_275029_BAR),
+	.Y(n_28617), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g618520 (
+	.A1(n_42922),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[775]),
+	.B1(n_42929),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[807]),
+	.C1(n_28582),
+	.Y(n_28616), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g618521 (
+	.A1(n_42928),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[927]),
+	.B1(n_42925),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[959]),
+	.C1(n_28580),
+	.Y(n_28615), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g618522 (
+	.A1(n_42932),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[526]),
+	.B1(n_8256),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[686]),
+	.C1(n_35217),
+	.Y(n_28614), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g618523 (
+	.A1(n_42932),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[519]),
+	.B1(n_42923),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[551]),
+	.C1(n_28576),
+	.Y(n_28613), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g618524 (
+	.A1(n_42928),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[910]),
+	.B1(n_42925),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[942]),
+	.C1(n_28575),
+	.Y(n_28612), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g618525 (
+	.A1(n_42921),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[641]),
+	.B1(n_8256),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[673]),
+	.C1(n_35131),
+	.Y(n_28611), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g618526 (
+	.A1(n_42932),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[525]),
+	.B1(n_42923),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[557]),
+	.C1(n_28568),
+	.Y(n_28610), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g618527 (
+	.A1(n_42922),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[769]),
+	.B1(n_42929),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[801]),
+	.C1(n_28567),
+	.Y(n_28609), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g618528 (
+	.A1(n_42922),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[781]),
+	.B1(n_42929),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[813]),
+	.C1(n_28563),
+	.Y(n_28608), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g618529 (
+	.A1(n_42932),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[512]),
+	.B1(n_42923),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[544]),
+	.C1(n_28562),
+	.Y(n_28607), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g618530 (
+	.A1(n_42932),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[521]),
+	.B1(n_42923),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[553]),
+	.C1(n_28557),
+	.Y(n_28606), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g618531 (
+	.A1(n_42922),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[768]),
+	.B1(n_42929),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[800]),
+	.C1(n_28560),
+	.Y(n_28605), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g618532 (
+	.A1(brqrv_top_brqrv_dec_arf_gpr_out[406]),
+	.A2(n_42930),
+	.B1(n_42924),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[278]),
+	.C1(n_42926),
+	.C2(brqrv_top_brqrv_dec_arf_gpr_out[246]),
+	.Y(n_28604), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g618533 (
+	.A1(n_42928),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[905]),
+	.B1(n_42925),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[937]),
+	.C1(n_28555),
+	.Y(n_28603), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g618534 (
+	.A1(n_42922),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[778]),
+	.B1(n_42929),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[810]),
+	.C1(n_28554),
+	.Y(n_28602), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g618535 (
+	.A1(n_42932),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[541]),
+	.B1(n_42923),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[573]),
+	.C1(n_28550),
+	.Y(n_28601), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g618536 (
+	.A1(n_42928),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[925]),
+	.B1(n_42925),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[957]),
+	.C1(n_28559),
+	.Y(n_28600), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g618537 (
+	.A1(n_42921),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[671]),
+	.B1(n_8256),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[703]),
+	.C1(n_33951),
+	.Y(n_28599), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g618538 (
+	.A1(n_42932),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[534]),
+	.B1(n_42923),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[566]),
+	.C1(n_28538),
+	.Y(n_28598), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g618539 (
+	.A1(n_8252),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[438]),
+	.B1(n_42927),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[310]),
+	.C1(n_33944),
+	.Y(n_28597), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g618540 (
+	.A1(n_42922),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[772]),
+	.B1(n_42929),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[804]),
+	.C1(n_28571),
+	.Y(n_28596), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g618541 (
+	.A1(n_42928),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[918]),
+	.B1(n_42925),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[950]),
+	.C1(n_28535),
+	.Y(n_28595), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g618542 (
+	.A1(brqrv_top_brqrv_dec_arf_gpr_out[398]),
+	.A2(n_42930),
+	.B1(n_42924),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[270]),
+	.C1(n_42926),
+	.C2(brqrv_top_brqrv_dec_arf_gpr_out[238]),
+	.Y(n_28594), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g618543 (
+	.A1(brqrv_top_brqrv_dec_arf_gpr_out[231]),
+	.A2(n_42926),
+	.B1(n_42930),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[391]),
+	.C1(n_8252),
+	.C2(brqrv_top_brqrv_dec_arf_gpr_out[423]),
+	.Y(n_28593), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g618544 (
+	.A1(brqrv_top_brqrv_dec_arf_gpr_out[415]),
+	.A2(n_42930),
+	.B1(n_42927),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[319]),
+	.C1(n_42926),
+	.C2(brqrv_top_brqrv_dec_arf_gpr_out[255]),
+	.Y(n_28592), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g618545 (
+	.A1(brqrv_top_brqrv_dec_arf_gpr_out[225]),
+	.A2(n_42926),
+	.B1(n_42924),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[257]),
+	.C1(n_42927),
+	.C2(brqrv_top_brqrv_dec_arf_gpr_out[289]),
+	.Y(n_28591), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g618546 (
+	.A1(brqrv_top_brqrv_dec_arf_gpr_out[228]),
+	.A2(n_42926),
+	.B1(n_42930),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[388]),
+	.C1(n_42927),
+	.C2(brqrv_top_brqrv_dec_arf_gpr_out[292]),
+	.Y(n_28590), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g618547 (
+	.A1(brqrv_top_brqrv_dec_arf_gpr_out[224]),
+	.A2(n_42926),
+	.B1(n_42924),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[256]),
+	.C1(n_42927),
+	.C2(brqrv_top_brqrv_dec_arf_gpr_out[288]),
+	.Y(n_28589), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g618548 (
+	.A1(brqrv_top_brqrv_dec_arf_gpr_out[397]),
+	.A2(n_42930),
+	.B1(n_42927),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[301]),
+	.C1(n_42926),
+	.C2(brqrv_top_brqrv_dec_arf_gpr_out[237]),
+	.Y(n_28588), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g618549 (
+	.A1(brqrv_top_brqrv_dec_arf_gpr_out[234]),
+	.A2(n_42926),
+	.B1(n_42930),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[394]),
+	.C1(n_42927),
+	.C2(brqrv_top_brqrv_dec_arf_gpr_out[298]),
+	.Y(n_28587), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g618550 (
+	.A1(brqrv_top_brqrv_dec_arf_gpr_out[413]),
+	.A2(n_42930),
+	.B1(n_42927),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[317]),
+	.C1(n_42926),
+	.C2(brqrv_top_brqrv_dec_arf_gpr_out[253]),
+	.Y(n_28586), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g618551 (
+	.A1(brqrv_top_brqrv_dec_arf_gpr_out[393]),
+	.A2(n_42930),
+	.B1(n_42927),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[297]),
+	.C1(n_42926),
+	.C2(brqrv_top_brqrv_dec_arf_gpr_out[233]),
+	.Y(n_28585), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g618552 (
+	.A1(n_42932),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[522]),
+	.B1(n_42923),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[554]),
+	.C1(n_28556),
+	.Y(n_28584), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g618553 (
+	.A1(n_42930),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[385]),
+	.B1(n_8252),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[417]),
+	.Y(n_28583), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618554 (
+	.A1(n_42928),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[903]),
+	.B1(n_42925),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[935]),
+	.X(n_28582), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g618555 (
+	.A1(n_42933),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[142]),
+	.B1(brqrv_top_brqrv_dec_arf_n_744),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[46]),
+	.Y(n_28581), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618556 (
+	.A1(n_42922),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[799]),
+	.B1(n_42929),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[831]),
+	.X(n_28580), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g618557 (
+	.A1(n_8164),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[174]),
+	.B1(n_42931),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[206]),
+	.Y(n_28579), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g618558 (
+	.A1(n_42932),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[516]),
+	.B1(n_8256),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[676]),
+	.Y(n_28578), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g618559 (
+	.A1(n_42921),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[654]),
+	.B1(n_42923),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[558]),
+	.Y(n_28577), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618560 (
+	.A1(n_42921),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[647]),
+	.B1(n_8256),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[679]),
+	.X(n_28576), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618561 (
+	.A1(n_42922),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[782]),
+	.B1(n_42929),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[814]),
+	.X(n_28575), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g618562 (
+	.A1(n_8252),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[430]),
+	.B1(n_42927),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[302]),
+	.Y(n_28574), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g618563 (
+	.A1(n_42931),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[193]),
+	.B1(brqrv_top_brqrv_dec_arf_n_744),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[33]),
+	.Y(n_28573), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g618564 (
+	.A1(n_8164),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[161]),
+	.B1(n_42933),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[129]),
+	.Y(n_28572), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g618565 (
+	.A1(n_35150),
+	.A2(brqrv_top_brqrv_exu_i0_pc_x[24]),
+	.B1(n_28534),
+	.Y(n_356375_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618566 (
+	.A1(n_42928),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[900]),
+	.B1(n_42925),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[932]),
+	.X(n_28571), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g618567 (
+	.A(n_33918),
+	.B(n_33917),
+	.C(n_33949),
+	.D(n_33948),
+	.Y(n_28570), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g618568 (
+	.A1(n_42932),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[513]),
+	.B1(n_42923),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[545]),
+	.Y(n_28569), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618569 (
+	.A1(n_42921),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[653]),
+	.B1(n_8256),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[685]),
+	.X(n_28568), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618570 (
+	.A1(n_42928),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[897]),
+	.B1(n_42925),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[929]),
+	.X(n_28567), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g618571 (
+	.A(n_33966),
+	.B(n_33965),
+	.C(n_35283),
+	.D(n_33964),
+	.Y(n_28566), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g618572 (
+	.A1(n_42931),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[192]),
+	.B1(brqrv_top_brqrv_dec_arf_n_744),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[32]),
+	.Y(n_28565), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g618573 (
+	.A1(n_8164),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[160]),
+	.B1(n_42933),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[128]),
+	.Y(n_28564), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618574 (
+	.A1(n_42928),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[909]),
+	.B1(n_42925),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[941]),
+	.X(n_28563), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618575 (
+	.A1(n_42921),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[640]),
+	.B1(n_8256),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[672]),
+	.X(n_28562), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g618576 (
+	.A1(n_42931),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[205]),
+	.B1(brqrv_top_brqrv_dec_arf_n_744),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[45]),
+	.Y(n_28561), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618577 (
+	.A1(n_42928),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[896]),
+	.B1(n_42925),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[928]),
+	.X(n_28560), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618578 (
+	.A1(n_42922),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[797]),
+	.B1(n_42929),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[829]),
+	.X(n_28559), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g618579 (
+	.A1(n_8164),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[173]),
+	.B1(n_42933),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[141]),
+	.Y(n_28558), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618580 (
+	.A1(n_42921),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[649]),
+	.B1(n_8256),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[681]),
+	.X(n_28557), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618581 (
+	.A1(n_42921),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[650]),
+	.B1(n_8256),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[682]),
+	.X(n_28556), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618582 (
+	.A1(n_42922),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[777]),
+	.B1(n_42929),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[809]),
+	.X(n_28555), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618583 (
+	.A1(n_42928),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[906]),
+	.B1(n_42925),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[938]),
+	.X(n_28554), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g618584 (
+	.A1(n_42931),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[223]),
+	.B1(brqrv_top_brqrv_dec_arf_n_744),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[63]),
+	.Y(n_28553), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g618585 (
+	.A1(n_42931),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[202]),
+	.B1(brqrv_top_brqrv_dec_arf_n_744),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[42]),
+	.Y(n_28552), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g618586 (
+	.A1(n_8164),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[170]),
+	.B1(n_42933),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[138]),
+	.Y(n_28551), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618587 (
+	.A1(n_42921),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[669]),
+	.B1(n_8256),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[701]),
+	.X(n_28550), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g618588 (
+	.A1(n_42933),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[132]),
+	.B1(brqrv_top_brqrv_dec_arf_n_744),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[36]),
+	.Y(n_28549), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g618589 (
+	.A1(n_8164),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[191]),
+	.B1(n_42933),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[159]),
+	.Y(n_28548), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g618590 (
+	.A1(n_42930),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[384]),
+	.B1(n_8252),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[416]),
+	.Y(n_28547), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g618591 (
+	.A1(n_42931),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[201]),
+	.B1(brqrv_top_brqrv_dec_arf_n_744),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[41]),
+	.Y(n_28546), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g618592 (
+	.A1(n_42933),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[157]),
+	.B1(brqrv_top_brqrv_dec_arf_n_744),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[61]),
+	.Y(n_28545), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g618593 (
+	.A1(n_8164),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[189]),
+	.B1(n_42931),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[221]),
+	.Y(n_28544), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g618594 (
+	.A1(n_8164),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[169]),
+	.B1(n_42933),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[137]),
+	.Y(n_28543), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g618595 (
+	.A1(n_8164),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[182]),
+	.B1(brqrv_top_brqrv_dec_arf_n_744),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[54]),
+	.Y(n_28542), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g618596 (
+	.A1(n_42931),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[214]),
+	.B1(n_42933),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[150]),
+	.Y(n_28541), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g618597 (
+	.A1(n_8164),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[164]),
+	.B1(n_42931),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[196]),
+	.Y(n_28540), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g618598 (
+	.A1(n_42932),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[543]),
+	.B1(n_42923),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[575]),
+	.Y(n_28539), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618599 (
+	.A1(n_42921),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[662]),
+	.B1(n_8256),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[694]),
+	.X(n_28538), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g618600 (
+	.A1(n_42931),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[199]),
+	.B1(brqrv_top_brqrv_dec_arf_n_744),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[39]),
+	.Y(n_28537), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g618601 (
+	.A1(n_8164),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[167]),
+	.B1(n_42933),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[135]),
+	.Y(n_28536), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618602 (
+	.A1(n_42922),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[790]),
+	.B1(n_42929),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[822]),
+	.X(n_28535), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g618603 (
+	.A(n_28534),
+	.Y(n_35149), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g618604 (
+	.A(brqrv_top_brqrv_exu_i0_pc_x[24]),
+	.B(n_35150),
+	.Y(n_28534), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g618608 (
+	.A(brqrv_top_brqrv_dec_arf_n_2624),
+	.Y(n_8252), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g618615 (
+	.A(brqrv_top_brqrv_dec_arf_n_3920),
+	.Y(n_8256), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g618617 (
+	.A(brqrv_top_brqrv_dec_arf_n_10568),
+	.Y(n_8164), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g879970 (
+	.A1(n_28501),
+	.A2(n_28281),
+	.B1(n_28495),
+	.B2(n_28282),
+	.C1(n_28507),
+	.X(brqrv_top_brqrv_ifu_ifu_bp_poffset_f[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g879971 (
+	.A1(n_28501),
+	.A2(n_28284),
+	.B1(n_28495),
+	.B2(n_28285),
+	.C1(n_28505),
+	.X(brqrv_top_brqrv_ifu_ifu_bp_poffset_f[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g879972 (
+	.A1(n_28310),
+	.A2(n_28502),
+	.B1(n_28517),
+	.Y(brqrv_top_brqrv_ifu_ifu_bp_poffset_f[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g879973 (
+	.A(n_28497),
+	.B(n_28490),
+	.C(n_28510),
+	.D(n_28511),
+	.X(brqrv_top_brqrv_ifu_ifu_bp_poffset_f[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g879974 (
+	.A(n_28513),
+	.B(n_28506),
+	.Y(brqrv_top_brqrv_ifu_ifu_bp_poffset_f[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g879975 (
+	.A(n_28516),
+	.B(n_28508),
+	.Y(brqrv_top_brqrv_ifu_ifu_bp_poffset_f[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g879976 (
+	.A(n_28515),
+	.B(n_28509),
+	.Y(brqrv_top_brqrv_ifu_ifu_bp_poffset_f[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g879977 (
+	.A(n_28514),
+	.B(n_28512),
+	.Y(brqrv_top_brqrv_ifu_ifu_bp_poffset_f[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g879978 (
+	.A1(n_28306),
+	.A2(n_19410),
+	.B1(n_28480),
+	.B2(n_17058),
+	.C1(n_28503),
+	.Y(brqrv_top_brqrv_ifu_ifu_bp_poffset_f[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g879979 (
+	.A1(n_28309),
+	.A2(n_19410),
+	.B1(n_28479),
+	.B2(n_17058),
+	.C1(n_28504),
+	.Y(brqrv_top_brqrv_ifu_ifu_bp_poffset_f[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g879980 (
+	.A1(n_28279),
+	.A2(n_28495),
+	.B1(n_28486),
+	.B2(n_28396),
+	.C1(n_33849),
+	.C2(n_28459),
+	.Y(n_28517), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g879981 (
+	.A1(n_28456),
+	.A2(n_35389),
+	.B1(n_28482),
+	.C1(n_28500),
+	.D1(n_28499),
+	.Y(brqrv_top_brqrv_ifu_ifu_bp_poffset_f[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g879982 (
+	.A1(n_28393),
+	.A2(n_28486),
+	.B1(n_28487),
+	.B2(n_28298),
+	.C1(n_28495),
+	.C2(n_28286),
+	.Y(n_28516), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g879983 (
+	.A1(n_28394),
+	.A2(n_28486),
+	.B1(n_28487),
+	.B2(n_28290),
+	.C1(n_28495),
+	.C2(n_28278),
+	.Y(n_28515), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g879984 (
+	.A1(n_28395),
+	.A2(n_28486),
+	.B1(n_28487),
+	.B2(n_28296),
+	.C1(n_28495),
+	.C2(n_28349),
+	.Y(n_28514), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g879985 (
+	.A1(n_28380),
+	.A2(n_28486),
+	.B1(n_28487),
+	.B2(n_28292),
+	.C1(n_28495),
+	.C2(n_28283),
+	.Y(n_28513), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g879986 (
+	.A1(n_28488),
+	.A2(n_28296),
+	.B1(n_28496),
+	.Y(n_28512), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a41oi_1 g879987 (
+	.A1(n_27836),
+	.A2(n_27842),
+	.A3(n_27841),
+	.A4(n_27837),
+	.B1(n_28502),
+	.Y(n_28511), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a41oi_1 g879988 (
+	.A1(n_28004),
+	.A2(n_28011),
+	.A3(n_28013),
+	.A4(n_28009),
+	.B1(n_28494),
+	.Y(n_28510), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g879989 (
+	.A1(n_28488),
+	.A2(n_28290),
+	.B1(n_28493),
+	.Y(n_28509), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g879990 (
+	.A1(n_28488),
+	.A2(n_28298),
+	.B1(n_28492),
+	.Y(n_28508), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32o_1 g879991 (
+	.A1(n_33849),
+	.A2(n_28447),
+	.A3(n_28378),
+	.B1(n_28484),
+	.B2(n_28383),
+	.X(n_28507), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g879992 (
+	.A1(n_28488),
+	.A2(n_28292),
+	.B1(n_28491),
+	.Y(n_28506), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32o_1 g879993 (
+	.A1(n_33849),
+	.A2(n_28447),
+	.A3(n_28391),
+	.B1(n_28484),
+	.B2(n_28390),
+	.X(n_28505), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g879994 (
+	.A1_N(n_28457),
+	.A2_N(n_35389),
+	.B1(n_28308),
+	.B2(n_28489),
+	.Y(n_28504), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g879995 (
+	.A1(n_28489),
+	.A2(n_28305),
+	.B1(n_28498),
+	.Y(n_28503), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g879996 (
+	.A(n_28502),
+	.Y(n_28501), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g879997 (
+	.A(n_28489),
+	.B(n_28302),
+	.Y(n_28500), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g879998 (
+	.A_N(n_19410),
+	.B(n_28303),
+	.Y(n_28499), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g879999 (
+	.A(n_28458),
+	.B(n_35389),
+	.Y(n_28498), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a41oi_1 g880000 (
+	.A1(n_28341),
+	.A2(n_28189),
+	.A3(n_28232),
+	.A4(n_28000),
+	.B1(n_28483),
+	.Y(n_28497), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a41oi_1 g880001 (
+	.A1(n_28346),
+	.A2(n_28192),
+	.A3(n_28237),
+	.A4(n_28032),
+	.B1(n_28483),
+	.Y(n_28496), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g880002 (
+	.A(n_28487),
+	.B(n_28488),
+	.Y(n_28502), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g880003 (
+	.A(n_28495),
+	.Y(n_28494), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a41oi_1 g880004 (
+	.A1(n_28344),
+	.A2(n_28190),
+	.A3(n_28235),
+	.A4(n_28010),
+	.B1(n_28483),
+	.Y(n_28493), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a41oi_1 g880005 (
+	.A1(n_28342),
+	.A2(n_28233),
+	.A3(n_28187),
+	.A4(n_27992),
+	.B1(n_28483),
+	.Y(n_28492), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a41oi_1 g880006 (
+	.A1(n_28334),
+	.A2(n_28182),
+	.A3(n_28222),
+	.A4(n_27957),
+	.B1(n_28483),
+	.Y(n_28491), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a41oi_1 g880007 (
+	.A1(n_28331),
+	.A2(n_28177),
+	.A3(n_28217),
+	.A4(n_27909),
+	.B1(n_28485),
+	.Y(n_28490), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g880008 (
+	.A1_N(n_28473),
+	.A2_N(n_17058),
+	.B1(n_28477),
+	.B2(n_17058),
+	.Y(brqrv_top_brqrv_ifu_ifu_bp_poffset_f[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g880009 (
+	.A1(n_28462),
+	.A2(n_33849),
+	.B1(n_35120),
+	.Y(n_28495), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g880010 (
+	.A(n_35127),
+	.Y(n_28487), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g880012 (
+	.A(n_28453),
+	.B(n_33849),
+	.Y(n_28489), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g880013 (
+	.A(n_28464),
+	.B(n_33849),
+	.Y(n_28488), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g880014 (
+	.A(n_33849),
+	.B(n_28451),
+	.Y(n_35127), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g880015 (
+	.A(n_28485),
+	.Y(n_28486), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g880016 (
+	.A(n_28484),
+	.Y(n_28483), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g880017 (
+	.A1(n_28475),
+	.A2(n_28461),
+	.B1(n_33849),
+	.Y(n_28482), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g880018 (
+	.A(n_33849),
+	.B(n_28450),
+	.Y(n_35120), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g880019 (
+	.A(n_17058),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[1]),
+	.Y(n_35389), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g880020 (
+	.A(n_33849),
+	.B(n_28447),
+	.Y(n_28485), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g880021 (
+	.A(n_28448),
+	.B(n_17058),
+	.Y(n_28484), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g880022 (
+	.A(n_33849),
+	.Y(n_17058), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_4 g880023 (
+	.A(brqrv_top_brqrv_ifu_ifu_bp_hist1_f[0]),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_vwayhit_f [0]),
+	.Y(n_33849), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g880024 (
+	.A(n_28434),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.sv2v_tmp_BEF15 [3]),
+	.C(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.sv2v_tmp_BEF15 [2]),
+	.X(brqrv_top_brqrv_ifu_ifu_bp_hist1_f[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3b_1 g880025 (
+	.A_N(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.sv2v_tmp_BEF15 [3]),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.sv2v_tmp_BEF15 [2]),
+	.C(\brqrv_top_brqrv_ifu_bpred.bp_valid[1] ),
+	.X(brqrv_top_brqrv_ifu_ifu_bp_ret_f[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g880026 (
+	.A(n_28478),
+	.B(n_28474),
+	.Y(brqrv_top_brqrv_ifu_ifu_bp_hist1_f[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g880027 (
+	.A1(n_28466),
+	.A2(n_35471),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_valid[1] ),
+	.X(brqrv_top_brqrv_ifu_ifu_bp_pc4_f[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g880028 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.sv2v_tmp_F09CF [3]),
+	.B_N(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.sv2v_tmp_F09CF [2]),
+	.Y(brqrv_top_brqrv_ifu_ifu_bp_ret_f[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g880029 (
+	.A1(n_28379),
+	.A2(n_28448),
+	.B1(n_28476),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.sv2v_tmp_BEF15 [3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g880030 (
+	.A1(n_28447),
+	.A2(n_28362),
+	.B1(n_28449),
+	.B2(n_28401),
+	.C1(n_28469),
+	.Y(n_28480), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g880031 (
+	.A1(n_28447),
+	.A2(n_28402),
+	.B1(n_28449),
+	.B2(n_28363),
+	.C1(n_28472),
+	.Y(n_28479), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g880032 (
+	.A(n_28437),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.sv2v_tmp_F09CF [2]),
+	.Y(n_28478), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g880033 (
+	.A1(n_13744),
+	.A2(n_28455),
+	.B1(n_28447),
+	.B2(n_28357),
+	.C1(n_28449),
+	.C2(n_28400),
+	.Y(n_28477), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g880034 (
+	.A1(n_28294),
+	.A2(n_28450),
+	.B1(n_28451),
+	.B2(n_28289),
+	.C1(n_28447),
+	.C2(n_28381),
+	.Y(n_28476), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g880035 (
+	.A1_N(n_28367),
+	.A2_N(n_28447),
+	.B1(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[1]),
+	.B2(n_28456),
+	.Y(n_28475), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g880036 (
+	.A1(n_28449),
+	.A2(n_28384),
+	.B1(n_28451),
+	.B2(n_28353),
+	.C1(n_28468),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.sv2v_tmp_BEF15 [2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g880037 (
+	.A(n_28450),
+	.B(n_28451),
+	.C(n_28467),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_valid[1] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g880038 (
+	.A(n_28474),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.sv2v_tmp_F09CF [3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g880039 (
+	.A1_N(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[1]),
+	.A2_N(n_28455),
+	.B1(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[1]),
+	.B2(n_28454),
+	.Y(n_28473), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g880040 (
+	.A1(n_10391),
+	.A2(n_28462),
+	.B1(n_28288),
+	.B2(n_28464),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.sv2v_tmp_F09CF [5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g880041 (
+	.A1(n_28463),
+	.A2(n_28294),
+	.B1(n_28465),
+	.B2(n_28289),
+	.Y(n_28474), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g880042 (
+	.A(n_28470),
+	.B(n_28471),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.sv2v_tmp_F09CF [2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g880043 (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[1]),
+	.B(n_28457),
+	.Y(n_28472), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g880044 (
+	.A(n_28463),
+	.B(n_28297),
+	.Y(n_28471), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g880045 (
+	.A(n_28465),
+	.B(n_28353),
+	.Y(n_28470), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g880046 (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[1]),
+	.B(n_28458),
+	.Y(n_28469), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g880047 (
+	.A1(n_28447),
+	.A2(n_28377),
+	.B1(n_28450),
+	.B2(n_28297),
+	.X(n_28468), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a41oi_1 g880048 (
+	.A1(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[4]),
+	.A2(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[5]),
+	.A3(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[3]),
+	.A4(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[2]),
+	.B1(n_28460),
+	.Y(n_28467), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g880049 (
+	.A1(n_28450),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_f [4]),
+	.B1(n_28451),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_f [4]),
+	.X(n_28466), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g880050 (
+	.A1(n_28447),
+	.A2(n_28385),
+	.B1(n_28449),
+	.B2(n_28405),
+	.X(n_35471), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g880051 (
+	.A(n_28464),
+	.B(n_28462),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_vwayhit_f [0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g880052 (
+	.A(n_28465),
+	.Y(n_28464), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g880053 (
+	.A(n_28463),
+	.Y(n_28462), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a41oi_1 g880054 (
+	.A1(n_27875),
+	.A2(n_28313),
+	.A3(n_28209),
+	.A4(n_27873),
+	.B1(n_28448),
+	.Y(n_28461), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g880055 (
+	.A(n_28449),
+	.B(n_28447),
+	.Y(n_28460), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a41oi_1 g880056 (
+	.A1(n_28311),
+	.A2(n_28195),
+	.A3(n_28240),
+	.A4(n_28063),
+	.B1(n_28448),
+	.Y(n_28459), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g880057 (
+	.A(n_28452),
+	.B(n_28446),
+	.Y(n_28465), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g880058 (
+	.A(n_35104),
+	.B(n_28453),
+	.Y(n_28463), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g880059 (
+	.A1(n_43142),
+	.A2(n_28299),
+	.B1(n_19418),
+	.B2(n_28300),
+	.Y(n_28454), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g880060 (
+	.A1_N(n_28306),
+	.A2_N(n_19408),
+	.B1(n_28305),
+	.B2(n_28444),
+	.Y(n_28458), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g880061 (
+	.A1_N(n_28309),
+	.A2_N(n_19408),
+	.B1(n_28308),
+	.B2(n_28444),
+	.Y(n_28457), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g880062 (
+	.A1(n_28444),
+	.A2(n_28302),
+	.B1(n_19472),
+	.B2(n_28303),
+	.Y(n_28456), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g880063 (
+	.A1(n_28444),
+	.A2(n_28299),
+	.B1(n_19472),
+	.B2(n_28300),
+	.X(n_28455), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g880065 (
+	.A(n_43142),
+	.B(n_13744),
+	.Y(n_28453), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g880066 (
+	.A(n_19418),
+	.B(n_13744),
+	.Y(n_28452), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g880067 (
+	.A(n_28444),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[1]),
+	.Y(n_35104), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g880068 (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[1]),
+	.B(n_19408),
+	.Y(n_28451), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g880069 (
+	.A(n_28449),
+	.Y(n_28448), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g880070 (
+	.A(n_28447),
+	.Y(n_35391), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g880071 (
+	.A(n_19472),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[1]),
+	.Y(n_28446), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g880072 (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[1]),
+	.B(n_33848),
+	.Y(n_28450), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g880073 (
+	.A(n_28438),
+	.B(n_33845),
+	.Y(n_28449), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_2 g880074 (
+	.A(n_28445),
+	.B(n_28440),
+	.C(n_28382),
+	.X(n_28447), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g880082 (
+	.A(n_19408),
+	.Y(n_19472), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g880083 (
+	.A(n_28442),
+	.B(n_28439),
+	.Y(n_28445), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g880086 (
+	.A(n_43142),
+	.Y(n_33847), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g880088 (
+	.A(n_33848),
+	.Y(n_28444), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880089 (
+	.A(n_28431),
+	.B(n_28435),
+	.C(n_28414),
+	.D(n_28432),
+	.Y(n_33845), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g880093 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_fetch_rd_tag_f [5]),
+	.A2(n_28301),
+	.B1(n_28392),
+	.C1(n_28376),
+	.D1(n_28430),
+	.Y(n_28443), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g880094 (
+	.A(n_28436),
+	.B(n_28424),
+	.C(n_28425),
+	.Y(n_28442), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g880095 (
+	.A(n_19499),
+	.B(n_28441),
+	.Y(n_33846), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g880096 (
+	.A(n_28433),
+	.B(n_28422),
+	.Y(n_28441), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g880097 (
+	.A(n_28423),
+	.B(n_28427),
+	.Y(n_28440), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g880098 (
+	.A1(n_19539),
+	.A2(n_28386),
+	.B1(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[1]),
+	.C1(n_28421),
+	.D1(n_26920),
+	.Y(n_28439), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g880099 (
+	.A1(n_28405),
+	.A2(n_28406),
+	.B1(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[1]),
+	.C1(n_28420),
+	.Y(n_28438), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g880100 (
+	.A1_N(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[1]),
+	.A2_N(n_28408),
+	.B1(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[1]),
+	.B2(n_28417),
+	.Y(n_28437), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g880101 (
+	.A1(n_28386),
+	.A2(n_19539),
+	.B1(n_28426),
+	.Y(n_28436), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g880102 (
+	.A(n_28412),
+	.B(n_28413),
+	.C(n_28428),
+	.Y(n_28435), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g880103 (
+	.A1_N(n_13744),
+	.A2_N(n_28408),
+	.B1(n_13744),
+	.B2(n_28416),
+	.Y(n_28434), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g880104 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_fetch_rd_tag_f [1]),
+	.A2(n_28352),
+	.B1(n_28365),
+	.C1(n_28361),
+	.D1(n_28410),
+	.Y(n_28433), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g880105 (
+	.A1(n_28364),
+	.A2(n_27924),
+	.A3(n_28218),
+	.B1(n_28411),
+	.Y(n_28432), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g880106 (
+	.A1(n_28359),
+	.A2(n_19546),
+	.B1(n_28418),
+	.Y(n_28431), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880107 (
+	.A(n_27739),
+	.B(n_28366),
+	.C(n_28404),
+	.D(n_28415),
+	.Y(n_28430), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g880109 (
+	.A(n_19539),
+	.B(n_28389),
+	.Y(n_28428), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g880110 (
+	.A(n_28368),
+	.B(n_28385),
+	.X(n_28427), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g880111 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_fetch_rd_tag_p1_f [1]),
+	.B(n_28372),
+	.X(n_28426), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g880112 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_fetch_rd_tag_p1_f [0]),
+	.B(n_28371),
+	.Y(n_28425), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g880113 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_fetch_rd_tag_p1_f [5]),
+	.B(n_28370),
+	.Y(n_28424), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g880114 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_fetch_rd_tag_p1_f [4]),
+	.B(n_28369),
+	.X(n_28423), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880115 (
+	.A(n_28374),
+	.B(n_28373),
+	.C(n_28398),
+	.D(n_28399),
+	.Y(n_28422), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g880116 (
+	.A1_N(\brqrv_top_brqrv_ifu_bpred.bp_fetch_rd_tag_p1_f [2]),
+	.A2_N(n_28388),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_fetch_rd_tag_p1_f [2]),
+	.B2(n_28388),
+	.Y(n_28421), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g880117 (
+	.A(n_28406),
+	.B(n_28405),
+	.Y(n_28420), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g880118 (
+	.A(n_28387),
+	.B(n_28409),
+	.Y(n_28419), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g880120 (
+	.A1(n_19546),
+	.A2(n_28359),
+	.B1(n_26920),
+	.Y(n_28418), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g880121 (
+	.A1(n_19406),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [255]),
+	.B1(n_28397),
+	.Y(n_28417), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g880122 (
+	.A1(n_19952),
+	.A2(n_19924),
+	.A3(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [255]),
+	.B1(n_28403),
+	.Y(n_28416), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g880123 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_fetch_rd_tag_f [2]),
+	.A2(n_28291),
+	.B1(n_28375),
+	.Y(n_28415), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g880124 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_fetch_rd_tag_p1_f [1]),
+	.B(n_28356),
+	.Y(n_28414), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g880125 (
+	.A1_N(\brqrv_top_brqrv_ifu_bpred.bp_fetch_rd_tag_p1_f [0]),
+	.A2_N(n_28360),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_fetch_rd_tag_p1_f [0]),
+	.B2(n_28360),
+	.Y(n_28413), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g880126 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_fetch_rd_tag_p1_f [4]),
+	.B(n_28355),
+	.X(n_28412), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g880127 (
+	.A1_N(\brqrv_top_brqrv_ifu_bpred.bp_fetch_rd_tag_p1_f [2]),
+	.A2_N(n_28358),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_fetch_rd_tag_p1_f [2]),
+	.B2(n_28358),
+	.Y(n_28411), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g880128 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_fetch_rd_tag_f [5]),
+	.B(n_28354),
+	.Y(n_28410), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g880131 (
+	.A1_N(\brqrv_top_brqrv_ifu_bpred.bp_fetch_rd_tag_f [1]),
+	.A2_N(n_28304),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_fetch_rd_tag_f [1]),
+	.B2(n_28304),
+	.Y(n_28404), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880132 (
+	.A(n_28272),
+	.B(n_28316),
+	.C(n_28270),
+	.D(n_28271),
+	.Y(n_28403), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880133 (
+	.A(n_27921),
+	.B(n_28315),
+	.C(n_27919),
+	.D(n_28167),
+	.Y(n_28402), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880134 (
+	.A(n_27893),
+	.B(n_28314),
+	.C(n_27895),
+	.D(n_28165),
+	.Y(n_28401), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880135 (
+	.A(n_27855),
+	.B(n_28312),
+	.C(n_27853),
+	.D(n_28161),
+	.Y(n_28400), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g880136 (
+	.A1_N(\brqrv_top_brqrv_ifu_bpred.bp_fetch_rd_tag_f [4]),
+	.A2_N(n_28293),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_fetch_rd_tag_f [4]),
+	.B2(n_28293),
+	.Y(n_28399), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g880137 (
+	.A1_N(\brqrv_top_brqrv_ifu_bpred.bp_fetch_rd_tag_f [3]),
+	.A2_N(n_28295),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_fetch_rd_tag_f [3]),
+	.B2(n_28295),
+	.Y(n_28398), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880138 (
+	.A(n_28277),
+	.B(n_28317),
+	.C(n_28275),
+	.D(n_28273),
+	.Y(n_28397), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880139 (
+	.A(n_28348),
+	.B(n_28194),
+	.C(n_28061),
+	.D(n_28239),
+	.Y(n_28396), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880140 (
+	.A(n_28347),
+	.B(n_28193),
+	.C(n_28035),
+	.D(n_28238),
+	.Y(n_28395), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880141 (
+	.A(n_28345),
+	.B(n_28191),
+	.C(n_28014),
+	.D(n_28236),
+	.Y(n_28394), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880142 (
+	.A(n_28343),
+	.B(n_28188),
+	.C(n_27911),
+	.D(n_28234),
+	.Y(n_28393), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32oi_1 g880143 (
+	.A1(n_28264),
+	.A2(n_27847),
+	.A3(n_27851),
+	.B1(n_28301),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_fetch_rd_tag_f [5]),
+	.Y(n_28392), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880144 (
+	.A(n_28340),
+	.B(n_28186),
+	.C(n_27983),
+	.D(n_28230),
+	.Y(n_28391), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880145 (
+	.A(n_28338),
+	.B(n_28185),
+	.C(n_27982),
+	.D(n_28228),
+	.Y(n_28390), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880146 (
+	.A(n_28336),
+	.B(n_27960),
+	.C(n_28223),
+	.D(n_28225),
+	.Y(n_28389), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g880147 (
+	.A1_N(n_28351),
+	.A2_N(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_f [4]),
+	.B1(n_28351),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_f [4]),
+	.Y(n_28409), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880148 (
+	.A(n_28280),
+	.B(n_28287),
+	.C(n_28276),
+	.D(n_28274),
+	.Y(n_28408), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g880149 (
+	.A1_N(n_28307),
+	.A2_N(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_f [4]),
+	.B1(n_28307),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_f [4]),
+	.Y(n_28407), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4_1 g880150 (
+	.A(n_28227),
+	.B(n_28337),
+	.C(n_28170),
+	.D(n_27979),
+	.X(n_28406), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880151 (
+	.A(n_28339),
+	.B(n_28231),
+	.C(n_27984),
+	.D(n_28229),
+	.Y(n_28405), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880152 (
+	.A(n_28319),
+	.B(n_28173),
+	.C(n_27844),
+	.D(n_28198),
+	.Y(n_28384), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880153 (
+	.A(n_28179),
+	.B(n_28220),
+	.C(n_27944),
+	.D(n_28332),
+	.Y(n_28383), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880154 (
+	.A(n_28325),
+	.B(n_28205),
+	.C(n_27859),
+	.D(n_28206),
+	.Y(n_28382), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880155 (
+	.A(n_28322),
+	.B(n_28175),
+	.C(n_27848),
+	.D(n_28201),
+	.Y(n_28381), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880156 (
+	.A(n_28183),
+	.B(n_28224),
+	.C(n_27959),
+	.D(n_28335),
+	.Y(n_28380), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4_1 g880157 (
+	.A(n_28321),
+	.B(n_28174),
+	.C(n_28199),
+	.D(n_27845),
+	.X(n_28379), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880158 (
+	.A(n_28333),
+	.B(n_28221),
+	.C(n_27946),
+	.D(n_28180),
+	.Y(n_28378), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880159 (
+	.A(n_28318),
+	.B(n_28171),
+	.C(n_27840),
+	.D(n_28196),
+	.Y(n_28377), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g880160 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_fetch_rd_tag_f [3]),
+	.B(n_28265),
+	.Y(n_28376), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g880161 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_fetch_rd_tag_f [0]),
+	.B(n_28269),
+	.Y(n_28375), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g880162 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_fetch_rd_tag_f [2]),
+	.B(n_28268),
+	.Y(n_28374), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g880163 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_fetch_rd_tag_f [0]),
+	.B(n_28267),
+	.Y(n_28373), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880164 (
+	.A(n_28329),
+	.B(n_28213),
+	.C(n_27896),
+	.D(n_28212),
+	.Y(n_28388), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880165 (
+	.A(n_28328),
+	.B(n_27886),
+	.C(n_28211),
+	.D(n_28164),
+	.Y(n_28372), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880166 (
+	.A(n_28327),
+	.B(n_28208),
+	.C(n_28163),
+	.D(n_27874),
+	.Y(n_28371), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880167 (
+	.A(n_28326),
+	.B(n_27871),
+	.C(n_28207),
+	.D(n_28162),
+	.Y(n_28370), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g880168 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_fetch_rd_tag_f [4]),
+	.B(n_28266),
+	.X(n_28387), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880169 (
+	.A(n_28324),
+	.B(n_28203),
+	.C(n_27854),
+	.D(n_28176),
+	.Y(n_28369), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880170 (
+	.A(n_28323),
+	.B(n_27849),
+	.C(n_28200),
+	.D(n_28202),
+	.Y(n_28368), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880171 (
+	.A(n_28215),
+	.B(n_28214),
+	.C(n_27902),
+	.D(n_28330),
+	.Y(n_28386), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880172 (
+	.A(n_28320),
+	.B(n_28172),
+	.C(n_28197),
+	.D(n_27846),
+	.Y(n_28385), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880173 (
+	.A(n_27879),
+	.B(n_28242),
+	.C(n_27878),
+	.D(n_28210),
+	.Y(n_28367), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g880174 (
+	.A(n_28291),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_fetch_rd_tag_f [2]),
+	.X(n_28366), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a41oi_1 g880175 (
+	.A1(n_28025),
+	.A2(n_28012),
+	.A3(n_28005),
+	.A4(n_28015),
+	.B1(n_27739),
+	.Y(n_28365), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880176 (
+	.A(n_26933),
+	.B(n_26930),
+	.C(n_28260),
+	.D(n_28219),
+	.Y(n_28364), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880177 (
+	.A(n_28244),
+	.B(n_27914),
+	.C(n_27915),
+	.D(n_28166),
+	.Y(n_28363), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880178 (
+	.A(n_27897),
+	.B(n_28243),
+	.C(n_27898),
+	.D(n_28216),
+	.Y(n_28362), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g880179 (
+	.A(n_28352),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_fetch_rd_tag_f [1]),
+	.Y(n_28361), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880180 (
+	.A(n_27860),
+	.B(n_28241),
+	.C(n_27858),
+	.D(n_28204),
+	.Y(n_28357), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880181 (
+	.A(n_28246),
+	.B(n_28226),
+	.C(n_27969),
+	.D(n_28184),
+	.Y(n_28356), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880182 (
+	.A(n_28245),
+	.B(n_27954),
+	.C(n_28181),
+	.D(n_27950),
+	.Y(n_28360), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880183 (
+	.A(n_28249),
+	.B(n_27942),
+	.C(n_28169),
+	.D(n_27947),
+	.Y(n_28355), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880184 (
+	.A(n_28248),
+	.B(n_28168),
+	.C(n_27936),
+	.D(n_27935),
+	.Y(n_28359), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880185 (
+	.A(n_27920),
+	.B(n_28247),
+	.C(n_28178),
+	.D(n_27916),
+	.Y(n_28358), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880186 (
+	.A(n_28250),
+	.B(n_27834),
+	.C(n_28090),
+	.D(n_28067),
+	.Y(n_28354), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g880187 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_f [4]),
+	.Y(n_10391), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880188 (
+	.A(n_28045),
+	.B(n_28050),
+	.C(n_28048),
+	.D(n_28049),
+	.Y(n_28349), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880189 (
+	.A(n_27714),
+	.B(n_27602),
+	.C(n_27603),
+	.D(n_28088),
+	.Y(n_28348), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880190 (
+	.A(n_27713),
+	.B(n_27496),
+	.C(n_27497),
+	.D(n_28087),
+	.Y(n_28347), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880191 (
+	.A(n_27712),
+	.B(n_27482),
+	.C(n_27484),
+	.D(n_28086),
+	.Y(n_28346), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880192 (
+	.A(n_27711),
+	.B(n_27396),
+	.C(n_27397),
+	.D(n_28085),
+	.Y(n_28345), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880193 (
+	.A(n_27710),
+	.B(n_27382),
+	.C(n_27383),
+	.D(n_28084),
+	.Y(n_28344), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880194 (
+	.A(n_27709),
+	.B(n_27299),
+	.C(n_27300),
+	.D(n_28083),
+	.Y(n_28343), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880195 (
+	.A(n_27288),
+	.B(n_27708),
+	.C(n_27286),
+	.D(n_28082),
+	.Y(n_28342), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880196 (
+	.A(n_27707),
+	.B(n_27278),
+	.C(n_27284),
+	.D(n_28081),
+	.Y(n_28341), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880197 (
+	.A(n_27243),
+	.B(n_27706),
+	.C(n_27242),
+	.D(n_28080),
+	.Y(n_28340), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g880198 (
+	.A(n_27222),
+	.B(n_27225),
+	.C(n_28263),
+	.Y(n_28339), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880199 (
+	.A(n_27704),
+	.B(n_27211),
+	.C(n_27212),
+	.D(n_28079),
+	.Y(n_28338), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g880200 (
+	.A(n_27183),
+	.B(n_27187),
+	.C(n_28262),
+	.Y(n_28337), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g880201 (
+	.A(n_27093),
+	.B(n_27096),
+	.C(n_28261),
+	.Y(n_28336), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880202 (
+	.A(n_27702),
+	.B(n_27100),
+	.C(n_27101),
+	.D(n_28078),
+	.Y(n_28335), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880203 (
+	.A(n_27086),
+	.B(n_27701),
+	.C(n_27085),
+	.D(n_28160),
+	.Y(n_28334), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880204 (
+	.A(n_27035),
+	.B(n_27700),
+	.C(n_27031),
+	.D(n_28076),
+	.Y(n_28333), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880205 (
+	.A(n_27698),
+	.B(n_26999),
+	.C(n_27001),
+	.D(n_28075),
+	.Y(n_28332), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880206 (
+	.A(n_27695),
+	.B(n_26842),
+	.C(n_26845),
+	.D(n_28074),
+	.Y(n_28331), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g880207 (
+	.A(n_26788),
+	.B(n_26791),
+	.C(n_28259),
+	.Y(n_28330), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g880208 (
+	.A(n_26745),
+	.B(n_26748),
+	.C(n_28258),
+	.Y(n_28329), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g880209 (
+	.A(n_26704),
+	.B(n_26705),
+	.C(n_28257),
+	.Y(n_28328), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g880210 (
+	.A(n_26673),
+	.B(n_26671),
+	.C(n_28256),
+	.Y(n_28327), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g880211 (
+	.A(n_26618),
+	.B(n_26620),
+	.C(n_28255),
+	.Y(n_28326), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g880212 (
+	.A(n_26589),
+	.B(n_26585),
+	.C(n_28254),
+	.Y(n_28325), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g880213 (
+	.A(n_26537),
+	.B(n_26538),
+	.C(n_28253),
+	.Y(n_28324), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g880214 (
+	.A(n_26514),
+	.B(n_26512),
+	.C(n_28252),
+	.Y(n_28323), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880215 (
+	.A(n_27688),
+	.B(n_26510),
+	.C(n_26511),
+	.D(n_28073),
+	.Y(n_28322), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880216 (
+	.A(n_27687),
+	.B(n_26495),
+	.C(n_26496),
+	.D(n_28071),
+	.Y(n_28321), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g880217 (
+	.A(n_26472),
+	.B(n_26469),
+	.C(n_28251),
+	.Y(n_28320), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880218 (
+	.A(n_27686),
+	.B(n_26467),
+	.C(n_26468),
+	.D(n_28070),
+	.Y(n_28319), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880219 (
+	.A(n_27685),
+	.B(n_26454),
+	.C(n_26455),
+	.D(n_28068),
+	.Y(n_28318), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880220 (
+	.A(n_28148),
+	.B(n_28147),
+	.C(n_28143),
+	.D(n_28146),
+	.Y(n_28317), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880221 (
+	.A(n_28126),
+	.B(n_28124),
+	.C(n_28125),
+	.D(n_28123),
+	.Y(n_28316), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880222 (
+	.A(n_27773),
+	.B(n_26880),
+	.C(n_28077),
+	.D(n_27285),
+	.Y(n_28315), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880223 (
+	.A(n_27758),
+	.B(n_26753),
+	.C(n_28159),
+	.D(n_27646),
+	.Y(n_28314), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880224 (
+	.A(n_27748),
+	.B(n_26647),
+	.C(n_27644),
+	.D(n_28158),
+	.Y(n_28313), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880225 (
+	.A(n_27733),
+	.B(n_26542),
+	.C(n_28157),
+	.D(n_27642),
+	.Y(n_28312), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880226 (
+	.A(n_27715),
+	.B(n_27634),
+	.C(n_27635),
+	.D(n_28089),
+	.Y(n_28311), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4_1 g880227 (
+	.A(n_28057),
+	.B(n_28058),
+	.C(n_28054),
+	.D(n_28056),
+	.X(n_28310), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880228 (
+	.A(n_27958),
+	.B(n_28062),
+	.C(n_27990),
+	.D(n_28023),
+	.Y(n_28353), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4_1 g880229 (
+	.A(n_28047),
+	.B(n_28052),
+	.C(n_28033),
+	.D(n_28038),
+	.X(n_28352), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880230 (
+	.A(n_28064),
+	.B(n_28066),
+	.C(n_28059),
+	.D(n_28060),
+	.Y(n_28351), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880231 (
+	.A(n_28046),
+	.B(n_28051),
+	.C(n_28055),
+	.D(n_28044),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_f [4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g880232 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_f [4]),
+	.Y(n_28288), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880233 (
+	.A(n_28155),
+	.B(n_28152),
+	.C(n_28151),
+	.D(n_28154),
+	.Y(n_28287), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880234 (
+	.A(n_28007),
+	.B(n_28002),
+	.C(n_28003),
+	.D(n_28006),
+	.Y(n_28286), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880235 (
+	.A(n_27989),
+	.B(n_27985),
+	.C(n_27991),
+	.D(n_27986),
+	.Y(n_28285), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880236 (
+	.A(n_27976),
+	.B(n_27973),
+	.C(n_27974),
+	.D(n_27977),
+	.Y(n_28284), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880237 (
+	.A(n_27971),
+	.B(n_27970),
+	.C(n_27972),
+	.D(n_27968),
+	.Y(n_28283), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880238 (
+	.A(n_27952),
+	.B(n_27949),
+	.C(n_27953),
+	.D(n_27948),
+	.Y(n_28282), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880239 (
+	.A(n_27939),
+	.B(n_27937),
+	.C(n_27938),
+	.D(n_27941),
+	.Y(n_28281), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880240 (
+	.A(n_28105),
+	.B(n_28153),
+	.C(n_28149),
+	.D(n_28145),
+	.Y(n_28280), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880241 (
+	.A(n_27835),
+	.B(n_27832),
+	.C(n_27830),
+	.D(n_27829),
+	.Y(n_28279), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880242 (
+	.A(n_28026),
+	.B(n_28030),
+	.C(n_28027),
+	.D(n_28029),
+	.Y(n_28278), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880243 (
+	.A(n_28141),
+	.B(n_28138),
+	.C(n_28134),
+	.D(n_28135),
+	.Y(n_28277), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880244 (
+	.A(n_28137),
+	.B(n_28133),
+	.C(n_28128),
+	.D(n_28108),
+	.Y(n_28276), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880245 (
+	.A(n_28131),
+	.B(n_28132),
+	.C(n_28129),
+	.D(n_28127),
+	.Y(n_28275), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880246 (
+	.A(n_28150),
+	.B(n_28156),
+	.C(n_28140),
+	.D(n_28130),
+	.Y(n_28274), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880247 (
+	.A(n_28122),
+	.B(n_28109),
+	.C(n_28107),
+	.D(n_28106),
+	.Y(n_28273), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880248 (
+	.A(n_28121),
+	.B(n_28119),
+	.C(n_28120),
+	.D(n_28118),
+	.Y(n_28272), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880249 (
+	.A(n_28117),
+	.B(n_28115),
+	.C(n_28116),
+	.D(n_28114),
+	.Y(n_28271), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880250 (
+	.A(n_28113),
+	.B(n_28111),
+	.C(n_28112),
+	.D(n_28110),
+	.Y(n_28270), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4_1 g880251 (
+	.A(n_27933),
+	.B(n_27930),
+	.C(n_27929),
+	.D(n_27934),
+	.X(n_28309), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880252 (
+	.A(n_27932),
+	.B(n_27926),
+	.C(n_27913),
+	.D(n_27917),
+	.Y(n_28269), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880253 (
+	.A(n_27892),
+	.B(n_27931),
+	.C(n_27907),
+	.D(n_27918),
+	.Y(n_28268), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880254 (
+	.A(n_27927),
+	.B(n_27928),
+	.C(n_27923),
+	.D(n_27922),
+	.Y(n_28308), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880255 (
+	.A(n_27925),
+	.B(n_27906),
+	.C(n_27877),
+	.D(n_27857),
+	.Y(n_28307), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4_1 g880256 (
+	.A(n_27994),
+	.B(n_27908),
+	.C(n_27910),
+	.D(n_27912),
+	.X(n_28306), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880257 (
+	.A(n_27903),
+	.B(n_27899),
+	.C(n_27905),
+	.D(n_27901),
+	.Y(n_28305), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880258 (
+	.A(n_27900),
+	.B(n_27904),
+	.C(n_27894),
+	.D(n_27890),
+	.Y(n_28304), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880259 (
+	.A(n_27891),
+	.B(n_27887),
+	.C(n_27888),
+	.D(n_27889),
+	.Y(n_28303), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880260 (
+	.A(n_27885),
+	.B(n_27881),
+	.C(n_27883),
+	.D(n_27884),
+	.Y(n_28302), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880261 (
+	.A(n_27868),
+	.B(n_27850),
+	.C(n_27880),
+	.D(n_27856),
+	.Y(n_28267), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4_1 g880262 (
+	.A(n_27865),
+	.B(n_27882),
+	.C(n_27876),
+	.D(n_27870),
+	.X(n_28301), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880263 (
+	.A(n_27872),
+	.B(n_27866),
+	.C(n_27867),
+	.D(n_27869),
+	.Y(n_28300), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880264 (
+	.A(n_27863),
+	.B(n_27861),
+	.C(n_27864),
+	.D(n_27862),
+	.Y(n_28299), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880265 (
+	.A(n_27999),
+	.B(n_27995),
+	.C(n_27998),
+	.D(n_28001),
+	.Y(n_28298), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880266 (
+	.A(n_27831),
+	.B(n_27838),
+	.C(n_27833),
+	.D(n_27843),
+	.Y(n_28266), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880267 (
+	.A(n_27981),
+	.B(n_27993),
+	.C(n_27997),
+	.D(n_27988),
+	.Y(n_28297), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880268 (
+	.A(n_28042),
+	.B(n_28040),
+	.C(n_28041),
+	.D(n_28043),
+	.Y(n_28296), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880269 (
+	.A(n_27956),
+	.B(n_27966),
+	.C(n_27978),
+	.D(n_27945),
+	.Y(n_28295), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880270 (
+	.A(n_27962),
+	.B(n_27975),
+	.C(n_27965),
+	.D(n_27980),
+	.Y(n_28265), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880271 (
+	.A(n_28017),
+	.B(n_28028),
+	.C(n_28019),
+	.D(n_28024),
+	.Y(n_28294), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880272 (
+	.A(n_28008),
+	.B(n_28021),
+	.C(n_27996),
+	.D(n_27987),
+	.Y(n_28293), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880273 (
+	.A(n_27963),
+	.B(n_27964),
+	.C(n_27967),
+	.D(n_27961),
+	.Y(n_28292), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4_1 g880274 (
+	.A(n_27951),
+	.B(n_27955),
+	.C(n_27940),
+	.D(n_27943),
+	.X(n_28291), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880275 (
+	.A(n_28016),
+	.B(n_28022),
+	.C(n_28018),
+	.D(n_28020),
+	.Y(n_28290), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880276 (
+	.A(n_28031),
+	.B(n_28036),
+	.C(n_28037),
+	.D(n_28034),
+	.Y(n_28289), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880277 (
+	.A(n_28039),
+	.B(n_28065),
+	.C(n_27839),
+	.D(n_28053),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_f [4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880278 (
+	.A(n_26556),
+	.B(n_26547),
+	.C(n_27740),
+	.D(n_27852),
+	.Y(n_28264), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880279 (
+	.A(n_28101),
+	.B(n_25617),
+	.C(n_25616),
+	.D(n_23250),
+	.Y(n_28263), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880280 (
+	.A(n_28100),
+	.B(n_25608),
+	.C(n_25607),
+	.D(n_23065),
+	.Y(n_28262), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880281 (
+	.A(n_28099),
+	.B(n_25594),
+	.C(n_25592),
+	.D(n_25352),
+	.Y(n_28261), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880282 (
+	.A(n_28098),
+	.B(n_25555),
+	.C(n_25554),
+	.D(n_22173),
+	.Y(n_28260), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880283 (
+	.A(n_28097),
+	.B(n_25531),
+	.C(n_25530),
+	.D(n_21564),
+	.Y(n_28259), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880284 (
+	.A(n_28096),
+	.B(n_25515),
+	.C(n_25514),
+	.D(n_21374),
+	.Y(n_28258), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880285 (
+	.A(n_43143),
+	.B(n_25509),
+	.C(n_25508),
+	.D(n_21190),
+	.Y(n_28257), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880286 (
+	.A(n_28094),
+	.B(n_25502),
+	.C(n_25500),
+	.D(n_21052),
+	.Y(n_28256), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880287 (
+	.A(n_28093),
+	.B(n_25492),
+	.C(n_20838),
+	.D(n_25491),
+	.Y(n_28255), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880288 (
+	.A(n_28092),
+	.B(n_25625),
+	.C(n_25486),
+	.D(n_20722),
+	.Y(n_28254), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880289 (
+	.A(n_28091),
+	.B(n_25474),
+	.C(n_25642),
+	.D(n_23983),
+	.Y(n_28253), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880290 (
+	.A(n_28072),
+	.B(n_25468),
+	.C(n_25466),
+	.D(n_20452),
+	.Y(n_28252), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880291 (
+	.A(n_28069),
+	.B(n_25457),
+	.C(n_25454),
+	.D(n_22460),
+	.Y(n_28251), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g880292 (
+	.A(n_27609),
+	.B(n_27605),
+	.C(n_28102),
+	.Y(n_28250), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g880293 (
+	.A(n_27021),
+	.B(n_27018),
+	.C(n_28104),
+	.Y(n_28249), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g880294 (
+	.A(n_26990),
+	.B(n_26986),
+	.C(n_28139),
+	.Y(n_28248), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g880295 (
+	.A(n_26873),
+	.B(n_26876),
+	.C(n_28103),
+	.Y(n_28247), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g880296 (
+	.A(n_27652),
+	.B(n_27125),
+	.C(n_28144),
+	.Y(n_28246), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g880297 (
+	.A(n_27651),
+	.B(n_27060),
+	.C(n_28142),
+	.Y(n_28245), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880298 (
+	.A(n_27771),
+	.B(n_26859),
+	.C(n_27648),
+	.D(n_27827),
+	.Y(n_28244), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880299 (
+	.A(n_27762),
+	.B(n_26773),
+	.C(n_27647),
+	.D(n_27826),
+	.Y(n_28243), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880300 (
+	.A(n_27751),
+	.B(n_26670),
+	.C(n_27645),
+	.D(n_27825),
+	.Y(n_28242), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880301 (
+	.A(n_27737),
+	.B(n_26562),
+	.C(n_27643),
+	.D(n_27824),
+	.Y(n_28241), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880302 (
+	.A(n_27822),
+	.B(n_27622),
+	.C(n_27621),
+	.D(n_27617),
+	.Y(n_28240), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880303 (
+	.A(n_27820),
+	.B(n_27598),
+	.C(n_27599),
+	.D(n_27600),
+	.Y(n_28239), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880304 (
+	.A(n_27818),
+	.B(n_27490),
+	.C(n_27491),
+	.D(n_27493),
+	.Y(n_28238), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880305 (
+	.A(n_27816),
+	.B(n_27471),
+	.C(n_27470),
+	.D(n_27472),
+	.Y(n_28237), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880306 (
+	.A(n_27392),
+	.B(n_27389),
+	.C(n_27814),
+	.D(n_27391),
+	.Y(n_28236), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880307 (
+	.A(n_27812),
+	.B(n_27369),
+	.C(n_27368),
+	.D(n_27371),
+	.Y(n_28235), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880308 (
+	.A(n_27297),
+	.B(n_27295),
+	.C(n_27810),
+	.D(n_27296),
+	.Y(n_28234), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880309 (
+	.A(n_27276),
+	.B(n_27277),
+	.C(n_27807),
+	.D(n_27275),
+	.Y(n_28233), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880310 (
+	.A(n_27806),
+	.B(n_27256),
+	.C(n_27260),
+	.D(n_27265),
+	.Y(n_28232), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880311 (
+	.A(n_27804),
+	.B(n_27803),
+	.C(n_27232),
+	.D(n_27229),
+	.Y(n_28231), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880312 (
+	.A(n_27230),
+	.B(n_27802),
+	.C(n_27231),
+	.D(n_27228),
+	.Y(n_28230), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880313 (
+	.A(n_27800),
+	.B(n_27213),
+	.C(n_27216),
+	.D(n_27215),
+	.Y(n_28229), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880314 (
+	.A(n_27207),
+	.B(n_27202),
+	.C(n_27799),
+	.D(n_27206),
+	.Y(n_28228), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880315 (
+	.A(n_27796),
+	.B(n_27169),
+	.C(n_27175),
+	.D(n_27173),
+	.Y(n_28227), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880316 (
+	.A(n_27795),
+	.B(n_27141),
+	.C(n_27139),
+	.D(n_27135),
+	.Y(n_28226), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880317 (
+	.A(n_27794),
+	.B(n_27793),
+	.C(n_27116),
+	.D(n_27113),
+	.Y(n_28225), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880318 (
+	.A(n_27094),
+	.B(n_27091),
+	.C(n_27791),
+	.D(n_27092),
+	.Y(n_28224), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880319 (
+	.A(n_27788),
+	.B(n_27083),
+	.C(n_27089),
+	.D(n_27084),
+	.Y(n_28223), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880320 (
+	.A(n_27074),
+	.B(n_27787),
+	.C(n_27075),
+	.D(n_27072),
+	.Y(n_28222), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880321 (
+	.A(n_27828),
+	.B(n_27020),
+	.C(n_27023),
+	.D(n_27019),
+	.Y(n_28221), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880322 (
+	.A(n_26996),
+	.B(n_26994),
+	.C(n_27780),
+	.D(n_26995),
+	.Y(n_28220), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g880323 (
+	.A(n_27777),
+	.B(n_28136),
+	.Y(n_28219), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880324 (
+	.A(n_26910),
+	.B(n_27775),
+	.C(n_26909),
+	.D(n_26905),
+	.Y(n_28218), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880325 (
+	.A(n_27768),
+	.B(n_26821),
+	.C(n_26818),
+	.D(n_26825),
+	.Y(n_28217), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880326 (
+	.A(n_26794),
+	.B(n_27765),
+	.C(n_26790),
+	.D(n_26789),
+	.Y(n_28216), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880327 (
+	.A(n_27767),
+	.B(n_27766),
+	.C(n_26798),
+	.D(n_26796),
+	.Y(n_28215), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880328 (
+	.A(n_27763),
+	.B(n_26774),
+	.C(n_26780),
+	.D(n_26776),
+	.Y(n_28214), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880329 (
+	.A(n_27760),
+	.B(n_27759),
+	.C(n_26754),
+	.D(n_26752),
+	.Y(n_28213), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880330 (
+	.A(n_27756),
+	.B(n_26734),
+	.C(n_26738),
+	.D(n_26736),
+	.Y(n_28212), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880331 (
+	.A(n_27754),
+	.B(n_26691),
+	.C(n_26696),
+	.D(n_26694),
+	.Y(n_28211), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880332 (
+	.A(n_26676),
+	.B(n_27752),
+	.C(n_26675),
+	.D(n_26672),
+	.Y(n_28210), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880333 (
+	.A(n_26653),
+	.B(n_27749),
+	.C(n_26651),
+	.D(n_26650),
+	.Y(n_28209), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880334 (
+	.A(n_26648),
+	.B(n_27747),
+	.C(n_26652),
+	.D(n_26645),
+	.Y(n_28208), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880335 (
+	.A(n_27744),
+	.B(n_26606),
+	.C(n_26610),
+	.D(n_26608),
+	.Y(n_28207), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880336 (
+	.A(n_27743),
+	.B(n_27742),
+	.C(n_26595),
+	.D(n_26593),
+	.Y(n_28206), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880337 (
+	.A(n_26564),
+	.B(n_27736),
+	.C(n_26569),
+	.D(n_26563),
+	.Y(n_28205), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880338 (
+	.A(n_26570),
+	.B(n_27738),
+	.C(n_26567),
+	.D(n_26565),
+	.Y(n_28204), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880339 (
+	.A(n_27729),
+	.B(n_26532),
+	.C(n_26535),
+	.D(n_26534),
+	.Y(n_28203), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880340 (
+	.A(n_27728),
+	.B(n_26529),
+	.C(n_27727),
+	.D(n_26527),
+	.Y(n_28202), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880341 (
+	.A(n_26507),
+	.B(n_26504),
+	.C(n_27725),
+	.D(n_26505),
+	.Y(n_28201), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880342 (
+	.A(n_27723),
+	.B(n_26501),
+	.C(n_26502),
+	.D(n_26503),
+	.Y(n_28200), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880343 (
+	.A(n_27722),
+	.B(n_26484),
+	.C(n_26482),
+	.D(n_26485),
+	.Y(n_28199), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880344 (
+	.A(n_27719),
+	.B(n_26462),
+	.C(n_26465),
+	.D(n_27099),
+	.Y(n_28198), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880345 (
+	.A(n_27717),
+	.B(n_26459),
+	.C(n_26461),
+	.D(n_26463),
+	.Y(n_28197), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880346 (
+	.A(n_27716),
+	.B(n_26442),
+	.C(n_26440),
+	.D(n_26443),
+	.Y(n_28196), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880347 (
+	.A(n_27823),
+	.B(n_27684),
+	.C(n_27639),
+	.D(n_27637),
+	.Y(n_28195), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880348 (
+	.A(n_27821),
+	.B(n_27683),
+	.C(n_27607),
+	.D(n_27606),
+	.Y(n_28194), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880349 (
+	.A(n_27681),
+	.B(n_27819),
+	.C(n_27501),
+	.D(n_27500),
+	.Y(n_28193), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880350 (
+	.A(n_27817),
+	.B(n_27680),
+	.C(n_27489),
+	.D(n_27487),
+	.Y(n_28192), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880351 (
+	.A(n_27679),
+	.B(n_27815),
+	.C(n_27407),
+	.D(n_27406),
+	.Y(n_28191), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880352 (
+	.A(n_27813),
+	.B(n_27678),
+	.C(n_27386),
+	.D(n_27385),
+	.Y(n_28190), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880353 (
+	.A(n_27808),
+	.B(n_27676),
+	.C(n_27298),
+	.D(n_27290),
+	.Y(n_28189), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880354 (
+	.A(n_27677),
+	.B(n_27811),
+	.C(n_27303),
+	.D(n_27301),
+	.Y(n_28188), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880355 (
+	.A(n_27675),
+	.B(n_27809),
+	.C(n_27289),
+	.D(n_27291),
+	.Y(n_28187), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880356 (
+	.A(n_27673),
+	.B(n_27805),
+	.C(n_27246),
+	.D(n_27245),
+	.Y(n_28186), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880357 (
+	.A(n_27672),
+	.B(n_27801),
+	.C(n_27218),
+	.D(n_27214),
+	.Y(n_28185), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880358 (
+	.A(n_27163),
+	.B(n_27156),
+	.C(n_27653),
+	.D(n_27158),
+	.Y(n_28184), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880359 (
+	.A(n_27671),
+	.B(n_27792),
+	.C(n_27106),
+	.D(n_27103),
+	.Y(n_28183), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880360 (
+	.A(n_27670),
+	.B(n_27789),
+	.C(n_27088),
+	.D(n_27087),
+	.Y(n_28182), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880361 (
+	.A(n_27043),
+	.B(n_27786),
+	.C(n_27040),
+	.D(n_27650),
+	.Y(n_28181), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880362 (
+	.A(n_27785),
+	.B(n_27669),
+	.C(n_27037),
+	.D(n_27036),
+	.Y(n_28180), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880363 (
+	.A(n_27668),
+	.B(n_27783),
+	.C(n_27007),
+	.D(n_27004),
+	.Y(n_28179), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880364 (
+	.A(n_26864),
+	.B(n_27770),
+	.C(n_27658),
+	.D(n_26860),
+	.Y(n_28178), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880365 (
+	.A(n_27769),
+	.B(n_27667),
+	.C(n_26851),
+	.D(n_26848),
+	.Y(n_28177), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880366 (
+	.A(n_27734),
+	.B(n_27666),
+	.C(n_26544),
+	.D(n_26541),
+	.Y(n_28176), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880367 (
+	.A(n_27664),
+	.B(n_27726),
+	.C(n_26523),
+	.D(n_26520),
+	.Y(n_28175), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880368 (
+	.A(n_27724),
+	.B(n_27662),
+	.C(n_26500),
+	.D(n_26498),
+	.Y(n_28174), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880369 (
+	.A(n_27720),
+	.B(n_27660),
+	.C(n_26480),
+	.D(n_26477),
+	.Y(n_28173), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880370 (
+	.A(n_27721),
+	.B(n_27661),
+	.C(n_26478),
+	.D(n_26476),
+	.Y(n_28172), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880371 (
+	.A(n_27718),
+	.B(n_27659),
+	.C(n_26457),
+	.D(n_26456),
+	.Y(n_28171), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880372 (
+	.A(n_27798),
+	.B(n_27703),
+	.C(n_27198),
+	.D(n_27192),
+	.Y(n_28170), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880373 (
+	.A(n_27699),
+	.B(n_27782),
+	.C(n_27781),
+	.D(n_26998),
+	.Y(n_28169), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880374 (
+	.A(n_26976),
+	.B(n_26983),
+	.C(n_26978),
+	.D(n_27784),
+	.Y(n_28168), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880375 (
+	.A(n_27774),
+	.B(n_27697),
+	.C(n_26885),
+	.D(n_26884),
+	.Y(n_28167), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880376 (
+	.A(n_27696),
+	.B(n_27772),
+	.C(n_26878),
+	.D(n_26875),
+	.Y(n_28166), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880377 (
+	.A(n_27761),
+	.B(n_27694),
+	.C(n_26771),
+	.D(n_26769),
+	.Y(n_28165), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880378 (
+	.A(n_27693),
+	.B(n_27755),
+	.C(n_26714),
+	.D(n_26710),
+	.Y(n_28164), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880379 (
+	.A(n_27691),
+	.B(n_27753),
+	.C(n_26679),
+	.D(n_26677),
+	.Y(n_28163), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880380 (
+	.A(n_27690),
+	.B(n_27746),
+	.C(n_26626),
+	.D(n_26624),
+	.Y(n_28162), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880381 (
+	.A(n_27689),
+	.B(n_27735),
+	.C(n_26546),
+	.D(n_26545),
+	.Y(n_28161), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880382 (
+	.A(n_25586),
+	.B(n_25743),
+	.C(n_25742),
+	.D(n_25397),
+	.Y(n_28160), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880383 (
+	.A(n_26385),
+	.B(n_25808),
+	.C(n_19953),
+	.D(n_25709),
+	.Y(n_28159), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880384 (
+	.A(n_26383),
+	.B(n_25806),
+	.C(n_19972),
+	.D(n_25703),
+	.Y(n_28158), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880385 (
+	.A(n_26381),
+	.B(n_25800),
+	.C(n_19967),
+	.D(n_25696),
+	.Y(n_28157), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880386 (
+	.A(n_26364),
+	.B(n_26202),
+	.C(n_26362),
+	.D(n_26374),
+	.Y(n_28156), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880387 (
+	.A(n_26373),
+	.B(n_26372),
+	.C(n_26376),
+	.D(n_26370),
+	.Y(n_28155), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880388 (
+	.A(n_26366),
+	.B(n_26368),
+	.C(n_26369),
+	.D(n_26363),
+	.Y(n_28154), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880389 (
+	.A(n_26361),
+	.B(n_26358),
+	.C(n_26365),
+	.D(n_26353),
+	.Y(n_28153), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880390 (
+	.A(n_26356),
+	.B(n_26357),
+	.C(n_26360),
+	.D(n_26359),
+	.Y(n_28152), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880391 (
+	.A(n_26348),
+	.B(n_26352),
+	.C(n_26354),
+	.D(n_26350),
+	.Y(n_28151), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880392 (
+	.A(n_26351),
+	.B(n_26341),
+	.C(n_26355),
+	.D(n_26327),
+	.Y(n_28150), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880393 (
+	.A(n_26347),
+	.B(n_26345),
+	.C(n_26349),
+	.D(n_26339),
+	.Y(n_28149), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g880394 (
+	.A(n_27674),
+	.B(n_26343),
+	.C(n_26344),
+	.Y(n_28148), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880395 (
+	.A(n_26338),
+	.B(n_43146),
+	.C(n_26342),
+	.D(n_26340),
+	.Y(n_28147), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880396 (
+	.A(n_26334),
+	.B(n_26333),
+	.C(n_26335),
+	.D(n_26332),
+	.Y(n_28146), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880397 (
+	.A(n_26328),
+	.B(n_26331),
+	.C(n_26336),
+	.D(n_26323),
+	.Y(n_28145), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880398 (
+	.A(n_27657),
+	.B(n_25602),
+	.C(n_25179),
+	.D(n_22849),
+	.Y(n_28144), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880399 (
+	.A(n_26329),
+	.B(n_26326),
+	.C(n_26330),
+	.D(n_26325),
+	.Y(n_28143), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880400 (
+	.A(n_43144),
+	.B(n_25583),
+	.C(n_22797),
+	.D(n_22799),
+	.Y(n_28142), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880401 (
+	.A(n_26322),
+	.B(n_26318),
+	.C(n_26321),
+	.D(n_26320),
+	.Y(n_28141), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880402 (
+	.A(n_26306),
+	.B(n_26319),
+	.C(n_26324),
+	.D(n_26300),
+	.Y(n_28140), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880403 (
+	.A(n_25563),
+	.B(n_25564),
+	.C(n_26390),
+	.D(n_25814),
+	.Y(n_28139), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880404 (
+	.A(n_26314),
+	.B(n_26315),
+	.C(n_26316),
+	.D(n_26313),
+	.Y(n_28138), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880405 (
+	.A(n_26307),
+	.B(n_26305),
+	.C(n_26317),
+	.D(n_26310),
+	.Y(n_28137), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g880406 (
+	.A(n_27779),
+	.B(n_27778),
+	.Y(n_28136), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880407 (
+	.A(n_26311),
+	.B(n_26308),
+	.C(n_26309),
+	.D(n_26312),
+	.Y(n_28135), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880408 (
+	.A(n_26302),
+	.B(n_26303),
+	.C(n_26304),
+	.D(n_26301),
+	.Y(n_28134), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880409 (
+	.A(n_26291),
+	.B(n_26294),
+	.C(n_26299),
+	.D(n_26284),
+	.Y(n_28133), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880410 (
+	.A(n_26298),
+	.B(n_26296),
+	.C(n_26295),
+	.D(n_26297),
+	.Y(n_28132), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880411 (
+	.A(n_26290),
+	.B(n_26289),
+	.C(n_26293),
+	.D(n_26292),
+	.Y(n_28131), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880412 (
+	.A(n_26287),
+	.B(n_26269),
+	.C(n_26288),
+	.D(n_26203),
+	.Y(n_28130), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880413 (
+	.A(n_26285),
+	.B(n_26283),
+	.C(n_26286),
+	.D(n_26281),
+	.Y(n_28129), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880414 (
+	.A(n_26253),
+	.B(n_26279),
+	.C(n_26282),
+	.D(n_26206),
+	.Y(n_28128), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880415 (
+	.A(n_26278),
+	.B(n_26276),
+	.C(n_26277),
+	.D(n_26280),
+	.Y(n_28127), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g880416 (
+	.A(n_27665),
+	.B(n_26273),
+	.C(n_26274),
+	.Y(n_28126), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880417 (
+	.A(n_26270),
+	.B(n_26271),
+	.C(n_26272),
+	.D(n_26268),
+	.Y(n_28125), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880418 (
+	.A(n_26264),
+	.B(n_26266),
+	.C(n_26267),
+	.D(n_26265),
+	.Y(n_28124), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880419 (
+	.A(n_26261),
+	.B(n_26262),
+	.C(n_26263),
+	.D(n_26260),
+	.Y(n_28123), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880420 (
+	.A(n_26208),
+	.B(n_26209),
+	.C(n_26207),
+	.D(n_26246),
+	.Y(n_28122), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880421 (
+	.A(n_26257),
+	.B(n_26256),
+	.C(n_26259),
+	.D(n_26258),
+	.Y(n_28121), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880422 (
+	.A(n_26252),
+	.B(n_26254),
+	.C(n_26255),
+	.D(n_26251),
+	.Y(n_28120), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880423 (
+	.A(n_26249),
+	.B(n_26247),
+	.C(n_26250),
+	.D(n_26248),
+	.Y(n_28119), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880424 (
+	.A(n_26244),
+	.B(n_26242),
+	.C(n_26243),
+	.D(n_26245),
+	.Y(n_28118), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880425 (
+	.A(n_26238),
+	.B(n_26240),
+	.C(n_26241),
+	.D(n_26239),
+	.Y(n_28117), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880426 (
+	.A(n_26235),
+	.B(n_26236),
+	.C(n_26237),
+	.D(n_26234),
+	.Y(n_28116), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880427 (
+	.A(n_26232),
+	.B(n_26230),
+	.C(n_26233),
+	.D(n_26231),
+	.Y(n_28115), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880428 (
+	.A(n_26227),
+	.B(n_26228),
+	.C(n_26229),
+	.D(n_26226),
+	.Y(n_28114), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880429 (
+	.A(n_26222),
+	.B(n_26224),
+	.C(n_26225),
+	.D(n_26223),
+	.Y(n_28113), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880430 (
+	.A(n_26220),
+	.B(n_26218),
+	.C(n_26219),
+	.D(n_26221),
+	.Y(n_28112), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880431 (
+	.A(n_26214),
+	.B(n_26216),
+	.C(n_26217),
+	.D(n_26215),
+	.Y(n_28111), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880432 (
+	.A(n_26212),
+	.B(n_26210),
+	.C(n_26211),
+	.D(n_26213),
+	.Y(n_28110), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880433 (
+	.A(n_26204),
+	.B(n_26200),
+	.C(n_26199),
+	.D(n_26205),
+	.Y(n_28109), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880434 (
+	.A(n_26193),
+	.B(n_26198),
+	.C(n_26201),
+	.D(n_26380),
+	.Y(n_28108), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880435 (
+	.A(n_26196),
+	.B(n_26195),
+	.C(n_26197),
+	.D(n_26194),
+	.Y(n_28107), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880436 (
+	.A(n_26379),
+	.B(n_26389),
+	.C(n_26192),
+	.D(n_26378),
+	.Y(n_28106), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880437 (
+	.A(n_26377),
+	.B(n_26371),
+	.C(n_26367),
+	.D(n_26375),
+	.Y(n_28105), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880438 (
+	.A(n_43145),
+	.B(n_25573),
+	.C(n_25572),
+	.D(n_25733),
+	.Y(n_28104), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880439 (
+	.A(n_27654),
+	.B(n_25547),
+	.C(n_25546),
+	.D(n_25721),
+	.Y(n_28103), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880440 (
+	.A(n_27682),
+	.B(n_25815),
+	.C(n_24939),
+	.D(n_24923),
+	.Y(n_28102), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g880441 (
+	.A1(n_19916),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [924]),
+	.B1(n_19917),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [970]),
+	.C1(n_27705),
+	.Y(n_28101), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g880442 (
+	.A1(n_19916),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [923]),
+	.B1(n_19917),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [969]),
+	.C1(n_27797),
+	.Y(n_28100), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g880443 (
+	.A(n_27790),
+	.B(n_25591),
+	.Y(n_28099), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g880444 (
+	.A1(n_19916),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [920]),
+	.B1(n_19917),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [966]),
+	.C1(n_27776),
+	.Y(n_28098), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g880445 (
+	.A(n_27764),
+	.B(n_25528),
+	.Y(n_28097), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g880446 (
+	.A(n_27757),
+	.B(n_25513),
+	.Y(n_28096), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g880448 (
+	.A1(n_19916),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [937]),
+	.B1(n_19917),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [983]),
+	.C1(n_27750),
+	.Y(n_28094), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g880449 (
+	.A1(n_19916),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [942]),
+	.B1(n_19917),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [988]),
+	.C1(n_27745),
+	.Y(n_28093), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g880450 (
+	.A1(n_19916),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [920]),
+	.B1(n_19917),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [966]),
+	.C1(n_27741),
+	.Y(n_28092), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g880451 (
+	.A1(n_19916),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [941]),
+	.B1(n_19917),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [987]),
+	.C1(n_27730),
+	.Y(n_28091), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880452 (
+	.A(n_26431),
+	.B(n_26448),
+	.C(n_26460),
+	.D(n_26424),
+	.Y(n_28090), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880453 (
+	.A(n_25669),
+	.B(n_25797),
+	.C(n_25125),
+	.D(n_25796),
+	.Y(n_28089), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880454 (
+	.A(n_25664),
+	.B(n_25793),
+	.C(n_24986),
+	.D(n_25792),
+	.Y(n_28088), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880455 (
+	.A(n_25658),
+	.B(n_25789),
+	.C(n_24512),
+	.D(n_25788),
+	.Y(n_28087), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880456 (
+	.A(n_25653),
+	.B(n_25785),
+	.C(n_24441),
+	.D(n_25784),
+	.Y(n_28086), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880457 (
+	.A(n_25648),
+	.B(n_25781),
+	.C(n_24056),
+	.D(n_25780),
+	.Y(n_28085), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880458 (
+	.A(n_25643),
+	.B(n_25777),
+	.C(n_23985),
+	.D(n_25776),
+	.Y(n_28084), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880459 (
+	.A(n_25637),
+	.B(n_25771),
+	.C(n_23616),
+	.D(n_25770),
+	.Y(n_28083), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880460 (
+	.A(n_25631),
+	.B(n_25767),
+	.C(n_23551),
+	.D(n_25766),
+	.Y(n_28082), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880461 (
+	.A(n_25627),
+	.B(n_25765),
+	.C(n_23476),
+	.D(n_25764),
+	.Y(n_28081), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880462 (
+	.A(n_25621),
+	.B(n_25761),
+	.C(n_23340),
+	.D(n_25760),
+	.Y(n_28080), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880463 (
+	.A(n_25611),
+	.B(n_25756),
+	.C(n_25755),
+	.D(n_23191),
+	.Y(n_28079), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880464 (
+	.A(n_25595),
+	.B(n_25747),
+	.C(n_25327),
+	.D(n_25746),
+	.Y(n_28078), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880465 (
+	.A(n_26388),
+	.B(n_25811),
+	.C(n_19959),
+	.D(n_25723),
+	.Y(n_28077), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880466 (
+	.A(n_25575),
+	.B(n_25735),
+	.C(n_22641),
+	.D(n_25734),
+	.Y(n_28076), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880467 (
+	.A(n_25567),
+	.B(n_25728),
+	.C(n_22493),
+	.D(n_25727),
+	.Y(n_28075), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880468 (
+	.A(n_25536),
+	.B(n_25714),
+	.C(n_21791),
+	.D(n_25713),
+	.Y(n_28074), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880469 (
+	.A(n_25467),
+	.B(n_25693),
+	.C(n_20451),
+	.D(n_25692),
+	.Y(n_28073), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g880470 (
+	.A1(n_19914),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1015]),
+	.B1(n_13963),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1061]),
+	.C1(n_27663),
+	.Y(n_28072), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880471 (
+	.A(n_25461),
+	.B(n_25687),
+	.C(n_20391),
+	.D(n_25686),
+	.Y(n_28071), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880472 (
+	.A(n_25521),
+	.B(n_25681),
+	.C(n_21147),
+	.D(n_25680),
+	.Y(n_28070), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4_1 g880473 (
+	.A(n_25453),
+	.B(n_25679),
+	.C(n_20287),
+	.D(n_25678),
+	.X(n_28069), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880474 (
+	.A(n_25449),
+	.B(n_25674),
+	.C(n_20240),
+	.D(n_25673),
+	.Y(n_28068), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880475 (
+	.A(n_27636),
+	.B(n_27626),
+	.C(n_27632),
+	.D(n_27618),
+	.Y(n_28067), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880476 (
+	.A(n_27640),
+	.B(n_27638),
+	.C(n_27633),
+	.D(n_27631),
+	.Y(n_28066), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880477 (
+	.A(n_27629),
+	.B(n_27615),
+	.C(n_27597),
+	.D(n_27580),
+	.Y(n_28065), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880478 (
+	.A(n_27627),
+	.B(n_27623),
+	.C(n_27616),
+	.D(n_27619),
+	.Y(n_28064), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880479 (
+	.A(n_27630),
+	.B(n_27628),
+	.C(n_27625),
+	.D(n_27624),
+	.Y(n_28063), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880480 (
+	.A(n_27620),
+	.B(n_27514),
+	.C(n_27556),
+	.D(n_27480),
+	.Y(n_28062), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880481 (
+	.A(n_27614),
+	.B(n_27613),
+	.C(n_27612),
+	.D(n_27610),
+	.Y(n_28061), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880482 (
+	.A(n_27611),
+	.B(n_27608),
+	.C(n_27601),
+	.D(n_27604),
+	.Y(n_28060), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880483 (
+	.A(n_27596),
+	.B(n_27586),
+	.C(n_27595),
+	.D(n_27589),
+	.Y(n_28059), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880484 (
+	.A(n_27593),
+	.B(n_27594),
+	.C(n_27592),
+	.D(n_27591),
+	.Y(n_28058), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880485 (
+	.A(n_27588),
+	.B(n_27585),
+	.C(n_27587),
+	.D(n_27584),
+	.Y(n_28057), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880486 (
+	.A(n_27583),
+	.B(n_27582),
+	.C(n_27581),
+	.D(n_27578),
+	.Y(n_28056), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880487 (
+	.A(n_27579),
+	.B(n_27575),
+	.C(n_27570),
+	.D(n_27567),
+	.Y(n_28055), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880488 (
+	.A(n_27577),
+	.B(n_27576),
+	.C(n_27572),
+	.D(n_27573),
+	.Y(n_28054), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880489 (
+	.A(n_27564),
+	.B(n_27533),
+	.C(n_27545),
+	.D(n_27517),
+	.Y(n_28053), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880490 (
+	.A(n_27574),
+	.B(n_27571),
+	.C(n_27560),
+	.D(n_27555),
+	.Y(n_28052), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880491 (
+	.A(n_27559),
+	.B(n_27562),
+	.C(n_27554),
+	.D(n_27551),
+	.Y(n_28051), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880492 (
+	.A(n_27569),
+	.B(n_27566),
+	.C(n_27568),
+	.D(n_27565),
+	.Y(n_28050), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880493 (
+	.A(n_27563),
+	.B(n_27561),
+	.C(n_27558),
+	.D(n_27557),
+	.Y(n_28049), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880494 (
+	.A(n_27553),
+	.B(n_27552),
+	.C(n_27550),
+	.D(n_27549),
+	.Y(n_28048), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880495 (
+	.A(n_27544),
+	.B(n_27529),
+	.C(n_27540),
+	.D(n_27524),
+	.Y(n_28047), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880496 (
+	.A(n_27547),
+	.B(n_27539),
+	.C(n_27542),
+	.D(n_27536),
+	.Y(n_28046), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880497 (
+	.A(n_27548),
+	.B(n_27546),
+	.C(n_27543),
+	.D(n_27541),
+	.Y(n_28045), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880498 (
+	.A(n_27521),
+	.B(n_27531),
+	.C(n_27528),
+	.D(n_27525),
+	.Y(n_28044), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880499 (
+	.A(n_27537),
+	.B(n_27538),
+	.C(n_27535),
+	.D(n_27534),
+	.Y(n_28043), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880500 (
+	.A(n_27532),
+	.B(n_27530),
+	.C(n_27527),
+	.D(n_27526),
+	.Y(n_28042), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880501 (
+	.A(n_27523),
+	.B(n_27520),
+	.C(n_27522),
+	.D(n_27519),
+	.Y(n_28041), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880502 (
+	.A(n_27518),
+	.B(n_27515),
+	.C(n_27513),
+	.D(n_27510),
+	.Y(n_28040), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880503 (
+	.A(n_27503),
+	.B(n_27452),
+	.C(n_27485),
+	.D(n_27469),
+	.Y(n_28039), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880504 (
+	.A(n_27509),
+	.B(n_27512),
+	.C(n_27498),
+	.D(n_27494),
+	.Y(n_28038), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880505 (
+	.A(n_27516),
+	.B(n_27511),
+	.C(n_27507),
+	.D(n_27502),
+	.Y(n_28037), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880506 (
+	.A(n_27499),
+	.B(n_27495),
+	.C(n_27492),
+	.D(n_27488),
+	.Y(n_28036), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880507 (
+	.A(n_27508),
+	.B(n_27506),
+	.C(n_27505),
+	.D(n_27504),
+	.Y(n_28035), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880508 (
+	.A(n_27486),
+	.B(n_27477),
+	.C(n_27481),
+	.D(n_27473),
+	.Y(n_28034), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880509 (
+	.A(n_27462),
+	.B(n_27483),
+	.C(n_27479),
+	.D(n_27467),
+	.Y(n_28033), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880510 (
+	.A(n_27478),
+	.B(n_27476),
+	.C(n_27474),
+	.D(n_27475),
+	.Y(n_28032), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880511 (
+	.A(n_27468),
+	.B(n_27455),
+	.C(n_27464),
+	.D(n_27461),
+	.Y(n_28031), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880512 (
+	.A(n_27466),
+	.B(n_27463),
+	.C(n_27465),
+	.D(n_27460),
+	.Y(n_28030), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880513 (
+	.A(n_27459),
+	.B(n_27458),
+	.C(n_27457),
+	.D(n_27456),
+	.Y(n_28029), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880514 (
+	.A(n_27454),
+	.B(n_27448),
+	.C(n_27450),
+	.D(n_27444),
+	.Y(n_28028), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880515 (
+	.A(n_27451),
+	.B(n_27453),
+	.C(n_27449),
+	.D(n_27447),
+	.Y(n_28027), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880516 (
+	.A(n_27446),
+	.B(n_27445),
+	.C(n_27443),
+	.D(n_27442),
+	.Y(n_28026), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880517 (
+	.A(n_27440),
+	.B(n_27425),
+	.C(n_27434),
+	.D(n_27411),
+	.Y(n_28025), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880518 (
+	.A(n_27441),
+	.B(n_27438),
+	.C(n_27433),
+	.D(n_27429),
+	.Y(n_28024), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880519 (
+	.A(n_27427),
+	.B(n_27381),
+	.C(n_27341),
+	.D(n_27310),
+	.Y(n_28023), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880520 (
+	.A(n_27437),
+	.B(n_27439),
+	.C(n_27435),
+	.D(n_27432),
+	.Y(n_28022), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880521 (
+	.A(n_27423),
+	.B(n_27436),
+	.C(n_27405),
+	.D(n_27393),
+	.Y(n_28021), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880522 (
+	.A(n_27431),
+	.B(n_27430),
+	.C(n_27428),
+	.D(n_27426),
+	.Y(n_28020), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880523 (
+	.A(n_27424),
+	.B(n_27420),
+	.C(n_27418),
+	.D(n_27414),
+	.Y(n_28019), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880524 (
+	.A(n_27422),
+	.B(n_27419),
+	.C(n_27421),
+	.D(n_27417),
+	.Y(n_28018), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880525 (
+	.A(n_27409),
+	.B(n_27398),
+	.C(n_27408),
+	.D(n_27402),
+	.Y(n_28017), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880526 (
+	.A(n_27416),
+	.B(n_27415),
+	.C(n_27413),
+	.D(n_27412),
+	.Y(n_28016), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880527 (
+	.A(n_27410),
+	.B(n_27404),
+	.C(n_27388),
+	.D(n_27395),
+	.Y(n_28015), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880528 (
+	.A(n_27403),
+	.B(n_27401),
+	.C(n_27400),
+	.D(n_27399),
+	.Y(n_28014), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880529 (
+	.A(n_27394),
+	.B(n_27390),
+	.C(n_27387),
+	.D(n_27384),
+	.Y(n_28013), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880530 (
+	.A(n_27372),
+	.B(n_27380),
+	.C(n_27364),
+	.D(n_27348),
+	.Y(n_28012), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880531 (
+	.A(n_27379),
+	.B(n_27370),
+	.C(n_27375),
+	.D(n_27367),
+	.Y(n_28011), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880532 (
+	.A(n_27378),
+	.B(n_27377),
+	.C(n_27374),
+	.D(n_27373),
+	.Y(n_28010), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880533 (
+	.A(n_27359),
+	.B(n_27362),
+	.C(n_27356),
+	.D(n_27353),
+	.Y(n_28009), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880534 (
+	.A(n_27376),
+	.B(n_27345),
+	.C(n_27363),
+	.D(n_27332),
+	.Y(n_28008), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880535 (
+	.A(n_27366),
+	.B(n_27361),
+	.C(n_27365),
+	.D(n_27360),
+	.Y(n_28007), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880536 (
+	.A(n_27358),
+	.B(n_27357),
+	.C(n_27355),
+	.D(n_27354),
+	.Y(n_28006), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880537 (
+	.A(n_27333),
+	.B(n_27350),
+	.C(n_27340),
+	.D(n_27322),
+	.Y(n_28005), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880538 (
+	.A(n_27349),
+	.B(n_27344),
+	.C(n_27339),
+	.D(n_27336),
+	.Y(n_28004), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880539 (
+	.A(n_27352),
+	.B(n_27351),
+	.C(n_27347),
+	.D(n_27346),
+	.Y(n_28003), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880540 (
+	.A(n_27343),
+	.B(n_27342),
+	.C(n_27338),
+	.D(n_27337),
+	.Y(n_28002), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880541 (
+	.A(n_27335),
+	.B(n_27334),
+	.C(n_27331),
+	.D(n_27330),
+	.Y(n_28001), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880542 (
+	.A(n_27329),
+	.B(n_27319),
+	.C(n_27313),
+	.D(n_27307),
+	.Y(n_28000), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880543 (
+	.A(n_27328),
+	.B(n_27326),
+	.C(n_27327),
+	.D(n_27325),
+	.Y(n_27999), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880544 (
+	.A(n_27324),
+	.B(n_27323),
+	.C(n_27321),
+	.D(n_27318),
+	.Y(n_27998), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880545 (
+	.A(n_27320),
+	.B(n_27314),
+	.C(n_27304),
+	.D(n_27294),
+	.Y(n_27997), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880546 (
+	.A(n_27317),
+	.B(n_27302),
+	.C(n_27292),
+	.D(n_27649),
+	.Y(n_27996), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880547 (
+	.A(n_27316),
+	.B(n_27311),
+	.C(n_27315),
+	.D(n_27312),
+	.Y(n_27995), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880548 (
+	.A(n_26850),
+	.B(n_26847),
+	.C(n_26844),
+	.D(n_26849),
+	.Y(n_27994), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880549 (
+	.A(n_27293),
+	.B(n_27287),
+	.C(n_27282),
+	.D(n_27274),
+	.Y(n_27993), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880550 (
+	.A(n_27281),
+	.B(n_27283),
+	.C(n_27280),
+	.D(n_27279),
+	.Y(n_27992), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880551 (
+	.A(n_27273),
+	.B(n_27272),
+	.C(n_27271),
+	.D(n_27270),
+	.Y(n_27991), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880552 (
+	.A(n_27268),
+	.B(n_27227),
+	.C(n_27189),
+	.D(n_27142),
+	.Y(n_27990), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880553 (
+	.A(n_27269),
+	.B(n_27266),
+	.C(n_27263),
+	.D(n_27262),
+	.Y(n_27989), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880554 (
+	.A(n_27267),
+	.B(n_27253),
+	.C(n_27261),
+	.D(n_27241),
+	.Y(n_27988), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880555 (
+	.A(n_27220),
+	.B(n_27264),
+	.C(n_27257),
+	.D(n_27237),
+	.Y(n_27987), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880556 (
+	.A(n_27259),
+	.B(n_27255),
+	.C(n_27258),
+	.D(n_27254),
+	.Y(n_27986), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880557 (
+	.A(n_27252),
+	.B(n_27249),
+	.C(n_27251),
+	.D(n_27250),
+	.Y(n_27985), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880558 (
+	.A(n_27247),
+	.B(n_27248),
+	.C(n_27244),
+	.D(n_27240),
+	.Y(n_27984), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880559 (
+	.A(n_27238),
+	.B(n_27236),
+	.C(n_27234),
+	.D(n_27235),
+	.Y(n_27983), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880560 (
+	.A(n_27226),
+	.B(n_27223),
+	.C(n_27221),
+	.D(n_27219),
+	.Y(n_27982), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880561 (
+	.A(n_27239),
+	.B(n_27217),
+	.C(n_27233),
+	.D(n_27224),
+	.Y(n_27981), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880562 (
+	.A(n_27209),
+	.B(n_27203),
+	.C(n_27195),
+	.D(n_27184),
+	.Y(n_27980), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880563 (
+	.A(n_27208),
+	.B(n_27210),
+	.C(n_27204),
+	.D(n_27201),
+	.Y(n_27979), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880564 (
+	.A(n_27205),
+	.B(n_27188),
+	.C(n_27171),
+	.D(n_27161),
+	.Y(n_27978), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880565 (
+	.A(n_27199),
+	.B(n_27200),
+	.C(n_27197),
+	.D(n_27196),
+	.Y(n_27977), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880566 (
+	.A(n_27194),
+	.B(n_27193),
+	.C(n_27191),
+	.D(n_27190),
+	.Y(n_27976), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880567 (
+	.A(n_27176),
+	.B(n_27167),
+	.C(n_27153),
+	.D(n_27159),
+	.Y(n_27975), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880568 (
+	.A(n_27186),
+	.B(n_27182),
+	.C(n_27185),
+	.D(n_27181),
+	.Y(n_27974), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880569 (
+	.A(n_27180),
+	.B(n_27179),
+	.C(n_27178),
+	.D(n_27177),
+	.Y(n_27973), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880570 (
+	.A(n_27174),
+	.B(n_27170),
+	.C(n_27172),
+	.D(n_27168),
+	.Y(n_27972), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880571 (
+	.A(n_27166),
+	.B(n_27165),
+	.C(n_27162),
+	.D(n_27164),
+	.Y(n_27971), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880572 (
+	.A(n_27160),
+	.B(n_27155),
+	.C(n_27154),
+	.D(n_27157),
+	.Y(n_27970), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880573 (
+	.A(n_27152),
+	.B(n_27149),
+	.C(n_27148),
+	.D(n_27145),
+	.Y(n_27969), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880574 (
+	.A(n_27151),
+	.B(n_27146),
+	.C(n_27150),
+	.D(n_27147),
+	.Y(n_27968), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880575 (
+	.A(n_27143),
+	.B(n_27138),
+	.C(n_27140),
+	.D(n_27137),
+	.Y(n_27967), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880576 (
+	.A(n_27127),
+	.B(n_27134),
+	.C(n_27115),
+	.D(n_27097),
+	.Y(n_27966), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880577 (
+	.A(n_27144),
+	.B(n_27129),
+	.C(n_27136),
+	.D(n_27123),
+	.Y(n_27965), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880578 (
+	.A(n_27133),
+	.B(n_27131),
+	.C(n_27130),
+	.D(n_27132),
+	.Y(n_27964), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880579 (
+	.A(n_27126),
+	.B(n_27124),
+	.C(n_27121),
+	.D(n_27122),
+	.Y(n_27963), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880580 (
+	.A(n_27114),
+	.B(n_27090),
+	.C(n_27105),
+	.D(n_27095),
+	.Y(n_27962), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880581 (
+	.A(n_27120),
+	.B(n_27117),
+	.C(n_27119),
+	.D(n_27118),
+	.Y(n_27961), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880582 (
+	.A(n_27108),
+	.B(n_27107),
+	.C(n_27104),
+	.D(n_27102),
+	.Y(n_27960), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880583 (
+	.A(n_27112),
+	.B(n_27111),
+	.C(n_27110),
+	.D(n_27109),
+	.Y(n_27959), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880584 (
+	.A(n_27098),
+	.B(n_26972),
+	.C(n_27045),
+	.D(n_27013),
+	.Y(n_27958), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880585 (
+	.A(n_27080),
+	.B(n_27082),
+	.C(n_27079),
+	.D(n_27077),
+	.Y(n_27957), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880586 (
+	.A(n_27078),
+	.B(n_27051),
+	.C(n_27065),
+	.D(n_27033),
+	.Y(n_27956), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880587 (
+	.A(n_27081),
+	.B(n_27071),
+	.C(n_27064),
+	.D(n_27057),
+	.Y(n_27955), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880588 (
+	.A(n_27076),
+	.B(n_27073),
+	.C(n_27070),
+	.D(n_27068),
+	.Y(n_27954), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880589 (
+	.A(n_27067),
+	.B(n_27069),
+	.C(n_27066),
+	.D(n_27063),
+	.Y(n_27953), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880590 (
+	.A(n_27061),
+	.B(n_27059),
+	.C(n_27056),
+	.D(n_27058),
+	.Y(n_27952), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880591 (
+	.A(n_27048),
+	.B(n_27034),
+	.C(n_27038),
+	.D(n_27026),
+	.Y(n_27951), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880592 (
+	.A(n_27055),
+	.B(n_27053),
+	.C(n_27046),
+	.D(n_27049),
+	.Y(n_27950), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880593 (
+	.A(n_27054),
+	.B(n_27050),
+	.C(n_27047),
+	.D(n_27052),
+	.Y(n_27949), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880594 (
+	.A(n_27044),
+	.B(n_27039),
+	.C(n_27042),
+	.D(n_27041),
+	.Y(n_27948), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880595 (
+	.A(n_27032),
+	.B(n_27030),
+	.C(n_27028),
+	.D(n_27022),
+	.Y(n_27947), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880596 (
+	.A(n_27029),
+	.B(n_27027),
+	.C(n_27024),
+	.D(n_27025),
+	.Y(n_27946), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880597 (
+	.A(n_26970),
+	.B(n_27014),
+	.C(n_27003),
+	.D(n_26993),
+	.Y(n_27945), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880598 (
+	.A(n_27017),
+	.B(n_27015),
+	.C(n_27012),
+	.D(n_27009),
+	.Y(n_27944), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880599 (
+	.A(n_27006),
+	.B(n_27016),
+	.C(n_27000),
+	.D(n_26997),
+	.Y(n_27943), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880600 (
+	.A(n_27010),
+	.B(n_27008),
+	.C(n_27002),
+	.D(n_27005),
+	.Y(n_27942), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880601 (
+	.A(n_26992),
+	.B(n_26989),
+	.C(n_26988),
+	.D(n_26987),
+	.Y(n_27941), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880602 (
+	.A(n_26965),
+	.B(n_26991),
+	.C(n_26984),
+	.D(n_26973),
+	.Y(n_27940), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880603 (
+	.A(n_26985),
+	.B(n_26981),
+	.C(n_26982),
+	.D(n_26980),
+	.Y(n_27939), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880604 (
+	.A(n_26977),
+	.B(n_26979),
+	.C(n_26975),
+	.D(n_26974),
+	.Y(n_27938), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880605 (
+	.A(n_26971),
+	.B(n_26966),
+	.C(n_26969),
+	.D(n_26967),
+	.Y(n_27937), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880606 (
+	.A(n_26968),
+	.B(n_26964),
+	.C(n_26958),
+	.D(n_26962),
+	.Y(n_27936), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880607 (
+	.A(n_26949),
+	.B(n_26955),
+	.C(n_26957),
+	.D(n_26952),
+	.Y(n_27935), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880608 (
+	.A(n_26963),
+	.B(n_26961),
+	.C(n_26960),
+	.D(n_26959),
+	.Y(n_27934), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880609 (
+	.A(n_26956),
+	.B(n_26954),
+	.C(n_26950),
+	.D(n_26951),
+	.Y(n_27933), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880610 (
+	.A(n_26953),
+	.B(n_26943),
+	.C(n_26940),
+	.D(n_26931),
+	.Y(n_27932), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880611 (
+	.A(n_26948),
+	.B(n_26901),
+	.C(n_26917),
+	.D(n_26934),
+	.Y(n_27931), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880612 (
+	.A(n_26947),
+	.B(n_26945),
+	.C(n_26944),
+	.D(n_26946),
+	.Y(n_27930), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880613 (
+	.A(n_26942),
+	.B(n_26937),
+	.C(n_26938),
+	.D(n_26941),
+	.Y(n_27929), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880614 (
+	.A(n_26935),
+	.B(n_26929),
+	.C(n_26928),
+	.D(n_26932),
+	.Y(n_27928), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880615 (
+	.A(n_26927),
+	.B(n_26926),
+	.C(n_26922),
+	.D(n_26924),
+	.Y(n_27927), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880616 (
+	.A(n_26925),
+	.B(n_26906),
+	.C(n_26911),
+	.D(n_26899),
+	.Y(n_27926), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880617 (
+	.A(n_26921),
+	.B(n_26887),
+	.C(n_26856),
+	.D(n_26823),
+	.Y(n_27925), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880618 (
+	.A(n_26555),
+	.B(n_26923),
+	.C(n_26916),
+	.D(n_26914),
+	.Y(n_27924), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880619 (
+	.A(n_26918),
+	.B(n_26915),
+	.C(n_26913),
+	.D(n_26912),
+	.Y(n_27923), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880620 (
+	.A(n_26908),
+	.B(n_26903),
+	.C(n_26904),
+	.D(n_26907),
+	.Y(n_27922), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880621 (
+	.A(n_26902),
+	.B(n_26900),
+	.C(n_26897),
+	.D(n_26896),
+	.Y(n_27921), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880622 (
+	.A(n_26898),
+	.B(n_26895),
+	.C(n_26892),
+	.D(n_26889),
+	.Y(n_27920), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880623 (
+	.A(n_26894),
+	.B(n_26893),
+	.C(n_26890),
+	.D(n_26891),
+	.Y(n_27919), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880624 (
+	.A(n_26883),
+	.B(n_26855),
+	.C(n_26870),
+	.D(n_26838),
+	.Y(n_27918), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880625 (
+	.A(n_26877),
+	.B(n_26888),
+	.C(n_26874),
+	.D(n_26863),
+	.Y(n_27917), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880626 (
+	.A(n_26886),
+	.B(n_26882),
+	.C(n_26881),
+	.D(n_26879),
+	.Y(n_27916), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880627 (
+	.A(n_26872),
+	.B(n_26871),
+	.C(n_26868),
+	.D(n_26869),
+	.Y(n_27915), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880628 (
+	.A(n_26866),
+	.B(n_26862),
+	.C(n_26861),
+	.D(n_26865),
+	.Y(n_27914), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880629 (
+	.A(n_26858),
+	.B(n_26846),
+	.C(n_26840),
+	.D(n_26843),
+	.Y(n_27913), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880630 (
+	.A(n_26857),
+	.B(n_26854),
+	.C(n_26853),
+	.D(n_26852),
+	.Y(n_27912), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880631 (
+	.A(n_27309),
+	.B(n_27308),
+	.C(n_27305),
+	.D(n_27306),
+	.Y(n_27911), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880632 (
+	.A(n_26841),
+	.B(n_26839),
+	.C(n_26836),
+	.D(n_26837),
+	.Y(n_27910), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880633 (
+	.A(n_26835),
+	.B(n_26832),
+	.C(n_26830),
+	.D(n_26828),
+	.Y(n_27909), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880634 (
+	.A(n_26834),
+	.B(n_26829),
+	.C(n_26831),
+	.D(n_26833),
+	.Y(n_27908), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880635 (
+	.A(n_26822),
+	.B(n_26808),
+	.C(n_26775),
+	.D(n_26792),
+	.Y(n_27907), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880636 (
+	.A(n_26793),
+	.B(n_26758),
+	.C(n_26728),
+	.D(n_26697),
+	.Y(n_27906), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880637 (
+	.A(n_26827),
+	.B(n_26824),
+	.C(n_26820),
+	.D(n_26819),
+	.Y(n_27905), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880638 (
+	.A(n_26814),
+	.B(n_26826),
+	.C(n_26811),
+	.D(n_26801),
+	.Y(n_27904), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880639 (
+	.A(n_26817),
+	.B(n_26815),
+	.C(n_26812),
+	.D(n_26816),
+	.Y(n_27903), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880640 (
+	.A(n_26813),
+	.B(n_26810),
+	.C(n_26806),
+	.D(n_26804),
+	.Y(n_27902), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880641 (
+	.A(n_26809),
+	.B(n_26807),
+	.C(n_26803),
+	.D(n_26805),
+	.Y(n_27901), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880642 (
+	.A(n_26795),
+	.B(n_26777),
+	.C(n_26785),
+	.D(n_26772),
+	.Y(n_27900), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880643 (
+	.A(n_26802),
+	.B(n_26797),
+	.C(n_26799),
+	.D(n_26800),
+	.Y(n_27899), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880644 (
+	.A(n_26787),
+	.B(n_26786),
+	.C(n_26784),
+	.D(n_26783),
+	.Y(n_27898), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880645 (
+	.A(n_26782),
+	.B(n_26781),
+	.C(n_26779),
+	.D(n_26778),
+	.Y(n_27897), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880646 (
+	.A(n_26770),
+	.B(n_26768),
+	.C(n_26765),
+	.D(n_26762),
+	.Y(n_27896), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880647 (
+	.A(n_26767),
+	.B(n_26766),
+	.C(n_26764),
+	.D(n_26763),
+	.Y(n_27895), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880648 (
+	.A(n_26760),
+	.B(n_26750),
+	.C(n_26747),
+	.D(n_26739),
+	.Y(n_27894), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880649 (
+	.A(n_26761),
+	.B(n_26759),
+	.C(n_26757),
+	.D(n_26755),
+	.Y(n_27893), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880650 (
+	.A(n_26756),
+	.B(n_26743),
+	.C(n_26730),
+	.D(n_26712),
+	.Y(n_27892), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880651 (
+	.A(n_26751),
+	.B(n_26746),
+	.C(n_26744),
+	.D(n_26749),
+	.Y(n_27891), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880652 (
+	.A(n_26707),
+	.B(n_26731),
+	.C(n_26718),
+	.D(n_26716),
+	.Y(n_27890), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880653 (
+	.A(n_26742),
+	.B(n_26741),
+	.C(n_26737),
+	.D(n_26740),
+	.Y(n_27889), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880654 (
+	.A(n_26735),
+	.B(n_26733),
+	.C(n_26732),
+	.D(n_26729),
+	.Y(n_27888), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880655 (
+	.A(n_26727),
+	.B(n_26722),
+	.C(n_26723),
+	.D(n_26725),
+	.Y(n_27887), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880656 (
+	.A(n_26726),
+	.B(n_26724),
+	.C(n_26720),
+	.D(n_26721),
+	.Y(n_27886), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880657 (
+	.A(n_26719),
+	.B(n_26715),
+	.C(n_26713),
+	.D(n_26717),
+	.Y(n_27885), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880658 (
+	.A(n_26711),
+	.B(n_26709),
+	.C(n_26706),
+	.D(n_26708),
+	.Y(n_27884), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880659 (
+	.A(n_26703),
+	.B(n_26702),
+	.C(n_26701),
+	.D(n_26700),
+	.Y(n_27883), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880660 (
+	.A(n_26699),
+	.B(n_26689),
+	.C(n_26674),
+	.D(n_26684),
+	.Y(n_27882), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880661 (
+	.A(n_26698),
+	.B(n_26690),
+	.C(n_26692),
+	.D(n_26695),
+	.Y(n_27881), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880662 (
+	.A(n_26693),
+	.B(n_26681),
+	.C(n_26662),
+	.D(n_26649),
+	.Y(n_27880), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880663 (
+	.A(n_26688),
+	.B(n_26687),
+	.C(n_26686),
+	.D(n_26685),
+	.Y(n_27879), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880664 (
+	.A(n_26683),
+	.B(n_26682),
+	.C(n_26680),
+	.D(n_26678),
+	.Y(n_27878), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880665 (
+	.A(n_26665),
+	.B(n_26631),
+	.C(n_26604),
+	.D(n_26568),
+	.Y(n_27877), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880666 (
+	.A(n_26669),
+	.B(n_26646),
+	.C(n_26655),
+	.D(n_26643),
+	.Y(n_27876), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880667 (
+	.A(n_26668),
+	.B(n_26667),
+	.C(n_26666),
+	.D(n_26663),
+	.Y(n_27875), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880668 (
+	.A(n_26664),
+	.B(n_26661),
+	.C(n_26658),
+	.D(n_26654),
+	.Y(n_27874), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880669 (
+	.A(n_26660),
+	.B(n_26659),
+	.C(n_26657),
+	.D(n_26656),
+	.Y(n_27873), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880670 (
+	.A(n_26644),
+	.B(n_26640),
+	.C(n_26638),
+	.D(n_26642),
+	.Y(n_27872), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880671 (
+	.A(n_26641),
+	.B(n_26639),
+	.C(n_26636),
+	.D(n_26633),
+	.Y(n_27871), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880672 (
+	.A(n_26634),
+	.B(n_26621),
+	.C(n_26616),
+	.D(n_26613),
+	.Y(n_27870), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880673 (
+	.A(n_26637),
+	.B(n_26635),
+	.C(n_26630),
+	.D(n_26632),
+	.Y(n_27869), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880674 (
+	.A(n_26629),
+	.B(n_26600),
+	.C(n_26614),
+	.D(n_26586),
+	.Y(n_27868), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880675 (
+	.A(n_26628),
+	.B(n_26627),
+	.C(n_26625),
+	.D(n_26623),
+	.Y(n_27867), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880676 (
+	.A(n_26622),
+	.B(n_26615),
+	.C(n_26617),
+	.D(n_26619),
+	.Y(n_27866), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880677 (
+	.A(n_26602),
+	.B(n_26583),
+	.C(n_26598),
+	.D(n_26590),
+	.Y(n_27865), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880678 (
+	.A(n_26612),
+	.B(n_26611),
+	.C(n_26609),
+	.D(n_26607),
+	.Y(n_27864), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880679 (
+	.A(n_26605),
+	.B(n_26601),
+	.C(n_26599),
+	.D(n_26603),
+	.Y(n_27863), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880680 (
+	.A(n_26597),
+	.B(n_26596),
+	.C(n_26592),
+	.D(n_26594),
+	.Y(n_27862), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880681 (
+	.A(n_26591),
+	.B(n_26584),
+	.C(n_26587),
+	.D(n_26588),
+	.Y(n_27861), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880682 (
+	.A(n_26582),
+	.B(n_26581),
+	.C(n_26579),
+	.D(n_26578),
+	.Y(n_27860), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880683 (
+	.A(n_26577),
+	.B(n_26580),
+	.C(n_26574),
+	.D(n_26571),
+	.Y(n_27859), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880684 (
+	.A(n_26576),
+	.B(n_26575),
+	.C(n_26573),
+	.D(n_26572),
+	.Y(n_27858), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880685 (
+	.A(n_26543),
+	.B(n_26464),
+	.C(n_26526),
+	.D(n_26499),
+	.Y(n_27857), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880686 (
+	.A(n_26552),
+	.B(n_26566),
+	.C(n_26539),
+	.D(n_26533),
+	.Y(n_27856), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880687 (
+	.A(n_26561),
+	.B(n_26560),
+	.C(n_26559),
+	.D(n_26557),
+	.Y(n_27855), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880688 (
+	.A(n_26558),
+	.B(n_26554),
+	.C(n_26550),
+	.D(n_26548),
+	.Y(n_27854), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880689 (
+	.A(n_26919),
+	.B(n_26553),
+	.C(n_26549),
+	.D(n_26551),
+	.Y(n_27853), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g880690 (
+	.A(n_27731),
+	.B(n_27732),
+	.Y(n_27852), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880691 (
+	.A(n_26528),
+	.B(n_26508),
+	.C(n_26506),
+	.D(n_26515),
+	.Y(n_27851), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880692 (
+	.A(n_26479),
+	.B(n_26525),
+	.C(n_26509),
+	.D(n_26494),
+	.Y(n_27850), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880693 (
+	.A(n_26522),
+	.B(n_26524),
+	.C(n_26521),
+	.D(n_26519),
+	.Y(n_27849), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880694 (
+	.A(n_26518),
+	.B(n_26517),
+	.C(n_26516),
+	.D(n_26513),
+	.Y(n_27848), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880695 (
+	.A(n_26497),
+	.B(n_26483),
+	.C(n_26481),
+	.D(n_26474),
+	.Y(n_27847), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880696 (
+	.A(n_26493),
+	.B(n_26491),
+	.C(n_26489),
+	.D(n_26486),
+	.Y(n_27846), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880697 (
+	.A(n_26492),
+	.B(n_26490),
+	.C(n_26488),
+	.D(n_26487),
+	.Y(n_27845), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880698 (
+	.A(n_26475),
+	.B(n_26473),
+	.C(n_26470),
+	.D(n_26471),
+	.Y(n_27844), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880699 (
+	.A(n_26466),
+	.B(n_26458),
+	.C(n_26452),
+	.D(n_26441),
+	.Y(n_27843), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880700 (
+	.A(n_26453),
+	.B(n_26451),
+	.C(n_26447),
+	.D(n_26444),
+	.Y(n_27842), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880701 (
+	.A(n_26439),
+	.B(n_26437),
+	.C(n_26438),
+	.D(n_26435),
+	.Y(n_27841), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880702 (
+	.A(n_26450),
+	.B(n_26449),
+	.C(n_26446),
+	.D(n_26445),
+	.Y(n_27840), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880703 (
+	.A(n_26434),
+	.B(n_26417),
+	.C(n_26405),
+	.D(n_27641),
+	.Y(n_27839), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880704 (
+	.A(n_26436),
+	.B(n_26430),
+	.C(n_26421),
+	.D(n_26426),
+	.Y(n_27838), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880705 (
+	.A(n_26433),
+	.B(n_26432),
+	.C(n_26429),
+	.D(n_26428),
+	.Y(n_27837), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880706 (
+	.A(n_26427),
+	.B(n_26425),
+	.C(n_26423),
+	.D(n_26422),
+	.Y(n_27836), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880707 (
+	.A(n_26420),
+	.B(n_26416),
+	.C(n_26419),
+	.D(n_26415),
+	.Y(n_27835), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880708 (
+	.A(n_26414),
+	.B(n_26410),
+	.C(n_26400),
+	.D(n_26394),
+	.Y(n_27834), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880709 (
+	.A(n_26418),
+	.B(n_26409),
+	.C(n_26413),
+	.D(n_26406),
+	.Y(n_27833), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880710 (
+	.A(n_26412),
+	.B(n_26411),
+	.C(n_26408),
+	.D(n_26407),
+	.Y(n_27832), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880711 (
+	.A(n_26402),
+	.B(n_26391),
+	.C(n_26398),
+	.D(n_26395),
+	.Y(n_27831), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880712 (
+	.A(n_26403),
+	.B(n_26404),
+	.C(n_26401),
+	.D(n_26399),
+	.Y(n_27830), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880713 (
+	.A(n_26397),
+	.B(n_26396),
+	.C(n_26393),
+	.D(n_26392),
+	.Y(n_27829), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880714 (
+	.A(n_22585),
+	.B(n_22584),
+	.C(n_25574),
+	.D(n_22582),
+	.Y(n_27828), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880715 (
+	.A(n_26387),
+	.B(n_25810),
+	.C(n_21891),
+	.D(n_21892),
+	.Y(n_27827), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880716 (
+	.A(n_26386),
+	.B(n_25809),
+	.C(n_21525),
+	.D(n_21526),
+	.Y(n_27826), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880717 (
+	.A(n_26384),
+	.B(n_25807),
+	.C(n_21440),
+	.D(n_21059),
+	.Y(n_27825), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880718 (
+	.A(n_26382),
+	.B(n_25803),
+	.C(n_20899),
+	.D(n_20641),
+	.Y(n_27824), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880719 (
+	.A(n_25168),
+	.B(n_25165),
+	.C(n_25672),
+	.D(n_25163),
+	.Y(n_27823), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880720 (
+	.A(n_25065),
+	.B(n_25062),
+	.C(n_25668),
+	.D(n_25061),
+	.Y(n_27822), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880721 (
+	.A(n_25018),
+	.B(n_25017),
+	.C(n_25667),
+	.D(n_25015),
+	.Y(n_27821), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880722 (
+	.A(n_24962),
+	.B(n_24961),
+	.C(n_25662),
+	.D(n_24959),
+	.Y(n_27820), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880723 (
+	.A(n_24544),
+	.B(n_24542),
+	.C(n_25661),
+	.D(n_24540),
+	.Y(n_27819), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880724 (
+	.A(n_24490),
+	.B(n_24487),
+	.C(n_25657),
+	.D(n_24486),
+	.Y(n_27818), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880725 (
+	.A(n_24480),
+	.B(n_24479),
+	.C(n_25656),
+	.D(n_24475),
+	.Y(n_27817), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880726 (
+	.A(n_24390),
+	.B(n_24388),
+	.C(n_25652),
+	.D(n_24385),
+	.Y(n_27816), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880727 (
+	.A(n_24113),
+	.B(n_24112),
+	.C(n_25651),
+	.D(n_24110),
+	.Y(n_27815), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880728 (
+	.A(n_24033),
+	.B(n_24031),
+	.C(n_25647),
+	.D(n_24030),
+	.Y(n_27814), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880729 (
+	.A(n_24021),
+	.B(n_24020),
+	.C(n_25646),
+	.D(n_24018),
+	.Y(n_27813), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880730 (
+	.A(n_23932),
+	.B(n_23931),
+	.C(n_25641),
+	.D(n_23930),
+	.Y(n_27812), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880731 (
+	.A(n_23644),
+	.B(n_23642),
+	.C(n_25640),
+	.D(n_23640),
+	.Y(n_27811), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880732 (
+	.A(n_23594),
+	.B(n_23593),
+	.C(n_25636),
+	.D(n_23590),
+	.Y(n_27810), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880733 (
+	.A(n_23586),
+	.B(n_23585),
+	.C(n_25634),
+	.D(n_23584),
+	.Y(n_27809), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880734 (
+	.A(n_23592),
+	.B(n_23589),
+	.C(n_25635),
+	.D(n_23581),
+	.Y(n_27808), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880735 (
+	.A(n_23503),
+	.B(n_23502),
+	.C(n_25630),
+	.D(n_23500),
+	.Y(n_27807), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880736 (
+	.A(n_23404),
+	.B(n_23397),
+	.C(n_25626),
+	.D(n_23393),
+	.Y(n_27806), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880737 (
+	.A(n_23383),
+	.B(n_23382),
+	.C(n_25624),
+	.D(n_23379),
+	.Y(n_27805), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880738 (
+	.A(n_23323),
+	.B(n_23327),
+	.C(n_25620),
+	.D(n_23333),
+	.Y(n_27804), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880739 (
+	.A(n_23312),
+	.B(n_23321),
+	.C(n_25619),
+	.D(n_23315),
+	.Y(n_27803), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880740 (
+	.A(n_23284),
+	.B(n_23283),
+	.C(n_25618),
+	.D(n_23279),
+	.Y(n_27802), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880741 (
+	.A(n_23232),
+	.B(n_23230),
+	.C(n_25615),
+	.D(n_23228),
+	.Y(n_27801), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880742 (
+	.A(n_23201),
+	.B(n_23197),
+	.C(n_25614),
+	.D(n_23203),
+	.Y(n_27800), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880743 (
+	.A(n_23161),
+	.B(n_23156),
+	.C(n_25610),
+	.D(n_23154),
+	.Y(n_27799), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880744 (
+	.A(n_23119),
+	.B(n_23125),
+	.C(n_25609),
+	.D(n_23122),
+	.Y(n_27798), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880745 (
+	.A(n_23050),
+	.B(n_23047),
+	.C(n_23055),
+	.D(n_25606),
+	.Y(n_27797), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880746 (
+	.A(n_23004),
+	.B(n_23000),
+	.C(n_25605),
+	.D(n_23009),
+	.Y(n_27796), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880747 (
+	.A(n_22860),
+	.B(n_22858),
+	.C(n_25603),
+	.D(n_22863),
+	.Y(n_27795), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880748 (
+	.A(n_25228),
+	.B(n_25226),
+	.C(n_25223),
+	.D(n_25600),
+	.Y(n_27794), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880749 (
+	.A(n_25238),
+	.B(n_25234),
+	.C(n_25599),
+	.D(n_25233),
+	.Y(n_27793), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880750 (
+	.A(n_25292),
+	.B(n_25293),
+	.C(n_25598),
+	.D(n_25297),
+	.Y(n_27792), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880751 (
+	.A(n_25351),
+	.B(n_25353),
+	.C(n_25593),
+	.D(n_25354),
+	.Y(n_27791), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880752 (
+	.A(n_25590),
+	.B(n_25360),
+	.C(n_25357),
+	.D(n_25362),
+	.Y(n_27790), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880753 (
+	.A(n_25359),
+	.B(n_24918),
+	.C(n_25589),
+	.D(n_25363),
+	.Y(n_27789), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880754 (
+	.A(n_25411),
+	.B(n_25408),
+	.C(n_25585),
+	.D(n_25403),
+	.Y(n_27788), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880755 (
+	.A(n_22830),
+	.B(n_22829),
+	.C(n_25584),
+	.D(n_22828),
+	.Y(n_27787), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880756 (
+	.A(n_25578),
+	.B(n_22676),
+	.C(n_22682),
+	.D(n_22673),
+	.Y(n_27786), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880757 (
+	.A(n_22681),
+	.B(n_22680),
+	.C(n_25579),
+	.D(n_21365),
+	.Y(n_27785), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880758 (
+	.A(n_25813),
+	.B(n_25812),
+	.C(n_25559),
+	.D(n_22382),
+	.Y(n_27784), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880759 (
+	.A(n_22530),
+	.B(n_22529),
+	.C(n_22532),
+	.D(n_25570),
+	.Y(n_27783), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880760 (
+	.A(n_22496),
+	.B(n_22492),
+	.C(n_25566),
+	.D(n_22495),
+	.Y(n_27782), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880761 (
+	.A(n_25565),
+	.B(n_22482),
+	.C(n_22487),
+	.D(n_22481),
+	.Y(n_27781), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880762 (
+	.A(n_22465),
+	.B(n_22464),
+	.C(n_25562),
+	.D(n_22462),
+	.Y(n_27780), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880763 (
+	.A(n_22245),
+	.B(n_25557),
+	.C(n_22244),
+	.D(n_22242),
+	.Y(n_27779), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880764 (
+	.A(n_22229),
+	.B(n_22231),
+	.C(n_25556),
+	.D(n_22234),
+	.Y(n_27778), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g880765 (
+	.A(n_26936),
+	.B(n_26939),
+	.Y(n_27777), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880766 (
+	.A(n_22162),
+	.B(n_22167),
+	.C(n_22166),
+	.D(n_25553),
+	.Y(n_27776), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880767 (
+	.A(n_22074),
+	.B(n_22070),
+	.C(n_25552),
+	.D(n_22076),
+	.Y(n_27775), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880768 (
+	.A(n_22005),
+	.B(n_22004),
+	.C(n_25551),
+	.D(n_22003),
+	.Y(n_27774), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880769 (
+	.A(n_22279),
+	.B(n_21973),
+	.C(n_25549),
+	.D(n_21972),
+	.Y(n_27773), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880770 (
+	.A(n_21955),
+	.B(n_21952),
+	.C(n_25548),
+	.D(n_21951),
+	.Y(n_27772), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880771 (
+	.A(n_21881),
+	.B(n_21880),
+	.C(n_25541),
+	.D(n_25542),
+	.Y(n_27771), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880772 (
+	.A(n_21871),
+	.B(n_21874),
+	.C(n_25540),
+	.D(n_21877),
+	.Y(n_27770), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880773 (
+	.A(n_25275),
+	.B(n_21855),
+	.C(n_25539),
+	.D(n_21854),
+	.Y(n_27769), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880774 (
+	.A(n_21701),
+	.B(n_21698),
+	.C(n_25535),
+	.D(n_21695),
+	.Y(n_27768), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880775 (
+	.A(n_21642),
+	.B(n_25534),
+	.C(n_21639),
+	.D(n_21636),
+	.Y(n_27767), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880776 (
+	.A(n_21626),
+	.B(n_21629),
+	.C(n_25533),
+	.D(n_21631),
+	.Y(n_27766), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880777 (
+	.A(n_21599),
+	.B(n_21598),
+	.C(n_25532),
+	.D(n_21596),
+	.Y(n_27765), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880778 (
+	.A(n_21551),
+	.B(n_25527),
+	.C(n_21555),
+	.D(n_21557),
+	.Y(n_27764), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880779 (
+	.A(n_21509),
+	.B(n_21512),
+	.C(n_25523),
+	.D(n_21508),
+	.Y(n_27763), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880780 (
+	.A(n_24845),
+	.B(n_21517),
+	.C(n_25524),
+	.D(n_25525),
+	.Y(n_27762), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880781 (
+	.A(n_21507),
+	.B(n_21505),
+	.C(n_25522),
+	.D(n_21503),
+	.Y(n_27761), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880782 (
+	.A(n_21443),
+	.B(n_25520),
+	.C(n_20505),
+	.D(n_21437),
+	.Y(n_27760), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880783 (
+	.A(n_21432),
+	.B(n_21430),
+	.C(n_25518),
+	.D(n_21433),
+	.Y(n_27759), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880784 (
+	.A(n_21419),
+	.B(n_21418),
+	.C(n_25517),
+	.D(n_25516),
+	.Y(n_27758), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880785 (
+	.A(n_21369),
+	.B(n_21360),
+	.C(n_21367),
+	.D(n_25512),
+	.Y(n_27757), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880786 (
+	.A(n_21315),
+	.B(n_21312),
+	.C(n_25511),
+	.D(n_21319),
+	.Y(n_27756), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880787 (
+	.A(n_21228),
+	.B(n_21235),
+	.C(n_25510),
+	.D(n_21233),
+	.Y(n_27755), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880788 (
+	.A(n_21138),
+	.B(n_21140),
+	.C(n_25506),
+	.D(n_21145),
+	.Y(n_27754), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880789 (
+	.A(n_21104),
+	.B(n_21112),
+	.C(n_25505),
+	.D(n_21109),
+	.Y(n_27753), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880790 (
+	.A(n_21079),
+	.B(n_21078),
+	.C(n_25504),
+	.D(n_21077),
+	.Y(n_27752), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880791 (
+	.A(n_21604),
+	.B(n_21546),
+	.C(n_25501),
+	.D(n_25499),
+	.Y(n_27751), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880792 (
+	.A(n_25498),
+	.B(n_21044),
+	.C(n_21048),
+	.D(n_21042),
+	.Y(n_27750), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880793 (
+	.A(n_20991),
+	.B(n_20989),
+	.C(n_25497),
+	.D(n_20987),
+	.Y(n_27749), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880794 (
+	.A(n_22663),
+	.B(n_20959),
+	.C(n_25496),
+	.D(n_20961),
+	.Y(n_27748), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880795 (
+	.A(n_20949),
+	.B(n_20954),
+	.C(n_25494),
+	.D(n_20957),
+	.Y(n_27747), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880796 (
+	.A(n_20876),
+	.B(n_20880),
+	.C(n_25493),
+	.D(n_20882),
+	.Y(n_27746), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880797 (
+	.A(n_25490),
+	.B(n_20828),
+	.C(n_20829),
+	.D(n_20833),
+	.Y(n_27745), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880798 (
+	.A(n_20788),
+	.B(n_24871),
+	.C(n_25489),
+	.D(n_20794),
+	.Y(n_27744), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880799 (
+	.A(n_20776),
+	.B(n_20783),
+	.C(n_25488),
+	.D(n_20780),
+	.Y(n_27743), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880800 (
+	.A(n_20768),
+	.B(n_20772),
+	.C(n_25487),
+	.D(n_20773),
+	.Y(n_27742), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880801 (
+	.A(n_20707),
+	.B(n_20716),
+	.C(n_20711),
+	.D(n_25485),
+	.Y(n_27741), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880802 (
+	.A(n_25802),
+	.B(n_25801),
+	.C(n_25805),
+	.D(n_25804),
+	.Y(n_27740), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880803 (
+	.A(n_20490),
+	.B(n_20657),
+	.C(n_25484),
+	.D(n_20656),
+	.Y(n_27738), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880804 (
+	.A(n_20633),
+	.B(n_21130),
+	.C(n_25482),
+	.D(n_25481),
+	.Y(n_27737), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880805 (
+	.A(n_20629),
+	.B(n_21213),
+	.C(n_25480),
+	.D(n_20627),
+	.Y(n_27736), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880806 (
+	.A(n_20577),
+	.B(n_22491),
+	.C(n_25479),
+	.D(n_20575),
+	.Y(n_27735), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880807 (
+	.A(n_20557),
+	.B(n_20554),
+	.C(n_25477),
+	.D(n_20560),
+	.Y(n_27734), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880808 (
+	.A(n_20555),
+	.B(n_22946),
+	.C(n_25476),
+	.D(n_20553),
+	.Y(n_27733), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g880809 (
+	.A(n_26540),
+	.B(n_26536),
+	.Y(n_27732), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g880810 (
+	.A(n_26530),
+	.B(n_26531),
+	.Y(n_27731), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880811 (
+	.A(n_24132),
+	.B(n_20534),
+	.C(n_24186),
+	.D(n_25473),
+	.Y(n_27730), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880812 (
+	.A(n_20521),
+	.B(n_20514),
+	.C(n_25465),
+	.D(n_20059),
+	.Y(n_27729), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880813 (
+	.A(n_21096),
+	.B(n_25529),
+	.C(n_20518),
+	.D(n_21413),
+	.Y(n_27728), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880814 (
+	.A(n_21983),
+	.B(n_21840),
+	.C(n_25472),
+	.D(n_20517),
+	.Y(n_27727), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880815 (
+	.A(n_20493),
+	.B(n_20492),
+	.C(n_25471),
+	.D(n_25058),
+	.Y(n_27726), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880816 (
+	.A(n_20430),
+	.B(n_20428),
+	.C(n_25464),
+	.D(n_20427),
+	.Y(n_27725), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880817 (
+	.A(n_25014),
+	.B(n_20420),
+	.C(n_25463),
+	.D(n_20418),
+	.Y(n_27724), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880818 (
+	.A(n_20403),
+	.B(n_20405),
+	.C(n_25462),
+	.D(n_20401),
+	.Y(n_27723), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880819 (
+	.A(n_24145),
+	.B(n_23475),
+	.C(n_25460),
+	.D(n_20348),
+	.Y(n_27722), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880820 (
+	.A(n_20332),
+	.B(n_20335),
+	.C(n_25458),
+	.D(n_22175),
+	.Y(n_27721), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880821 (
+	.A(n_20337),
+	.B(n_20336),
+	.C(n_25459),
+	.D(n_20333),
+	.Y(n_27720), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880822 (
+	.A(n_20273),
+	.B(n_20271),
+	.C(n_25558),
+	.D(n_20270),
+	.Y(n_27719), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880823 (
+	.A(n_20265),
+	.B(n_20264),
+	.C(n_25452),
+	.D(n_25164),
+	.Y(n_27718), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880824 (
+	.A(n_20250),
+	.B(n_22991),
+	.C(n_25451),
+	.D(n_20248),
+	.Y(n_27717), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880825 (
+	.A(n_22687),
+	.B(n_20194),
+	.C(n_25448),
+	.D(n_20193),
+	.Y(n_27716), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g880826 (
+	.A(n_25671),
+	.B(n_25131),
+	.C(n_25670),
+	.Y(n_27715), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g880827 (
+	.A(n_25666),
+	.B(n_24988),
+	.C(n_25665),
+	.Y(n_27714), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g880828 (
+	.A(n_25660),
+	.B(n_24517),
+	.C(n_25659),
+	.Y(n_27713), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g880829 (
+	.A(n_25655),
+	.B(n_24446),
+	.C(n_25654),
+	.Y(n_27712), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g880830 (
+	.A(n_25650),
+	.B(n_24060),
+	.C(n_25649),
+	.Y(n_27711), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g880831 (
+	.A(n_25645),
+	.B(n_23988),
+	.C(n_25644),
+	.Y(n_27710), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g880832 (
+	.A(n_25639),
+	.B(n_23620),
+	.C(n_25638),
+	.Y(n_27709), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g880833 (
+	.A(n_25819),
+	.B(n_23555),
+	.C(n_25632),
+	.Y(n_27708), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g880834 (
+	.A(n_25629),
+	.B(n_23492),
+	.C(n_25628),
+	.Y(n_27707), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g880835 (
+	.A(n_25623),
+	.B(n_23345),
+	.C(n_25622),
+	.Y(n_27706), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880836 (
+	.A(n_23243),
+	.B(n_23242),
+	.C(n_25447),
+	.D(n_25758),
+	.Y(n_27705), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g880837 (
+	.A(n_25613),
+	.B(n_23196),
+	.C(n_25612),
+	.Y(n_27704), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880838 (
+	.A(n_23147),
+	.B(n_23152),
+	.C(n_25446),
+	.D(n_25754),
+	.Y(n_27703), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g880839 (
+	.A(n_25597),
+	.B(n_25323),
+	.C(n_25596),
+	.Y(n_27702), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g880840 (
+	.A(n_25588),
+	.B(n_25392),
+	.C(n_25587),
+	.Y(n_27701), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g880841 (
+	.A(n_25577),
+	.B(n_22646),
+	.C(n_25576),
+	.Y(n_27700), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880842 (
+	.A(n_22513),
+	.B(n_43151),
+	.C(n_25441),
+	.D(n_22516),
+	.Y(n_27699), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g880843 (
+	.A(n_25569),
+	.B(n_22499),
+	.C(n_25568),
+	.Y(n_27698), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880844 (
+	.A(n_25725),
+	.B(n_22009),
+	.C(n_19958),
+	.D(n_22007),
+	.Y(n_27697), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880845 (
+	.A(n_25722),
+	.B(n_21963),
+	.C(n_19961),
+	.D(n_21964),
+	.Y(n_27696), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g880846 (
+	.A(n_25538),
+	.B(n_25537),
+	.C(n_21797),
+	.Y(n_27695), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880847 (
+	.A(n_25711),
+	.B(n_21511),
+	.C(n_19976),
+	.D(n_21510),
+	.Y(n_27694), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880848 (
+	.A(n_21253),
+	.B(n_25708),
+	.C(n_25438),
+	.D(n_21255),
+	.Y(n_27693), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880849 (
+	.A(n_25707),
+	.B(n_21183),
+	.C(n_25435),
+	.D(n_21180),
+	.Y(n_27692), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880850 (
+	.A(n_21123),
+	.B(n_25706),
+	.C(n_25434),
+	.D(n_21126),
+	.Y(n_27691), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880851 (
+	.A(n_20898),
+	.B(n_25702),
+	.C(n_25433),
+	.D(n_23240),
+	.Y(n_27690), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880852 (
+	.A(n_20581),
+	.B(n_20580),
+	.C(n_19960),
+	.D(n_25700),
+	.Y(n_27689), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g880853 (
+	.A(n_25470),
+	.B(n_23338),
+	.C(n_25469),
+	.Y(n_27688), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g880854 (
+	.A(n_25663),
+	.B(n_20395),
+	.C(n_25475),
+	.Y(n_27687), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g880855 (
+	.A(n_25456),
+	.B(n_25455),
+	.C(n_20691),
+	.Y(n_27686), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g880856 (
+	.A(n_25495),
+	.B(n_25450),
+	.C(n_20242),
+	.Y(n_27685), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g880857 (
+	.A(n_25799),
+	.B(n_25172),
+	.C(n_25798),
+	.Y(n_27684), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g880858 (
+	.A(n_25795),
+	.B(n_25027),
+	.C(n_25794),
+	.Y(n_27683), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g880859 (
+	.A1(n_19692),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2943]),
+	.B1(n_27590),
+	.Y(n_27682), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g880860 (
+	.A(n_25791),
+	.B(n_24553),
+	.C(n_25790),
+	.Y(n_27681), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g880861 (
+	.A(n_25787),
+	.B(n_24482),
+	.C(n_25786),
+	.Y(n_27680), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g880862 (
+	.A(n_25783),
+	.B(n_24122),
+	.C(n_25782),
+	.Y(n_27679), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g880863 (
+	.A(n_25779),
+	.B(n_24024),
+	.C(n_25778),
+	.Y(n_27678), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g880864 (
+	.A(n_25775),
+	.B(n_23653),
+	.C(n_25774),
+	.Y(n_27677), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g880865 (
+	.A(n_25773),
+	.B(n_23629),
+	.C(n_25772),
+	.Y(n_27676), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g880866 (
+	.A(n_25769),
+	.B(n_23587),
+	.C(n_25768),
+	.Y(n_27675), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g880867 (
+	.A1(n_19405),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [1]),
+	.B1(n_26346),
+	.Y(n_27674), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g880868 (
+	.A(n_25763),
+	.B(n_23386),
+	.C(n_25762),
+	.Y(n_27673), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g880869 (
+	.A(n_25759),
+	.B(n_23246),
+	.C(n_25757),
+	.Y(n_27672), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g880870 (
+	.A(n_25749),
+	.B(n_25748),
+	.C(n_25282),
+	.Y(n_27671), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g880871 (
+	.A(n_25745),
+	.B(n_25356),
+	.C(n_25744),
+	.Y(n_27670), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g880872 (
+	.A(n_25737),
+	.B(n_25736),
+	.C(n_22684),
+	.Y(n_27669), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g880873 (
+	.A(n_25731),
+	.B(n_25730),
+	.C(n_22547),
+	.Y(n_27668), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g880874 (
+	.A(n_25716),
+	.B(n_21863),
+	.C(n_25715),
+	.Y(n_27667), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g880875 (
+	.A(n_25699),
+	.B(n_20570),
+	.C(n_25698),
+	.Y(n_27666), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g880876 (
+	.A1(n_19926),
+	.A2(n_19980),
+	.A3(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [29]),
+	.B1(n_26275),
+	.Y(n_27665), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g880877 (
+	.A(n_25695),
+	.B(n_24425),
+	.C(n_25694),
+	.Y(n_27664), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g880878 (
+	.A(n_43154),
+	.B(n_23634),
+	.C(n_43155),
+	.Y(n_27663), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g880879 (
+	.A(n_25689),
+	.B(n_20422),
+	.C(n_25688),
+	.Y(n_27662), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g880880 (
+	.A(n_25685),
+	.B(n_20349),
+	.C(n_25684),
+	.Y(n_27661), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g880881 (
+	.A(n_25683),
+	.B(n_20344),
+	.C(n_25682),
+	.Y(n_27660), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g880882 (
+	.A(n_25677),
+	.B(n_20268),
+	.C(n_25676),
+	.Y(n_27659), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880883 (
+	.A(n_21899),
+	.B(n_22726),
+	.C(n_25543),
+	.D(n_25717),
+	.Y(n_27658), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g880884 (
+	.A1(n_19916),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [938]),
+	.B1(n_19917),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [984]),
+	.C1(n_27128),
+	.Y(n_27657), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g880887 (
+	.A(n_26867),
+	.B(n_43156),
+	.Y(n_27654), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880888 (
+	.A(n_22980),
+	.B(n_22978),
+	.C(n_25604),
+	.D(n_25752),
+	.Y(n_27653), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880889 (
+	.A(n_25206),
+	.B(n_25203),
+	.C(n_25601),
+	.D(n_25750),
+	.Y(n_27652), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880890 (
+	.A(n_22769),
+	.B(n_22771),
+	.C(n_25581),
+	.D(n_25740),
+	.Y(n_27651), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880891 (
+	.A(n_22702),
+	.B(n_25738),
+	.C(n_22700),
+	.D(n_25580),
+	.Y(n_27650), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g880892 (
+	.A(n_19571),
+	.B(n_19572),
+	.C(n_19663),
+	.D(n_25175),
+	.Y(n_27739), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880893 (
+	.A(n_23485),
+	.B(n_23497),
+	.C(n_23510),
+	.D(n_23473),
+	.Y(n_27649), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g880894 (
+	.A(n_25544),
+	.B(n_25718),
+	.Y(n_27648), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g880895 (
+	.A(n_25526),
+	.B(n_25712),
+	.Y(n_27647), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g880896 (
+	.A(n_25519),
+	.B(n_25710),
+	.Y(n_27646), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g880897 (
+	.A(n_25503),
+	.B(n_25705),
+	.Y(n_27645), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g880898 (
+	.A(n_25560),
+	.B(n_25704),
+	.Y(n_27644), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g880899 (
+	.A(n_25483),
+	.B(n_25701),
+	.Y(n_27643), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g880900 (
+	.A(n_25478),
+	.B(n_25697),
+	.Y(n_27642), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880901 (
+	.A(n_25157),
+	.B(n_25166),
+	.C(n_25129),
+	.D(n_25139),
+	.Y(n_27641), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880902 (
+	.A(n_25151),
+	.B(n_25162),
+	.C(n_25154),
+	.D(n_25159),
+	.Y(n_27640), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880903 (
+	.A(n_25161),
+	.B(n_25160),
+	.C(n_25156),
+	.D(n_25158),
+	.Y(n_27639), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880904 (
+	.A(n_25147),
+	.B(n_25144),
+	.C(n_25140),
+	.D(n_25136),
+	.Y(n_27638), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880905 (
+	.A(n_25153),
+	.B(n_25150),
+	.C(n_25149),
+	.D(n_25152),
+	.Y(n_27637), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880906 (
+	.A(n_25141),
+	.B(n_25133),
+	.C(n_25155),
+	.D(n_25148),
+	.Y(n_27636), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880907 (
+	.A(n_25146),
+	.B(n_25145),
+	.C(n_25142),
+	.D(n_25143),
+	.Y(n_27635), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880908 (
+	.A(n_25138),
+	.B(n_25137),
+	.C(n_25134),
+	.D(n_25135),
+	.Y(n_27634), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880909 (
+	.A(n_25127),
+	.B(n_25132),
+	.C(n_25126),
+	.D(n_25130),
+	.Y(n_27633), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880910 (
+	.A(n_25116),
+	.B(n_25124),
+	.C(n_25128),
+	.D(n_25110),
+	.Y(n_27632), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880911 (
+	.A(n_25121),
+	.B(n_25119),
+	.C(n_25112),
+	.D(n_25114),
+	.Y(n_27631), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880912 (
+	.A(n_25120),
+	.B(n_25118),
+	.C(n_25115),
+	.D(n_25117),
+	.Y(n_27630), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880913 (
+	.A(n_25092),
+	.B(n_25107),
+	.C(n_25066),
+	.D(n_25075),
+	.Y(n_27629), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880914 (
+	.A(n_25113),
+	.B(n_25111),
+	.C(n_25106),
+	.D(n_25109),
+	.Y(n_27628), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880915 (
+	.A(n_25102),
+	.B(n_25105),
+	.C(n_25095),
+	.D(n_25098),
+	.Y(n_27627), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880916 (
+	.A(n_25083),
+	.B(n_25078),
+	.C(n_25099),
+	.D(n_25091),
+	.Y(n_27626), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880917 (
+	.A(n_25104),
+	.B(n_25101),
+	.C(n_25100),
+	.D(n_25103),
+	.Y(n_27625), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880918 (
+	.A(n_25097),
+	.B(n_25094),
+	.C(n_25093),
+	.D(n_25096),
+	.Y(n_27624), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880919 (
+	.A(n_25090),
+	.B(n_25086),
+	.C(n_25079),
+	.D(n_25082),
+	.Y(n_27623), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880920 (
+	.A(n_25085),
+	.B(n_25089),
+	.C(n_25084),
+	.D(n_25087),
+	.Y(n_27622), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880921 (
+	.A(n_25081),
+	.B(n_25080),
+	.C(n_25076),
+	.D(n_25077),
+	.Y(n_27621), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880922 (
+	.A(n_25059),
+	.B(n_24981),
+	.C(n_24831),
+	.D(n_24897),
+	.Y(n_27620), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880923 (
+	.A(n_25074),
+	.B(n_25071),
+	.C(n_25063),
+	.D(n_25067),
+	.Y(n_27619), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880924 (
+	.A(n_25045),
+	.B(n_25056),
+	.C(n_25068),
+	.D(n_25064),
+	.Y(n_27618), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880925 (
+	.A(n_25073),
+	.B(n_25072),
+	.C(n_25069),
+	.D(n_25070),
+	.Y(n_27617), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880926 (
+	.A(n_25051),
+	.B(n_25054),
+	.C(n_25048),
+	.D(n_25060),
+	.Y(n_27616), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880927 (
+	.A(n_25031),
+	.B(n_25041),
+	.C(n_24990),
+	.D(n_25008),
+	.Y(n_27615), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880928 (
+	.A(n_25057),
+	.B(n_25055),
+	.C(n_25052),
+	.D(n_25053),
+	.Y(n_27614), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880929 (
+	.A(n_25050),
+	.B(n_25049),
+	.C(n_25046),
+	.D(n_25047),
+	.Y(n_27613), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880930 (
+	.A(n_25044),
+	.B(n_25038),
+	.C(n_25040),
+	.D(n_25043),
+	.Y(n_27612), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880931 (
+	.A(n_25033),
+	.B(n_25037),
+	.C(n_25025),
+	.D(n_25028),
+	.Y(n_27611), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880932 (
+	.A(n_25036),
+	.B(n_25034),
+	.C(n_25032),
+	.D(n_25035),
+	.Y(n_27610), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880933 (
+	.A(n_25012),
+	.B(n_24995),
+	.C(n_25029),
+	.D(n_25021),
+	.Y(n_27609), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880934 (
+	.A(n_25010),
+	.B(n_25016),
+	.C(n_25019),
+	.D(n_25000),
+	.Y(n_27608), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880935 (
+	.A(n_25024),
+	.B(n_25023),
+	.C(n_25020),
+	.D(n_25022),
+	.Y(n_27607), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880936 (
+	.A(n_25013),
+	.B(n_25011),
+	.C(n_25006),
+	.D(n_25007),
+	.Y(n_27606), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880937 (
+	.A(n_24985),
+	.B(n_24968),
+	.C(n_24978),
+	.D(n_24991),
+	.Y(n_27605), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880938 (
+	.A(n_24998),
+	.B(n_24994),
+	.C(n_24987),
+	.D(n_24989),
+	.Y(n_27604), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880939 (
+	.A(n_25004),
+	.B(n_25003),
+	.C(n_24999),
+	.D(n_25001),
+	.Y(n_27603), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880940 (
+	.A(n_24997),
+	.B(n_24993),
+	.C(n_24992),
+	.D(n_24996),
+	.Y(n_27602), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880941 (
+	.A(n_24980),
+	.B(n_24984),
+	.C(n_24971),
+	.D(n_24975),
+	.Y(n_27601), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880942 (
+	.A(n_24983),
+	.B(n_24982),
+	.C(n_24977),
+	.D(n_24979),
+	.Y(n_27600), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880943 (
+	.A(n_24976),
+	.B(n_24974),
+	.C(n_24972),
+	.D(n_24973),
+	.Y(n_27599), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880944 (
+	.A(n_24966),
+	.B(n_24970),
+	.C(n_24967),
+	.D(n_24969),
+	.Y(n_27598), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880945 (
+	.A(n_24935),
+	.B(n_24915),
+	.C(n_24958),
+	.D(n_24955),
+	.Y(n_27597), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880946 (
+	.A(n_24954),
+	.B(n_24960),
+	.C(n_24963),
+	.D(n_24949),
+	.Y(n_27596), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880947 (
+	.A(n_24938),
+	.B(n_24944),
+	.C(n_24931),
+	.D(n_24933),
+	.Y(n_27595), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880948 (
+	.A(n_24953),
+	.B(n_24952),
+	.C(n_24950),
+	.D(n_24951),
+	.Y(n_27594), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880949 (
+	.A(n_24947),
+	.B(n_24946),
+	.C(n_24948),
+	.D(n_24943),
+	.Y(n_27593), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880950 (
+	.A(n_24941),
+	.B(n_24940),
+	.C(n_24936),
+	.D(n_24937),
+	.Y(n_27592), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880951 (
+	.A(n_24934),
+	.B(n_24932),
+	.C(n_24929),
+	.D(n_24930),
+	.Y(n_27591), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880952 (
+	.A(n_24906),
+	.B(n_24884),
+	.C(n_24894),
+	.D(n_24912),
+	.Y(n_27590), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880953 (
+	.A(n_24914),
+	.B(n_24920),
+	.C(n_24910),
+	.D(n_24925),
+	.Y(n_27589), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880954 (
+	.A(n_24928),
+	.B(n_24927),
+	.C(n_24924),
+	.D(n_24926),
+	.Y(n_27588), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880955 (
+	.A(n_24922),
+	.B(n_24921),
+	.C(n_24917),
+	.D(n_24919),
+	.Y(n_27587), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880956 (
+	.A(n_24896),
+	.B(n_24902),
+	.C(n_24903),
+	.D(n_24890),
+	.Y(n_27586), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880957 (
+	.A(n_24916),
+	.B(n_24913),
+	.C(n_24909),
+	.D(n_24911),
+	.Y(n_27585), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880958 (
+	.A(n_24908),
+	.B(n_24907),
+	.C(n_24904),
+	.D(n_24905),
+	.Y(n_27584), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880959 (
+	.A(n_24901),
+	.B(n_24900),
+	.C(n_24898),
+	.D(n_24899),
+	.Y(n_27583), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880960 (
+	.A(n_24895),
+	.B(n_24893),
+	.C(n_24889),
+	.D(n_24891),
+	.Y(n_27582), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880961 (
+	.A(n_24888),
+	.B(n_24887),
+	.C(n_24885),
+	.D(n_24886),
+	.Y(n_27581), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880962 (
+	.A(n_24857),
+	.B(n_24876),
+	.C(n_24892),
+	.D(n_24846),
+	.Y(n_27580), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880963 (
+	.A(n_24874),
+	.B(n_24870),
+	.C(n_24883),
+	.D(n_24880),
+	.Y(n_27579), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880964 (
+	.A(n_24882),
+	.B(n_24881),
+	.C(n_24878),
+	.D(n_24879),
+	.Y(n_27578), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880965 (
+	.A(n_24877),
+	.B(n_24875),
+	.C(n_24872),
+	.D(n_24873),
+	.Y(n_27577), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880966 (
+	.A(n_24869),
+	.B(n_24868),
+	.C(n_24866),
+	.D(n_24867),
+	.Y(n_27576), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880967 (
+	.A(n_24849),
+	.B(n_24860),
+	.C(n_24854),
+	.D(n_24864),
+	.Y(n_27575), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880968 (
+	.A(n_24844),
+	.B(n_24838),
+	.C(n_24862),
+	.D(n_24851),
+	.Y(n_27574), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880969 (
+	.A(n_24856),
+	.B(n_24855),
+	.C(n_24852),
+	.D(n_24853),
+	.Y(n_27573), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880970 (
+	.A(n_24863),
+	.B(n_24861),
+	.C(n_24858),
+	.D(n_24859),
+	.Y(n_27572), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880971 (
+	.A(n_24803),
+	.B(n_24821),
+	.C(n_24810),
+	.D(n_24826),
+	.Y(n_27571), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880972 (
+	.A(n_24836),
+	.B(n_24833),
+	.C(n_24843),
+	.D(n_24840),
+	.Y(n_27570), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880973 (
+	.A(n_24848),
+	.B(n_24847),
+	.C(n_24841),
+	.D(n_24842),
+	.Y(n_27569), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880974 (
+	.A(n_24839),
+	.B(n_24837),
+	.C(n_24834),
+	.D(n_24835),
+	.Y(n_27568), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880975 (
+	.A(n_24818),
+	.B(n_24823),
+	.C(n_24829),
+	.D(n_24815),
+	.Y(n_27567), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880976 (
+	.A(n_24832),
+	.B(n_24830),
+	.C(n_24824),
+	.D(n_24825),
+	.Y(n_27566), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880977 (
+	.A(n_24822),
+	.B(n_24820),
+	.C(n_24814),
+	.D(n_24816),
+	.Y(n_27565), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880978 (
+	.A(n_24796),
+	.B(n_24779),
+	.C(n_24768),
+	.D(n_24812),
+	.Y(n_27564), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880979 (
+	.A(n_24813),
+	.B(n_24811),
+	.C(n_24807),
+	.D(n_24808),
+	.Y(n_27563), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880980 (
+	.A(n_24802),
+	.B(n_24799),
+	.C(n_24809),
+	.D(n_24806),
+	.Y(n_27562), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880981 (
+	.A(n_24805),
+	.B(n_24804),
+	.C(n_24800),
+	.D(n_24801),
+	.Y(n_27561), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880982 (
+	.A(n_24777),
+	.B(n_24770),
+	.C(n_24792),
+	.D(n_24787),
+	.Y(n_27560), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880983 (
+	.A(n_24785),
+	.B(n_24783),
+	.C(n_24795),
+	.D(n_24791),
+	.Y(n_27559), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880984 (
+	.A(n_24798),
+	.B(n_24797),
+	.C(n_24793),
+	.D(n_24794),
+	.Y(n_27558), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880985 (
+	.A(n_24790),
+	.B(n_24786),
+	.C(n_24781),
+	.D(n_24784),
+	.Y(n_27557), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880986 (
+	.A(n_24722),
+	.B(n_24775),
+	.C(n_24647),
+	.D(n_24681),
+	.Y(n_27556), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880987 (
+	.A(n_24745),
+	.B(n_24754),
+	.C(n_24759),
+	.D(n_24739),
+	.Y(n_27555), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880988 (
+	.A(n_24767),
+	.B(n_24772),
+	.C(n_24776),
+	.D(n_24766),
+	.Y(n_27554), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880989 (
+	.A(n_24780),
+	.B(n_24778),
+	.C(n_24773),
+	.D(n_24774),
+	.Y(n_27553), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880990 (
+	.A(n_24771),
+	.B(n_24769),
+	.C(n_24765),
+	.D(n_24764),
+	.Y(n_27552), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880991 (
+	.A(n_24752),
+	.B(n_24756),
+	.C(n_24760),
+	.D(n_24750),
+	.Y(n_27551), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880992 (
+	.A(n_24762),
+	.B(n_24761),
+	.C(n_24757),
+	.D(n_24758),
+	.Y(n_27550), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880993 (
+	.A(n_24755),
+	.B(n_24753),
+	.C(n_24749),
+	.D(n_24751),
+	.Y(n_27549), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880994 (
+	.A(n_24746),
+	.B(n_24744),
+	.C(n_24740),
+	.D(n_24741),
+	.Y(n_27548), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880995 (
+	.A(n_24735),
+	.B(n_24731),
+	.C(n_24742),
+	.D(n_24738),
+	.Y(n_27547), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880996 (
+	.A(n_24737),
+	.B(n_24736),
+	.C(n_24733),
+	.D(n_24734),
+	.Y(n_27546), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880997 (
+	.A(n_24715),
+	.B(n_24695),
+	.C(n_24748),
+	.D(n_24730),
+	.Y(n_27545), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880998 (
+	.A(n_24711),
+	.B(n_24702),
+	.C(n_24724),
+	.D(n_24719),
+	.Y(n_27544), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g880999 (
+	.A(n_24729),
+	.B(n_24727),
+	.C(n_24723),
+	.D(n_24725),
+	.Y(n_27543), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881000 (
+	.A(n_24717),
+	.B(n_24721),
+	.C(n_24726),
+	.D(n_24713),
+	.Y(n_27542), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881001 (
+	.A(n_24720),
+	.B(n_24718),
+	.C(n_24714),
+	.D(n_24716),
+	.Y(n_27541), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881002 (
+	.A(n_24678),
+	.B(n_24687),
+	.C(n_24694),
+	.D(n_24674),
+	.Y(n_27540), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881003 (
+	.A(n_24701),
+	.B(n_24699),
+	.C(n_24708),
+	.D(n_24707),
+	.Y(n_27539), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881004 (
+	.A(n_24710),
+	.B(n_24709),
+	.C(n_24704),
+	.D(n_24706),
+	.Y(n_27538), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881005 (
+	.A(n_24703),
+	.B(n_24698),
+	.C(n_24700),
+	.D(n_24697),
+	.Y(n_27537), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881006 (
+	.A(n_24686),
+	.B(n_24691),
+	.C(n_24693),
+	.D(n_24683),
+	.Y(n_27536), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881007 (
+	.A(n_24696),
+	.B(n_24692),
+	.C(n_24689),
+	.D(n_24690),
+	.Y(n_27535), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881008 (
+	.A(n_24688),
+	.B(n_24685),
+	.C(n_24682),
+	.D(n_24684),
+	.Y(n_27534), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881009 (
+	.A(n_24663),
+	.B(n_24680),
+	.C(n_24637),
+	.D(n_24651),
+	.Y(n_27533), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881010 (
+	.A(n_24679),
+	.B(n_24676),
+	.C(n_24672),
+	.D(n_24673),
+	.Y(n_27532), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881011 (
+	.A(n_24669),
+	.B(n_24671),
+	.C(n_24675),
+	.D(n_24666),
+	.Y(n_27531), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881012 (
+	.A(n_24670),
+	.B(n_24668),
+	.C(n_24664),
+	.D(n_24665),
+	.Y(n_27530), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881013 (
+	.A(n_24645),
+	.B(n_24642),
+	.C(n_24660),
+	.D(n_24654),
+	.Y(n_27529), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881014 (
+	.A(n_24653),
+	.B(n_24658),
+	.C(n_24659),
+	.D(n_24649),
+	.Y(n_27528), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881015 (
+	.A(n_24662),
+	.B(n_24661),
+	.C(n_24656),
+	.D(n_24657),
+	.Y(n_27527), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881016 (
+	.A(n_24655),
+	.B(n_24652),
+	.C(n_24648),
+	.D(n_24650),
+	.Y(n_27526), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881017 (
+	.A(n_24636),
+	.B(n_24641),
+	.C(n_24644),
+	.D(n_24634),
+	.Y(n_27525), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881018 (
+	.A(n_24613),
+	.B(n_24622),
+	.C(n_24628),
+	.D(n_24610),
+	.Y(n_27524), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881019 (
+	.A(n_24646),
+	.B(n_24643),
+	.C(n_24639),
+	.D(n_24640),
+	.Y(n_27523), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881020 (
+	.A(n_24638),
+	.B(n_24635),
+	.C(n_24632),
+	.D(n_24633),
+	.Y(n_27522), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881021 (
+	.A(n_24619),
+	.B(n_24625),
+	.C(n_24627),
+	.D(n_24617),
+	.Y(n_27521), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881022 (
+	.A(n_24629),
+	.B(n_24626),
+	.C(n_24623),
+	.D(n_24624),
+	.Y(n_27520), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881023 (
+	.A(n_24621),
+	.B(n_24620),
+	.C(n_24615),
+	.D(n_24616),
+	.Y(n_27519), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881024 (
+	.A(n_24612),
+	.B(n_24611),
+	.C(n_24608),
+	.D(n_24609),
+	.Y(n_27518), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881025 (
+	.A(n_24587),
+	.B(n_24604),
+	.C(n_24618),
+	.D(n_24580),
+	.Y(n_27517), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881026 (
+	.A(n_24606),
+	.B(n_24603),
+	.C(n_24599),
+	.D(n_24595),
+	.Y(n_27516), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881027 (
+	.A(n_24607),
+	.B(n_24605),
+	.C(n_24601),
+	.D(n_24602),
+	.Y(n_27515), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881028 (
+	.A(n_24589),
+	.B(n_24485),
+	.C(n_24528),
+	.D(n_24442),
+	.Y(n_27514), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881029 (
+	.A(n_24598),
+	.B(n_24597),
+	.C(n_24592),
+	.D(n_24593),
+	.Y(n_27513), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881030 (
+	.A(n_24577),
+	.B(n_24560),
+	.C(n_24594),
+	.D(n_24586),
+	.Y(n_27512), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881031 (
+	.A(n_24585),
+	.B(n_24591),
+	.C(n_24576),
+	.D(n_24581),
+	.Y(n_27511), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881032 (
+	.A(n_24590),
+	.B(n_24588),
+	.C(n_24583),
+	.D(n_24584),
+	.Y(n_27510), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881033 (
+	.A(n_24541),
+	.B(n_24539),
+	.C(n_24559),
+	.D(n_24552),
+	.Y(n_27509), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881034 (
+	.A(n_24579),
+	.B(n_24578),
+	.C(n_24574),
+	.D(n_24575),
+	.Y(n_27508), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881035 (
+	.A(n_24571),
+	.B(n_24568),
+	.C(n_24558),
+	.D(n_24564),
+	.Y(n_27507), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881036 (
+	.A(n_24573),
+	.B(n_24572),
+	.C(n_24569),
+	.D(n_24570),
+	.Y(n_27506), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881037 (
+	.A(n_24567),
+	.B(n_24563),
+	.C(n_24565),
+	.D(n_24566),
+	.Y(n_27505), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881038 (
+	.A(n_24562),
+	.B(n_24561),
+	.C(n_24556),
+	.D(n_24557),
+	.Y(n_27504), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881039 (
+	.A(n_24513),
+	.B(n_24495),
+	.C(n_24545),
+	.D(n_24533),
+	.Y(n_27503), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881040 (
+	.A(n_24554),
+	.B(n_24547),
+	.C(n_24551),
+	.D(n_24543),
+	.Y(n_27502), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881041 (
+	.A(n_24550),
+	.B(n_24549),
+	.C(n_24546),
+	.D(n_24548),
+	.Y(n_27501), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881042 (
+	.A(n_24538),
+	.B(n_24537),
+	.C(n_24534),
+	.D(n_24535),
+	.Y(n_27500), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881043 (
+	.A(n_24536),
+	.B(n_24532),
+	.C(n_24521),
+	.D(n_24526),
+	.Y(n_27499), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881044 (
+	.A(n_24511),
+	.B(n_24515),
+	.C(n_24522),
+	.D(n_24503),
+	.Y(n_27498), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881045 (
+	.A(n_24531),
+	.B(n_24530),
+	.C(n_24527),
+	.D(n_24529),
+	.Y(n_27497), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881046 (
+	.A(n_24524),
+	.B(n_24520),
+	.C(n_24519),
+	.D(n_24523),
+	.Y(n_27496), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881047 (
+	.A(n_24518),
+	.B(n_24510),
+	.C(n_24514),
+	.D(n_24506),
+	.Y(n_27495), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881048 (
+	.A(n_24476),
+	.B(n_24484),
+	.C(n_24489),
+	.D(n_24469),
+	.Y(n_27494), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881049 (
+	.A(n_24508),
+	.B(n_24507),
+	.C(n_24504),
+	.D(n_24505),
+	.Y(n_27493), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881050 (
+	.A(n_24497),
+	.B(n_24500),
+	.C(n_24488),
+	.D(n_24491),
+	.Y(n_27492), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881051 (
+	.A(n_24502),
+	.B(n_24501),
+	.C(n_24498),
+	.D(n_24499),
+	.Y(n_27491), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881052 (
+	.A(n_24494),
+	.B(n_24496),
+	.C(n_24492),
+	.D(n_24493),
+	.Y(n_27490), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881053 (
+	.A(n_24473),
+	.B(n_24472),
+	.C(n_24470),
+	.D(n_24471),
+	.Y(n_27489), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881054 (
+	.A(n_24483),
+	.B(n_24481),
+	.C(n_24474),
+	.D(n_24477),
+	.Y(n_27488), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881055 (
+	.A(n_24467),
+	.B(n_24464),
+	.C(n_24463),
+	.D(n_24466),
+	.Y(n_27487), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881056 (
+	.A(n_24465),
+	.B(n_24462),
+	.C(n_24452),
+	.D(n_24457),
+	.Y(n_27486), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881057 (
+	.A(n_24444),
+	.B(n_24461),
+	.C(n_24478),
+	.D(n_24439),
+	.Y(n_27485), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881058 (
+	.A(n_24460),
+	.B(n_24459),
+	.C(n_24456),
+	.D(n_24458),
+	.Y(n_27484), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881059 (
+	.A(n_24438),
+	.B(n_24445),
+	.C(n_24451),
+	.D(n_24431),
+	.Y(n_27483), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881060 (
+	.A(n_24454),
+	.B(n_24453),
+	.C(n_24449),
+	.D(n_24450),
+	.Y(n_27482), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881061 (
+	.A(n_24443),
+	.B(n_24447),
+	.C(n_24437),
+	.D(n_24440),
+	.Y(n_27481), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881062 (
+	.A(n_24384),
+	.B(n_24340),
+	.C(n_24268),
+	.D(n_24302),
+	.Y(n_27480), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881063 (
+	.A(n_24396),
+	.B(n_24406),
+	.C(n_24413),
+	.D(n_24389),
+	.Y(n_27479), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881064 (
+	.A(n_24436),
+	.B(n_24434),
+	.C(n_24432),
+	.D(n_24433),
+	.Y(n_27478), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881065 (
+	.A(n_24430),
+	.B(n_24418),
+	.C(n_24421),
+	.D(n_24415),
+	.Y(n_27477), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881066 (
+	.A(n_24429),
+	.B(n_24428),
+	.C(n_24424),
+	.D(n_24427),
+	.Y(n_27476), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881067 (
+	.A(n_24423),
+	.B(n_24420),
+	.C(n_24417),
+	.D(n_24422),
+	.Y(n_27475), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881068 (
+	.A(n_24416),
+	.B(n_24414),
+	.C(n_24411),
+	.D(n_24412),
+	.Y(n_27474), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881069 (
+	.A(n_24409),
+	.B(n_24403),
+	.C(n_24393),
+	.D(n_24399),
+	.Y(n_27473), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881070 (
+	.A(n_24408),
+	.B(n_24407),
+	.C(n_24404),
+	.D(n_24405),
+	.Y(n_27472), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881071 (
+	.A(n_24397),
+	.B(n_24402),
+	.C(n_24398),
+	.D(n_24401),
+	.Y(n_27471), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881072 (
+	.A(n_24395),
+	.B(n_24394),
+	.C(n_24391),
+	.D(n_24392),
+	.Y(n_27470), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881073 (
+	.A(n_24367),
+	.B(n_24383),
+	.C(n_24400),
+	.D(n_24364),
+	.Y(n_27469), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881074 (
+	.A(n_24387),
+	.B(n_24381),
+	.C(n_24386),
+	.D(n_24377),
+	.Y(n_27468), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881075 (
+	.A(n_24362),
+	.B(n_24373),
+	.C(n_24378),
+	.D(n_24357),
+	.Y(n_27467), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881076 (
+	.A(n_24382),
+	.B(n_24380),
+	.C(n_24376),
+	.D(n_24379),
+	.Y(n_27466), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881077 (
+	.A(n_24374),
+	.B(n_24372),
+	.C(n_24368),
+	.D(n_24370),
+	.Y(n_27465), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881078 (
+	.A(n_24371),
+	.B(n_24369),
+	.C(n_24360),
+	.D(n_24365),
+	.Y(n_27464), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881079 (
+	.A(n_24366),
+	.B(n_24363),
+	.C(n_24359),
+	.D(n_24361),
+	.Y(n_27463), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881080 (
+	.A(n_24332),
+	.B(n_24341),
+	.C(n_24348),
+	.D(n_24328),
+	.Y(n_27462), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881081 (
+	.A(n_24355),
+	.B(n_24352),
+	.C(n_24346),
+	.D(n_24350),
+	.Y(n_27461), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881082 (
+	.A(n_24358),
+	.B(n_24356),
+	.C(n_24353),
+	.D(n_24354),
+	.Y(n_27460), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881083 (
+	.A(n_24351),
+	.B(n_24349),
+	.C(n_24345),
+	.D(n_24347),
+	.Y(n_27459), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881084 (
+	.A(n_24344),
+	.B(n_24342),
+	.C(n_24338),
+	.D(n_24339),
+	.Y(n_27458), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881085 (
+	.A(n_24335),
+	.B(n_24333),
+	.C(n_24329),
+	.D(n_24330),
+	.Y(n_27457), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881086 (
+	.A(n_24327),
+	.B(n_24324),
+	.C(n_24321),
+	.D(n_24323),
+	.Y(n_27456), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881087 (
+	.A(n_24343),
+	.B(n_24334),
+	.C(n_24331),
+	.D(n_24337),
+	.Y(n_27455), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881088 (
+	.A(n_24322),
+	.B(n_24320),
+	.C(n_24314),
+	.D(n_24316),
+	.Y(n_27454), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881089 (
+	.A(n_24319),
+	.B(n_24317),
+	.C(n_24313),
+	.D(n_24315),
+	.Y(n_27453), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881090 (
+	.A(n_24310),
+	.B(n_24325),
+	.C(n_24336),
+	.D(n_24297),
+	.Y(n_27452), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881091 (
+	.A(n_24312),
+	.B(n_24308),
+	.C(n_24311),
+	.D(n_24307),
+	.Y(n_27451), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881092 (
+	.A(n_24306),
+	.B(n_24309),
+	.C(n_24298),
+	.D(n_24301),
+	.Y(n_27450), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881093 (
+	.A(n_24305),
+	.B(n_24304),
+	.C(n_24299),
+	.D(n_24300),
+	.Y(n_27449), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881094 (
+	.A(n_24293),
+	.B(n_24287),
+	.C(n_24290),
+	.D(n_24283),
+	.Y(n_27448), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881095 (
+	.A(n_24296),
+	.B(n_24295),
+	.C(n_24291),
+	.D(n_24292),
+	.Y(n_27447), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881096 (
+	.A(n_24289),
+	.B(n_24288),
+	.C(n_24284),
+	.D(n_24286),
+	.Y(n_27446), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881097 (
+	.A(n_24282),
+	.B(n_24281),
+	.C(n_24278),
+	.D(n_24279),
+	.Y(n_27445), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881098 (
+	.A(n_24280),
+	.B(n_24277),
+	.C(n_24272),
+	.D(n_24274),
+	.Y(n_27444), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881099 (
+	.A(n_24276),
+	.B(n_24275),
+	.C(n_24271),
+	.D(n_24273),
+	.Y(n_27443), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881100 (
+	.A(n_24267),
+	.B(n_24265),
+	.C(n_24261),
+	.D(n_24263),
+	.Y(n_27442), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881101 (
+	.A(n_24262),
+	.B(n_24259),
+	.C(n_24251),
+	.D(n_24255),
+	.Y(n_27441), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881102 (
+	.A(n_24244),
+	.B(n_24240),
+	.C(n_24258),
+	.D(n_24253),
+	.Y(n_27440), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881103 (
+	.A(n_24256),
+	.B(n_24254),
+	.C(n_24250),
+	.D(n_24252),
+	.Y(n_27439), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881104 (
+	.A(n_24246),
+	.B(n_24238),
+	.C(n_24243),
+	.D(n_24236),
+	.Y(n_27438), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881105 (
+	.A(n_24249),
+	.B(n_24245),
+	.C(n_24247),
+	.D(n_24242),
+	.Y(n_27437), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881106 (
+	.A(n_24210),
+	.B(n_24193),
+	.C(n_24233),
+	.D(n_24226),
+	.Y(n_27436), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881107 (
+	.A(n_24241),
+	.B(n_24239),
+	.C(n_24235),
+	.D(n_24237),
+	.Y(n_27435), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881108 (
+	.A(n_24215),
+	.B(n_24222),
+	.C(n_24229),
+	.D(n_24208),
+	.Y(n_27434), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881109 (
+	.A(n_24227),
+	.B(n_24231),
+	.C(n_24220),
+	.D(n_24224),
+	.Y(n_27433), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881110 (
+	.A(n_24234),
+	.B(n_24232),
+	.C(n_24228),
+	.D(n_24230),
+	.Y(n_27432), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881111 (
+	.A(n_24225),
+	.B(n_24223),
+	.C(n_24219),
+	.D(n_24221),
+	.Y(n_27431), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881112 (
+	.A(n_24218),
+	.B(n_24216),
+	.C(n_24212),
+	.D(n_24214),
+	.Y(n_27430), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881113 (
+	.A(n_24217),
+	.B(n_24213),
+	.C(n_24205),
+	.D(n_24209),
+	.Y(n_27429), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881114 (
+	.A(n_24211),
+	.B(n_24207),
+	.C(n_24204),
+	.D(n_24206),
+	.Y(n_27428), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881115 (
+	.A(n_24149),
+	.B(n_24095),
+	.C(n_24201),
+	.D(n_24059),
+	.Y(n_27427), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881116 (
+	.A(n_24203),
+	.B(n_24202),
+	.C(n_24197),
+	.D(n_24199),
+	.Y(n_27426), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881117 (
+	.A(n_24184),
+	.B(n_24168),
+	.C(n_24198),
+	.D(n_24190),
+	.Y(n_27425), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881118 (
+	.A(n_24200),
+	.B(n_24196),
+	.C(n_24192),
+	.D(n_24188),
+	.Y(n_27424), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881119 (
+	.A(n_24144),
+	.B(n_24135),
+	.C(n_24177),
+	.D(n_24161),
+	.Y(n_27423), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881120 (
+	.A(n_24194),
+	.B(n_24191),
+	.C(n_24187),
+	.D(n_24189),
+	.Y(n_27422), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881121 (
+	.A(n_24185),
+	.B(n_24183),
+	.C(n_24179),
+	.D(n_24181),
+	.Y(n_27421), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881122 (
+	.A(n_24180),
+	.B(n_24182),
+	.C(n_24173),
+	.D(n_24176),
+	.Y(n_27420), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881123 (
+	.A(n_24178),
+	.B(n_24175),
+	.C(n_24172),
+	.D(n_24174),
+	.Y(n_27419), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881124 (
+	.A(n_24167),
+	.B(n_24162),
+	.C(n_24155),
+	.D(n_24157),
+	.Y(n_27418), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881125 (
+	.A(n_24170),
+	.B(n_24169),
+	.C(n_24163),
+	.D(n_24165),
+	.Y(n_27417), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881126 (
+	.A(n_24160),
+	.B(n_24158),
+	.C(n_24154),
+	.D(n_24156),
+	.Y(n_27416), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881127 (
+	.A(n_24153),
+	.B(n_24152),
+	.C(n_24147),
+	.D(n_24148),
+	.Y(n_27415), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881128 (
+	.A(n_24150),
+	.B(n_24140),
+	.C(n_24146),
+	.D(n_24138),
+	.Y(n_27414), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881129 (
+	.A(n_24143),
+	.B(n_24139),
+	.C(n_24136),
+	.D(n_24137),
+	.Y(n_27413), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881130 (
+	.A(n_24134),
+	.B(n_24131),
+	.C(n_24127),
+	.D(n_24129),
+	.Y(n_27412), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881131 (
+	.A(n_24151),
+	.B(n_24159),
+	.C(n_24166),
+	.D(n_24141),
+	.Y(n_27411), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881132 (
+	.A(n_24114),
+	.B(n_24105),
+	.C(n_24128),
+	.D(n_24121),
+	.Y(n_27410), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881133 (
+	.A(n_24130),
+	.B(n_24123),
+	.C(n_24126),
+	.D(n_24120),
+	.Y(n_27409), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881134 (
+	.A(n_24115),
+	.B(n_24111),
+	.C(n_24102),
+	.D(n_24107),
+	.Y(n_27408), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881135 (
+	.A(n_24119),
+	.B(n_24118),
+	.C(n_24116),
+	.D(n_24117),
+	.Y(n_27407), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881136 (
+	.A(n_24109),
+	.B(n_24106),
+	.C(n_24103),
+	.D(n_24104),
+	.Y(n_27406), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881137 (
+	.A(n_24067),
+	.B(n_24091),
+	.C(n_24108),
+	.D(n_24058),
+	.Y(n_27405), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881138 (
+	.A(n_24068),
+	.B(n_24084),
+	.C(n_24074),
+	.D(n_24093),
+	.Y(n_27404), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881139 (
+	.A(n_24101),
+	.B(n_24100),
+	.C(n_24097),
+	.D(n_24098),
+	.Y(n_27403), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881140 (
+	.A(n_24096),
+	.B(n_24088),
+	.C(n_24081),
+	.D(n_24086),
+	.Y(n_27402), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881141 (
+	.A(n_24094),
+	.B(n_24092),
+	.C(n_24089),
+	.D(n_24090),
+	.Y(n_27401), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881142 (
+	.A(n_24087),
+	.B(n_24085),
+	.C(n_24082),
+	.D(n_24083),
+	.Y(n_27400), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881143 (
+	.A(n_24080),
+	.B(n_24078),
+	.C(n_24077),
+	.D(n_24079),
+	.Y(n_27399), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881144 (
+	.A(n_24075),
+	.B(n_24065),
+	.C(n_24063),
+	.D(n_24071),
+	.Y(n_27398), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881145 (
+	.A(n_24073),
+	.B(n_24072),
+	.C(n_24069),
+	.D(n_24070),
+	.Y(n_27397), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881146 (
+	.A(n_24066),
+	.B(n_24064),
+	.C(n_24061),
+	.D(n_24062),
+	.Y(n_27396), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881147 (
+	.A(n_24040),
+	.B(n_24054),
+	.C(n_24057),
+	.D(n_24035),
+	.Y(n_27395), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881148 (
+	.A(n_24055),
+	.B(n_24053),
+	.C(n_24045),
+	.D(n_24048),
+	.Y(n_27394), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881149 (
+	.A(n_24003),
+	.B(n_24026),
+	.C(n_24037),
+	.D(n_23994),
+	.Y(n_27393), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881150 (
+	.A(n_24052),
+	.B(n_24051),
+	.C(n_24050),
+	.D(n_24049),
+	.Y(n_27392), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881151 (
+	.A(n_24047),
+	.B(n_24046),
+	.C(n_24043),
+	.D(n_24044),
+	.Y(n_27391), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881152 (
+	.A(n_24039),
+	.B(n_24034),
+	.C(n_24025),
+	.D(n_24029),
+	.Y(n_27390), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881153 (
+	.A(n_24041),
+	.B(n_24042),
+	.C(n_24036),
+	.D(n_24038),
+	.Y(n_27389), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881154 (
+	.A(n_24006),
+	.B(n_24017),
+	.C(n_24023),
+	.D(n_24000),
+	.Y(n_27388), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881155 (
+	.A(n_24019),
+	.B(n_24016),
+	.C(n_24007),
+	.D(n_24013),
+	.Y(n_27387), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881156 (
+	.A(n_24015),
+	.B(n_24014),
+	.C(n_24011),
+	.D(n_24012),
+	.Y(n_27386), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881157 (
+	.A(n_24010),
+	.B(n_24005),
+	.C(n_24004),
+	.D(n_24008),
+	.Y(n_27385), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881158 (
+	.A(n_24002),
+	.B(n_23997),
+	.C(n_23989),
+	.D(n_23992),
+	.Y(n_27384), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881159 (
+	.A(n_24001),
+	.B(n_23999),
+	.C(n_23996),
+	.D(n_23998),
+	.Y(n_27383), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881160 (
+	.A(n_23995),
+	.B(n_23993),
+	.C(n_23990),
+	.D(n_23991),
+	.Y(n_27382), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881161 (
+	.A(n_24009),
+	.B(n_23901),
+	.C(n_23949),
+	.D(n_23864),
+	.Y(n_27381), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881162 (
+	.A(n_23968),
+	.B(n_23958),
+	.C(n_23984),
+	.D(n_23978),
+	.Y(n_27380), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881163 (
+	.A(n_23986),
+	.B(n_23982),
+	.C(n_23973),
+	.D(n_23977),
+	.Y(n_27379), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881164 (
+	.A(n_23980),
+	.B(n_23979),
+	.C(n_23975),
+	.D(n_23976),
+	.Y(n_27378), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881165 (
+	.A(n_23974),
+	.B(n_23972),
+	.C(n_23970),
+	.D(n_23971),
+	.Y(n_27377), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881166 (
+	.A(n_23926),
+	.B(n_23912),
+	.C(n_23962),
+	.D(n_23943),
+	.Y(n_27376), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881167 (
+	.A(n_23966),
+	.B(n_23961),
+	.C(n_23954),
+	.D(n_23956),
+	.Y(n_27375), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881168 (
+	.A(n_23967),
+	.B(n_23965),
+	.C(n_23963),
+	.D(n_23964),
+	.Y(n_27374), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881169 (
+	.A(n_23960),
+	.B(n_23959),
+	.C(n_23955),
+	.D(n_23957),
+	.Y(n_27373), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881170 (
+	.A(n_23929),
+	.B(n_23922),
+	.C(n_23947),
+	.D(n_23937),
+	.Y(n_27372), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881171 (
+	.A(n_23953),
+	.B(n_23952),
+	.C(n_23950),
+	.D(n_23951),
+	.Y(n_27371), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881172 (
+	.A(n_23945),
+	.B(n_23940),
+	.C(n_23933),
+	.D(n_23935),
+	.Y(n_27370), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881173 (
+	.A(n_23944),
+	.B(n_23946),
+	.C(n_23941),
+	.D(n_23942),
+	.Y(n_27369), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881174 (
+	.A(n_23939),
+	.B(n_23938),
+	.C(n_23934),
+	.D(n_23936),
+	.Y(n_27368), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881175 (
+	.A(n_23927),
+	.B(n_23925),
+	.C(n_23916),
+	.D(n_23918),
+	.Y(n_27367), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881176 (
+	.A(n_23924),
+	.B(n_23923),
+	.C(n_23920),
+	.D(n_23921),
+	.Y(n_27366), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881177 (
+	.A(n_23915),
+	.B(n_23914),
+	.C(n_23911),
+	.D(n_23913),
+	.Y(n_27365), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881178 (
+	.A(n_23894),
+	.B(n_23902),
+	.C(n_23910),
+	.D(n_23889),
+	.Y(n_27364), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881179 (
+	.A(n_23860),
+	.B(n_23879),
+	.C(n_23890),
+	.D(n_23850),
+	.Y(n_27363), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881180 (
+	.A(n_23908),
+	.B(n_23905),
+	.C(n_23897),
+	.D(n_23900),
+	.Y(n_27362), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881181 (
+	.A(n_23907),
+	.B(n_23906),
+	.C(n_23903),
+	.D(n_23904),
+	.Y(n_27361), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881182 (
+	.A(n_23899),
+	.B(n_23898),
+	.C(n_23895),
+	.D(n_23896),
+	.Y(n_27360), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881183 (
+	.A(n_23893),
+	.B(n_23883),
+	.C(n_23888),
+	.D(n_23881),
+	.Y(n_27359), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881184 (
+	.A(n_23892),
+	.B(n_23891),
+	.C(n_23885),
+	.D(n_23887),
+	.Y(n_27358), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881185 (
+	.A(n_23882),
+	.B(n_23880),
+	.C(n_23877),
+	.D(n_23878),
+	.Y(n_27357), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881186 (
+	.A(n_23875),
+	.B(n_23871),
+	.C(n_23866),
+	.D(n_23868),
+	.Y(n_27356), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881187 (
+	.A(n_23874),
+	.B(n_23873),
+	.C(n_23869),
+	.D(n_23870),
+	.Y(n_27355), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881188 (
+	.A(n_23867),
+	.B(n_23865),
+	.C(n_23861),
+	.D(n_23863),
+	.Y(n_27354), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881189 (
+	.A(n_23859),
+	.B(n_23858),
+	.C(n_23848),
+	.D(n_23853),
+	.Y(n_27353), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881190 (
+	.A(n_23857),
+	.B(n_23856),
+	.C(n_23852),
+	.D(n_23854),
+	.Y(n_27352), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881191 (
+	.A(n_23849),
+	.B(n_23847),
+	.C(n_23846),
+	.D(n_23845),
+	.Y(n_27351), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881192 (
+	.A(n_23829),
+	.B(n_23836),
+	.C(n_23844),
+	.D(n_23823),
+	.Y(n_27350), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881193 (
+	.A(n_23843),
+	.B(n_23840),
+	.C(n_23833),
+	.D(n_23835),
+	.Y(n_27349), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881194 (
+	.A(n_23862),
+	.B(n_23872),
+	.C(n_23876),
+	.D(n_23855),
+	.Y(n_27348), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881195 (
+	.A(n_23842),
+	.B(n_23841),
+	.C(n_23838),
+	.D(n_23839),
+	.Y(n_27347), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881196 (
+	.A(n_23834),
+	.B(n_23832),
+	.C(n_23830),
+	.D(n_23831),
+	.Y(n_27346), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881197 (
+	.A(n_23799),
+	.B(n_23784),
+	.C(n_23824),
+	.D(n_23813),
+	.Y(n_27345), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881198 (
+	.A(n_23828),
+	.B(n_23826),
+	.C(n_23818),
+	.D(n_23820),
+	.Y(n_27344), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881199 (
+	.A(n_23827),
+	.B(n_23825),
+	.C(n_23821),
+	.D(n_23822),
+	.Y(n_27343), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881200 (
+	.A(n_23819),
+	.B(n_23817),
+	.C(n_23815),
+	.D(n_23816),
+	.Y(n_27342), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881201 (
+	.A(n_23769),
+	.B(n_23804),
+	.C(n_23697),
+	.D(n_23729),
+	.Y(n_27341), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881202 (
+	.A(n_23797),
+	.B(n_23808),
+	.C(n_23814),
+	.D(n_23791),
+	.Y(n_27340), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881203 (
+	.A(n_23812),
+	.B(n_23810),
+	.C(n_23801),
+	.D(n_23805),
+	.Y(n_27339), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881204 (
+	.A(n_23811),
+	.B(n_23809),
+	.C(n_23806),
+	.D(n_23807),
+	.Y(n_27338), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881205 (
+	.A(n_23803),
+	.B(n_23802),
+	.C(n_23798),
+	.D(n_23800),
+	.Y(n_27337), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881206 (
+	.A(n_23795),
+	.B(n_23794),
+	.C(n_23787),
+	.D(n_23788),
+	.Y(n_27336), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881207 (
+	.A(n_23793),
+	.B(n_23792),
+	.C(n_23789),
+	.D(n_23790),
+	.Y(n_27335), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881208 (
+	.A(n_23786),
+	.B(n_23785),
+	.C(n_23782),
+	.D(n_23783),
+	.Y(n_27334), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881209 (
+	.A(n_23767),
+	.B(n_23764),
+	.C(n_23781),
+	.D(n_23778),
+	.Y(n_27333), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881210 (
+	.A(n_23740),
+	.B(n_23759),
+	.C(n_23765),
+	.D(n_23733),
+	.Y(n_27332), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881211 (
+	.A(n_23777),
+	.B(n_23775),
+	.C(n_23772),
+	.D(n_23774),
+	.Y(n_27331), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881212 (
+	.A(n_23771),
+	.B(n_23770),
+	.C(n_23766),
+	.D(n_23768),
+	.Y(n_27330), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881213 (
+	.A(n_23776),
+	.B(n_23736),
+	.C(n_23724),
+	.D(n_23728),
+	.Y(n_27329), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881214 (
+	.A(n_23763),
+	.B(n_23762),
+	.C(n_23758),
+	.D(n_23761),
+	.Y(n_27328), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881215 (
+	.A(n_23757),
+	.B(n_23756),
+	.C(n_23753),
+	.D(n_23754),
+	.Y(n_27327), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881216 (
+	.A(n_23752),
+	.B(n_23751),
+	.C(n_23748),
+	.D(n_23749),
+	.Y(n_27326), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881217 (
+	.A(n_23747),
+	.B(n_23746),
+	.C(n_23743),
+	.D(n_23744),
+	.Y(n_27325), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881218 (
+	.A(n_23738),
+	.B(n_23737),
+	.C(n_23734),
+	.D(n_23735),
+	.Y(n_27324), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881219 (
+	.A(n_23732),
+	.B(n_23731),
+	.C(n_23727),
+	.D(n_23730),
+	.Y(n_27323), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881220 (
+	.A(n_23745),
+	.B(n_23750),
+	.C(n_23755),
+	.D(n_23739),
+	.Y(n_27322), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881221 (
+	.A(n_23726),
+	.B(n_23725),
+	.C(n_23721),
+	.D(n_23723),
+	.Y(n_27321), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881222 (
+	.A(n_23722),
+	.B(n_23718),
+	.C(n_23711),
+	.D(n_23699),
+	.Y(n_27320), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881223 (
+	.A(n_23717),
+	.B(n_23714),
+	.C(n_23704),
+	.D(n_23707),
+	.Y(n_27319), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881224 (
+	.A(n_23720),
+	.B(n_23719),
+	.C(n_23715),
+	.D(n_23716),
+	.Y(n_27318), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881225 (
+	.A(n_23680),
+	.B(n_23663),
+	.C(n_23709),
+	.D(n_23695),
+	.Y(n_27317), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881226 (
+	.A(n_23713),
+	.B(n_23712),
+	.C(n_23706),
+	.D(n_23710),
+	.Y(n_27316), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881227 (
+	.A(n_23705),
+	.B(n_23703),
+	.C(n_23700),
+	.D(n_23702),
+	.Y(n_27315), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881228 (
+	.A(n_23690),
+	.B(n_23693),
+	.C(n_23678),
+	.D(n_23669),
+	.Y(n_27314), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881229 (
+	.A(n_23696),
+	.B(n_23689),
+	.C(n_23676),
+	.D(n_23684),
+	.Y(n_27313), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881230 (
+	.A(n_23698),
+	.B(n_23694),
+	.C(n_23691),
+	.D(n_23692),
+	.Y(n_27312), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881231 (
+	.A(n_23688),
+	.B(n_23687),
+	.C(n_23685),
+	.D(n_23686),
+	.Y(n_27311), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881232 (
+	.A(n_23643),
+	.B(n_23596),
+	.C(n_23511),
+	.D(n_23556),
+	.Y(n_27310), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881233 (
+	.A(n_23679),
+	.B(n_23677),
+	.C(n_23674),
+	.D(n_23675),
+	.Y(n_27309), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881234 (
+	.A(n_23673),
+	.B(n_23672),
+	.C(n_23670),
+	.D(n_23671),
+	.Y(n_27308), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881235 (
+	.A(n_23668),
+	.B(n_23662),
+	.C(n_23652),
+	.D(n_23654),
+	.Y(n_27307), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881236 (
+	.A(n_23667),
+	.B(n_23666),
+	.C(n_23664),
+	.D(n_23665),
+	.Y(n_27306), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881237 (
+	.A(n_23661),
+	.B(n_23660),
+	.C(n_23658),
+	.D(n_23659),
+	.Y(n_27305), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881238 (
+	.A(n_23656),
+	.B(n_23655),
+	.C(n_23638),
+	.D(n_23641),
+	.Y(n_27304), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881239 (
+	.A(n_23651),
+	.B(n_23650),
+	.C(n_23648),
+	.D(n_23649),
+	.Y(n_27303), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881240 (
+	.A(n_23595),
+	.B(n_23632),
+	.C(n_23615),
+	.D(n_23645),
+	.Y(n_27302), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881241 (
+	.A(n_23639),
+	.B(n_23637),
+	.C(n_23635),
+	.D(n_23636),
+	.Y(n_27301), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881242 (
+	.A(n_23631),
+	.B(n_23630),
+	.C(n_23628),
+	.D(n_23627),
+	.Y(n_27300), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881243 (
+	.A(n_23626),
+	.B(n_23625),
+	.C(n_23622),
+	.D(n_23623),
+	.Y(n_27299), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881244 (
+	.A(n_23617),
+	.B(n_23611),
+	.C(n_23599),
+	.D(n_23606),
+	.Y(n_27298), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881245 (
+	.A(n_23613),
+	.B(n_23612),
+	.C(n_23609),
+	.D(n_23610),
+	.Y(n_27297), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881246 (
+	.A(n_23608),
+	.B(n_23605),
+	.C(n_23602),
+	.D(n_23603),
+	.Y(n_27296), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881247 (
+	.A(n_23597),
+	.B(n_23601),
+	.C(n_23598),
+	.D(n_23600),
+	.Y(n_27295), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881248 (
+	.A(n_23614),
+	.B(n_23604),
+	.C(n_23624),
+	.D(n_23618),
+	.Y(n_27294), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881249 (
+	.A(n_23588),
+	.B(n_23579),
+	.C(n_23591),
+	.D(n_23575),
+	.Y(n_27293), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881250 (
+	.A(n_23550),
+	.B(n_23531),
+	.C(n_23582),
+	.D(n_23568),
+	.Y(n_27292), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881251 (
+	.A(n_23580),
+	.B(n_23578),
+	.C(n_23576),
+	.D(n_23577),
+	.Y(n_27291), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881252 (
+	.A(n_23573),
+	.B(n_23569),
+	.C(n_23557),
+	.D(n_23563),
+	.Y(n_27290), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881253 (
+	.A(n_23574),
+	.B(n_23572),
+	.C(n_23570),
+	.D(n_23571),
+	.Y(n_27289), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881254 (
+	.A(n_23567),
+	.B(n_23566),
+	.C(n_23565),
+	.D(n_23564),
+	.Y(n_27288), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881255 (
+	.A(n_23561),
+	.B(n_23548),
+	.C(n_23553),
+	.D(n_23540),
+	.Y(n_27287), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881256 (
+	.A(n_23562),
+	.B(n_23560),
+	.C(n_23558),
+	.D(n_23559),
+	.Y(n_27286), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g881257 (
+	.A(n_25550),
+	.B(n_25724),
+	.Y(n_27285), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881258 (
+	.A(n_23549),
+	.B(n_23545),
+	.C(n_23534),
+	.D(n_23539),
+	.Y(n_27284), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881259 (
+	.A(n_23547),
+	.B(n_23546),
+	.C(n_23543),
+	.D(n_23544),
+	.Y(n_27283), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881260 (
+	.A(n_23523),
+	.B(n_23528),
+	.C(n_23501),
+	.D(n_23507),
+	.Y(n_27282), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881261 (
+	.A(n_23542),
+	.B(n_23541),
+	.C(n_23537),
+	.D(n_23538),
+	.Y(n_27281), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881262 (
+	.A(n_23536),
+	.B(n_23533),
+	.C(n_23532),
+	.D(n_23535),
+	.Y(n_27280), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881263 (
+	.A(n_23530),
+	.B(n_23526),
+	.C(n_23525),
+	.D(n_23529),
+	.Y(n_27279), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881264 (
+	.A(n_23527),
+	.B(n_23514),
+	.C(n_23509),
+	.D(n_23520),
+	.Y(n_27278), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881265 (
+	.A(n_23522),
+	.B(n_23521),
+	.C(n_23518),
+	.D(n_23519),
+	.Y(n_27277), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881266 (
+	.A(n_23512),
+	.B(n_23516),
+	.C(n_23513),
+	.D(n_23515),
+	.Y(n_27276), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881267 (
+	.A(n_23508),
+	.B(n_23506),
+	.C(n_23505),
+	.D(n_23504),
+	.Y(n_27275), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881268 (
+	.A(n_23495),
+	.B(n_23489),
+	.C(n_23478),
+	.D(n_23483),
+	.Y(n_27274), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881269 (
+	.A(n_23498),
+	.B(n_23496),
+	.C(n_23493),
+	.D(n_23494),
+	.Y(n_27273), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881270 (
+	.A(n_23491),
+	.B(n_23490),
+	.C(n_23487),
+	.D(n_23488),
+	.Y(n_27272), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881271 (
+	.A(n_23486),
+	.B(n_23484),
+	.C(n_23481),
+	.D(n_23482),
+	.Y(n_27271), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881272 (
+	.A(n_23479),
+	.B(n_23477),
+	.C(n_23472),
+	.D(n_23474),
+	.Y(n_27270), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881273 (
+	.A(n_23470),
+	.B(n_23469),
+	.C(n_23467),
+	.D(n_23468),
+	.Y(n_27269), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881274 (
+	.A(n_23457),
+	.B(n_23412),
+	.C(n_23374),
+	.D(n_23335),
+	.Y(n_27268), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881275 (
+	.A(n_23465),
+	.B(n_23460),
+	.C(n_23444),
+	.D(n_23451),
+	.Y(n_27267), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881276 (
+	.A(n_23464),
+	.B(n_23462),
+	.C(n_23459),
+	.D(n_23461),
+	.Y(n_27266), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881277 (
+	.A(n_23463),
+	.B(n_23458),
+	.C(n_23449),
+	.D(n_23452),
+	.Y(n_27265), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881278 (
+	.A(n_23427),
+	.B(n_23442),
+	.C(n_23453),
+	.D(n_23411),
+	.Y(n_27264), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881279 (
+	.A(n_23456),
+	.B(n_23455),
+	.C(n_23450),
+	.D(n_23454),
+	.Y(n_27263), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881280 (
+	.A(n_23448),
+	.B(n_23447),
+	.C(n_23445),
+	.D(n_23446),
+	.Y(n_27262), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881281 (
+	.A(n_23433),
+	.B(n_23436),
+	.C(n_23417),
+	.D(n_23422),
+	.Y(n_27261), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881282 (
+	.A(n_23443),
+	.B(n_23439),
+	.C(n_23430),
+	.D(n_23435),
+	.Y(n_27260), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881283 (
+	.A(n_23441),
+	.B(n_23440),
+	.C(n_23437),
+	.D(n_23438),
+	.Y(n_27259), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881284 (
+	.A(n_23434),
+	.B(n_23432),
+	.C(n_23429),
+	.D(n_23431),
+	.Y(n_27258), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881285 (
+	.A(n_23364),
+	.B(n_23387),
+	.C(n_23398),
+	.D(n_23344),
+	.Y(n_27257), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881286 (
+	.A(n_23419),
+	.B(n_23424),
+	.C(n_23410),
+	.D(n_23415),
+	.Y(n_27256), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881287 (
+	.A(n_23428),
+	.B(n_23426),
+	.C(n_23423),
+	.D(n_23425),
+	.Y(n_27255), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881288 (
+	.A(n_23421),
+	.B(n_23420),
+	.C(n_23416),
+	.D(n_23418),
+	.Y(n_27254), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881289 (
+	.A(n_23408),
+	.B(n_23394),
+	.C(n_23401),
+	.D(n_23388),
+	.Y(n_27253), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881290 (
+	.A(n_23414),
+	.B(n_23413),
+	.C(n_23407),
+	.D(n_23409),
+	.Y(n_27252), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881291 (
+	.A(n_23406),
+	.B(n_23405),
+	.C(n_23402),
+	.D(n_23403),
+	.Y(n_27251), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881292 (
+	.A(n_23400),
+	.B(n_23399),
+	.C(n_23395),
+	.D(n_23396),
+	.Y(n_27250), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881293 (
+	.A(n_23392),
+	.B(n_23391),
+	.C(n_23389),
+	.D(n_23390),
+	.Y(n_27249), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881294 (
+	.A(n_23385),
+	.B(n_23384),
+	.C(n_23380),
+	.D(n_23378),
+	.Y(n_27248), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881295 (
+	.A(n_23372),
+	.B(n_23365),
+	.C(n_23362),
+	.D(n_23369),
+	.Y(n_27247), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881296 (
+	.A(n_23375),
+	.B(n_23373),
+	.C(n_23370),
+	.D(n_23371),
+	.Y(n_27246), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881297 (
+	.A(n_23368),
+	.B(n_23366),
+	.C(n_23361),
+	.D(n_23363),
+	.Y(n_27245), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881298 (
+	.A(n_23355),
+	.B(n_23358),
+	.C(n_23353),
+	.D(n_23347),
+	.Y(n_27244), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881299 (
+	.A(n_23360),
+	.B(n_23359),
+	.C(n_23356),
+	.D(n_23357),
+	.Y(n_27243), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881300 (
+	.A(n_23354),
+	.B(n_23352),
+	.C(n_23349),
+	.D(n_23350),
+	.Y(n_27242), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881301 (
+	.A(n_23381),
+	.B(n_23377),
+	.C(n_23351),
+	.D(n_23367),
+	.Y(n_27241), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881302 (
+	.A(n_23341),
+	.B(n_23346),
+	.C(n_23343),
+	.D(n_23339),
+	.Y(n_27240), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881303 (
+	.A(n_23342),
+	.B(n_23325),
+	.C(n_23336),
+	.D(n_23317),
+	.Y(n_27239), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881304 (
+	.A(n_23334),
+	.B(n_23331),
+	.C(n_23337),
+	.D(n_23332),
+	.Y(n_27238), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881305 (
+	.A(n_23282),
+	.B(n_23305),
+	.C(n_23329),
+	.D(n_23269),
+	.Y(n_27237), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881306 (
+	.A(n_23328),
+	.B(n_23326),
+	.C(n_23330),
+	.D(n_23324),
+	.Y(n_27236), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881307 (
+	.A(n_23320),
+	.B(n_23316),
+	.C(n_23319),
+	.D(n_23322),
+	.Y(n_27235), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881308 (
+	.A(n_23310),
+	.B(n_23311),
+	.C(n_23313),
+	.D(n_23314),
+	.Y(n_27234), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881309 (
+	.A(n_23303),
+	.B(n_23293),
+	.C(n_23275),
+	.D(n_23280),
+	.Y(n_27233), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881310 (
+	.A(n_23299),
+	.B(n_23301),
+	.C(n_23306),
+	.D(n_23297),
+	.Y(n_27232), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881311 (
+	.A(n_23308),
+	.B(n_23307),
+	.C(n_23302),
+	.D(n_23304),
+	.Y(n_27231), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881312 (
+	.A(n_23295),
+	.B(n_23300),
+	.C(n_23296),
+	.D(n_23298),
+	.Y(n_27230), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881313 (
+	.A(n_23285),
+	.B(n_23290),
+	.C(n_23292),
+	.D(n_23281),
+	.Y(n_27229), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881314 (
+	.A(n_23294),
+	.B(n_23291),
+	.C(n_23288),
+	.D(n_23289),
+	.Y(n_27228), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881315 (
+	.A(n_23234),
+	.B(n_23267),
+	.C(n_23193),
+	.D(n_23160),
+	.Y(n_27227), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881316 (
+	.A(n_23278),
+	.B(n_23276),
+	.C(n_23271),
+	.D(n_23273),
+	.Y(n_27226), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881317 (
+	.A(n_23272),
+	.B(n_23274),
+	.C(n_23277),
+	.D(n_23268),
+	.Y(n_27225), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881318 (
+	.A(n_23262),
+	.B(n_23255),
+	.C(n_23244),
+	.D(n_23249),
+	.Y(n_27224), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881319 (
+	.A(n_23286),
+	.B(n_23266),
+	.C(n_23263),
+	.D(n_23264),
+	.Y(n_27223), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881320 (
+	.A(n_23259),
+	.B(n_23261),
+	.C(n_23265),
+	.D(n_23258),
+	.Y(n_27222), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881321 (
+	.A(n_23260),
+	.B(n_23256),
+	.C(n_23254),
+	.D(n_23257),
+	.Y(n_27221), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881322 (
+	.A(n_23226),
+	.B(n_23245),
+	.C(n_23252),
+	.D(n_23206),
+	.Y(n_27220), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881323 (
+	.A(n_23253),
+	.B(n_23248),
+	.C(n_23247),
+	.D(n_23251),
+	.Y(n_27219), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881324 (
+	.A(n_23241),
+	.B(n_23239),
+	.C(n_23235),
+	.D(n_23238),
+	.Y(n_27218), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881325 (
+	.A(n_23236),
+	.B(n_23220),
+	.C(n_23216),
+	.D(n_23227),
+	.Y(n_27217), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881326 (
+	.A(n_23231),
+	.B(n_23233),
+	.C(n_23237),
+	.D(n_23229),
+	.Y(n_27216), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881327 (
+	.A(n_23221),
+	.B(n_23224),
+	.C(n_23225),
+	.D(n_23218),
+	.Y(n_27215), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881328 (
+	.A(n_23223),
+	.B(n_23222),
+	.C(n_23217),
+	.D(n_23219),
+	.Y(n_27214), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881329 (
+	.A(n_23211),
+	.B(n_23213),
+	.C(n_23214),
+	.D(n_23208),
+	.Y(n_27213), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881330 (
+	.A(n_23215),
+	.B(n_23212),
+	.C(n_23209),
+	.D(n_23210),
+	.Y(n_27212), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881331 (
+	.A(n_23207),
+	.B(n_23205),
+	.C(n_23200),
+	.D(n_23202),
+	.Y(n_27211), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881332 (
+	.A(n_23194),
+	.B(n_23190),
+	.C(n_23188),
+	.D(n_23195),
+	.Y(n_27210), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881333 (
+	.A(n_23171),
+	.B(n_23192),
+	.C(n_23176),
+	.D(n_23186),
+	.Y(n_27209), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881334 (
+	.A(n_23182),
+	.B(n_23180),
+	.C(n_23179),
+	.D(n_23185),
+	.Y(n_27208), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881335 (
+	.A(n_23187),
+	.B(n_23184),
+	.C(n_23183),
+	.D(n_23181),
+	.Y(n_27207), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881336 (
+	.A(n_23178),
+	.B(n_23177),
+	.C(n_23172),
+	.D(n_23174),
+	.Y(n_27206), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881337 (
+	.A(n_23140),
+	.B(n_23123),
+	.C(n_23175),
+	.D(n_23159),
+	.Y(n_27205), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881338 (
+	.A(n_23170),
+	.B(n_23173),
+	.C(n_23166),
+	.D(n_23167),
+	.Y(n_27204), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881339 (
+	.A(n_23158),
+	.B(n_23153),
+	.C(n_23144),
+	.D(n_23133),
+	.Y(n_27203), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881340 (
+	.A(n_23168),
+	.B(n_23169),
+	.C(n_23164),
+	.D(n_23165),
+	.Y(n_27202), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881341 (
+	.A(n_23162),
+	.B(n_23163),
+	.C(n_23157),
+	.D(n_23155),
+	.Y(n_27201), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881342 (
+	.A(n_23151),
+	.B(n_23150),
+	.C(n_23148),
+	.D(n_23149),
+	.Y(n_27200), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881343 (
+	.A(n_23146),
+	.B(n_23142),
+	.C(n_23143),
+	.D(n_23141),
+	.Y(n_27199), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881344 (
+	.A(n_23132),
+	.B(n_23136),
+	.C(n_23137),
+	.D(n_23129),
+	.Y(n_27198), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881345 (
+	.A(n_23139),
+	.B(n_23138),
+	.C(n_23134),
+	.D(n_23135),
+	.Y(n_27197), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881346 (
+	.A(n_23131),
+	.B(n_23130),
+	.C(n_23127),
+	.D(n_23128),
+	.Y(n_27196), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881347 (
+	.A(n_23114),
+	.B(n_23120),
+	.C(n_23090),
+	.D(n_23103),
+	.Y(n_27195), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881348 (
+	.A(n_23126),
+	.B(n_23124),
+	.C(n_23121),
+	.D(n_23701),
+	.Y(n_27194), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881349 (
+	.A(n_23118),
+	.B(n_23117),
+	.C(n_23115),
+	.D(n_23116),
+	.Y(n_27193), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881350 (
+	.A(n_23107),
+	.B(n_23110),
+	.C(n_23113),
+	.D(n_23104),
+	.Y(n_27192), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881351 (
+	.A(n_23112),
+	.B(n_23111),
+	.C(n_23108),
+	.D(n_23109),
+	.Y(n_27191), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881352 (
+	.A(n_23106),
+	.B(n_23105),
+	.C(n_23101),
+	.D(n_23102),
+	.Y(n_27190), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881353 (
+	.A(n_23084),
+	.B(n_23032),
+	.C(n_22948),
+	.D(n_22990),
+	.Y(n_27189), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881354 (
+	.A(n_23044),
+	.B(n_23082),
+	.C(n_23062),
+	.D(n_23099),
+	.Y(n_27188), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881355 (
+	.A(n_23087),
+	.B(n_23093),
+	.C(n_23096),
+	.D(n_23086),
+	.Y(n_27187), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881356 (
+	.A(n_23097),
+	.B(n_23095),
+	.C(n_23092),
+	.D(n_23094),
+	.Y(n_27186), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881357 (
+	.A(n_23089),
+	.B(n_23088),
+	.C(n_23085),
+	.D(n_23780),
+	.Y(n_27185), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881358 (
+	.A(n_23079),
+	.B(n_23074),
+	.C(n_23057),
+	.D(n_23060),
+	.Y(n_27184), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881359 (
+	.A(n_23076),
+	.B(n_23077),
+	.C(n_23081),
+	.D(n_23072),
+	.Y(n_27183), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881360 (
+	.A(n_23083),
+	.B(n_23080),
+	.C(n_23075),
+	.D(n_23078),
+	.Y(n_27182), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881361 (
+	.A(n_23073),
+	.B(n_23071),
+	.C(n_23069),
+	.D(n_23070),
+	.Y(n_27181), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881362 (
+	.A(n_23068),
+	.B(n_23067),
+	.C(n_23064),
+	.D(n_23066),
+	.Y(n_27180), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881363 (
+	.A(n_23063),
+	.B(n_23061),
+	.C(n_23058),
+	.D(n_23059),
+	.Y(n_27179), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881364 (
+	.A(n_23056),
+	.B(n_23053),
+	.C(n_23051),
+	.D(n_23052),
+	.Y(n_27178), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881365 (
+	.A(n_23049),
+	.B(n_23048),
+	.C(n_23045),
+	.D(n_23046),
+	.Y(n_27177), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881366 (
+	.A(n_23034),
+	.B(n_23039),
+	.C(n_23016),
+	.D(n_23024),
+	.Y(n_27176), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881367 (
+	.A(n_23038),
+	.B(n_23041),
+	.C(n_23043),
+	.D(n_23035),
+	.Y(n_27175), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881368 (
+	.A(n_23042),
+	.B(n_23040),
+	.C(n_23036),
+	.D(n_23037),
+	.Y(n_27174), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881369 (
+	.A(n_23027),
+	.B(n_23030),
+	.C(n_23031),
+	.D(n_23026),
+	.Y(n_27173), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881370 (
+	.A(n_23033),
+	.B(n_23917),
+	.C(n_23028),
+	.D(n_23029),
+	.Y(n_27172), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881371 (
+	.A(n_22993),
+	.B(n_22977),
+	.C(n_23022),
+	.D(n_23008),
+	.Y(n_27171), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881372 (
+	.A(n_23025),
+	.B(n_23023),
+	.C(n_23019),
+	.D(n_23021),
+	.Y(n_27170), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881373 (
+	.A(n_23017),
+	.B(n_23014),
+	.C(n_23020),
+	.D(n_23012),
+	.Y(n_27169), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881374 (
+	.A(n_23015),
+	.B(n_23013),
+	.C(n_23010),
+	.D(n_23011),
+	.Y(n_27168), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881375 (
+	.A(n_22995),
+	.B(n_23001),
+	.C(n_23006),
+	.D(n_22988),
+	.Y(n_27167), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881376 (
+	.A(n_23007),
+	.B(n_23005),
+	.C(n_23002),
+	.D(n_23003),
+	.Y(n_27166), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881377 (
+	.A(n_22999),
+	.B(n_22998),
+	.C(n_22996),
+	.D(n_22997),
+	.Y(n_27165), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881378 (
+	.A(n_22994),
+	.B(n_22992),
+	.C(n_22987),
+	.D(n_22989),
+	.Y(n_27164), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g881379 (
+	.A(n_25753),
+	.B(n_22986),
+	.C(n_22982),
+	.Y(n_27163), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881380 (
+	.A(n_22985),
+	.B(n_22984),
+	.C(n_22979),
+	.D(n_22981),
+	.Y(n_27162), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881381 (
+	.A(n_22923),
+	.B(n_22943),
+	.C(n_22960),
+	.D(n_22912),
+	.Y(n_27161), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881382 (
+	.A(n_22975),
+	.B(n_22973),
+	.C(n_22971),
+	.D(n_22972),
+	.Y(n_27160), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881383 (
+	.A(n_22974),
+	.B(n_22969),
+	.C(n_22951),
+	.D(n_22959),
+	.Y(n_27159), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881384 (
+	.A(n_22963),
+	.B(n_22966),
+	.C(n_22968),
+	.D(n_22961),
+	.Y(n_27158), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881385 (
+	.A(n_22967),
+	.B(n_22965),
+	.C(n_22962),
+	.D(n_22964),
+	.Y(n_27157), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881386 (
+	.A(n_22952),
+	.B(n_22955),
+	.C(n_22956),
+	.D(n_22950),
+	.Y(n_27156), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881387 (
+	.A(n_22958),
+	.B(n_22957),
+	.C(n_22953),
+	.D(n_22954),
+	.Y(n_27155), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881388 (
+	.A(n_24142),
+	.B(n_22949),
+	.C(n_22945),
+	.D(n_22947),
+	.Y(n_27154), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881389 (
+	.A(n_22925),
+	.B(n_22934),
+	.C(n_22917),
+	.D(n_22940),
+	.Y(n_27153), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881390 (
+	.A(n_22937),
+	.B(n_22938),
+	.C(n_22944),
+	.D(n_22942),
+	.Y(n_27152), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881391 (
+	.A(n_22941),
+	.B(n_22939),
+	.C(n_22935),
+	.D(n_22936),
+	.Y(n_27151), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881392 (
+	.A(n_22932),
+	.B(n_22930),
+	.C(n_22927),
+	.D(n_22929),
+	.Y(n_27150), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881393 (
+	.A(n_22926),
+	.B(n_22928),
+	.C(n_22933),
+	.D(n_22931),
+	.Y(n_27149), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881394 (
+	.A(n_22920),
+	.B(n_22921),
+	.C(n_22916),
+	.D(n_22914),
+	.Y(n_27148), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881395 (
+	.A(n_22924),
+	.B(n_22922),
+	.C(n_22918),
+	.D(n_22919),
+	.Y(n_27147), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881396 (
+	.A(n_22915),
+	.B(n_22913),
+	.C(n_22909),
+	.D(n_22911),
+	.Y(n_27146), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881397 (
+	.A(n_22908),
+	.B(n_22910),
+	.C(n_22906),
+	.D(n_22902),
+	.Y(n_27145), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881398 (
+	.A(n_22896),
+	.B(n_22903),
+	.C(n_22878),
+	.D(n_22889),
+	.Y(n_27144), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881399 (
+	.A(n_22905),
+	.B(n_22904),
+	.C(n_22900),
+	.D(n_22901),
+	.Y(n_27143), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881400 (
+	.A(n_25216),
+	.B(n_25266),
+	.C(n_22899),
+	.D(n_22855),
+	.Y(n_27142), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881401 (
+	.A(n_22893),
+	.B(n_22895),
+	.C(n_22898),
+	.D(n_22891),
+	.Y(n_27141), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881402 (
+	.A(n_22897),
+	.B(n_24294),
+	.C(n_22892),
+	.D(n_22894),
+	.Y(n_27140), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881403 (
+	.A(n_22882),
+	.B(n_22886),
+	.C(n_22888),
+	.D(n_22881),
+	.Y(n_27139), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881404 (
+	.A(n_22890),
+	.B(n_22887),
+	.C(n_22884),
+	.D(n_22885),
+	.Y(n_27138), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881405 (
+	.A(n_22880),
+	.B(n_22879),
+	.C(n_22875),
+	.D(n_22877),
+	.Y(n_27137), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881406 (
+	.A(n_22871),
+	.B(n_22864),
+	.C(n_22850),
+	.D(n_22854),
+	.Y(n_27136), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881407 (
+	.A(n_22870),
+	.B(n_22873),
+	.C(n_22876),
+	.D(n_22866),
+	.Y(n_27135), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881408 (
+	.A(n_22852),
+	.B(n_25185),
+	.C(n_22883),
+	.D(n_22874),
+	.Y(n_27134), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881409 (
+	.A(n_22872),
+	.B(n_22869),
+	.C(n_22865),
+	.D(n_22867),
+	.Y(n_27133), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881410 (
+	.A(n_22862),
+	.B(n_22861),
+	.C(n_22857),
+	.D(n_22859),
+	.Y(n_27132), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881411 (
+	.A(n_22853),
+	.B(n_22851),
+	.C(n_25178),
+	.D(n_25177),
+	.Y(n_27131), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881412 (
+	.A(n_25180),
+	.B(n_25181),
+	.C(n_25184),
+	.D(n_25182),
+	.Y(n_27130), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881413 (
+	.A(n_25204),
+	.B(n_25195),
+	.C(n_25188),
+	.D(n_25209),
+	.Y(n_27129), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g881414 (
+	.A(n_43147),
+	.B(n_25186),
+	.C(n_25189),
+	.Y(n_27128), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881415 (
+	.A(n_25230),
+	.B(n_25242),
+	.C(n_25201),
+	.D(n_25214),
+	.Y(n_27127), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881416 (
+	.A(n_25187),
+	.B(n_25190),
+	.C(n_25192),
+	.D(n_25191),
+	.Y(n_27126), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881417 (
+	.A(n_25197),
+	.B(n_25194),
+	.C(n_25193),
+	.D(n_25199),
+	.Y(n_27125), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881418 (
+	.A(n_25196),
+	.B(n_25198),
+	.C(n_25202),
+	.D(n_25200),
+	.Y(n_27124), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881419 (
+	.A(n_25221),
+	.B(n_25217),
+	.C(n_25237),
+	.D(n_25232),
+	.Y(n_27123), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881420 (
+	.A(n_25208),
+	.B(n_25205),
+	.C(n_25210),
+	.D(n_25207),
+	.Y(n_27122), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881421 (
+	.A(n_25211),
+	.B(n_25212),
+	.C(n_25215),
+	.D(n_25213),
+	.Y(n_27121), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881422 (
+	.A(n_25218),
+	.B(n_25220),
+	.C(n_25224),
+	.D(n_25222),
+	.Y(n_27120), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881423 (
+	.A(n_25225),
+	.B(n_25227),
+	.C(n_25231),
+	.D(n_25229),
+	.Y(n_27119), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881424 (
+	.A(n_25235),
+	.B(n_25236),
+	.C(n_25240),
+	.D(n_25239),
+	.Y(n_27118), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881425 (
+	.A(n_25241),
+	.B(n_25244),
+	.C(n_25247),
+	.D(n_25246),
+	.Y(n_27117), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881426 (
+	.A(n_25248),
+	.B(n_25245),
+	.C(n_25243),
+	.D(n_25249),
+	.Y(n_27116), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881427 (
+	.A(n_25309),
+	.B(n_25290),
+	.C(n_25271),
+	.D(n_25325),
+	.Y(n_27115), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881428 (
+	.A(n_25251),
+	.B(n_25259),
+	.C(n_25280),
+	.D(n_25272),
+	.Y(n_27114), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881429 (
+	.A(n_25260),
+	.B(n_25257),
+	.C(n_25253),
+	.D(n_25263),
+	.Y(n_27113), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881430 (
+	.A(n_25252),
+	.B(n_25255),
+	.C(n_25250),
+	.D(n_25254),
+	.Y(n_27112), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881431 (
+	.A(n_25256),
+	.B(n_25261),
+	.C(n_25262),
+	.D(n_25258),
+	.Y(n_27111), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881432 (
+	.A(n_25265),
+	.B(n_25269),
+	.C(n_25268),
+	.D(n_25264),
+	.Y(n_27110), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881433 (
+	.A(n_25279),
+	.B(n_25276),
+	.C(n_25278),
+	.D(n_25273),
+	.Y(n_27109), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881434 (
+	.A(n_25277),
+	.B(n_25274),
+	.C(n_25270),
+	.D(n_25281),
+	.Y(n_27108), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881435 (
+	.A(n_25287),
+	.B(n_25286),
+	.C(n_25283),
+	.D(n_25291),
+	.Y(n_27107), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881436 (
+	.A(n_25284),
+	.B(n_25285),
+	.C(n_25289),
+	.D(n_25288),
+	.Y(n_27106), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881437 (
+	.A(n_25296),
+	.B(n_25294),
+	.C(n_25321),
+	.D(n_25313),
+	.Y(n_27105), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881438 (
+	.A(n_25301),
+	.B(n_25298),
+	.C(n_25304),
+	.D(n_25306),
+	.Y(n_27104), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881439 (
+	.A(n_25299),
+	.B(n_25300),
+	.C(n_25303),
+	.D(n_25302),
+	.Y(n_27103), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881440 (
+	.A(n_24782),
+	.B(n_25310),
+	.C(n_25319),
+	.D(n_25316),
+	.Y(n_27102), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881441 (
+	.A(n_25307),
+	.B(n_25308),
+	.C(n_25312),
+	.D(n_25311),
+	.Y(n_27101), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881442 (
+	.A(n_25314),
+	.B(n_25315),
+	.C(n_25318),
+	.D(n_25317),
+	.Y(n_27100), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881443 (
+	.A(n_23552),
+	.B(n_20288),
+	.C(n_20286),
+	.D(n_24516),
+	.Y(n_27099), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881444 (
+	.A(n_25329),
+	.B(n_25426),
+	.C(n_25379),
+	.D(n_22809),
+	.Y(n_27098), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881445 (
+	.A(n_25377),
+	.B(n_25358),
+	.C(n_25348),
+	.D(n_25414),
+	.Y(n_27097), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881446 (
+	.A(n_25324),
+	.B(n_25326),
+	.C(n_25330),
+	.D(n_25328),
+	.Y(n_27096), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881447 (
+	.A(n_25337),
+	.B(n_25333),
+	.C(n_25355),
+	.D(n_25350),
+	.Y(n_27095), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881448 (
+	.A(n_25331),
+	.B(n_25332),
+	.C(n_25336),
+	.D(n_25335),
+	.Y(n_27094), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881449 (
+	.A(n_25334),
+	.B(n_25344),
+	.C(n_25343),
+	.D(n_25340),
+	.Y(n_27093), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881450 (
+	.A(n_25338),
+	.B(n_25339),
+	.C(n_25342),
+	.D(n_25341),
+	.Y(n_27092), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881451 (
+	.A(n_25349),
+	.B(n_25345),
+	.C(n_25347),
+	.D(n_25346),
+	.Y(n_27091), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881452 (
+	.A(n_25384),
+	.B(n_25366),
+	.C(n_25361),
+	.D(n_25391),
+	.Y(n_27090), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881453 (
+	.A(n_25373),
+	.B(n_25371),
+	.C(n_25367),
+	.D(n_25375),
+	.Y(n_27089), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881454 (
+	.A(n_25364),
+	.B(n_25365),
+	.C(n_25369),
+	.D(n_25368),
+	.Y(n_27088), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881455 (
+	.A(n_25370),
+	.B(n_25372),
+	.C(n_25376),
+	.D(n_25374),
+	.Y(n_27087), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881456 (
+	.A(n_25378),
+	.B(n_24965),
+	.C(n_25383),
+	.D(n_25381),
+	.Y(n_27086), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881457 (
+	.A(n_25385),
+	.B(n_25387),
+	.C(n_25390),
+	.D(n_25389),
+	.Y(n_27085), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881458 (
+	.A(n_25386),
+	.B(n_25382),
+	.C(n_25380),
+	.D(n_25388),
+	.Y(n_27084), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881459 (
+	.A(n_25394),
+	.B(n_25396),
+	.C(n_25393),
+	.D(n_25400),
+	.Y(n_27083), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881460 (
+	.A(n_25404),
+	.B(n_25026),
+	.C(n_25402),
+	.D(n_25405),
+	.Y(n_27082), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881461 (
+	.A(n_25429),
+	.B(n_22840),
+	.C(n_25410),
+	.D(n_25418),
+	.Y(n_27081), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881462 (
+	.A(n_25412),
+	.B(n_25409),
+	.C(n_25407),
+	.D(n_25413),
+	.Y(n_27080), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881463 (
+	.A(n_25419),
+	.B(n_25420),
+	.C(n_25417),
+	.D(n_25415),
+	.Y(n_27079), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881464 (
+	.A(n_22822),
+	.B(n_22802),
+	.C(n_25424),
+	.D(n_25431),
+	.Y(n_27078), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881465 (
+	.A(n_25425),
+	.B(n_25423),
+	.C(n_25422),
+	.D(n_25421),
+	.Y(n_27077), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881466 (
+	.A(n_25432),
+	.B(n_25430),
+	.C(n_25427),
+	.D(n_22845),
+	.Y(n_27076), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881467 (
+	.A(n_25428),
+	.B(n_25088),
+	.C(n_22847),
+	.D(n_22848),
+	.Y(n_27075), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881468 (
+	.A(n_22841),
+	.B(n_22844),
+	.C(n_22842),
+	.D(n_22843),
+	.Y(n_27074), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881469 (
+	.A(n_22833),
+	.B(n_22838),
+	.C(n_22839),
+	.D(n_22831),
+	.Y(n_27073), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881470 (
+	.A(n_22837),
+	.B(n_22836),
+	.C(n_22834),
+	.D(n_22835),
+	.Y(n_27072), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881471 (
+	.A(n_22804),
+	.B(n_22821),
+	.C(n_22813),
+	.D(n_22832),
+	.Y(n_27071), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881472 (
+	.A(n_22820),
+	.B(n_22825),
+	.C(n_22827),
+	.D(n_22818),
+	.Y(n_27070), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881473 (
+	.A(n_22823),
+	.B(n_22819),
+	.C(n_22816),
+	.D(n_22817),
+	.Y(n_27069), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881474 (
+	.A(n_22808),
+	.B(n_22812),
+	.C(n_22815),
+	.D(n_22805),
+	.Y(n_27068), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881475 (
+	.A(n_22814),
+	.B(n_22807),
+	.C(n_22811),
+	.D(n_22806),
+	.Y(n_27067), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881476 (
+	.A(n_22803),
+	.B(n_22801),
+	.C(n_22798),
+	.D(n_22800),
+	.Y(n_27066), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881477 (
+	.A(n_22749),
+	.B(n_22766),
+	.C(n_22784),
+	.D(n_22736),
+	.Y(n_27065), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881478 (
+	.A(n_22778),
+	.B(n_22773),
+	.C(n_22794),
+	.D(n_22788),
+	.Y(n_27064), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881479 (
+	.A(n_22796),
+	.B(n_22795),
+	.C(n_22792),
+	.D(n_22793),
+	.Y(n_27063), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g881480 (
+	.A(n_43148),
+	.B(n_22790),
+	.C(n_22786),
+	.Y(n_27062), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881481 (
+	.A(n_22789),
+	.B(n_22787),
+	.C(n_22783),
+	.D(n_22785),
+	.Y(n_27061), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881482 (
+	.A(n_22777),
+	.B(n_22781),
+	.C(n_22782),
+	.D(n_22776),
+	.Y(n_27060), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881483 (
+	.A(n_22780),
+	.B(n_22779),
+	.C(n_22774),
+	.D(n_22775),
+	.Y(n_27059), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881484 (
+	.A(n_22772),
+	.B(n_22770),
+	.C(n_22767),
+	.D(n_22768),
+	.Y(n_27058), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881485 (
+	.A(n_22748),
+	.B(n_22756),
+	.C(n_22762),
+	.D(n_22743),
+	.Y(n_27057), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881486 (
+	.A(n_22764),
+	.B(n_22763),
+	.C(n_22760),
+	.D(n_22761),
+	.Y(n_27056), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881487 (
+	.A(n_22752),
+	.B(n_22754),
+	.C(n_22757),
+	.D(n_22759),
+	.Y(n_27055), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881488 (
+	.A(n_22755),
+	.B(n_22753),
+	.C(n_22750),
+	.D(n_22751),
+	.Y(n_27054), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881489 (
+	.A(n_22738),
+	.B(n_22744),
+	.C(n_22742),
+	.D(n_22746),
+	.Y(n_27053), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881490 (
+	.A(n_23909),
+	.B(n_22745),
+	.C(n_22740),
+	.D(n_22741),
+	.Y(n_27052), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881491 (
+	.A(n_22679),
+	.B(n_22669),
+	.C(n_22713),
+	.D(n_22697),
+	.Y(n_27051), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881492 (
+	.A(n_24303),
+	.B(n_22735),
+	.C(n_22731),
+	.D(n_22732),
+	.Y(n_27050), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881493 (
+	.A(n_22730),
+	.B(n_22734),
+	.C(n_22733),
+	.D(n_22728),
+	.Y(n_27049), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881494 (
+	.A(n_22708),
+	.B(n_22704),
+	.C(n_22725),
+	.D(n_22718),
+	.Y(n_27048), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881495 (
+	.A(n_22729),
+	.B(n_22727),
+	.C(n_22723),
+	.D(n_24850),
+	.Y(n_27047), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881496 (
+	.A(n_22720),
+	.B(n_22724),
+	.C(n_22722),
+	.D(n_22717),
+	.Y(n_27046), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881497 (
+	.A(n_22758),
+	.B(n_22715),
+	.C(n_22621),
+	.D(n_22664),
+	.Y(n_27045), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881498 (
+	.A(n_22721),
+	.B(n_22719),
+	.C(n_22714),
+	.D(n_22716),
+	.Y(n_27044), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g881499 (
+	.A(n_43149),
+	.B(n_22707),
+	.C(n_22706),
+	.Y(n_27043), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881500 (
+	.A(n_22711),
+	.B(n_22709),
+	.C(n_22705),
+	.D(n_21027),
+	.Y(n_27042), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881501 (
+	.A(n_22703),
+	.B(n_22701),
+	.C(n_22698),
+	.D(n_22699),
+	.Y(n_27041), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881502 (
+	.A(n_22685),
+	.B(n_22689),
+	.C(n_22683),
+	.D(n_22686),
+	.Y(n_27040), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881503 (
+	.A(n_22695),
+	.B(n_22694),
+	.C(n_22688),
+	.D(n_22692),
+	.Y(n_27039), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881504 (
+	.A(n_22678),
+	.B(n_22690),
+	.C(n_22693),
+	.D(n_22671),
+	.Y(n_27038), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881505 (
+	.A(n_22675),
+	.B(n_22674),
+	.C(n_22670),
+	.D(n_22672),
+	.Y(n_27037), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881506 (
+	.A(n_22668),
+	.B(n_22667),
+	.C(n_22665),
+	.D(n_22666),
+	.Y(n_27036), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881507 (
+	.A(n_22662),
+	.B(n_22661),
+	.C(n_22657),
+	.D(n_21786),
+	.Y(n_27035), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881508 (
+	.A(n_22640),
+	.B(n_22629),
+	.C(n_22659),
+	.D(n_22648),
+	.Y(n_27034), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881509 (
+	.A(n_22610),
+	.B(n_22634),
+	.C(n_22642),
+	.D(n_22581),
+	.Y(n_27033), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881510 (
+	.A(n_22650),
+	.B(n_22652),
+	.C(n_22658),
+	.D(n_22647),
+	.Y(n_27032), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881511 (
+	.A(n_22656),
+	.B(n_22654),
+	.C(n_22649),
+	.D(n_22651),
+	.Y(n_27031), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881512 (
+	.A(n_22639),
+	.B(n_22643),
+	.C(n_22645),
+	.D(n_22638),
+	.Y(n_27030), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881513 (
+	.A(n_22633),
+	.B(n_22635),
+	.C(n_22631),
+	.D(n_22636),
+	.Y(n_27029), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881514 (
+	.A(n_22627),
+	.B(n_22630),
+	.C(n_22632),
+	.D(n_22624),
+	.Y(n_27028), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881515 (
+	.A(n_22628),
+	.B(n_22593),
+	.C(n_22625),
+	.D(n_22626),
+	.Y(n_27027), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881516 (
+	.A(n_22599),
+	.B(n_22608),
+	.C(n_22618),
+	.D(n_22591),
+	.Y(n_27026), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881517 (
+	.A(n_22810),
+	.B(n_22616),
+	.C(n_22620),
+	.D(n_22623),
+	.Y(n_27025), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881518 (
+	.A(n_22613),
+	.B(n_22614),
+	.C(n_22609),
+	.D(n_22611),
+	.Y(n_27024), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881519 (
+	.A(n_22607),
+	.B(n_22606),
+	.C(n_22604),
+	.D(n_22605),
+	.Y(n_27023), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881520 (
+	.A(n_22612),
+	.B(n_22615),
+	.C(n_22617),
+	.D(n_25295),
+	.Y(n_27022), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881521 (
+	.A(n_22598),
+	.B(n_22602),
+	.C(n_22603),
+	.D(n_22596),
+	.Y(n_27021), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881522 (
+	.A(n_22600),
+	.B(n_22595),
+	.C(n_22597),
+	.D(n_22601),
+	.Y(n_27020), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881523 (
+	.A(n_22594),
+	.B(n_22592),
+	.C(n_22587),
+	.D(n_22590),
+	.Y(n_27019), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881524 (
+	.A(n_22583),
+	.B(n_22588),
+	.C(n_22589),
+	.D(n_22580),
+	.Y(n_27018), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881525 (
+	.A(n_22579),
+	.B(n_22575),
+	.C(n_22578),
+	.D(n_22576),
+	.Y(n_27017), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881526 (
+	.A(n_22558),
+	.B(n_22577),
+	.C(n_22572),
+	.D(n_22562),
+	.Y(n_27016), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881527 (
+	.A(n_22574),
+	.B(n_22571),
+	.C(n_22569),
+	.D(n_22573),
+	.Y(n_27015), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881528 (
+	.A(n_22535),
+	.B(n_22554),
+	.C(n_22570),
+	.D(n_22523),
+	.Y(n_27014), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881529 (
+	.A(n_22561),
+	.B(n_22510),
+	.C(n_22436),
+	.D(n_22476),
+	.Y(n_27013), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881530 (
+	.A(n_22565),
+	.B(n_22560),
+	.C(n_22563),
+	.D(n_22567),
+	.Y(n_27012), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g881531 (
+	.A(n_43150),
+	.B(n_22566),
+	.C(n_22564),
+	.Y(n_27011), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881532 (
+	.A(n_22550),
+	.B(n_22556),
+	.C(n_22557),
+	.D(n_22552),
+	.Y(n_27010), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881533 (
+	.A(n_22555),
+	.B(n_22551),
+	.C(n_22553),
+	.D(n_22559),
+	.Y(n_27009), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881534 (
+	.A(n_22541),
+	.B(n_22544),
+	.C(n_22545),
+	.D(n_22548),
+	.Y(n_27008), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881535 (
+	.A(n_22542),
+	.B(n_22540),
+	.C(n_22537),
+	.D(n_22539),
+	.Y(n_27007), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881536 (
+	.A(n_22534),
+	.B(n_22528),
+	.C(n_22546),
+	.D(n_22543),
+	.Y(n_27006), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881537 (
+	.A(n_22536),
+	.B(n_22538),
+	.C(n_22533),
+	.D(n_22531),
+	.Y(n_27005), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881538 (
+	.A(n_22526),
+	.B(n_22524),
+	.C(n_22520),
+	.D(n_22521),
+	.Y(n_27004), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881539 (
+	.A(n_22477),
+	.B(n_22497),
+	.C(n_22501),
+	.D(n_22461),
+	.Y(n_27003), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881540 (
+	.A(n_22525),
+	.B(n_22527),
+	.C(n_22522),
+	.D(n_22519),
+	.Y(n_27002), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881541 (
+	.A(n_22518),
+	.B(n_22517),
+	.C(n_22512),
+	.D(n_22514),
+	.Y(n_27001), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881542 (
+	.A(n_22502),
+	.B(n_22508),
+	.C(n_22515),
+	.D(n_22494),
+	.Y(n_27000), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881543 (
+	.A(n_22511),
+	.B(n_22507),
+	.C(n_22504),
+	.D(n_22506),
+	.Y(n_26999), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881544 (
+	.A(n_22498),
+	.B(n_22505),
+	.C(n_22500),
+	.D(n_22503),
+	.Y(n_26998), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881545 (
+	.A(n_22470),
+	.B(n_22479),
+	.C(n_22488),
+	.D(n_22466),
+	.Y(n_26997), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881546 (
+	.A(n_22489),
+	.B(n_22486),
+	.C(n_22484),
+	.D(n_22485),
+	.Y(n_26996), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881547 (
+	.A(n_22480),
+	.B(n_22478),
+	.C(n_22474),
+	.D(n_22475),
+	.Y(n_26995), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881548 (
+	.A(n_22468),
+	.B(n_22472),
+	.C(n_22469),
+	.D(n_22471),
+	.Y(n_26994), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881549 (
+	.A(n_22407),
+	.B(n_22418),
+	.C(n_22443),
+	.D(n_22386),
+	.Y(n_26993), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881550 (
+	.A(n_22459),
+	.B(n_22458),
+	.C(n_22455),
+	.D(n_22457),
+	.Y(n_26992), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881551 (
+	.A(n_22435),
+	.B(n_22446),
+	.C(n_22456),
+	.D(n_22429),
+	.Y(n_26991), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881552 (
+	.A(n_22451),
+	.B(n_22448),
+	.C(n_22454),
+	.D(n_22442),
+	.Y(n_26990), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881553 (
+	.A(n_22453),
+	.B(n_22452),
+	.C(n_22447),
+	.D(n_22450),
+	.Y(n_26989), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881554 (
+	.A(n_22445),
+	.B(n_22444),
+	.C(n_22440),
+	.D(n_25322),
+	.Y(n_26988), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881555 (
+	.A(n_22439),
+	.B(n_22437),
+	.C(n_22434),
+	.D(n_23287),
+	.Y(n_26987), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881556 (
+	.A(n_22441),
+	.B(n_22433),
+	.C(n_22432),
+	.D(n_22438),
+	.Y(n_26986), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881557 (
+	.A(n_22431),
+	.B(n_22430),
+	.C(n_22426),
+	.D(n_22428),
+	.Y(n_26985), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881558 (
+	.A(n_22394),
+	.B(n_22405),
+	.C(n_22414),
+	.D(n_22385),
+	.Y(n_26984), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881559 (
+	.A(n_22420),
+	.B(n_22422),
+	.C(n_22425),
+	.D(n_22416),
+	.Y(n_26983), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881560 (
+	.A(n_22424),
+	.B(n_22423),
+	.C(n_22419),
+	.D(n_22421),
+	.Y(n_26982), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881561 (
+	.A(n_22417),
+	.B(n_22415),
+	.C(n_22411),
+	.D(n_22413),
+	.Y(n_26981), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881562 (
+	.A(n_22410),
+	.B(n_22408),
+	.C(n_22404),
+	.D(n_20046),
+	.Y(n_26980), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881563 (
+	.A(n_22401),
+	.B(n_22400),
+	.C(n_22397),
+	.D(n_22399),
+	.Y(n_26979), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881564 (
+	.A(n_22398),
+	.B(n_22389),
+	.C(n_22393),
+	.D(n_22390),
+	.Y(n_26978), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881565 (
+	.A(n_22396),
+	.B(n_22392),
+	.C(n_22395),
+	.D(n_22391),
+	.Y(n_26977), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881566 (
+	.A(n_22412),
+	.B(n_22406),
+	.C(n_22403),
+	.D(n_22402),
+	.Y(n_26976), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881567 (
+	.A(n_22388),
+	.B(n_22387),
+	.C(n_22383),
+	.D(n_22384),
+	.Y(n_26975), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881568 (
+	.A(n_22381),
+	.B(n_22380),
+	.C(n_22378),
+	.D(n_22379),
+	.Y(n_26974), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881569 (
+	.A(n_22352),
+	.B(n_22364),
+	.C(n_22374),
+	.D(n_22342),
+	.Y(n_26973), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881570 (
+	.A(n_22370),
+	.B(n_22267),
+	.C(n_22240),
+	.D(n_22317),
+	.Y(n_26972), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881571 (
+	.A(n_22376),
+	.B(n_22375),
+	.C(n_22371),
+	.D(n_22372),
+	.Y(n_26971), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881572 (
+	.A(n_22326),
+	.B(n_22344),
+	.C(n_20245),
+	.D(n_22312),
+	.Y(n_26970), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881573 (
+	.A(n_22369),
+	.B(n_22368),
+	.C(n_22363),
+	.D(n_22366),
+	.Y(n_26969), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881574 (
+	.A(n_22355),
+	.B(n_22350),
+	.C(n_22361),
+	.D(n_22357),
+	.Y(n_26968), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881575 (
+	.A(n_22360),
+	.B(n_22359),
+	.C(n_22356),
+	.D(n_22358),
+	.Y(n_26967), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881576 (
+	.A(n_22354),
+	.B(n_22351),
+	.C(n_22348),
+	.D(n_22349),
+	.Y(n_26966), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881577 (
+	.A(n_22316),
+	.B(n_22324),
+	.C(n_22332),
+	.D(n_22300),
+	.Y(n_26965), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881578 (
+	.A(n_22338),
+	.B(n_22340),
+	.C(n_22347),
+	.D(n_22343),
+	.Y(n_26964), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881579 (
+	.A(n_22341),
+	.B(n_22339),
+	.C(n_22336),
+	.D(n_22337),
+	.Y(n_26963), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881580 (
+	.A(n_22331),
+	.B(n_22330),
+	.C(n_22328),
+	.D(n_22335),
+	.Y(n_26962), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881581 (
+	.A(n_22334),
+	.B(n_22333),
+	.C(n_22327),
+	.D(n_22329),
+	.Y(n_26961), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881582 (
+	.A(n_22325),
+	.B(n_22323),
+	.C(n_22318),
+	.D(n_22320),
+	.Y(n_26960), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881583 (
+	.A(n_20439),
+	.B(n_22314),
+	.C(n_22309),
+	.D(n_22311),
+	.Y(n_26959), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881584 (
+	.A(n_22322),
+	.B(n_22321),
+	.C(n_22315),
+	.D(n_22319),
+	.Y(n_26958), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881585 (
+	.A(n_22305),
+	.B(n_22308),
+	.C(n_22304),
+	.D(n_22310),
+	.Y(n_26957), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881586 (
+	.A(n_22307),
+	.B(n_22306),
+	.C(n_22302),
+	.D(n_22303),
+	.Y(n_26956), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881587 (
+	.A(n_22298),
+	.B(n_22295),
+	.C(n_22293),
+	.D(n_22301),
+	.Y(n_26955), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881588 (
+	.A(n_22299),
+	.B(n_22297),
+	.C(n_22292),
+	.D(n_22294),
+	.Y(n_26954), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881589 (
+	.A(n_22272),
+	.B(n_22265),
+	.C(n_22290),
+	.D(n_22282),
+	.Y(n_26953), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881590 (
+	.A(n_22284),
+	.B(n_22286),
+	.C(n_22280),
+	.D(n_22288),
+	.Y(n_26952), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881591 (
+	.A(n_22289),
+	.B(n_22287),
+	.C(n_22283),
+	.D(n_22285),
+	.Y(n_26951), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881592 (
+	.A(n_22281),
+	.B(n_22278),
+	.C(n_22275),
+	.D(n_22276),
+	.Y(n_26950), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881593 (
+	.A(n_22269),
+	.B(n_22271),
+	.C(n_22277),
+	.D(n_22274),
+	.Y(n_26949), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881594 (
+	.A(n_22235),
+	.B(n_22225),
+	.C(n_22263),
+	.D(n_22259),
+	.Y(n_26948), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881595 (
+	.A(n_22270),
+	.B(n_22268),
+	.C(n_22264),
+	.D(n_22266),
+	.Y(n_26947), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881596 (
+	.A(n_22262),
+	.B(n_22261),
+	.C(n_22258),
+	.D(n_22260),
+	.Y(n_26946), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881597 (
+	.A(n_22256),
+	.B(n_22255),
+	.C(n_22253),
+	.D(n_22254),
+	.Y(n_26945), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881598 (
+	.A(n_22252),
+	.B(n_22250),
+	.C(n_22246),
+	.D(n_22249),
+	.Y(n_26944), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881599 (
+	.A(n_22247),
+	.B(n_22251),
+	.C(n_22257),
+	.D(n_22238),
+	.Y(n_26943), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881600 (
+	.A(n_22243),
+	.B(n_22241),
+	.C(n_22236),
+	.D(n_22239),
+	.Y(n_26942), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881601 (
+	.A(n_22233),
+	.B(n_22232),
+	.C(n_22228),
+	.D(n_22230),
+	.Y(n_26941), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881602 (
+	.A(n_22211),
+	.B(n_22215),
+	.C(n_22227),
+	.D(n_22205),
+	.Y(n_26940), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881603 (
+	.A(n_22219),
+	.B(n_22221),
+	.C(n_22224),
+	.D(n_22217),
+	.Y(n_26939), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881604 (
+	.A(n_22226),
+	.B(n_22222),
+	.C(n_22223),
+	.D(n_22220),
+	.Y(n_26938), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881605 (
+	.A(n_22216),
+	.B(n_22218),
+	.C(n_22212),
+	.D(n_22214),
+	.Y(n_26937), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881606 (
+	.A(n_22209),
+	.B(n_22210),
+	.C(n_22213),
+	.D(n_22207),
+	.Y(n_26936), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881607 (
+	.A(n_22208),
+	.B(n_22206),
+	.C(n_22202),
+	.D(n_22204),
+	.Y(n_26935), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881608 (
+	.A(n_22172),
+	.B(n_22187),
+	.C(n_22203),
+	.D(n_22159),
+	.Y(n_26934), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881609 (
+	.A(n_22195),
+	.B(n_22196),
+	.C(n_22200),
+	.D(n_22191),
+	.Y(n_26933), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881610 (
+	.A(n_22199),
+	.B(n_22197),
+	.C(n_22192),
+	.D(n_22194),
+	.Y(n_26932), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881611 (
+	.A(n_22177),
+	.B(n_22185),
+	.C(n_22193),
+	.D(n_22170),
+	.Y(n_26931), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881612 (
+	.A(n_22183),
+	.B(n_22186),
+	.C(n_22189),
+	.D(n_22181),
+	.Y(n_26930), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881613 (
+	.A(n_22190),
+	.B(n_22188),
+	.C(n_22182),
+	.D(n_22184),
+	.Y(n_26929), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881614 (
+	.A(n_22180),
+	.B(n_22179),
+	.C(n_22176),
+	.D(n_22178),
+	.Y(n_26928), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881615 (
+	.A(n_21174),
+	.B(n_22171),
+	.C(n_22168),
+	.D(n_22169),
+	.Y(n_26927), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881616 (
+	.A(n_22165),
+	.B(n_22164),
+	.C(n_22161),
+	.D(n_22163),
+	.Y(n_26926), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881617 (
+	.A(n_22143),
+	.B(n_22134),
+	.C(n_22160),
+	.D(n_22153),
+	.Y(n_26925), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881618 (
+	.A(n_22155),
+	.B(n_22158),
+	.C(n_22151),
+	.D(n_22156),
+	.Y(n_26924), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881619 (
+	.A(n_22150),
+	.B(n_22157),
+	.C(n_22152),
+	.D(n_22148),
+	.Y(n_26923), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881620 (
+	.A(n_22149),
+	.B(n_22147),
+	.C(n_22144),
+	.D(n_22146),
+	.Y(n_26922), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881621 (
+	.A(n_22106),
+	.B(n_22132),
+	.C(n_22037),
+	.D(n_22071),
+	.Y(n_26921), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881622 (
+	.A(n_20608),
+	.B(n_20604),
+	.C(n_20607),
+	.D(n_20605),
+	.Y(n_26919), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881623 (
+	.A(n_22141),
+	.B(n_22139),
+	.C(n_22133),
+	.D(n_22135),
+	.Y(n_26918), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881624 (
+	.A(n_22102),
+	.B(n_22089),
+	.C(n_22136),
+	.D(n_22125),
+	.Y(n_26917), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881625 (
+	.A(n_22127),
+	.B(n_22130),
+	.C(n_22131),
+	.D(n_22123),
+	.Y(n_26916), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881626 (
+	.A(n_22129),
+	.B(n_22128),
+	.C(n_21468),
+	.D(n_22126),
+	.Y(n_26915), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881627 (
+	.A(n_22117),
+	.B(n_22119),
+	.C(n_22122),
+	.D(n_22115),
+	.Y(n_26914), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881628 (
+	.A(n_22121),
+	.B(n_22120),
+	.C(n_22116),
+	.D(n_22118),
+	.Y(n_26913), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881629 (
+	.A(n_22114),
+	.B(n_22112),
+	.C(n_22108),
+	.D(n_22111),
+	.Y(n_26912), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881630 (
+	.A(n_22110),
+	.B(n_22113),
+	.C(n_22124),
+	.D(n_22100),
+	.Y(n_26911), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881631 (
+	.A(n_22105),
+	.B(n_22109),
+	.C(n_22101),
+	.D(n_22107),
+	.Y(n_26910), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881632 (
+	.A(n_22094),
+	.B(n_22097),
+	.C(n_22092),
+	.D(n_22099),
+	.Y(n_26909), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881633 (
+	.A(n_22104),
+	.B(n_22103),
+	.C(n_22098),
+	.D(n_21589),
+	.Y(n_26908), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881634 (
+	.A(n_22096),
+	.B(n_22095),
+	.C(n_22091),
+	.D(n_22093),
+	.Y(n_26907), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881635 (
+	.A(n_22072),
+	.B(n_22067),
+	.C(n_22090),
+	.D(n_22083),
+	.Y(n_26906), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881636 (
+	.A(n_22081),
+	.B(n_22087),
+	.C(n_22085),
+	.D(n_22079),
+	.Y(n_26905), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881637 (
+	.A(n_22088),
+	.B(n_22086),
+	.C(n_22082),
+	.D(n_22084),
+	.Y(n_26904), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881638 (
+	.A(n_22077),
+	.B(n_22078),
+	.C(n_22073),
+	.D(n_22075),
+	.Y(n_26903), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881639 (
+	.A(n_22068),
+	.B(n_21792),
+	.C(n_22065),
+	.D(n_22066),
+	.Y(n_26902), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881640 (
+	.A(n_22030),
+	.B(n_22014),
+	.C(n_22069),
+	.D(n_22062),
+	.Y(n_26901), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881641 (
+	.A(n_22064),
+	.B(n_22063),
+	.C(n_22059),
+	.D(n_22061),
+	.Y(n_26900), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881642 (
+	.A(n_22035),
+	.B(n_22050),
+	.C(n_22057),
+	.D(n_22024),
+	.Y(n_26899), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881643 (
+	.A(n_22049),
+	.B(n_22053),
+	.C(n_22055),
+	.D(n_22045),
+	.Y(n_26898), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881644 (
+	.A(n_22056),
+	.B(n_22054),
+	.C(n_22051),
+	.D(n_22052),
+	.Y(n_26897), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881645 (
+	.A(n_22048),
+	.B(n_22047),
+	.C(n_22043),
+	.D(n_22044),
+	.Y(n_26896), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881646 (
+	.A(n_22034),
+	.B(n_22039),
+	.C(n_22042),
+	.D(n_22032),
+	.Y(n_26895), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881647 (
+	.A(n_22038),
+	.B(n_22036),
+	.C(n_22041),
+	.D(n_22040),
+	.Y(n_26894), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881648 (
+	.A(n_22033),
+	.B(n_22028),
+	.C(n_22031),
+	.D(n_22029),
+	.Y(n_26893), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881649 (
+	.A(n_22020),
+	.B(n_22021),
+	.C(n_22027),
+	.D(n_22017),
+	.Y(n_26892), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881650 (
+	.A(n_22023),
+	.B(n_22025),
+	.C(n_22026),
+	.D(n_22022),
+	.Y(n_26891), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881651 (
+	.A(n_22016),
+	.B(n_22015),
+	.C(n_22019),
+	.D(n_22018),
+	.Y(n_26890), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881652 (
+	.A(n_22008),
+	.B(n_22011),
+	.C(n_22012),
+	.D(n_22006),
+	.Y(n_26889), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881653 (
+	.A(n_21993),
+	.B(n_21986),
+	.C(n_22010),
+	.D(n_22002),
+	.Y(n_26888), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881654 (
+	.A(n_21926),
+	.B(n_21896),
+	.C(n_21989),
+	.D(n_21966),
+	.Y(n_26887), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881655 (
+	.A(n_22154),
+	.B(n_22001),
+	.C(n_21998),
+	.D(n_21995),
+	.Y(n_26886), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881656 (
+	.A(n_22000),
+	.B(n_21999),
+	.C(n_21996),
+	.D(n_21997),
+	.Y(n_26885), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881657 (
+	.A(n_22137),
+	.B(n_21994),
+	.C(n_21991),
+	.D(n_21992),
+	.Y(n_26884), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881658 (
+	.A(n_21957),
+	.B(n_21941),
+	.C(n_21987),
+	.D(n_21981),
+	.Y(n_26883), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881659 (
+	.A(n_21985),
+	.B(n_21988),
+	.C(n_22174),
+	.D(n_22198),
+	.Y(n_26882), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881660 (
+	.A(n_22248),
+	.B(n_21980),
+	.C(n_21975),
+	.D(n_21974),
+	.Y(n_26881), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881661 (
+	.A(n_21976),
+	.B(n_22237),
+	.C(n_21977),
+	.D(n_21979),
+	.Y(n_26880), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881662 (
+	.A(n_21965),
+	.B(n_21970),
+	.C(n_21969),
+	.D(n_22346),
+	.Y(n_26879), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881663 (
+	.A(n_21961),
+	.B(n_21960),
+	.C(n_21958),
+	.D(n_21959),
+	.Y(n_26878), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881664 (
+	.A(n_21962),
+	.B(n_21954),
+	.C(n_21978),
+	.D(n_21971),
+	.Y(n_26877), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881665 (
+	.A(n_21956),
+	.B(n_21953),
+	.C(n_21949),
+	.D(n_21950),
+	.Y(n_26876), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881666 (
+	.A(n_22409),
+	.B(n_21948),
+	.C(n_21946),
+	.D(n_21947),
+	.Y(n_26875), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881667 (
+	.A(n_21928),
+	.B(n_21933),
+	.C(n_21944),
+	.D(n_21921),
+	.Y(n_26874), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881668 (
+	.A(n_21945),
+	.B(n_21939),
+	.C(n_21937),
+	.D(n_21942),
+	.Y(n_26873), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881669 (
+	.A(n_21943),
+	.B(n_21938),
+	.C(n_22449),
+	.D(n_21940),
+	.Y(n_26872), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881670 (
+	.A(n_22473),
+	.B(n_21935),
+	.C(n_21934),
+	.D(n_21936),
+	.Y(n_26871), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881671 (
+	.A(n_21886),
+	.B(n_21907),
+	.C(n_21924),
+	.D(n_21879),
+	.Y(n_26870), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881672 (
+	.A(n_21931),
+	.B(n_21929),
+	.C(n_21930),
+	.D(n_21932),
+	.Y(n_26869), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881673 (
+	.A(n_21923),
+	.B(n_21922),
+	.C(n_21927),
+	.D(n_21925),
+	.Y(n_26868), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g881674 (
+	.A(n_43152),
+	.B(n_21917),
+	.C(n_21914),
+	.Y(n_26867), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881675 (
+	.A(n_21919),
+	.B(n_21918),
+	.C(n_21915),
+	.D(n_21916),
+	.Y(n_26866), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881676 (
+	.A(n_22622),
+	.B(n_21913),
+	.C(n_21911),
+	.D(n_21912),
+	.Y(n_26865), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g881677 (
+	.A(n_43153),
+	.B(n_21906),
+	.C(n_22691),
+	.Y(n_26864), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881678 (
+	.A(n_22747),
+	.B(n_21901),
+	.C(n_21910),
+	.D(n_21893),
+	.Y(n_26863), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881679 (
+	.A(n_22655),
+	.B(n_21908),
+	.C(n_21904),
+	.D(n_21905),
+	.Y(n_26862), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881680 (
+	.A(n_21902),
+	.B(n_21900),
+	.C(n_21897),
+	.D(n_21898),
+	.Y(n_26861), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881681 (
+	.A(n_21883),
+	.B(n_21889),
+	.C(n_21890),
+	.D(n_21882),
+	.Y(n_26860), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881682 (
+	.A(n_21884),
+	.B(n_21888),
+	.C(n_21885),
+	.D(n_21887),
+	.Y(n_26859), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881683 (
+	.A(n_21864),
+	.B(n_21857),
+	.C(n_21876),
+	.D(n_21869),
+	.Y(n_26858), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881684 (
+	.A(n_21873),
+	.B(n_21872),
+	.C(n_21868),
+	.D(n_21870),
+	.Y(n_26857), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881685 (
+	.A(n_21800),
+	.B(n_21769),
+	.C(n_21859),
+	.D(n_21832),
+	.Y(n_26856), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881686 (
+	.A(n_21819),
+	.B(n_21813),
+	.C(n_21858),
+	.D(n_21851),
+	.Y(n_26855), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881687 (
+	.A(n_21867),
+	.B(n_21866),
+	.C(n_21862),
+	.D(n_21865),
+	.Y(n_26854), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881688 (
+	.A(n_21861),
+	.B(n_21860),
+	.C(n_21856),
+	.D(n_25267),
+	.Y(n_26853), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881689 (
+	.A(n_21853),
+	.B(n_21852),
+	.C(n_21849),
+	.D(n_21850),
+	.Y(n_26852), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881690 (
+	.A(n_21847),
+	.B(n_21846),
+	.C(n_21838),
+	.D(n_21843),
+	.Y(n_26851), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881691 (
+	.A(n_21845),
+	.B(n_21844),
+	.C(n_21839),
+	.D(n_21841),
+	.Y(n_26850), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881692 (
+	.A(n_21829),
+	.B(n_21831),
+	.C(n_21836),
+	.D(n_21835),
+	.Y(n_26849), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881693 (
+	.A(n_21837),
+	.B(n_21833),
+	.C(n_21827),
+	.D(n_21828),
+	.Y(n_26848), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881694 (
+	.A(n_21826),
+	.B(n_21824),
+	.C(n_21821),
+	.D(n_21822),
+	.Y(n_26847), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881695 (
+	.A(n_21825),
+	.B(n_21842),
+	.C(n_21830),
+	.D(n_21848),
+	.Y(n_26846), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881696 (
+	.A(n_21823),
+	.B(n_21820),
+	.C(n_21815),
+	.D(n_21818),
+	.Y(n_26845), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881697 (
+	.A(n_21817),
+	.B(n_21816),
+	.C(n_21811),
+	.D(n_21814),
+	.Y(n_26844), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881698 (
+	.A(n_21799),
+	.B(n_21809),
+	.C(n_21812),
+	.D(n_21795),
+	.Y(n_26843), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881699 (
+	.A(n_21810),
+	.B(n_21807),
+	.C(n_21804),
+	.D(n_21805),
+	.Y(n_26842), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881700 (
+	.A(n_21808),
+	.B(n_21806),
+	.C(n_21803),
+	.D(n_23054),
+	.Y(n_26841), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881701 (
+	.A(n_21766),
+	.B(n_21777),
+	.C(n_21783),
+	.D(n_21753),
+	.Y(n_26840), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881702 (
+	.A(n_21802),
+	.B(n_21801),
+	.C(n_21796),
+	.D(n_21798),
+	.Y(n_26839), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881703 (
+	.A(n_21762),
+	.B(n_21785),
+	.C(n_21789),
+	.D(n_21748),
+	.Y(n_26838), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881704 (
+	.A(n_21790),
+	.B(n_21793),
+	.C(n_23145),
+	.D(n_21794),
+	.Y(n_26837), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881705 (
+	.A(n_21788),
+	.B(n_21787),
+	.C(n_21782),
+	.D(n_21784),
+	.Y(n_26836), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881706 (
+	.A(n_21781),
+	.B(n_21780),
+	.C(n_21773),
+	.D(n_21776),
+	.Y(n_26835), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881707 (
+	.A(n_21779),
+	.B(n_21778),
+	.C(n_21774),
+	.D(n_21775),
+	.Y(n_26834), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881708 (
+	.A(n_21771),
+	.B(n_21770),
+	.C(n_21764),
+	.D(n_21767),
+	.Y(n_26833), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881709 (
+	.A(n_21772),
+	.B(n_21768),
+	.C(n_21763),
+	.D(n_21765),
+	.Y(n_26832), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881710 (
+	.A(n_21761),
+	.B(n_21760),
+	.C(n_21756),
+	.D(n_21757),
+	.Y(n_26831), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881711 (
+	.A(n_21758),
+	.B(n_21751),
+	.C(n_21755),
+	.D(n_21752),
+	.Y(n_26830), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881712 (
+	.A(n_21749),
+	.B(n_21754),
+	.C(n_21747),
+	.D(n_21750),
+	.Y(n_26829), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881713 (
+	.A(n_21746),
+	.B(n_21742),
+	.C(n_21740),
+	.D(n_21745),
+	.Y(n_26828), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881714 (
+	.A(n_21743),
+	.B(n_21741),
+	.C(n_21738),
+	.D(n_21739),
+	.Y(n_26827), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881715 (
+	.A(n_21722),
+	.B(n_21716),
+	.C(n_21737),
+	.D(n_21729),
+	.Y(n_26826), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881716 (
+	.A(n_21736),
+	.B(n_21734),
+	.C(n_21728),
+	.D(n_21731),
+	.Y(n_26825), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881717 (
+	.A(n_23517),
+	.B(n_21735),
+	.C(n_21730),
+	.D(n_21732),
+	.Y(n_26824), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881718 (
+	.A(n_21671),
+	.B(n_21703),
+	.C(n_21733),
+	.D(n_21643),
+	.Y(n_26823), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881719 (
+	.A(n_21692),
+	.B(n_21677),
+	.C(n_21721),
+	.D(n_21711),
+	.Y(n_26822), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881720 (
+	.A(n_21720),
+	.B(n_21725),
+	.C(n_23607),
+	.D(n_21724),
+	.Y(n_26821), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881721 (
+	.A(n_21727),
+	.B(n_21726),
+	.C(n_21723),
+	.D(n_23583),
+	.Y(n_26820), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881722 (
+	.A(n_21719),
+	.B(n_21718),
+	.C(n_21714),
+	.D(n_21717),
+	.Y(n_26819), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881723 (
+	.A(n_21713),
+	.B(n_21712),
+	.C(n_21707),
+	.D(n_21709),
+	.Y(n_26818), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881724 (
+	.A(n_21710),
+	.B(n_21708),
+	.C(n_21704),
+	.D(n_21706),
+	.Y(n_26817), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881725 (
+	.A(n_21696),
+	.B(n_21700),
+	.C(n_21697),
+	.D(n_21702),
+	.Y(n_26816), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881726 (
+	.A(n_21694),
+	.B(n_21693),
+	.C(n_21690),
+	.D(n_23886),
+	.Y(n_26815), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881727 (
+	.A(n_21691),
+	.B(n_21685),
+	.C(n_21705),
+	.D(n_21699),
+	.Y(n_26814), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881728 (
+	.A(n_21688),
+	.B(n_21683),
+	.C(n_21681),
+	.D(n_21680),
+	.Y(n_26813), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881729 (
+	.A(n_21687),
+	.B(n_21686),
+	.C(n_21682),
+	.D(n_21684),
+	.Y(n_26812), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881730 (
+	.A(n_24027),
+	.B(n_21664),
+	.C(n_21670),
+	.D(n_21649),
+	.Y(n_26811), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881731 (
+	.A(n_21668),
+	.B(n_21675),
+	.C(n_21669),
+	.D(n_21673),
+	.Y(n_26810), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881732 (
+	.A(n_21678),
+	.B(n_21676),
+	.C(n_21672),
+	.D(n_21674),
+	.Y(n_26809), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881733 (
+	.A(n_21617),
+	.B(n_21641),
+	.C(n_21628),
+	.D(n_21657),
+	.Y(n_26808), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881734 (
+	.A(n_21667),
+	.B(n_21666),
+	.C(n_21663),
+	.D(n_21665),
+	.Y(n_26807), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881735 (
+	.A(n_21659),
+	.B(n_21661),
+	.C(n_23969),
+	.D(n_21656),
+	.Y(n_26806), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881736 (
+	.A(n_21654),
+	.B(n_21655),
+	.C(n_21660),
+	.D(n_21658),
+	.Y(n_26805), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881737 (
+	.A(n_21647),
+	.B(n_21653),
+	.C(n_21652),
+	.D(n_21645),
+	.Y(n_26804), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881738 (
+	.A(n_21651),
+	.B(n_21650),
+	.C(n_21646),
+	.D(n_21648),
+	.Y(n_26803), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881739 (
+	.A(n_21644),
+	.B(n_24099),
+	.C(n_21638),
+	.D(n_21640),
+	.Y(n_26802), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881740 (
+	.A(n_21624),
+	.B(n_21632),
+	.C(n_21637),
+	.D(n_21616),
+	.Y(n_26801), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881741 (
+	.A(n_21635),
+	.B(n_21634),
+	.C(n_21630),
+	.D(n_24164),
+	.Y(n_26800), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881742 (
+	.A(n_21627),
+	.B(n_21625),
+	.C(n_21622),
+	.D(n_21623),
+	.Y(n_26799), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881743 (
+	.A(n_21614),
+	.B(n_21619),
+	.C(n_21621),
+	.D(n_21612),
+	.Y(n_26798), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881744 (
+	.A(n_21615),
+	.B(n_21620),
+	.C(n_21613),
+	.D(n_21618),
+	.Y(n_26797), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881745 (
+	.A(n_21602),
+	.B(n_21607),
+	.C(n_21609),
+	.D(n_21597),
+	.Y(n_26796), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881746 (
+	.A(n_21581),
+	.B(n_21575),
+	.C(n_21600),
+	.D(n_21594),
+	.Y(n_26795), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881747 (
+	.A(n_21603),
+	.B(n_21601),
+	.C(n_21605),
+	.D(n_21606),
+	.Y(n_26794), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881748 (
+	.A(n_21553),
+	.B(n_21584),
+	.C(n_21489),
+	.D(n_21524),
+	.Y(n_26793), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881749 (
+	.A(n_21548),
+	.B(n_21566),
+	.C(n_21583),
+	.D(n_21539),
+	.Y(n_26792), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881750 (
+	.A(n_21587),
+	.B(n_21591),
+	.C(n_21593),
+	.D(n_24419),
+	.Y(n_26791), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881751 (
+	.A(n_21590),
+	.B(n_21592),
+	.C(n_21595),
+	.D(n_21588),
+	.Y(n_26790), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881752 (
+	.A(n_24426),
+	.B(n_21585),
+	.C(n_21586),
+	.D(n_21582),
+	.Y(n_26789), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881753 (
+	.A(n_21574),
+	.B(n_21578),
+	.C(n_21580),
+	.D(n_21571),
+	.Y(n_26788), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881754 (
+	.A(n_21577),
+	.B(n_21579),
+	.C(n_24448),
+	.D(n_21576),
+	.Y(n_26787), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881755 (
+	.A(n_21570),
+	.B(n_21569),
+	.C(n_21573),
+	.D(n_21572),
+	.Y(n_26786), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881756 (
+	.A(n_24631),
+	.B(n_21558),
+	.C(n_21560),
+	.D(n_21537),
+	.Y(n_26785), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881757 (
+	.A(n_21565),
+	.B(n_21567),
+	.C(n_21568),
+	.D(n_21563),
+	.Y(n_26784), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881758 (
+	.A(n_21559),
+	.B(n_24582),
+	.C(n_21562),
+	.D(n_21561),
+	.Y(n_26783), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881759 (
+	.A(n_21556),
+	.B(n_21552),
+	.C(n_21550),
+	.D(n_21554),
+	.Y(n_26782), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881760 (
+	.A(n_21549),
+	.B(n_24630),
+	.C(n_21545),
+	.D(n_21547),
+	.Y(n_26781), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881761 (
+	.A(n_21536),
+	.B(n_21541),
+	.C(n_21544),
+	.D(n_21534),
+	.Y(n_26780), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881762 (
+	.A(n_21543),
+	.B(n_21540),
+	.C(n_21538),
+	.D(n_21542),
+	.Y(n_26779), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881763 (
+	.A(n_21535),
+	.B(n_21532),
+	.C(n_21531),
+	.D(n_21533),
+	.Y(n_26778), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881764 (
+	.A(n_21523),
+	.B(n_21506),
+	.C(n_21529),
+	.D(n_21514),
+	.Y(n_26777), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881765 (
+	.A(n_24743),
+	.B(n_24728),
+	.C(n_21530),
+	.D(n_21527),
+	.Y(n_26776), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881766 (
+	.A(n_21486),
+	.B(n_21500),
+	.C(n_21515),
+	.D(n_21459),
+	.Y(n_26775), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881767 (
+	.A(n_24828),
+	.B(n_21521),
+	.C(n_24789),
+	.D(n_21516),
+	.Y(n_26774), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881768 (
+	.A(n_21520),
+	.B(n_21522),
+	.C(n_24788),
+	.D(n_21519),
+	.Y(n_26773), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881769 (
+	.A(n_21480),
+	.B(n_21488),
+	.C(n_21498),
+	.D(n_21473),
+	.Y(n_26772), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881770 (
+	.A(n_21501),
+	.B(n_24942),
+	.C(n_21497),
+	.D(n_24957),
+	.Y(n_26771), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881771 (
+	.A(n_21495),
+	.B(n_21493),
+	.C(n_21491),
+	.D(n_21499),
+	.Y(n_26770), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881772 (
+	.A(n_21496),
+	.B(n_21494),
+	.C(n_21490),
+	.D(n_21492),
+	.Y(n_26769), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881773 (
+	.A(n_21484),
+	.B(n_21477),
+	.C(n_21476),
+	.D(n_21481),
+	.Y(n_26768), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881774 (
+	.A(n_21485),
+	.B(n_21482),
+	.C(n_25009),
+	.D(n_21483),
+	.Y(n_26767), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881775 (
+	.A(n_21479),
+	.B(n_21474),
+	.C(n_21478),
+	.D(n_21475),
+	.Y(n_26766), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881776 (
+	.A(n_21463),
+	.B(n_21466),
+	.C(n_21471),
+	.D(n_21462),
+	.Y(n_26765), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881777 (
+	.A(n_21469),
+	.B(n_21470),
+	.C(n_21472),
+	.D(n_21467),
+	.Y(n_26764), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881778 (
+	.A(n_25123),
+	.B(n_21464),
+	.C(n_21465),
+	.D(n_21461),
+	.Y(n_26763), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881779 (
+	.A(n_21451),
+	.B(n_21458),
+	.C(n_21455),
+	.D(n_21449),
+	.Y(n_26762), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881780 (
+	.A(n_21457),
+	.B(n_21456),
+	.C(n_21453),
+	.D(n_21454),
+	.Y(n_26761), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881781 (
+	.A(n_20510),
+	.B(n_21431),
+	.C(n_21452),
+	.D(n_21444),
+	.Y(n_26760), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881782 (
+	.A(n_20072),
+	.B(n_21450),
+	.C(n_21446),
+	.D(n_21448),
+	.Y(n_26759), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881783 (
+	.A(n_21416),
+	.B(n_21447),
+	.C(n_21356),
+	.D(n_21377),
+	.Y(n_26758), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881784 (
+	.A(n_21445),
+	.B(n_20274),
+	.C(n_21441),
+	.D(n_21442),
+	.Y(n_26757), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881785 (
+	.A(n_21410),
+	.B(n_21425),
+	.C(n_21435),
+	.D(n_21400),
+	.Y(n_26756), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881786 (
+	.A(n_21438),
+	.B(n_21436),
+	.C(n_21434),
+	.D(n_20511),
+	.Y(n_26755), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881787 (
+	.A(n_21421),
+	.B(n_21424),
+	.C(n_21427),
+	.D(n_21420),
+	.Y(n_26754), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881788 (
+	.A(n_21422),
+	.B(n_21428),
+	.C(n_20519),
+	.D(n_21426),
+	.Y(n_26753), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881789 (
+	.A(n_21408),
+	.B(n_21414),
+	.C(n_21415),
+	.D(n_21406),
+	.Y(n_26752), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881790 (
+	.A(n_21411),
+	.B(n_21409),
+	.C(n_21404),
+	.D(n_21405),
+	.Y(n_26751), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881791 (
+	.A(n_21397),
+	.B(n_21417),
+	.C(n_21407),
+	.D(n_21423),
+	.Y(n_26750), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881792 (
+	.A(n_21396),
+	.B(n_21398),
+	.C(n_21401),
+	.D(n_21403),
+	.Y(n_26749), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881793 (
+	.A(n_21393),
+	.B(n_21399),
+	.C(n_21402),
+	.D(n_21392),
+	.Y(n_26748), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881794 (
+	.A(n_21371),
+	.B(n_21363),
+	.C(n_21385),
+	.D(n_21379),
+	.Y(n_26747), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881795 (
+	.A(n_21391),
+	.B(n_21390),
+	.C(n_21387),
+	.D(n_21388),
+	.Y(n_26746), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881796 (
+	.A(n_21384),
+	.B(n_21386),
+	.C(n_21389),
+	.D(n_21381),
+	.Y(n_26745), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881797 (
+	.A(n_21383),
+	.B(n_21382),
+	.C(n_21378),
+	.D(n_21380),
+	.Y(n_26744), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881798 (
+	.A(n_21344),
+	.B(n_21362),
+	.C(n_21373),
+	.D(n_21325),
+	.Y(n_26743), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881799 (
+	.A(n_21376),
+	.B(n_21375),
+	.C(n_21982),
+	.D(n_21372),
+	.Y(n_26742), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881800 (
+	.A(n_21370),
+	.B(n_21368),
+	.C(n_21361),
+	.D(n_21366),
+	.Y(n_26741), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881801 (
+	.A(n_21353),
+	.B(n_21357),
+	.C(n_21358),
+	.D(n_21359),
+	.Y(n_26740), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881802 (
+	.A(n_21336),
+	.B(n_21346),
+	.C(n_21354),
+	.D(n_21331),
+	.Y(n_26739), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881803 (
+	.A(n_21351),
+	.B(n_21352),
+	.C(n_21355),
+	.D(n_21348),
+	.Y(n_26738), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881804 (
+	.A(n_21350),
+	.B(n_21349),
+	.C(n_21345),
+	.D(n_21347),
+	.Y(n_26737), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881805 (
+	.A(n_21335),
+	.B(n_21342),
+	.C(n_21343),
+	.D(n_21334),
+	.Y(n_26736), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881806 (
+	.A(n_21340),
+	.B(n_21337),
+	.C(n_21332),
+	.D(n_21333),
+	.Y(n_26735), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881807 (
+	.A(n_21324),
+	.B(n_21327),
+	.C(n_21329),
+	.D(n_21322),
+	.Y(n_26734), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881808 (
+	.A(n_21330),
+	.B(n_21328),
+	.C(n_21323),
+	.D(n_21326),
+	.Y(n_26733), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881809 (
+	.A(n_21321),
+	.B(n_21320),
+	.C(n_25108),
+	.D(n_21318),
+	.Y(n_26732), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881810 (
+	.A(n_21302),
+	.B(n_21308),
+	.C(n_21316),
+	.D(n_21294),
+	.Y(n_26731), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881811 (
+	.A(n_21270),
+	.B(n_21284),
+	.C(n_21306),
+	.D(n_21257),
+	.Y(n_26730), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881812 (
+	.A(n_21314),
+	.B(n_21313),
+	.C(n_21309),
+	.D(n_21311),
+	.Y(n_26729), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881813 (
+	.A(n_21247),
+	.B(n_21218),
+	.C(n_21310),
+	.D(n_21273),
+	.Y(n_26728), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881814 (
+	.A(n_21307),
+	.B(n_21305),
+	.C(n_21300),
+	.D(n_21303),
+	.Y(n_26727), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881815 (
+	.A(n_21299),
+	.B(n_21301),
+	.C(n_21304),
+	.D(n_21296),
+	.Y(n_26726), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881816 (
+	.A(n_21298),
+	.B(n_21297),
+	.C(n_21293),
+	.D(n_21295),
+	.Y(n_26725), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881817 (
+	.A(n_21292),
+	.B(n_21289),
+	.C(n_21286),
+	.D(n_21291),
+	.Y(n_26724), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881818 (
+	.A(n_21290),
+	.B(n_21287),
+	.C(n_21288),
+	.D(n_21285),
+	.Y(n_26723), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881819 (
+	.A(n_21279),
+	.B(n_21281),
+	.C(n_21274),
+	.D(n_21277),
+	.Y(n_26722), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881820 (
+	.A(n_21262),
+	.B(n_21268),
+	.C(n_21261),
+	.D(n_21266),
+	.Y(n_26721), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881821 (
+	.A(n_21275),
+	.B(n_21280),
+	.C(n_21272),
+	.D(n_21278),
+	.Y(n_26720), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881822 (
+	.A(n_21269),
+	.B(n_21267),
+	.C(n_21263),
+	.D(n_21265),
+	.Y(n_26719), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881823 (
+	.A(n_21264),
+	.B(n_21276),
+	.C(n_21283),
+	.D(n_21258),
+	.Y(n_26718), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881824 (
+	.A(n_21254),
+	.B(n_21256),
+	.C(n_21259),
+	.D(n_21260),
+	.Y(n_26717), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881825 (
+	.A(n_21231),
+	.B(n_21242),
+	.C(n_21248),
+	.D(n_21229),
+	.Y(n_26716), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881826 (
+	.A(n_21252),
+	.B(n_21251),
+	.C(n_21249),
+	.D(n_21250),
+	.Y(n_26715), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881827 (
+	.A(n_21241),
+	.B(n_21243),
+	.C(n_21246),
+	.D(n_21240),
+	.Y(n_26714), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881828 (
+	.A(n_21245),
+	.B(n_21244),
+	.C(n_21239),
+	.D(n_20308),
+	.Y(n_26713), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881829 (
+	.A(n_21208),
+	.B(n_21222),
+	.C(n_21236),
+	.D(n_21200),
+	.Y(n_26712), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881830 (
+	.A(n_20542),
+	.B(n_21234),
+	.C(n_21230),
+	.D(n_21232),
+	.Y(n_26711), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881831 (
+	.A(n_21221),
+	.B(n_21224),
+	.C(n_21225),
+	.D(n_21217),
+	.Y(n_26710), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881832 (
+	.A(n_21227),
+	.B(n_21226),
+	.C(n_21223),
+	.D(n_21339),
+	.Y(n_26709), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881833 (
+	.A(n_21215),
+	.B(n_22467),
+	.C(n_21220),
+	.D(n_21219),
+	.Y(n_26708), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881834 (
+	.A(n_21203),
+	.B(n_21214),
+	.C(n_21216),
+	.D(n_21192),
+	.Y(n_26707), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881835 (
+	.A(n_22644),
+	.B(n_21211),
+	.C(n_21206),
+	.D(n_21209),
+	.Y(n_26706), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881836 (
+	.A(n_21212),
+	.B(n_21210),
+	.C(n_21205),
+	.D(n_21207),
+	.Y(n_26705), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881837 (
+	.A(n_21202),
+	.B(n_21196),
+	.C(n_21195),
+	.D(n_21198),
+	.Y(n_26704), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881838 (
+	.A(n_21204),
+	.B(n_21201),
+	.C(n_21197),
+	.D(n_21199),
+	.Y(n_26703), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881839 (
+	.A(n_21194),
+	.B(n_21193),
+	.C(n_21191),
+	.D(n_20005),
+	.Y(n_26702), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881840 (
+	.A(n_21189),
+	.B(n_21188),
+	.C(n_21187),
+	.D(n_20092),
+	.Y(n_26701), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881841 (
+	.A(n_21185),
+	.B(n_21184),
+	.C(n_21181),
+	.D(n_20192),
+	.Y(n_26700), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881842 (
+	.A(n_21178),
+	.B(n_21182),
+	.C(n_21159),
+	.D(n_21167),
+	.Y(n_26699), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881843 (
+	.A(n_21179),
+	.B(n_21177),
+	.C(n_21172),
+	.D(n_21175),
+	.Y(n_26698), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881844 (
+	.A(n_21120),
+	.B(n_21162),
+	.C(n_21186),
+	.D(n_21085),
+	.Y(n_26697), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881845 (
+	.A(n_21171),
+	.B(n_21173),
+	.C(n_21176),
+	.D(n_21169),
+	.Y(n_26696), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881846 (
+	.A(n_21170),
+	.B(n_21168),
+	.C(n_21165),
+	.D(n_20370),
+	.Y(n_26695), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881847 (
+	.A(n_21161),
+	.B(n_21164),
+	.C(n_21166),
+	.D(n_21158),
+	.Y(n_26694), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881848 (
+	.A(n_21127),
+	.B(n_21115),
+	.C(n_21155),
+	.D(n_21150),
+	.Y(n_26693), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881849 (
+	.A(n_21163),
+	.B(n_21160),
+	.C(n_21156),
+	.D(n_21157),
+	.Y(n_26692), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881850 (
+	.A(n_21146),
+	.B(n_21152),
+	.C(n_21154),
+	.D(n_21149),
+	.Y(n_26691), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881851 (
+	.A(n_21151),
+	.B(n_21153),
+	.C(n_21148),
+	.D(n_20526),
+	.Y(n_26690), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881852 (
+	.A(n_21134),
+	.B(n_21139),
+	.C(n_20531),
+	.D(n_21124),
+	.Y(n_26689), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881853 (
+	.A(n_21144),
+	.B(n_21142),
+	.C(n_21141),
+	.D(n_21143),
+	.Y(n_26688), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881854 (
+	.A(n_21137),
+	.B(n_21135),
+	.C(n_21133),
+	.D(n_21136),
+	.Y(n_26687), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881855 (
+	.A(n_21131),
+	.B(n_21128),
+	.C(n_21125),
+	.D(n_21129),
+	.Y(n_26686), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881856 (
+	.A(n_21122),
+	.B(n_21119),
+	.C(n_21118),
+	.D(n_21121),
+	.Y(n_26685), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881857 (
+	.A(n_21110),
+	.B(n_21100),
+	.C(n_21081),
+	.D(n_21088),
+	.Y(n_26684), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881858 (
+	.A(n_21113),
+	.B(n_21114),
+	.C(n_21116),
+	.D(n_21111),
+	.Y(n_26683), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881859 (
+	.A(n_21106),
+	.B(n_21105),
+	.C(n_21108),
+	.D(n_21107),
+	.Y(n_26682), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881860 (
+	.A(n_21050),
+	.B(n_21075),
+	.C(n_21057),
+	.D(n_21084),
+	.Y(n_26681), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881861 (
+	.A(n_21102),
+	.B(n_21101),
+	.C(n_21098),
+	.D(n_21097),
+	.Y(n_26680), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881862 (
+	.A(n_21099),
+	.B(n_21093),
+	.C(n_21089),
+	.D(n_21091),
+	.Y(n_26679), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881863 (
+	.A(n_21092),
+	.B(n_21090),
+	.C(n_21095),
+	.D(n_21094),
+	.Y(n_26678), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881864 (
+	.A(n_21083),
+	.B(n_21080),
+	.C(n_21076),
+	.D(n_21132),
+	.Y(n_26677), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881865 (
+	.A(n_21103),
+	.B(n_21086),
+	.C(n_21087),
+	.D(n_21082),
+	.Y(n_26676), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881866 (
+	.A(n_21072),
+	.B(n_21074),
+	.C(n_21238),
+	.D(n_21237),
+	.Y(n_26675), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881867 (
+	.A(n_21068),
+	.B(n_21073),
+	.C(n_21054),
+	.D(n_21061),
+	.Y(n_26674), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881868 (
+	.A(n_21317),
+	.B(n_21067),
+	.C(n_21071),
+	.D(n_21065),
+	.Y(n_26673), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881869 (
+	.A(n_21364),
+	.B(n_21069),
+	.C(n_21070),
+	.D(n_21066),
+	.Y(n_26672), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881870 (
+	.A(n_21060),
+	.B(n_21395),
+	.C(n_21063),
+	.D(n_21058),
+	.Y(n_26671), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881871 (
+	.A(n_21487),
+	.B(n_21055),
+	.C(n_21056),
+	.D(n_21053),
+	.Y(n_26670), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881872 (
+	.A(n_21038),
+	.B(n_21046),
+	.C(n_21023),
+	.D(n_21030),
+	.Y(n_26669), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881873 (
+	.A(n_21049),
+	.B(n_21047),
+	.C(n_21045),
+	.D(n_21633),
+	.Y(n_26668), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881874 (
+	.A(n_21679),
+	.B(n_21041),
+	.C(n_21040),
+	.D(n_21043),
+	.Y(n_26667), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881875 (
+	.A(n_21894),
+	.B(n_21035),
+	.C(n_21034),
+	.D(n_21037),
+	.Y(n_26666), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881876 (
+	.A(n_20948),
+	.B(n_21039),
+	.C(n_20971),
+	.D(n_21012),
+	.Y(n_26665), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881877 (
+	.A(n_21834),
+	.B(n_21036),
+	.C(n_21028),
+	.D(n_21033),
+	.Y(n_26664), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881878 (
+	.A(n_21032),
+	.B(n_21878),
+	.C(n_21029),
+	.D(n_21031),
+	.Y(n_26663), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881879 (
+	.A(n_22291),
+	.B(n_22427),
+	.C(n_21025),
+	.D(n_21005),
+	.Y(n_26662), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881880 (
+	.A(n_21022),
+	.B(n_21018),
+	.C(n_21015),
+	.D(n_21020),
+	.Y(n_26661), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881881 (
+	.A(n_21021),
+	.B(n_21024),
+	.C(n_21026),
+	.D(n_21019),
+	.Y(n_26660), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881882 (
+	.A(n_21017),
+	.B(n_21013),
+	.C(n_21016),
+	.D(n_21014),
+	.Y(n_26659), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881883 (
+	.A(n_21002),
+	.B(n_21008),
+	.C(n_20998),
+	.D(n_21006),
+	.Y(n_26658), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881884 (
+	.A(n_22046),
+	.B(n_21010),
+	.C(n_21011),
+	.D(n_21007),
+	.Y(n_26657), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881885 (
+	.A(n_21004),
+	.B(n_21000),
+	.C(n_21001),
+	.D(n_21003),
+	.Y(n_26656), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881886 (
+	.A(n_21009),
+	.B(n_20999),
+	.C(n_20984),
+	.D(n_22201),
+	.Y(n_26655), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881887 (
+	.A(n_20990),
+	.B(n_20996),
+	.C(n_20988),
+	.D(n_20994),
+	.Y(n_26654), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881888 (
+	.A(n_20995),
+	.B(n_20992),
+	.C(n_20993),
+	.D(n_20997),
+	.Y(n_26653), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881889 (
+	.A(n_20978),
+	.B(n_20981),
+	.C(n_20983),
+	.D(n_20975),
+	.Y(n_26652), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881890 (
+	.A(n_22313),
+	.B(n_20985),
+	.C(n_20986),
+	.D(n_20982),
+	.Y(n_26651), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881891 (
+	.A(n_20977),
+	.B(n_20979),
+	.C(n_20980),
+	.D(n_20976),
+	.Y(n_26650), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881892 (
+	.A(n_20928),
+	.B(n_20946),
+	.C(n_20953),
+	.D(n_20913),
+	.Y(n_26649), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881893 (
+	.A(n_20969),
+	.B(n_20972),
+	.C(n_20974),
+	.D(n_20970),
+	.Y(n_26648), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881894 (
+	.A(n_20964),
+	.B(n_20965),
+	.C(n_20966),
+	.D(n_20963),
+	.Y(n_26647), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881895 (
+	.A(n_22660),
+	.B(n_20967),
+	.C(n_20973),
+	.D(n_20958),
+	.Y(n_26646), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881896 (
+	.A(n_22653),
+	.B(n_20962),
+	.C(n_22619),
+	.D(n_20960),
+	.Y(n_26645), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881897 (
+	.A(n_20955),
+	.B(n_25398),
+	.C(n_20951),
+	.D(n_20952),
+	.Y(n_26644), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881898 (
+	.A(n_20939),
+	.B(n_20944),
+	.C(n_20917),
+	.D(n_20932),
+	.Y(n_26643), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881899 (
+	.A(n_20941),
+	.B(n_20942),
+	.C(n_20943),
+	.D(n_20947),
+	.Y(n_26642), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881900 (
+	.A(n_20940),
+	.B(n_20937),
+	.C(n_20935),
+	.D(n_25320),
+	.Y(n_26641), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881901 (
+	.A(n_20938),
+	.B(n_20936),
+	.C(n_20933),
+	.D(n_20934),
+	.Y(n_26640), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881902 (
+	.A(n_20930),
+	.B(n_20926),
+	.C(n_22868),
+	.D(n_20923),
+	.Y(n_26639), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881903 (
+	.A(n_20931),
+	.B(n_20929),
+	.C(n_20924),
+	.D(n_20927),
+	.Y(n_26638), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881904 (
+	.A(n_20922),
+	.B(n_20920),
+	.C(n_20916),
+	.D(n_20918),
+	.Y(n_26637), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881905 (
+	.A(n_23018),
+	.B(n_20921),
+	.C(n_20915),
+	.D(n_20919),
+	.Y(n_26636), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881906 (
+	.A(n_20914),
+	.B(n_20912),
+	.C(n_20909),
+	.D(n_23091),
+	.Y(n_26635), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881907 (
+	.A(n_20894),
+	.B(n_20911),
+	.C(n_20896),
+	.D(n_20903),
+	.Y(n_26634), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881908 (
+	.A(n_20906),
+	.B(n_20910),
+	.C(n_20901),
+	.D(n_20908),
+	.Y(n_26633), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881909 (
+	.A(n_20900),
+	.B(n_20904),
+	.C(n_20902),
+	.D(n_20905),
+	.Y(n_26632), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881910 (
+	.A(n_20907),
+	.B(n_20885),
+	.C(n_20855),
+	.D(n_20831),
+	.Y(n_26631), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881911 (
+	.A(n_23270),
+	.B(n_20897),
+	.C(n_23309),
+	.D(n_23318),
+	.Y(n_26630), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881912 (
+	.A(n_20864),
+	.B(n_20853),
+	.C(n_20895),
+	.D(n_20879),
+	.Y(n_26629), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881913 (
+	.A(n_23348),
+	.B(n_20892),
+	.C(n_20889),
+	.D(n_20891),
+	.Y(n_26628), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881914 (
+	.A(n_20886),
+	.B(n_20884),
+	.C(n_20881),
+	.D(n_23524),
+	.Y(n_26627), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881915 (
+	.A(n_20890),
+	.B(n_20888),
+	.C(n_20893),
+	.D(n_20887),
+	.Y(n_26626), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881916 (
+	.A(n_20877),
+	.B(n_20875),
+	.C(n_20872),
+	.D(n_20874),
+	.Y(n_26625), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881917 (
+	.A(n_20868),
+	.B(n_20871),
+	.C(n_20873),
+	.D(n_20866),
+	.Y(n_26624), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881918 (
+	.A(n_23708),
+	.B(n_20870),
+	.C(n_20865),
+	.D(n_20867),
+	.Y(n_26623), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881919 (
+	.A(n_20863),
+	.B(n_20861),
+	.C(n_20857),
+	.D(n_20858),
+	.Y(n_26622), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881920 (
+	.A(n_20883),
+	.B(n_20878),
+	.C(n_20869),
+	.D(n_20862),
+	.Y(n_26621), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881921 (
+	.A(n_20860),
+	.B(n_20859),
+	.C(n_20854),
+	.D(n_20856),
+	.Y(n_26620), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881922 (
+	.A(n_23919),
+	.B(n_20852),
+	.C(n_20849),
+	.D(n_23948),
+	.Y(n_26619), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881923 (
+	.A(n_20850),
+	.B(n_20845),
+	.C(n_20843),
+	.D(n_20848),
+	.Y(n_26618), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881924 (
+	.A(n_20847),
+	.B(n_20844),
+	.C(n_20841),
+	.D(n_20842),
+	.Y(n_26617), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881925 (
+	.A(n_20846),
+	.B(n_20851),
+	.C(n_20834),
+	.D(n_20840),
+	.Y(n_26616), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881926 (
+	.A(n_20839),
+	.B(n_24171),
+	.C(n_20837),
+	.D(n_24133),
+	.Y(n_26615), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881927 (
+	.A(n_20813),
+	.B(n_20826),
+	.C(n_20836),
+	.D(n_20795),
+	.Y(n_26614), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881928 (
+	.A(n_20825),
+	.B(n_20820),
+	.C(n_24667),
+	.D(n_20810),
+	.Y(n_26613), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881929 (
+	.A(n_24248),
+	.B(n_20832),
+	.C(n_20830),
+	.D(n_24285),
+	.Y(n_26612), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881930 (
+	.A(n_20827),
+	.B(n_24375),
+	.C(n_20822),
+	.D(n_20823),
+	.Y(n_26611), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881931 (
+	.A(n_20819),
+	.B(n_20821),
+	.C(n_20824),
+	.D(n_20817),
+	.Y(n_26610), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881932 (
+	.A(n_24455),
+	.B(n_20818),
+	.C(n_20814),
+	.D(n_20816),
+	.Y(n_26609), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881933 (
+	.A(n_20808),
+	.B(n_20812),
+	.C(n_20815),
+	.D(n_20806),
+	.Y(n_26608), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881934 (
+	.A(n_20811),
+	.B(n_20809),
+	.C(n_20805),
+	.D(n_20807),
+	.Y(n_26607), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881935 (
+	.A(n_24763),
+	.B(n_20798),
+	.C(n_20802),
+	.D(n_20800),
+	.Y(n_26606), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881936 (
+	.A(n_24677),
+	.B(n_20801),
+	.C(n_20797),
+	.D(n_20799),
+	.Y(n_26605), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881937 (
+	.A(n_20766),
+	.B(n_20792),
+	.C(n_20713),
+	.D(n_20733),
+	.Y(n_26604), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881938 (
+	.A(n_20791),
+	.B(n_24819),
+	.C(n_24827),
+	.D(n_20796),
+	.Y(n_26603), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881939 (
+	.A(n_20793),
+	.B(n_20790),
+	.C(n_20777),
+	.D(n_20782),
+	.Y(n_26602), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881940 (
+	.A(n_20789),
+	.B(n_20787),
+	.C(n_20785),
+	.D(n_20786),
+	.Y(n_26601), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881941 (
+	.A(n_20749),
+	.B(n_20737),
+	.C(n_20769),
+	.D(n_20764),
+	.Y(n_26600), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881942 (
+	.A(n_20784),
+	.B(n_20781),
+	.C(n_20778),
+	.D(n_20779),
+	.Y(n_26599), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881943 (
+	.A(n_20759),
+	.B(n_20765),
+	.C(n_20744),
+	.D(n_20752),
+	.Y(n_26598), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881944 (
+	.A(n_20775),
+	.B(n_20774),
+	.C(n_20770),
+	.D(n_20771),
+	.Y(n_26597), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881945 (
+	.A(n_20767),
+	.B(n_21759),
+	.C(n_20762),
+	.D(n_20763),
+	.Y(n_26596), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881946 (
+	.A(n_20757),
+	.B(n_20761),
+	.C(n_20760),
+	.D(n_20755),
+	.Y(n_26595), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881947 (
+	.A(n_20753),
+	.B(n_20754),
+	.C(n_20758),
+	.D(n_20756),
+	.Y(n_26594), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881948 (
+	.A(n_20747),
+	.B(n_20751),
+	.C(n_25002),
+	.D(n_20745),
+	.Y(n_26593), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881949 (
+	.A(n_23619),
+	.B(n_20750),
+	.C(n_20746),
+	.D(n_20748),
+	.Y(n_26592), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881950 (
+	.A(n_20743),
+	.B(n_20742),
+	.C(n_20738),
+	.D(n_20740),
+	.Y(n_26591), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881951 (
+	.A(n_20729),
+	.B(n_20736),
+	.C(n_20719),
+	.D(n_20723),
+	.Y(n_26590), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881952 (
+	.A(n_20739),
+	.B(n_24076),
+	.C(n_20741),
+	.D(n_20735),
+	.Y(n_26589), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881953 (
+	.A(n_24022),
+	.B(n_24525),
+	.C(n_20450),
+	.D(n_20734),
+	.Y(n_26588), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881954 (
+	.A(n_20730),
+	.B(n_20727),
+	.C(n_20724),
+	.D(n_20725),
+	.Y(n_26587), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881955 (
+	.A(n_20684),
+	.B(n_20706),
+	.C(n_20721),
+	.D(n_20673),
+	.Y(n_26586), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881956 (
+	.A(n_20728),
+	.B(n_20731),
+	.C(n_20732),
+	.D(n_20726),
+	.Y(n_26585), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881957 (
+	.A(n_23198),
+	.B(n_23681),
+	.C(n_20720),
+	.D(n_22490),
+	.Y(n_26584), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881958 (
+	.A(n_20693),
+	.B(n_20698),
+	.C(n_20708),
+	.D(n_20680),
+	.Y(n_26583), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881959 (
+	.A(n_20715),
+	.B(n_20712),
+	.C(n_20525),
+	.D(n_20714),
+	.Y(n_26582), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881960 (
+	.A(n_20710),
+	.B(n_20538),
+	.C(n_20705),
+	.D(n_20709),
+	.Y(n_26581), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881961 (
+	.A(n_20702),
+	.B(n_20701),
+	.C(n_20695),
+	.D(n_20699),
+	.Y(n_26580), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881962 (
+	.A(n_20704),
+	.B(n_21990),
+	.C(n_20700),
+	.D(n_20703),
+	.Y(n_26579), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881963 (
+	.A(n_22677),
+	.B(n_20694),
+	.C(n_20692),
+	.D(n_20696),
+	.Y(n_26578), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881964 (
+	.A(n_20685),
+	.B(n_24032),
+	.C(n_20682),
+	.D(n_20688),
+	.Y(n_26577), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881965 (
+	.A(n_20690),
+	.B(n_20687),
+	.C(n_20686),
+	.D(n_20689),
+	.Y(n_26576), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881966 (
+	.A(n_20057),
+	.B(n_20679),
+	.C(n_20683),
+	.D(n_20681),
+	.Y(n_26575), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881967 (
+	.A(n_20253),
+	.B(n_20678),
+	.C(n_20676),
+	.D(n_20671),
+	.Y(n_26574), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881968 (
+	.A(n_20675),
+	.B(n_20187),
+	.C(n_20677),
+	.D(n_20674),
+	.Y(n_26573), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881969 (
+	.A(n_20668),
+	.B(n_20672),
+	.C(n_20670),
+	.D(n_20667),
+	.Y(n_26572), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881970 (
+	.A(n_20661),
+	.B(n_20666),
+	.C(n_20663),
+	.D(n_20658),
+	.Y(n_26571), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881971 (
+	.A(n_20662),
+	.B(n_20664),
+	.C(n_20665),
+	.D(n_20660),
+	.Y(n_26570), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881972 (
+	.A(n_20626),
+	.B(n_20654),
+	.C(n_20546),
+	.D(n_20649),
+	.Y(n_26569), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881973 (
+	.A(n_20620),
+	.B(n_20584),
+	.C(n_20669),
+	.D(n_20646),
+	.Y(n_26568), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881974 (
+	.A(n_20653),
+	.B(n_20655),
+	.C(n_20551),
+	.D(n_20652),
+	.Y(n_26567), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881975 (
+	.A(n_21394),
+	.B(n_20615),
+	.C(n_20718),
+	.D(n_20950),
+	.Y(n_26566), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881976 (
+	.A(n_20648),
+	.B(n_20650),
+	.C(n_20651),
+	.D(n_20647),
+	.Y(n_26565), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881977 (
+	.A(n_20804),
+	.B(n_20644),
+	.C(n_20645),
+	.D(n_20642),
+	.Y(n_26564), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881978 (
+	.A(n_20635),
+	.B(n_20637),
+	.C(n_20945),
+	.D(n_20632),
+	.Y(n_26563), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881979 (
+	.A(n_20638),
+	.B(n_20639),
+	.C(n_20640),
+	.D(n_20636),
+	.Y(n_26562), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881980 (
+	.A(n_20631),
+	.B(n_20630),
+	.C(n_20628),
+	.D(n_21338),
+	.Y(n_26561), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881981 (
+	.A(n_20625),
+	.B(n_20624),
+	.C(n_21439),
+	.D(n_20623),
+	.Y(n_26560), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881982 (
+	.A(n_21460),
+	.B(n_20619),
+	.C(n_20617),
+	.D(n_20618),
+	.Y(n_26559), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881983 (
+	.A(n_21504),
+	.B(n_20616),
+	.C(n_20611),
+	.D(n_20612),
+	.Y(n_26558), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881984 (
+	.A(n_20614),
+	.B(n_20613),
+	.C(n_20610),
+	.D(n_21662),
+	.Y(n_26557), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881985 (
+	.A(n_20591),
+	.B(n_20583),
+	.C(n_20609),
+	.D(n_20599),
+	.Y(n_26556), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881986 (
+	.A(n_22140),
+	.B(n_22142),
+	.C(n_22145),
+	.D(n_22138),
+	.Y(n_26555), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881987 (
+	.A(n_20603),
+	.B(n_20606),
+	.C(n_20596),
+	.D(n_20602),
+	.Y(n_26554), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881988 (
+	.A(n_20601),
+	.B(n_20597),
+	.C(n_20600),
+	.D(n_20598),
+	.Y(n_26553), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881989 (
+	.A(n_22976),
+	.B(n_22983),
+	.C(n_20588),
+	.D(n_20578),
+	.Y(n_26552), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881990 (
+	.A(n_20595),
+	.B(n_22013),
+	.C(n_21967),
+	.D(n_20592),
+	.Y(n_26551), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881991 (
+	.A(n_20590),
+	.B(n_20594),
+	.C(n_20593),
+	.D(n_20586),
+	.Y(n_26550), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881992 (
+	.A(n_22080),
+	.B(n_20585),
+	.C(n_20589),
+	.D(n_20587),
+	.Y(n_26549), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881993 (
+	.A(n_20576),
+	.B(n_20582),
+	.C(n_20579),
+	.D(n_22365),
+	.Y(n_26548), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881994 (
+	.A(n_20566),
+	.B(n_20574),
+	.C(n_25005),
+	.D(n_20562),
+	.Y(n_26547), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881995 (
+	.A(n_20573),
+	.B(n_20572),
+	.C(n_20571),
+	.D(n_22549),
+	.Y(n_26546), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881996 (
+	.A(n_22637),
+	.B(n_20569),
+	.C(n_20567),
+	.D(n_20568),
+	.Y(n_26545), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881997 (
+	.A(n_20565),
+	.B(n_25406),
+	.C(n_25395),
+	.D(n_20564),
+	.Y(n_26544), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881998 (
+	.A(n_23796),
+	.B(n_20548),
+	.C(n_20697),
+	.D(n_20532),
+	.Y(n_26543), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g881999 (
+	.A(n_20556),
+	.B(n_20561),
+	.C(n_20558),
+	.D(n_20559),
+	.Y(n_26542), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g882000 (
+	.A(n_20547),
+	.B(n_20549),
+	.C(n_20550),
+	.D(n_20545),
+	.Y(n_26541), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g882001 (
+	.A(n_20541),
+	.B(n_20552),
+	.C(n_23480),
+	.D(n_20544),
+	.Y(n_26540), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g882002 (
+	.A(n_20536),
+	.B(n_23851),
+	.C(n_20540),
+	.D(n_24596),
+	.Y(n_26539), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g882003 (
+	.A(n_23471),
+	.B(n_23466),
+	.C(n_20543),
+	.D(n_23657),
+	.Y(n_26538), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g882004 (
+	.A(n_23760),
+	.B(n_24125),
+	.C(n_23621),
+	.D(n_23773),
+	.Y(n_26537), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g882005 (
+	.A(n_23837),
+	.B(n_20537),
+	.C(n_24195),
+	.D(n_20535),
+	.Y(n_26536), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g882006 (
+	.A(n_20533),
+	.B(n_24468),
+	.C(n_24705),
+	.D(n_24600),
+	.Y(n_26535), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g882007 (
+	.A(n_24817),
+	.B(n_24732),
+	.C(n_20530),
+	.D(n_20527),
+	.Y(n_26534), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g882008 (
+	.A(n_21715),
+	.B(n_20498),
+	.C(n_20524),
+	.D(n_22345),
+	.Y(n_26533), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g882009 (
+	.A(n_25122),
+	.B(n_25042),
+	.C(n_24964),
+	.D(n_20523),
+	.Y(n_26532), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g882010 (
+	.A(n_25030),
+	.B(n_20528),
+	.C(n_24712),
+	.D(n_20033),
+	.Y(n_26531), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g882011 (
+	.A(n_21518),
+	.B(n_20803),
+	.C(n_20520),
+	.D(n_20516),
+	.Y(n_26530), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g882012 (
+	.A(n_22353),
+	.B(n_22696),
+	.C(n_25401),
+	.D(n_22739),
+	.Y(n_26529), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g882013 (
+	.A(n_23189),
+	.B(n_23779),
+	.C(n_20507),
+	.D(n_22970),
+	.Y(n_26528), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g882014 (
+	.A(n_23376),
+	.B(n_22856),
+	.C(n_20509),
+	.D(n_20513),
+	.Y(n_26527), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g882015 (
+	.A(n_20470),
+	.B(n_20504),
+	.C(n_22765),
+	.D(n_20447),
+	.Y(n_26526), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g882016 (
+	.A(n_20479),
+	.B(n_20501),
+	.C(n_20508),
+	.D(n_22273),
+	.Y(n_26525), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g882017 (
+	.A(n_24410),
+	.B(n_23742),
+	.C(n_23884),
+	.D(n_20506),
+	.Y(n_26524), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g882018 (
+	.A(n_20500),
+	.B(n_20499),
+	.C(n_20495),
+	.D(n_24747),
+	.Y(n_26523), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g882019 (
+	.A(n_20497),
+	.B(n_20503),
+	.C(n_20502),
+	.D(n_20496),
+	.Y(n_26522), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g882020 (
+	.A(n_20488),
+	.B(n_20491),
+	.C(n_25039),
+	.D(n_20485),
+	.Y(n_26521), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g882021 (
+	.A(n_22362),
+	.B(n_20489),
+	.C(n_20486),
+	.D(n_20487),
+	.Y(n_26520), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g882022 (
+	.A(n_20478),
+	.B(n_20481),
+	.C(n_20483),
+	.D(n_20475),
+	.Y(n_26519), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g882023 (
+	.A(n_20484),
+	.B(n_22060),
+	.C(n_20480),
+	.D(n_24614),
+	.Y(n_26518), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g882024 (
+	.A(n_22737),
+	.B(n_20477),
+	.C(n_20474),
+	.D(n_20476),
+	.Y(n_26517), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g882025 (
+	.A(n_20471),
+	.B(n_20331),
+	.C(n_24435),
+	.D(n_20473),
+	.Y(n_26516), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g882026 (
+	.A(n_20482),
+	.B(n_20448),
+	.C(n_24865),
+	.D(n_20494),
+	.Y(n_26515), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g882027 (
+	.A(n_20467),
+	.B(n_20512),
+	.C(n_20472),
+	.D(n_20464),
+	.Y(n_26514), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g882028 (
+	.A(n_20469),
+	.B(n_20529),
+	.C(n_20465),
+	.D(n_20468),
+	.Y(n_26513), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g882029 (
+	.A(n_20457),
+	.B(n_20459),
+	.C(n_20925),
+	.D(n_20454),
+	.Y(n_26512), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g882030 (
+	.A(n_20463),
+	.B(n_20462),
+	.C(n_20460),
+	.D(n_21903),
+	.Y(n_26511), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g882031 (
+	.A(n_21282),
+	.B(n_20456),
+	.C(n_20455),
+	.D(n_20458),
+	.Y(n_26510), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g882032 (
+	.A(n_20425),
+	.B(n_20441),
+	.C(n_22586),
+	.D(n_20415),
+	.Y(n_26509), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g882033 (
+	.A(n_20461),
+	.B(n_20466),
+	.C(n_20449),
+	.D(n_20453),
+	.Y(n_26508), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g882034 (
+	.A(n_23100),
+	.B(n_20446),
+	.C(n_20444),
+	.D(n_23204),
+	.Y(n_26507), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g882035 (
+	.A(n_20435),
+	.B(n_20440),
+	.C(n_20421),
+	.D(n_20397),
+	.Y(n_26506), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g882036 (
+	.A(n_20443),
+	.B(n_20442),
+	.C(n_20438),
+	.D(n_23981),
+	.Y(n_26505), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g882037 (
+	.A(n_20431),
+	.B(n_20436),
+	.C(n_22367),
+	.D(n_20434),
+	.Y(n_26504), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g882038 (
+	.A(n_20429),
+	.B(n_20432),
+	.C(n_20433),
+	.D(n_20426),
+	.Y(n_26503), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g882039 (
+	.A(n_23647),
+	.B(n_20423),
+	.C(n_20424),
+	.D(n_20419),
+	.Y(n_26502), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g882040 (
+	.A(n_20412),
+	.B(n_20414),
+	.C(n_20417),
+	.D(n_20410),
+	.Y(n_26501), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g882041 (
+	.A(n_20539),
+	.B(n_20416),
+	.C(n_20413),
+	.D(n_21117),
+	.Y(n_26500), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g882042 (
+	.A(n_22483),
+	.B(n_20389),
+	.C(n_24555),
+	.D(n_20323),
+	.Y(n_26499), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g882043 (
+	.A(n_23646),
+	.B(n_23633),
+	.C(n_20409),
+	.D(n_20411),
+	.Y(n_26498), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g882044 (
+	.A(n_20515),
+	.B(n_20393),
+	.C(n_20408),
+	.D(n_24318),
+	.Y(n_26497), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g882045 (
+	.A(n_20407),
+	.B(n_20835),
+	.C(n_20404),
+	.D(n_20406),
+	.Y(n_26496), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g882046 (
+	.A(n_20402),
+	.B(n_20400),
+	.C(n_20398),
+	.D(n_20399),
+	.Y(n_26495), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g882047 (
+	.A(n_22712),
+	.B(n_20384),
+	.C(n_20396),
+	.D(n_20352),
+	.Y(n_26494), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g882048 (
+	.A(n_20392),
+	.B(n_21513),
+	.C(n_20390),
+	.D(n_20394),
+	.Y(n_26493), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g882049 (
+	.A(n_23682),
+	.B(n_24124),
+	.C(n_20385),
+	.D(n_20386),
+	.Y(n_26492), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g882050 (
+	.A(n_20382),
+	.B(n_20388),
+	.C(n_20380),
+	.D(n_20387),
+	.Y(n_26491), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g882051 (
+	.A(n_20383),
+	.B(n_20381),
+	.C(n_20378),
+	.D(n_20379),
+	.Y(n_26490), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g882052 (
+	.A(n_20368),
+	.B(n_20375),
+	.C(n_20374),
+	.D(n_20365),
+	.Y(n_26489), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g882053 (
+	.A(n_20377),
+	.B(n_20373),
+	.C(n_20372),
+	.D(n_20376),
+	.Y(n_26488), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g882054 (
+	.A(n_20371),
+	.B(n_20367),
+	.C(n_20366),
+	.D(n_20369),
+	.Y(n_26487), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g882055 (
+	.A(n_20357),
+	.B(n_20363),
+	.C(n_20361),
+	.D(n_24269),
+	.Y(n_26486), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g882056 (
+	.A(n_22846),
+	.B(n_20362),
+	.C(n_20359),
+	.D(n_20360),
+	.Y(n_26485), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g882057 (
+	.A(n_20354),
+	.B(n_24028),
+	.C(n_20355),
+	.D(n_20356),
+	.Y(n_26484), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g882058 (
+	.A(n_20347),
+	.B(n_20350),
+	.C(n_20334),
+	.D(n_24956),
+	.Y(n_26483), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g882059 (
+	.A(n_24509),
+	.B(n_20353),
+	.C(n_20351),
+	.D(n_25167),
+	.Y(n_26482), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g882060 (
+	.A(n_20364),
+	.B(n_21341),
+	.C(n_20058),
+	.D(n_20358),
+	.Y(n_26481), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g882061 (
+	.A(n_20342),
+	.B(n_20340),
+	.C(n_20339),
+	.D(n_24260),
+	.Y(n_26480), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g882062 (
+	.A(n_20304),
+	.B(n_20322),
+	.C(n_20338),
+	.D(n_24257),
+	.Y(n_26479), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g882063 (
+	.A(n_20343),
+	.B(n_20345),
+	.C(n_21412),
+	.D(n_20341),
+	.Y(n_26478), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g882064 (
+	.A(n_20330),
+	.B(n_20329),
+	.C(n_20327),
+	.D(n_20328),
+	.Y(n_26477), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g882065 (
+	.A(n_20316),
+	.B(n_20325),
+	.C(n_23199),
+	.D(n_20321),
+	.Y(n_26476), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g882066 (
+	.A(n_20326),
+	.B(n_20324),
+	.C(n_20320),
+	.D(n_22058),
+	.Y(n_26475), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g882067 (
+	.A(n_20303),
+	.B(n_21051),
+	.C(n_20318),
+	.D(n_20295),
+	.Y(n_26474), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g882068 (
+	.A(n_20319),
+	.B(n_20317),
+	.C(n_20314),
+	.D(n_20315),
+	.Y(n_26473), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g882069 (
+	.A(n_20307),
+	.B(n_20312),
+	.C(n_20313),
+	.D(n_23741),
+	.Y(n_26472), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g882070 (
+	.A(n_21502),
+	.B(n_20310),
+	.C(n_20309),
+	.D(n_20311),
+	.Y(n_26471), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g882071 (
+	.A(n_20306),
+	.B(n_20305),
+	.C(n_20302),
+	.D(n_24264),
+	.Y(n_26470), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g882072 (
+	.A(n_21064),
+	.B(n_20298),
+	.C(n_20301),
+	.D(n_20291),
+	.Y(n_26469), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g882073 (
+	.A(n_20300),
+	.B(n_20299),
+	.C(n_20296),
+	.D(n_20297),
+	.Y(n_26468), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g882074 (
+	.A(n_20293),
+	.B(n_20292),
+	.C(n_20289),
+	.D(n_20290),
+	.Y(n_26467), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g882075 (
+	.A(n_20267),
+	.B(n_20294),
+	.C(n_20269),
+	.D(n_23098),
+	.Y(n_26466), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g882076 (
+	.A(n_20285),
+	.B(n_20284),
+	.C(n_21744),
+	.D(n_20283),
+	.Y(n_26465), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g882077 (
+	.A(n_20247),
+	.B(n_20272),
+	.C(n_23554),
+	.D(n_20232),
+	.Y(n_26464), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g882078 (
+	.A(n_20276),
+	.B(n_20279),
+	.C(n_20282),
+	.D(n_22296),
+	.Y(n_26463), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g882079 (
+	.A(n_20277),
+	.B(n_20281),
+	.C(n_20278),
+	.D(n_20280),
+	.Y(n_26462), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g882080 (
+	.A(n_20266),
+	.B(n_25305),
+	.C(n_23683),
+	.D(n_20275),
+	.Y(n_26461), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g882081 (
+	.A(n_25675),
+	.B(n_20244),
+	.C(n_20238),
+	.Y(n_26460), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g882082 (
+	.A(n_20258),
+	.B(n_20263),
+	.C(n_20522),
+	.D(n_20259),
+	.Y(n_26459), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g882083 (
+	.A(n_25399),
+	.B(n_20254),
+	.C(n_20246),
+	.D(n_21271),
+	.Y(n_26458), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g882084 (
+	.A(n_20262),
+	.B(n_20261),
+	.C(n_20260),
+	.D(n_25416),
+	.Y(n_26457), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g882085 (
+	.A(n_21689),
+	.B(n_20257),
+	.C(n_20255),
+	.D(n_20256),
+	.Y(n_26456), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g882086 (
+	.A(n_20252),
+	.B(n_20251),
+	.C(n_20249),
+	.D(n_24266),
+	.Y(n_26455), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g882087 (
+	.A(n_25219),
+	.B(n_24270),
+	.C(n_20243),
+	.D(n_23987),
+	.Y(n_26454), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g882088 (
+	.A(n_20241),
+	.B(n_20239),
+	.C(n_22907),
+	.D(n_20237),
+	.Y(n_26453), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g882089 (
+	.A(n_20223),
+	.B(n_20234),
+	.C(n_20214),
+	.D(n_20218),
+	.Y(n_26452), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g882090 (
+	.A(n_20229),
+	.B(n_20231),
+	.C(n_20225),
+	.D(n_20228),
+	.Y(n_26451), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g882091 (
+	.A(n_20236),
+	.B(n_20235),
+	.C(n_20233),
+	.D(n_20346),
+	.Y(n_26450), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g882092 (
+	.A(n_20956),
+	.B(n_20230),
+	.C(n_20226),
+	.D(n_20227),
+	.Y(n_26449), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g882093 (
+	.A(n_20182),
+	.B(n_20190),
+	.C(n_20212),
+	.D(n_20205),
+	.Y(n_26448), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g882094 (
+	.A(n_20222),
+	.B(n_20717),
+	.C(n_20216),
+	.D(n_20217),
+	.Y(n_26447), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g882095 (
+	.A(n_21608),
+	.B(n_21968),
+	.C(n_20221),
+	.D(n_20224),
+	.Y(n_26446), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g882096 (
+	.A(n_20220),
+	.B(n_22826),
+	.C(n_20215),
+	.D(n_20219),
+	.Y(n_26445), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g882097 (
+	.A(n_20211),
+	.B(n_20207),
+	.C(n_20203),
+	.D(n_20206),
+	.Y(n_26444), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g882098 (
+	.A(n_21611),
+	.B(n_20213),
+	.C(n_20210),
+	.D(n_24326),
+	.Y(n_26443), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g882099 (
+	.A(n_20204),
+	.B(n_20209),
+	.C(n_21610),
+	.D(n_20208),
+	.Y(n_26442), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g882100 (
+	.A(n_20200),
+	.B(n_20196),
+	.C(n_20186),
+	.D(n_22824),
+	.Y(n_26441), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g882101 (
+	.A(n_20202),
+	.B(n_20201),
+	.C(n_20198),
+	.D(n_21875),
+	.Y(n_26440), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g882102 (
+	.A(n_20199),
+	.B(n_20197),
+	.C(n_20191),
+	.D(n_20195),
+	.Y(n_26439), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g882103 (
+	.A(n_23928),
+	.B(n_23499),
+	.C(n_20188),
+	.D(n_20189),
+	.Y(n_26438), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g882104 (
+	.A(n_20185),
+	.B(n_20184),
+	.C(n_20181),
+	.D(n_20183),
+	.Y(n_26437), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g882105 (
+	.A(n_20174),
+	.B(n_20180),
+	.C(n_20168),
+	.D(n_20171),
+	.Y(n_26436), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g882106 (
+	.A(n_20179),
+	.B(n_20178),
+	.C(n_20175),
+	.D(n_20177),
+	.Y(n_26435), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g882107 (
+	.A(n_20127),
+	.B(n_20176),
+	.C(n_20142),
+	.D(n_20162),
+	.Y(n_26434), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g882108 (
+	.A(n_20173),
+	.B(n_20172),
+	.C(n_20169),
+	.D(n_20170),
+	.Y(n_26433), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g882109 (
+	.A(n_20166),
+	.B(n_20165),
+	.C(n_20164),
+	.D(n_20163),
+	.Y(n_26432), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g882110 (
+	.A(n_20151),
+	.B(n_20144),
+	.C(n_20167),
+	.D(n_20160),
+	.Y(n_26431), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g882111 (
+	.A(n_20152),
+	.B(n_20158),
+	.C(n_20161),
+	.D(n_20149),
+	.Y(n_26430), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g882112 (
+	.A(n_20159),
+	.B(n_20157),
+	.C(n_20155),
+	.D(n_20156),
+	.Y(n_26429), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g882113 (
+	.A(n_20154),
+	.B(n_20153),
+	.C(n_20148),
+	.D(n_20150),
+	.Y(n_26428), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g882114 (
+	.A(n_20147),
+	.B(n_20146),
+	.C(n_20143),
+	.D(n_20145),
+	.Y(n_26427), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g882115 (
+	.A(n_20141),
+	.B(n_20138),
+	.C(n_20130),
+	.D(n_20132),
+	.Y(n_26426), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g882116 (
+	.A(n_20140),
+	.B(n_20139),
+	.C(n_20136),
+	.D(n_20137),
+	.Y(n_26425), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g882117 (
+	.A(n_20122),
+	.B(n_20117),
+	.C(n_20134),
+	.D(n_20110),
+	.Y(n_26424), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g882118 (
+	.A(n_20135),
+	.B(n_20133),
+	.C(n_20129),
+	.D(n_20131),
+	.Y(n_26423), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g882119 (
+	.A(n_20128),
+	.B(n_20126),
+	.C(n_20124),
+	.D(n_20125),
+	.Y(n_26422), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g882120 (
+	.A(n_20121),
+	.B(n_20123),
+	.C(n_20114),
+	.D(n_20116),
+	.Y(n_26421), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g882121 (
+	.A(n_20120),
+	.B(n_20119),
+	.C(n_20115),
+	.D(n_20118),
+	.Y(n_26420), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g882122 (
+	.A(n_20113),
+	.B(n_20112),
+	.C(n_20108),
+	.D(n_20111),
+	.Y(n_26419), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g882123 (
+	.A(n_20104),
+	.B(n_20107),
+	.C(n_20098),
+	.D(n_20101),
+	.Y(n_26418), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g882124 (
+	.A(n_20109),
+	.B(n_20095),
+	.C(n_20080),
+	.D(n_20070),
+	.Y(n_26417), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g882125 (
+	.A(n_20106),
+	.B(n_20105),
+	.C(n_20102),
+	.D(n_20103),
+	.Y(n_26416), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g882126 (
+	.A(n_20099),
+	.B(n_20097),
+	.C(n_20094),
+	.D(n_20096),
+	.Y(n_26415), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g882127 (
+	.A(n_20077),
+	.B(n_20084),
+	.C(n_20100),
+	.D(n_20093),
+	.Y(n_26414), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g882128 (
+	.A(n_20091),
+	.B(n_20090),
+	.C(n_20082),
+	.D(n_20086),
+	.Y(n_26413), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g882129 (
+	.A(n_20089),
+	.B(n_20088),
+	.C(n_20085),
+	.D(n_20087),
+	.Y(n_26412), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g882130 (
+	.A(n_20083),
+	.B(n_20081),
+	.C(n_20078),
+	.D(n_20079),
+	.Y(n_26411), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g882131 (
+	.A(n_20063),
+	.B(n_20045),
+	.C(n_20050),
+	.D(n_20067),
+	.Y(n_26410), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g882132 (
+	.A(n_20068),
+	.B(n_20075),
+	.C(n_20076),
+	.D(n_20066),
+	.Y(n_26409), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g882133 (
+	.A(n_20074),
+	.B(n_20073),
+	.C(n_20069),
+	.D(n_20071),
+	.Y(n_26408), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g882134 (
+	.A(n_20065),
+	.B(n_20064),
+	.C(n_20060),
+	.D(n_20062),
+	.Y(n_26407), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g882135 (
+	.A(n_20056),
+	.B(n_20061),
+	.C(n_20049),
+	.D(n_20052),
+	.Y(n_26406), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g882136 (
+	.A(n_20028),
+	.B(n_20042),
+	.C(n_20002),
+	.D(n_20013),
+	.Y(n_26405), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g882137 (
+	.A(n_20055),
+	.B(n_20054),
+	.C(n_20051),
+	.D(n_20053),
+	.Y(n_26404), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g882138 (
+	.A(n_20048),
+	.B(n_20044),
+	.C(n_20047),
+	.D(n_20043),
+	.Y(n_26403), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g882139 (
+	.A(n_20041),
+	.B(n_20040),
+	.C(n_20032),
+	.D(n_20034),
+	.Y(n_26402), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g882140 (
+	.A(n_20039),
+	.B(n_20038),
+	.C(n_20035),
+	.D(n_20037),
+	.Y(n_26401), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g882141 (
+	.A(n_20012),
+	.B(n_20018),
+	.C(n_20036),
+	.D(n_20027),
+	.Y(n_26400), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g882142 (
+	.A(n_20031),
+	.B(n_20030),
+	.C(n_20026),
+	.D(n_20029),
+	.Y(n_26399), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g882143 (
+	.A(n_20024),
+	.B(n_20025),
+	.C(n_20017),
+	.D(n_20020),
+	.Y(n_26398), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g882144 (
+	.A(n_20023),
+	.B(n_20022),
+	.C(n_20019),
+	.D(n_20021),
+	.Y(n_26397), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g882145 (
+	.A(n_20016),
+	.B(n_20015),
+	.C(n_20010),
+	.D(n_20014),
+	.Y(n_26396), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g882146 (
+	.A(n_20009),
+	.B(n_20011),
+	.C(n_19999),
+	.D(n_20004),
+	.Y(n_26395), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g882147 (
+	.A(n_25173),
+	.B(n_19996),
+	.C(n_20001),
+	.D(n_25169),
+	.Y(n_26394), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g882148 (
+	.A(n_20008),
+	.B(n_20007),
+	.C(n_20003),
+	.D(n_20006),
+	.Y(n_26393), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g882149 (
+	.A(n_20000),
+	.B(n_19998),
+	.C(n_19995),
+	.D(n_19997),
+	.Y(n_26392), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g882150 (
+	.A(n_25171),
+	.B(n_25174),
+	.C(n_19994),
+	.D(n_25170),
+	.Y(n_26391), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g882151 (
+	.A1(n_19911),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [45]),
+	.B1(n_19918),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1149]),
+	.C1(n_25561),
+	.Y(n_26390), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882152 (
+	.A(n_26189),
+	.B(n_26190),
+	.X(n_26389), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g882153 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [767]),
+	.A2(n_13663),
+	.B1(n_19915),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [905]),
+	.C1(n_19916),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [928]),
+	.Y(n_26388), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g882154 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [767]),
+	.A2(n_13663),
+	.B1(n_19915),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [905]),
+	.C1(n_19916),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [928]),
+	.Y(n_26387), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g882155 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [766]),
+	.A2(n_13663),
+	.B1(n_19915),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [904]),
+	.C1(n_19916),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [927]),
+	.Y(n_26386), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g882156 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [766]),
+	.A2(n_13663),
+	.B1(n_19915),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [904]),
+	.C1(n_19916),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [927]),
+	.Y(n_26385), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g882157 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [765]),
+	.A2(n_13663),
+	.B1(n_19915),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [903]),
+	.C1(n_19916),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [926]),
+	.Y(n_26384), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g882158 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [765]),
+	.A2(n_13663),
+	.B1(n_19915),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [903]),
+	.C1(n_19916),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [926]),
+	.Y(n_26383), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g882159 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [764]),
+	.A2(n_13663),
+	.B1(n_19915),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [902]),
+	.C1(n_19916),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [925]),
+	.Y(n_26382), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g882160 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [764]),
+	.A2(n_13663),
+	.B1(n_19915),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [902]),
+	.C1(n_19916),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [925]),
+	.Y(n_26381), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882161 (
+	.A(n_26181),
+	.B(n_26185),
+	.X(n_26380), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882162 (
+	.A(n_26184),
+	.B(n_26186),
+	.X(n_26379), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882163 (
+	.A(n_26182),
+	.B(n_26183),
+	.X(n_26378), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882164 (
+	.A(n_26180),
+	.B(n_26187),
+	.X(n_26377), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882165 (
+	.A(n_26178),
+	.B(n_26179),
+	.X(n_26376), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882166 (
+	.A(n_26175),
+	.B(n_26177),
+	.X(n_26375), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882167 (
+	.A(n_26172),
+	.B(n_26173),
+	.X(n_26374), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882168 (
+	.A(n_26174),
+	.B(n_26176),
+	.X(n_26373), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882169 (
+	.A(n_26170),
+	.B(n_26171),
+	.X(n_26372), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882170 (
+	.A(n_26166),
+	.B(n_26169),
+	.X(n_26371), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882171 (
+	.A(n_26167),
+	.B(n_26168),
+	.X(n_26370), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882172 (
+	.A(n_26163),
+	.B(n_26165),
+	.X(n_26369), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882173 (
+	.A(n_26160),
+	.B(n_26161),
+	.X(n_26368), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882174 (
+	.A(n_26159),
+	.B(n_26164),
+	.X(n_26367), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882175 (
+	.A(n_26155),
+	.B(n_26158),
+	.X(n_26366), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882176 (
+	.A(n_26151),
+	.B(n_26154),
+	.X(n_26365), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882177 (
+	.A(n_26149),
+	.B(n_26156),
+	.X(n_26364), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882178 (
+	.A(n_26152),
+	.B(n_26153),
+	.X(n_26363), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g882179 (
+	.A1(n_19407),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [509]),
+	.B1(n_19406),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [511]),
+	.Y(n_26362), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882180 (
+	.A(n_26145),
+	.B(n_26147),
+	.X(n_26361), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882181 (
+	.A(n_26146),
+	.B(n_26148),
+	.X(n_26360), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882182 (
+	.A(n_26143),
+	.B(n_26144),
+	.X(n_26359), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882183 (
+	.A(n_26139),
+	.B(n_26141),
+	.X(n_26358), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882184 (
+	.A(n_26140),
+	.B(n_26142),
+	.X(n_26357), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882185 (
+	.A(n_26137),
+	.B(n_26138),
+	.X(n_26356), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882186 (
+	.A(n_26125),
+	.B(n_26136),
+	.X(n_26355), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882187 (
+	.A(n_26133),
+	.B(n_26134),
+	.X(n_26354), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882188 (
+	.A(n_26130),
+	.B(n_26135),
+	.X(n_26353), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882189 (
+	.A(n_26131),
+	.B(n_26132),
+	.X(n_26352), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882190 (
+	.A(n_26117),
+	.B(n_26124),
+	.X(n_26351), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882191 (
+	.A(n_26128),
+	.B(n_26129),
+	.X(n_26350), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882192 (
+	.A(n_26126),
+	.B(n_26127),
+	.X(n_26349), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g882193 (
+	.A1(n_19405),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [257]),
+	.B1(n_19404),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [259]),
+	.Y(n_26348), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882194 (
+	.A(n_26121),
+	.B(n_26123),
+	.X(n_26347), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g882195 (
+	.A(n_26120),
+	.B(n_26122),
+	.Y(n_26346), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882196 (
+	.A(n_26112),
+	.B(n_26115),
+	.X(n_26345), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882197 (
+	.A(n_26118),
+	.B(n_26119),
+	.X(n_26344), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882198 (
+	.A(n_26114),
+	.B(n_26116),
+	.X(n_26343), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882199 (
+	.A(n_26110),
+	.B(n_26111),
+	.X(n_26342), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882200 (
+	.A(n_26100),
+	.B(n_26107),
+	.X(n_26341), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882201 (
+	.A(n_26108),
+	.B(n_26109),
+	.X(n_26340), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882202 (
+	.A(n_26105),
+	.B(n_26106),
+	.X(n_26339), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882203 (
+	.A(n_26103),
+	.B(n_26104),
+	.X(n_26338), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882205 (
+	.A(n_26090),
+	.B(n_26098),
+	.X(n_26336), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882206 (
+	.A(n_26096),
+	.B(n_26099),
+	.X(n_26335), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882207 (
+	.A(n_26094),
+	.B(n_26095),
+	.X(n_26334), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882208 (
+	.A(n_26091),
+	.B(n_26092),
+	.X(n_26333), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882209 (
+	.A(n_26088),
+	.B(n_26089),
+	.X(n_26332), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882210 (
+	.A(n_26084),
+	.B(n_26086),
+	.X(n_26331), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882211 (
+	.A(n_26083),
+	.B(n_26085),
+	.X(n_26330), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882212 (
+	.A(n_26081),
+	.B(n_26082),
+	.X(n_26329), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882213 (
+	.A(n_26077),
+	.B(n_26078),
+	.X(n_26328), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882214 (
+	.A(n_26087),
+	.B(n_26093),
+	.X(n_26327), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882215 (
+	.A(n_26079),
+	.B(n_26080),
+	.X(n_26326), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882216 (
+	.A(n_26075),
+	.B(n_26076),
+	.X(n_26325), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882217 (
+	.A(n_26058),
+	.B(n_26072),
+	.X(n_26324), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882218 (
+	.A(n_26068),
+	.B(n_26074),
+	.X(n_26323), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882219 (
+	.A(n_26071),
+	.B(n_26073),
+	.X(n_26322), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882220 (
+	.A(n_26069),
+	.B(n_26070),
+	.X(n_26321), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882221 (
+	.A(n_26066),
+	.B(n_26067),
+	.X(n_26320), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882222 (
+	.A(n_26037),
+	.B(n_26053),
+	.X(n_26319), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882223 (
+	.A(n_26064),
+	.B(n_26065),
+	.X(n_26318), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882224 (
+	.A(n_26055),
+	.B(n_26061),
+	.X(n_26317), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882225 (
+	.A(n_26060),
+	.B(n_26062),
+	.X(n_26316), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882226 (
+	.A(n_26057),
+	.B(n_26059),
+	.X(n_26315), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882227 (
+	.A(n_26054),
+	.B(n_26056),
+	.X(n_26314), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882228 (
+	.A(n_26051),
+	.B(n_26052),
+	.X(n_26313), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882229 (
+	.A(n_26048),
+	.B(n_26049),
+	.X(n_26312), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882230 (
+	.A(n_26046),
+	.B(n_26047),
+	.X(n_26311), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882231 (
+	.A(n_26045),
+	.B(n_26050),
+	.X(n_26310), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882232 (
+	.A(n_26042),
+	.B(n_26044),
+	.X(n_26309), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882233 (
+	.A(n_26040),
+	.B(n_26041),
+	.X(n_26308), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882234 (
+	.A(n_26039),
+	.B(n_26043),
+	.X(n_26307), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882235 (
+	.A(n_26026),
+	.B(n_26036),
+	.X(n_26306), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882236 (
+	.A(n_26034),
+	.B(n_26028),
+	.X(n_26305), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882237 (
+	.A(n_26035),
+	.B(n_26038),
+	.X(n_26304), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882238 (
+	.A(n_26032),
+	.B(n_26033),
+	.X(n_26303), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882239 (
+	.A(n_26030),
+	.B(n_26031),
+	.X(n_26302), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882240 (
+	.A(n_26029),
+	.B(n_26027),
+	.X(n_26301), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882241 (
+	.A(n_26010),
+	.B(n_26023),
+	.X(n_26300), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882242 (
+	.A(n_26019),
+	.B(n_26025),
+	.X(n_26299), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882243 (
+	.A(n_26022),
+	.B(n_26024),
+	.X(n_26298), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882244 (
+	.A(n_26020),
+	.B(n_26021),
+	.X(n_26297), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882245 (
+	.A(n_26013),
+	.B(n_26015),
+	.X(n_26296), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882246 (
+	.A(n_26016),
+	.B(n_26018),
+	.X(n_26295), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882247 (
+	.A(n_26014),
+	.B(n_26017),
+	.X(n_26294), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882248 (
+	.A(n_26011),
+	.B(n_26012),
+	.X(n_26293), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882249 (
+	.A(n_26008),
+	.B(n_26009),
+	.X(n_26292), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882250 (
+	.A(n_26006),
+	.B(n_26007),
+	.X(n_26291), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882251 (
+	.A(n_26004),
+	.B(n_26191),
+	.X(n_26290), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882252 (
+	.A(n_26001),
+	.B(n_26002),
+	.X(n_26289), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882253 (
+	.A(n_25993),
+	.B(n_26003),
+	.X(n_26288), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882254 (
+	.A(n_25984),
+	.B(n_25987),
+	.X(n_26287), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882255 (
+	.A(n_25997),
+	.B(n_25998),
+	.X(n_26286), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882256 (
+	.A(n_25995),
+	.B(n_25996),
+	.X(n_26285), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882257 (
+	.A(n_25994),
+	.B(n_25999),
+	.X(n_26284), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882258 (
+	.A(n_25991),
+	.B(n_25992),
+	.X(n_26283), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882259 (
+	.A(n_25981),
+	.B(n_25988),
+	.X(n_26282), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882260 (
+	.A(n_25990),
+	.B(n_25989),
+	.X(n_26281), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882261 (
+	.A(n_25985),
+	.B(n_25986),
+	.X(n_26280), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882262 (
+	.A(n_26097),
+	.B(n_25979),
+	.X(n_26279), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882263 (
+	.A(n_25982),
+	.B(n_25983),
+	.X(n_26278), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882264 (
+	.A(n_25978),
+	.B(n_25980),
+	.X(n_26277), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882265 (
+	.A(n_25977),
+	.B(n_25976),
+	.X(n_26276), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g882266 (
+	.A(n_25974),
+	.B(n_25975),
+	.Y(n_26275), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882267 (
+	.A(n_25972),
+	.B(n_25973),
+	.X(n_26274), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882268 (
+	.A(n_25970),
+	.B(n_25971),
+	.X(n_26273), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882269 (
+	.A(n_25968),
+	.B(n_25969),
+	.X(n_26272), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882270 (
+	.A(n_25966),
+	.B(n_25967),
+	.X(n_26271), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882271 (
+	.A(n_25964),
+	.B(n_25965),
+	.X(n_26270), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882272 (
+	.A(n_25843),
+	.B(n_25848),
+	.X(n_26269), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882273 (
+	.A(n_25962),
+	.B(n_25963),
+	.X(n_26268), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882274 (
+	.A(n_25960),
+	.B(n_25961),
+	.X(n_26267), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882275 (
+	.A(n_25958),
+	.B(n_25959),
+	.X(n_26266), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882276 (
+	.A(n_25956),
+	.B(n_25957),
+	.X(n_26265), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882277 (
+	.A(n_25954),
+	.B(n_25955),
+	.X(n_26264), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882278 (
+	.A(n_25952),
+	.B(n_25953),
+	.X(n_26263), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882279 (
+	.A(n_25950),
+	.B(n_25951),
+	.X(n_26262), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882280 (
+	.A(n_25948),
+	.B(n_25949),
+	.X(n_26261), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882281 (
+	.A(n_25947),
+	.B(n_26150),
+	.X(n_26260), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882282 (
+	.A(n_25945),
+	.B(n_25946),
+	.X(n_26259), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882283 (
+	.A(n_25943),
+	.B(n_25944),
+	.X(n_26258), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882284 (
+	.A(n_25941),
+	.B(n_25942),
+	.X(n_26257), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882285 (
+	.A(n_25940),
+	.B(n_25939),
+	.X(n_26256), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882286 (
+	.A(n_25938),
+	.B(n_26162),
+	.X(n_26255), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882287 (
+	.A(n_25936),
+	.B(n_25937),
+	.X(n_26254), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882288 (
+	.A(n_25849),
+	.B(n_25877),
+	.X(n_26253), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882289 (
+	.A(n_25934),
+	.B(n_25935),
+	.X(n_26252), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882290 (
+	.A(n_25933),
+	.B(n_25932),
+	.X(n_26251), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882291 (
+	.A(n_25930),
+	.B(n_25931),
+	.X(n_26250), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882292 (
+	.A(n_25928),
+	.B(n_25929),
+	.X(n_26249), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882293 (
+	.A(n_25926),
+	.B(n_25927),
+	.X(n_26248), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882294 (
+	.A(n_25924),
+	.B(n_25925),
+	.X(n_26247), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882295 (
+	.A(n_25914),
+	.B(n_25923),
+	.X(n_26246), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882296 (
+	.A(n_25921),
+	.B(n_25922),
+	.X(n_26245), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882297 (
+	.A(n_25919),
+	.B(n_25920),
+	.X(n_26244), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882298 (
+	.A(n_25917),
+	.B(n_25918),
+	.X(n_26243), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882299 (
+	.A(n_25915),
+	.B(n_25916),
+	.X(n_26242), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882300 (
+	.A(n_25912),
+	.B(n_25913),
+	.X(n_26241), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882301 (
+	.A(n_25911),
+	.B(n_25910),
+	.X(n_26240), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882302 (
+	.A(n_25908),
+	.B(n_25909),
+	.X(n_26239), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882303 (
+	.A(n_25906),
+	.B(n_25907),
+	.X(n_26238), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882304 (
+	.A(n_25904),
+	.B(n_25905),
+	.X(n_26237), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882305 (
+	.A(n_25902),
+	.B(n_25903),
+	.X(n_26236), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882306 (
+	.A(n_25900),
+	.B(n_25901),
+	.X(n_26235), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882307 (
+	.A(n_25898),
+	.B(n_25899),
+	.X(n_26234), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882308 (
+	.A(n_25896),
+	.B(n_25897),
+	.X(n_26233), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882309 (
+	.A(n_25894),
+	.B(n_25895),
+	.X(n_26232), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882310 (
+	.A(n_25892),
+	.B(n_25893),
+	.X(n_26231), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882311 (
+	.A(n_25890),
+	.B(n_25891),
+	.X(n_26230), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882312 (
+	.A(n_25888),
+	.B(n_25889),
+	.X(n_26229), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882313 (
+	.A(n_25887),
+	.B(n_25886),
+	.X(n_26228), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882314 (
+	.A(n_25884),
+	.B(n_25885),
+	.X(n_26227), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882315 (
+	.A(n_25882),
+	.B(n_25883),
+	.X(n_26226), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882316 (
+	.A(n_25880),
+	.B(n_25881),
+	.X(n_26225), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882317 (
+	.A(n_25878),
+	.B(n_25879),
+	.X(n_26224), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882318 (
+	.A(n_25876),
+	.B(n_26063),
+	.X(n_26223), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882319 (
+	.A(n_25874),
+	.B(n_25875),
+	.X(n_26222), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882320 (
+	.A(n_25872),
+	.B(n_25873),
+	.X(n_26221), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882321 (
+	.A(n_25871),
+	.B(n_25870),
+	.X(n_26220), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882322 (
+	.A(n_25868),
+	.B(n_25869),
+	.X(n_26219), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882323 (
+	.A(n_25866),
+	.B(n_25867),
+	.X(n_26218), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882324 (
+	.A(n_25864),
+	.B(n_25865),
+	.X(n_26217), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882325 (
+	.A(n_25862),
+	.B(n_25863),
+	.X(n_26216), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882326 (
+	.A(n_25860),
+	.B(n_26113),
+	.X(n_26215), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882327 (
+	.A(n_25858),
+	.B(n_25859),
+	.X(n_26214), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882328 (
+	.A(n_25857),
+	.B(n_26157),
+	.X(n_26213), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882329 (
+	.A(n_25856),
+	.B(n_25855),
+	.X(n_26212), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882330 (
+	.A(n_25852),
+	.B(n_25854),
+	.X(n_26211), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882331 (
+	.A(n_25851),
+	.B(n_25853),
+	.X(n_26210), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882332 (
+	.A(n_25850),
+	.B(n_25861),
+	.X(n_26209), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882333 (
+	.A(n_25846),
+	.B(n_25847),
+	.X(n_26208), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882334 (
+	.A(n_25842),
+	.B(n_25844),
+	.X(n_26207), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882335 (
+	.A(n_26000),
+	.B(n_25845),
+	.X(n_26206), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882336 (
+	.A(n_25840),
+	.B(n_25841),
+	.X(n_26205), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882337 (
+	.A(n_25839),
+	.B(n_25838),
+	.X(n_26204), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882338 (
+	.A(n_25828),
+	.B(n_25834),
+	.X(n_26203), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882339 (
+	.A(n_26188),
+	.B(n_25821),
+	.X(n_26202), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882340 (
+	.A(n_25831),
+	.B(n_25835),
+	.X(n_26201), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882341 (
+	.A(n_25836),
+	.B(n_25837),
+	.X(n_26200), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882342 (
+	.A(n_25832),
+	.B(n_25833),
+	.X(n_26199), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882343 (
+	.A(n_25822),
+	.B(n_25827),
+	.X(n_26198), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882344 (
+	.A(n_25829),
+	.B(n_25830),
+	.X(n_26197), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882345 (
+	.A(n_25826),
+	.B(n_25825),
+	.X(n_26196), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882346 (
+	.A(n_25823),
+	.B(n_25824),
+	.X(n_26195), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882347 (
+	.A(n_25633),
+	.B(n_25820),
+	.X(n_26194), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882348 (
+	.A(n_26005),
+	.B(n_25818),
+	.X(n_26193), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g882349 (
+	.A(n_25816),
+	.B(n_25817),
+	.X(n_26192), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g882350 (
+	.A(n_25726),
+	.B(n_19498),
+	.Y(n_26920), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882351 (
+	.A_N(n_33781),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [239]),
+	.Y(n_26191), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882352 (
+	.A_N(n_33729),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [71]),
+	.Y(n_26190), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882353 (
+	.A_N(n_33730),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [73]),
+	.Y(n_26189), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882354 (
+	.A_N(n_33784),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [501]),
+	.Y(n_26188), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882355 (
+	.A_N(n_33769),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [439]),
+	.Y(n_26187), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882356 (
+	.A_N(n_33732),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [77]),
+	.Y(n_26186), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882357 (
+	.A_N(n_33797),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [399]),
+	.Y(n_26185), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882358 (
+	.A_N(n_33731),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [75]),
+	.Y(n_26184), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882359 (
+	.A_N(n_33728),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [69]),
+	.Y(n_26183), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882360 (
+	.A_N(n_33727),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [67]),
+	.Y(n_26182), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882361 (
+	.A_N(n_33790),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [385]),
+	.Y(n_26181), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882362 (
+	.A_N(n_33768),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [437]),
+	.Y(n_26180), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882363 (
+	.A_N(n_33741),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [351]),
+	.Y(n_26179), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882364 (
+	.A_N(n_33740),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [349]),
+	.Y(n_26178), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882365 (
+	.A_N(n_33771),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [443]),
+	.Y(n_26177), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882366 (
+	.A_N(n_33737),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [343]),
+	.Y(n_26176), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882367 (
+	.A_N(n_33770),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [441]),
+	.Y(n_26175), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882368 (
+	.A_N(n_33736),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [341]),
+	.Y(n_26174), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882369 (
+	.A_N(n_33787),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [507]),
+	.Y(n_26173), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882370 (
+	.A_N(n_33786),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [505]),
+	.Y(n_26172), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882371 (
+	.A_N(n_33739),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [347]),
+	.Y(n_26171), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882372 (
+	.A_N(n_33738),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [345]),
+	.Y(n_26170), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882373 (
+	.A_N(n_33773),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [447]),
+	.Y(n_26169), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882374 (
+	.A_N(n_33735),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [339]),
+	.Y(n_26168), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882375 (
+	.A_N(n_33734),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [337]),
+	.Y(n_26167), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882376 (
+	.A_N(n_33767),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [435]),
+	.Y(n_26166), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882377 (
+	.A_N(n_33733),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [335]),
+	.Y(n_26165), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882378 (
+	.A_N(n_33772),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [445]),
+	.Y(n_26164), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882379 (
+	.A_N(n_33732),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [333]),
+	.Y(n_26163), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882380 (
+	.A_N(n_33582),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [223]),
+	.Y(n_26162), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882381 (
+	.A_N(n_33731),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [331]),
+	.Y(n_26161), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882382 (
+	.A_N(n_33730),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [329]),
+	.Y(n_26160), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882383 (
+	.A_N(n_33766),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [433]),
+	.Y(n_26159), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882384 (
+	.A_N(n_33729),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [327]),
+	.Y(n_26158), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882385 (
+	.A_N(n_33660),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [67]),
+	.Y(n_26157), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882386 (
+	.A_N(n_33783),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [499]),
+	.Y(n_26156), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882387 (
+	.A_N(n_33728),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [325]),
+	.Y(n_26155), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882388 (
+	.A_N(n_33765),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [431]),
+	.Y(n_26154), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882389 (
+	.A_N(n_33727),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [323]),
+	.Y(n_26153), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882390 (
+	.A_N(n_33726),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [321]),
+	.Y(n_26152), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882391 (
+	.A_N(n_33764),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [429]),
+	.Y(n_26151), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882392 (
+	.A_N(n_33688),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [13]),
+	.Y(n_26150), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882393 (
+	.A_N(n_33782),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [497]),
+	.Y(n_26149), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882394 (
+	.A_N(n_33705),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [279]),
+	.Y(n_26148), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882395 (
+	.A_N(n_33761),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [423]),
+	.Y(n_26147), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882396 (
+	.A_N(n_33704),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [277]),
+	.Y(n_26146), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882397 (
+	.A_N(n_33760),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [421]),
+	.Y(n_26145), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882398 (
+	.A_N(n_33707),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [283]),
+	.Y(n_26144), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882399 (
+	.A_N(n_33706),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [281]),
+	.Y(n_26143), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882400 (
+	.A_N(n_33709),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [287]),
+	.Y(n_26142), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882401 (
+	.A_N(n_33763),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [427]),
+	.Y(n_26141), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882402 (
+	.A_N(n_33708),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [285]),
+	.Y(n_26140), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882403 (
+	.A_N(n_33762),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [425]),
+	.Y(n_26139), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882404 (
+	.A_N(n_33703),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [275]),
+	.Y(n_26138), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882405 (
+	.A_N(n_33702),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [273]),
+	.Y(n_26137), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882406 (
+	.A_N(n_33781),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [495]),
+	.Y(n_26136), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882407 (
+	.A_N(n_33759),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [419]),
+	.Y(n_26135), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882408 (
+	.A_N(n_33701),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [271]),
+	.Y(n_26134), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882409 (
+	.A_N(n_33700),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [269]),
+	.Y(n_26133), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882410 (
+	.A_N(n_33697),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [263]),
+	.Y(n_26132), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882411 (
+	.A_N(n_33696),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [261]),
+	.Y(n_26131), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882412 (
+	.A_N(n_33758),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [417]),
+	.Y(n_26130), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882413 (
+	.A_N(n_33699),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [267]),
+	.Y(n_26129), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882414 (
+	.A_N(n_33698),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [265]),
+	.Y(n_26128), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882415 (
+	.A_N(n_33721),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [311]),
+	.Y(n_26127), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882416 (
+	.A_N(n_33724),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [317]),
+	.Y(n_26126), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882417 (
+	.A_N(n_33780),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [493]),
+	.Y(n_26125), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882418 (
+	.A_N(n_33777),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [487]),
+	.Y(n_26124), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882419 (
+	.A_N(n_33725),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [319]),
+	.Y(n_26123), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882420 (
+	.A_N(n_33708),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [29]),
+	.Y(n_26122), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882421 (
+	.A_N(n_33720),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [309]),
+	.Y(n_26121), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882422 (
+	.A_N(n_33707),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [27]),
+	.Y(n_26120), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882423 (
+	.A_N(n_33705),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [23]),
+	.Y(n_26119), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882424 (
+	.A_N(n_33706),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [25]),
+	.Y(n_26118), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882425 (
+	.A_N(n_33776),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [485]),
+	.Y(n_26117), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882426 (
+	.A_N(n_33704),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [21]),
+	.Y(n_26116), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882427 (
+	.A_N(n_33723),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [315]),
+	.Y(n_26115), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882428 (
+	.A_N(n_33703),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [19]),
+	.Y(n_26114), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882429 (
+	.A_N(n_33650),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [87]),
+	.Y(n_26113), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882430 (
+	.A_N(n_33722),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [313]),
+	.Y(n_26112), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882431 (
+	.A_N(n_33701),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [15]),
+	.Y(n_26111), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882432 (
+	.A_N(n_33702),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [17]),
+	.Y(n_26110), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882433 (
+	.A_N(n_33697),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [7]),
+	.Y(n_26109), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882434 (
+	.A_N(n_33698),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [9]),
+	.Y(n_26108), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882435 (
+	.A_N(n_33779),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [491]),
+	.Y(n_26107), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882436 (
+	.A_N(n_33719),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [307]),
+	.Y(n_26106), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882437 (
+	.A_N(n_33718),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [305]),
+	.Y(n_26105), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882438 (
+	.A_N(n_33700),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [13]),
+	.Y(n_26104), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882439 (
+	.A_N(n_33699),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [11]),
+	.Y(n_26103), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882440 (
+	.A_N(n_33696),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [5]),
+	.Y(n_26102), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882442 (
+	.A_N(n_33778),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [489]),
+	.Y(n_26100), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882443 (
+	.A_N(n_33724),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [61]),
+	.Y(n_26099), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882444 (
+	.A_N(n_33713),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [295]),
+	.Y(n_26098), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882445 (
+	.A_N(n_33802),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [409]),
+	.Y(n_26097), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882446 (
+	.A_N(n_33723),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [59]),
+	.Y(n_26096), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882447 (
+	.A_N(n_33717),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [47]),
+	.Y(n_26095), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882448 (
+	.A_N(n_33718),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [49]),
+	.Y(n_26094), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882449 (
+	.A_N(n_33775),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [483]),
+	.Y(n_26093), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882450 (
+	.A_N(n_33721),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [55]),
+	.Y(n_26092), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882451 (
+	.A_N(n_33722),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [57]),
+	.Y(n_26091), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882452 (
+	.A_N(n_33712),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [293]),
+	.Y(n_26090), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882453 (
+	.A_N(n_33720),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [53]),
+	.Y(n_26089), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882454 (
+	.A_N(n_33719),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [51]),
+	.Y(n_26088), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882455 (
+	.A_N(n_33774),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [481]),
+	.Y(n_26087), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882456 (
+	.A_N(n_33715),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [299]),
+	.Y(n_26086), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882457 (
+	.A_N(n_33709),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [31]),
+	.Y(n_26085), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882458 (
+	.A_N(n_33714),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [297]),
+	.Y(n_26084), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882459 (
+	.A_N(n_33715),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [43]),
+	.Y(n_26083), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882460 (
+	.A_N(n_33716),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [45]),
+	.Y(n_26082), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882461 (
+	.A_N(n_33710),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [33]),
+	.Y(n_26081), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882462 (
+	.A_N(n_33713),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [39]),
+	.Y(n_26080), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882463 (
+	.A_N(n_33714),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [41]),
+	.Y(n_26079), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882464 (
+	.A_N(n_33717),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [303]),
+	.Y(n_26078), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882465 (
+	.A_N(n_33716),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [301]),
+	.Y(n_26077), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882466 (
+	.A_N(n_33712),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [37]),
+	.Y(n_26076), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882467 (
+	.A_N(n_33711),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [35]),
+	.Y(n_26075), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882468 (
+	.A_N(n_33711),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [291]),
+	.Y(n_26074), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882469 (
+	.A_N(n_33772),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [189]),
+	.Y(n_26073), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882470 (
+	.A_N(n_33757),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [383]),
+	.Y(n_26072), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882471 (
+	.A_N(n_33805),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [159]),
+	.Y(n_26071), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882472 (
+	.A_N(n_33771),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [187]),
+	.Y(n_26070), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882473 (
+	.A_N(n_33770),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [185]),
+	.Y(n_26069), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882474 (
+	.A_N(n_33710),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [289]),
+	.Y(n_26068), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882475 (
+	.A_N(n_33769),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [183]),
+	.Y(n_26067), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882476 (
+	.A_N(n_33768),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [181]),
+	.Y(n_26066), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882477 (
+	.A_N(n_33767),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [179]),
+	.Y(n_26065), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882478 (
+	.A_N(n_33766),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [177]),
+	.Y(n_26064), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882479 (
+	.A_N(n_33634),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [119]),
+	.Y(n_26063), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882480 (
+	.A_N(n_33761),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [167]),
+	.Y(n_26062), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882481 (
+	.A_N(n_33817),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [471]),
+	.Y(n_26061), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882482 (
+	.A_N(n_33760),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [165]),
+	.Y(n_26060), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882483 (
+	.A_N(n_33763),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [171]),
+	.Y(n_26059), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882484 (
+	.A_N(n_33756),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [381]),
+	.Y(n_26058), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882485 (
+	.A_N(n_33762),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [169]),
+	.Y(n_26057), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882486 (
+	.A_N(n_33765),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [175]),
+	.Y(n_26056), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882487 (
+	.A_N(n_33816),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [469]),
+	.Y(n_26055), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882488 (
+	.A_N(n_33764),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [173]),
+	.Y(n_26054), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882489 (
+	.A_N(n_33755),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [379]),
+	.Y(n_26053), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882490 (
+	.A_N(n_33759),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [163]),
+	.Y(n_26052), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882491 (
+	.A_N(n_33758),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [161]),
+	.Y(n_26051), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882492 (
+	.A_N(n_33819),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [475]),
+	.Y(n_26050), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882493 (
+	.A_N(n_33748),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [109]),
+	.Y(n_26049), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882494 (
+	.A_N(n_33747),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [107]),
+	.Y(n_26048), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882495 (
+	.A_N(n_33744),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [101]),
+	.Y(n_26047), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882496 (
+	.A_N(n_33743),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [99]),
+	.Y(n_26046), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882497 (
+	.A_N(n_33818),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [473]),
+	.Y(n_26045), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882498 (
+	.A_N(n_33741),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [95]),
+	.Y(n_26044), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882499 (
+	.A_N(n_33821),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [479]),
+	.Y(n_26043), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882500 (
+	.A_N(n_33746),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [105]),
+	.Y(n_26042), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882501 (
+	.A_N(n_33745),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [103]),
+	.Y(n_26041), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882502 (
+	.A_N(n_33742),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [97]),
+	.Y(n_26040), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882503 (
+	.A_N(n_33820),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [477]),
+	.Y(n_26039), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882504 (
+	.A_N(n_33757),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [127]),
+	.Y(n_26038), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882505 (
+	.A_N(n_33754),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [377]),
+	.Y(n_26037), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882506 (
+	.A_N(n_33753),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [375]),
+	.Y(n_26036), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882507 (
+	.A_N(n_33790),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [129]),
+	.Y(n_26035), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882508 (
+	.A_N(n_33814),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [465]),
+	.Y(n_26034), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882509 (
+	.A_N(n_33796),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [141]),
+	.Y(n_26033), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882510 (
+	.A_N(n_33795),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [139]),
+	.Y(n_26032), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882511 (
+	.A_N(n_33793),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [135]),
+	.Y(n_26031), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882512 (
+	.A_N(n_33794),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [137]),
+	.Y(n_26030), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882513 (
+	.A_N(n_33792),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [133]),
+	.Y(n_26029), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882514 (
+	.A_N(n_33815),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [467]),
+	.Y(n_26028), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882515 (
+	.A_N(n_33791),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [131]),
+	.Y(n_26027), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882516 (
+	.A_N(n_33752),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [373]),
+	.Y(n_26026), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882517 (
+	.A_N(n_33813),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [463]),
+	.Y(n_26025), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g882518 (
+	.A(n_19407),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [253]),
+	.Y(n_26024), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882519 (
+	.A_N(n_33751),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [371]),
+	.Y(n_26023), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882520 (
+	.A_N(n_33821),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [223]),
+	.Y(n_26022), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882521 (
+	.A_N(n_33785),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [247]),
+	.Y(n_26021), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882522 (
+	.A_N(n_33784),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [245]),
+	.Y(n_26020), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882523 (
+	.A_N(n_33812),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [461]),
+	.Y(n_26019), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882524 (
+	.A_N(n_33787),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [251]),
+	.Y(n_26018), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882525 (
+	.A_N(n_33811),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [459]),
+	.Y(n_26017), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882526 (
+	.A_N(n_33786),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [249]),
+	.Y(n_26016), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882527 (
+	.A_N(n_33783),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [243]),
+	.Y(n_26015), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882528 (
+	.A_N(n_33810),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [457]),
+	.Y(n_26014), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882529 (
+	.A_N(n_33782),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [241]),
+	.Y(n_26013), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882530 (
+	.A_N(n_33777),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [231]),
+	.Y(n_26012), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882531 (
+	.A_N(n_33776),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [229]),
+	.Y(n_26011), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882532 (
+	.A_N(n_33750),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [369]),
+	.Y(n_26010), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882533 (
+	.A_N(n_33779),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [235]),
+	.Y(n_26009), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882534 (
+	.A_N(n_33778),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [233]),
+	.Y(n_26008), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882535 (
+	.A_N(n_33809),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [455]),
+	.Y(n_26007), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882536 (
+	.A_N(n_33808),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [453]),
+	.Y(n_26006), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882537 (
+	.A_N(n_33791),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [387]),
+	.Y(n_26005), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882538 (
+	.A_N(n_33780),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [237]),
+	.Y(n_26004), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882539 (
+	.A_N(n_33749),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [367]),
+	.Y(n_26003), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882540 (
+	.A_N(n_33775),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [227]),
+	.Y(n_26002), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882541 (
+	.A_N(n_33774),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [225]),
+	.Y(n_26001), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882542 (
+	.A_N(n_33798),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [401]),
+	.Y(n_26000), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882543 (
+	.A_N(n_33807),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [451]),
+	.Y(n_25999), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882544 (
+	.A_N(n_33756),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [125]),
+	.Y(n_25998), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882545 (
+	.A_N(n_33755),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [123]),
+	.Y(n_25997), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882546 (
+	.A_N(n_33749),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [111]),
+	.Y(n_25996), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882547 (
+	.A_N(n_33750),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [113]),
+	.Y(n_25995), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882548 (
+	.A_N(n_33806),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [449]),
+	.Y(n_25994), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882549 (
+	.A_N(n_33748),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [365]),
+	.Y(n_25993), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882550 (
+	.A_N(n_33753),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [119]),
+	.Y(n_25992), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882551 (
+	.A_N(n_33754),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [121]),
+	.Y(n_25991), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882552 (
+	.A_N(n_33752),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [117]),
+	.Y(n_25990), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882553 (
+	.A_N(n_33751),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [115]),
+	.Y(n_25989), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882554 (
+	.A_N(n_33805),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [415]),
+	.Y(n_25988), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882555 (
+	.A_N(n_33745),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [359]),
+	.Y(n_25987), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882556 (
+	.A_N(n_33812),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [205]),
+	.Y(n_25986), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882557 (
+	.A_N(n_33811),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [203]),
+	.Y(n_25985), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882558 (
+	.A_N(n_33744),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [357]),
+	.Y(n_25984), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882559 (
+	.A_N(n_33809),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [199]),
+	.Y(n_25983), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882560 (
+	.A_N(n_33810),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [201]),
+	.Y(n_25982), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882561 (
+	.A_N(n_33804),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [413]),
+	.Y(n_25981), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882562 (
+	.A_N(n_33773),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [191]),
+	.Y(n_25980), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882563 (
+	.A_N(n_33803),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [411]),
+	.Y(n_25979), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882564 (
+	.A_N(n_33806),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [193]),
+	.Y(n_25978), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882565 (
+	.A_N(n_33808),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [197]),
+	.Y(n_25977), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882566 (
+	.A_N(n_33807),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [195]),
+	.Y(n_25976), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882567 (
+	.A_N(n_33681),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [27]),
+	.Y(n_25975), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882568 (
+	.A_N(n_33679),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [1]),
+	.Y(n_25974), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882569 (
+	.A_N(n_33685),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [19]),
+	.Y(n_25973), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882570 (
+	.A_N(n_33684),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [21]),
+	.Y(n_25972), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882571 (
+	.A_N(n_33683),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [23]),
+	.Y(n_25971), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882572 (
+	.A_N(n_33682),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [25]),
+	.Y(n_25970), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882573 (
+	.A_N(n_33678),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [31]),
+	.Y(n_25969), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882574 (
+	.A_N(n_33677),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [33]),
+	.Y(n_25968), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882575 (
+	.A_N(n_33674),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [39]),
+	.Y(n_25967), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882576 (
+	.A_N(n_33673),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [41]),
+	.Y(n_25966), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882577 (
+	.A_N(n_33676),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [35]),
+	.Y(n_25965), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882578 (
+	.A_N(n_33675),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [37]),
+	.Y(n_25964), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882579 (
+	.A_N(n_33671),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [45]),
+	.Y(n_25963), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882580 (
+	.A_N(n_33672),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [43]),
+	.Y(n_25962), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882581 (
+	.A_N(n_33668),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [51]),
+	.Y(n_25961), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882582 (
+	.A_N(n_33667),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [53]),
+	.Y(n_25960), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882583 (
+	.A_N(n_33663),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [61]),
+	.Y(n_25959), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882584 (
+	.A_N(n_33664),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [59]),
+	.Y(n_25958), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882585 (
+	.A_N(n_33666),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [55]),
+	.Y(n_25957), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882586 (
+	.A_N(n_33665),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [57]),
+	.Y(n_25956), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882587 (
+	.A_N(n_33669),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [49]),
+	.Y(n_25955), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882588 (
+	.A_N(n_33670),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [47]),
+	.Y(n_25954), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882589 (
+	.A_N(n_33686),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [17]),
+	.Y(n_25953), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882590 (
+	.A_N(n_33687),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [15]),
+	.Y(n_25952), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882591 (
+	.A_N(n_33693),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [3]),
+	.Y(n_25951), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882592 (
+	.A_N(n_33692),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [5]),
+	.Y(n_25950), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882593 (
+	.A_N(n_33691),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [7]),
+	.Y(n_25949), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882594 (
+	.A_N(n_33690),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [9]),
+	.Y(n_25948), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882595 (
+	.A_N(n_33689),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [11]),
+	.Y(n_25947), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882596 (
+	.A_N(n_33572),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [243]),
+	.Y(n_25946), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882597 (
+	.A_N(n_33571),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [245]),
+	.Y(n_25945), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882598 (
+	.A_N(n_33570),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [247]),
+	.Y(n_25944), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882599 (
+	.A_N(n_33569),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [249]),
+	.Y(n_25943), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882600 (
+	.A_N(n_33573),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [241]),
+	.Y(n_25942), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882601 (
+	.A_N(n_33574),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [239]),
+	.Y(n_25941), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882602 (
+	.A_N(n_33568),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [251]),
+	.Y(n_25940), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882603 (
+	.A_N(n_33567),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [253]),
+	.Y(n_25939), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882604 (
+	.A_N(n_33581),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [225]),
+	.Y(n_25938), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882605 (
+	.A_N(n_33578),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [231]),
+	.Y(n_25937), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882606 (
+	.A_N(n_33577),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [233]),
+	.Y(n_25936), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882607 (
+	.A_N(n_33580),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [227]),
+	.Y(n_25935), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882608 (
+	.A_N(n_33579),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [229]),
+	.Y(n_25934), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882609 (
+	.A_N(n_33576),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [235]),
+	.Y(n_25933), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882610 (
+	.A_N(n_33575),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [237]),
+	.Y(n_25932), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882611 (
+	.A_N(n_33588),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [211]),
+	.Y(n_25931), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882612 (
+	.A_N(n_33587),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [213]),
+	.Y(n_25930), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882613 (
+	.A_N(n_33589),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [209]),
+	.Y(n_25929), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882614 (
+	.A_N(n_33590),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [207]),
+	.Y(n_25928), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882615 (
+	.A_N(n_33586),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [215]),
+	.Y(n_25927), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882616 (
+	.A_N(n_33585),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [217]),
+	.Y(n_25926), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882617 (
+	.A_N(n_33583),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [221]),
+	.Y(n_25925), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882618 (
+	.A_N(n_33584),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [219]),
+	.Y(n_25924), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882619 (
+	.A_N(n_33820),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [221]),
+	.Y(n_25923), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882620 (
+	.A_N(n_33596),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [195]),
+	.Y(n_25922), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882621 (
+	.A_N(n_33595),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [197]),
+	.Y(n_25921), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882622 (
+	.A_N(n_33594),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [199]),
+	.Y(n_25920), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882623 (
+	.A_N(n_33593),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [201]),
+	.Y(n_25919), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882624 (
+	.A_N(n_33598),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [191]),
+	.Y(n_25918), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882625 (
+	.A_N(n_33597),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [193]),
+	.Y(n_25917), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882626 (
+	.A_N(n_33591),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [205]),
+	.Y(n_25916), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882627 (
+	.A_N(n_33592),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [203]),
+	.Y(n_25915), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882628 (
+	.A_N(n_33819),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [219]),
+	.Y(n_25914), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882629 (
+	.A_N(n_33604),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [179]),
+	.Y(n_25913), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882630 (
+	.A_N(n_33603),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [181]),
+	.Y(n_25912), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882631 (
+	.A_N(n_33600),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [187]),
+	.Y(n_25911), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882632 (
+	.A_N(n_33599),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [189]),
+	.Y(n_25910), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882633 (
+	.A_N(n_33602),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [183]),
+	.Y(n_25909), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882634 (
+	.A_N(n_33601),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [185]),
+	.Y(n_25908), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882635 (
+	.A_N(n_33605),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [177]),
+	.Y(n_25907), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882636 (
+	.A_N(n_33606),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [175]),
+	.Y(n_25906), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882637 (
+	.A_N(n_33614),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [159]),
+	.Y(n_25905), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882638 (
+	.A_N(n_33613),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [161]),
+	.Y(n_25904), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882639 (
+	.A_N(n_33610),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [167]),
+	.Y(n_25903), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882640 (
+	.A_N(n_33609),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [169]),
+	.Y(n_25902), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882641 (
+	.A_N(n_33612),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [163]),
+	.Y(n_25901), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882642 (
+	.A_N(n_33611),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [165]),
+	.Y(n_25900), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882643 (
+	.A_N(n_33607),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [173]),
+	.Y(n_25899), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882644 (
+	.A_N(n_33608),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [171]),
+	.Y(n_25898), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882645 (
+	.A_N(n_33620),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [147]),
+	.Y(n_25897), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882646 (
+	.A_N(n_33619),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [149]),
+	.Y(n_25896), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882647 (
+	.A_N(n_33621),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [145]),
+	.Y(n_25895), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882648 (
+	.A_N(n_33622),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [143]),
+	.Y(n_25894), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882649 (
+	.A_N(n_33618),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [151]),
+	.Y(n_25893), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882650 (
+	.A_N(n_33617),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [153]),
+	.Y(n_25892), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882651 (
+	.A_N(n_33615),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [157]),
+	.Y(n_25891), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882652 (
+	.A_N(n_33616),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [155]),
+	.Y(n_25890), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882653 (
+	.A_N(n_33630),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [127]),
+	.Y(n_25889), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882654 (
+	.A_N(n_33629),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [129]),
+	.Y(n_25888), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882655 (
+	.A_N(n_33627),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [133]),
+	.Y(n_25887), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882656 (
+	.A_N(n_33628),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [131]),
+	.Y(n_25886), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882657 (
+	.A_N(n_33626),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [135]),
+	.Y(n_25885), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882658 (
+	.A_N(n_33625),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [137]),
+	.Y(n_25884), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882659 (
+	.A_N(n_33623),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [141]),
+	.Y(n_25883), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882660 (
+	.A_N(n_33624),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [139]),
+	.Y(n_25882), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882661 (
+	.A_N(n_33636),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [115]),
+	.Y(n_25881), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882662 (
+	.A_N(n_33635),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [117]),
+	.Y(n_25880), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882663 (
+	.A_N(n_33631),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [125]),
+	.Y(n_25879), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882664 (
+	.A_N(n_33632),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [123]),
+	.Y(n_25878), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882665 (
+	.A_N(n_33801),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [407]),
+	.Y(n_25877), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882666 (
+	.A_N(n_33633),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [121]),
+	.Y(n_25876), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882667 (
+	.A_N(n_33637),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [113]),
+	.Y(n_25875), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882668 (
+	.A_N(n_33638),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [111]),
+	.Y(n_25874), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882669 (
+	.A_N(n_33644),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [99]),
+	.Y(n_25873), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882670 (
+	.A_N(n_33643),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [101]),
+	.Y(n_25872), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882671 (
+	.A_N(n_33641),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [105]),
+	.Y(n_25871), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882672 (
+	.A_N(n_33642),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [103]),
+	.Y(n_25870), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882673 (
+	.A_N(n_33646),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [95]),
+	.Y(n_25869), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882674 (
+	.A_N(n_33645),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [97]),
+	.Y(n_25868), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882675 (
+	.A_N(n_33639),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [109]),
+	.Y(n_25867), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882676 (
+	.A_N(n_33640),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [107]),
+	.Y(n_25866), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882677 (
+	.A_N(n_33652),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [83]),
+	.Y(n_25865), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882678 (
+	.A_N(n_33651),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [85]),
+	.Y(n_25864), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882679 (
+	.A_N(n_33647),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [93]),
+	.Y(n_25863), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882680 (
+	.A_N(n_33648),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [91]),
+	.Y(n_25862), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882681 (
+	.A_N(n_33817),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [215]),
+	.Y(n_25861), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882682 (
+	.A_N(n_33649),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [89]),
+	.Y(n_25860), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882683 (
+	.A_N(n_33653),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [81]),
+	.Y(n_25859), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882684 (
+	.A_N(n_33654),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [79]),
+	.Y(n_25858), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882685 (
+	.A_N(n_33659),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [69]),
+	.Y(n_25857), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882686 (
+	.A_N(n_33657),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [73]),
+	.Y(n_25856), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882687 (
+	.A_N(n_33658),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [71]),
+	.Y(n_25855), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882688 (
+	.A_N(n_33662),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [63]),
+	.Y(n_25854), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882689 (
+	.A_N(n_33655),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [77]),
+	.Y(n_25853), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882690 (
+	.A_N(n_33661),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [65]),
+	.Y(n_25852), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882691 (
+	.A_N(n_33656),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [75]),
+	.Y(n_25851), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882692 (
+	.A_N(n_33818),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [217]),
+	.Y(n_25850), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882693 (
+	.A_N(n_33800),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [405]),
+	.Y(n_25849), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882694 (
+	.A_N(n_33747),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [363]),
+	.Y(n_25848), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882695 (
+	.A_N(n_33816),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [213]),
+	.Y(n_25847), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882696 (
+	.A_N(n_33815),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [211]),
+	.Y(n_25846), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882697 (
+	.A_N(n_33799),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [403]),
+	.Y(n_25845), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882698 (
+	.A_N(n_33813),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [207]),
+	.Y(n_25844), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882699 (
+	.A_N(n_33746),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [361]),
+	.Y(n_25843), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882700 (
+	.A_N(n_33814),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [209]),
+	.Y(n_25842), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882701 (
+	.A_N(n_33804),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [157]),
+	.Y(n_25841), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882702 (
+	.A_N(n_33803),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [155]),
+	.Y(n_25840), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882703 (
+	.A_N(n_33800),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [149]),
+	.Y(n_25839), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882704 (
+	.A_N(n_33799),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [147]),
+	.Y(n_25838), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882705 (
+	.A_N(n_33801),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [151]),
+	.Y(n_25837), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882706 (
+	.A_N(n_33802),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [153]),
+	.Y(n_25836), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882707 (
+	.A_N(n_33793),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [391]),
+	.Y(n_25835), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882708 (
+	.A_N(n_33743),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [355]),
+	.Y(n_25834), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882709 (
+	.A_N(n_33797),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [143]),
+	.Y(n_25833), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882710 (
+	.A_N(n_33798),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [145]),
+	.Y(n_25832), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882711 (
+	.A_N(n_33792),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [389]),
+	.Y(n_25831), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882712 (
+	.A_N(n_33740),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [93]),
+	.Y(n_25830), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882713 (
+	.A_N(n_33739),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [91]),
+	.Y(n_25829), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882714 (
+	.A_N(n_33742),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [353]),
+	.Y(n_25828), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882715 (
+	.A_N(n_33795),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [395]),
+	.Y(n_25827), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882716 (
+	.A_N(n_33736),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [85]),
+	.Y(n_25826), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882717 (
+	.A_N(n_33735),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [83]),
+	.Y(n_25825), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882718 (
+	.A_N(n_33737),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [87]),
+	.Y(n_25824), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882719 (
+	.A_N(n_33738),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [89]),
+	.Y(n_25823), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882720 (
+	.A_N(n_33794),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [393]),
+	.Y(n_25822), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882721 (
+	.A_N(n_33785),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [503]),
+	.Y(n_25821), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882722 (
+	.A_N(n_33733),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [79]),
+	.Y(n_25820), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g882723 (
+	.A1(n_19914),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1024]),
+	.B1(n_13963),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1070]),
+	.Y(n_25819), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882724 (
+	.A_N(n_33796),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [397]),
+	.Y(n_25818), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882725 (
+	.A_N(n_33725),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [63]),
+	.Y(n_25817), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882726 (
+	.A_N(n_33726),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [65]),
+	.Y(n_25816), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g882727 (
+	.A1(n_19884),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1241]),
+	.B1(n_19885),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1264]),
+	.C1(n_24945),
+	.Y(n_25815), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g882728 (
+	.A1(n_13924),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [597]),
+	.B1(n_19843),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2207]),
+	.C1(n_22463),
+	.Y(n_25814), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g882729 (
+	.A1(n_19398),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1931]),
+	.B1(n_13626),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [735]),
+	.C1(n_22377),
+	.Y(n_25813), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g882730 (
+	.A1(n_19686),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [390]),
+	.B1(n_19839),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1977]),
+	.C1(n_22373),
+	.Y(n_25812), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g882731 (
+	.A1(n_19729),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [813]),
+	.B1(n_13659),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [997]),
+	.C1(n_21984),
+	.Y(n_25811), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g882732 (
+	.A1(n_13592),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [836]),
+	.B1(n_13609),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1043]),
+	.C1(n_21895),
+	.Y(n_25810), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g882733 (
+	.A1(n_19729),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [812]),
+	.B1(n_19723),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1088]),
+	.C1(n_21528),
+	.Y(n_25809), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g882734 (
+	.A1(n_13592),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [835]),
+	.B1(n_13609),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1042]),
+	.C1(n_21429),
+	.Y(n_25808), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g882735 (
+	.A1(n_19729),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [811]),
+	.B1(n_13659),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [995]),
+	.C1(n_21062),
+	.Y(n_25807), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g882736 (
+	.A1(n_19729),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [811]),
+	.B1(n_13659),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [995]),
+	.C1(n_20968),
+	.Y(n_25806), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g882737 (
+	.A1(n_19467),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1380]),
+	.B1(n_19892),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1403]),
+	.C1(n_20659),
+	.Y(n_25805), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g882738 (
+	.A1(n_19469),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1288]),
+	.B1(n_19894),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1311]),
+	.C1(n_20621),
+	.Y(n_25804), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g882739 (
+	.A1(n_19729),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [810]),
+	.B1(n_19723),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1086]),
+	.C1(n_20643),
+	.Y(n_25803), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g882740 (
+	.A1(n_19885),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1242]),
+	.B1(n_19886),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1265]),
+	.C1(n_20634),
+	.Y(n_25802), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g882741 (
+	.A1(n_19819),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1150]),
+	.B1(n_19882),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1173]),
+	.C1(n_20622),
+	.Y(n_25801), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g882742 (
+	.A1(n_13592),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [833]),
+	.B1(n_13609),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1040]),
+	.C1(n_20563),
+	.Y(n_25800), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g882743 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1142]),
+	.A2(n_19918),
+	.B1(n_19760),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1395]),
+	.C1(n_19825),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1418]),
+	.Y(n_25799), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g882744 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1326]),
+	.A2(n_19821),
+	.B1(n_13623),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1464]),
+	.C1(n_19688),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1441]),
+	.Y(n_25798), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g882745 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [774]),
+	.A2(n_13663),
+	.B1(n_13592),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [843]),
+	.C1(n_19726),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [866]),
+	.Y(n_25797), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g882746 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [958]),
+	.A2(n_13918),
+	.B1(n_19723),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1096]),
+	.C1(n_13609),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1050]),
+	.Y(n_25796), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g882747 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1142]),
+	.A2(n_19918),
+	.B1(n_19823),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1349]),
+	.C1(n_19824),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1372]),
+	.Y(n_25795), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g882748 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1326]),
+	.A2(n_19821),
+	.B1(n_13623),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1464]),
+	.C1(n_19688),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1441]),
+	.Y(n_25794), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g882749 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [774]),
+	.A2(n_13663),
+	.B1(n_13592),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [843]),
+	.C1(n_19726),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [866]),
+	.Y(n_25793), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g882750 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [958]),
+	.A2(n_13918),
+	.B1(n_19723),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1096]),
+	.C1(n_13609),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1050]),
+	.Y(n_25792), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g882751 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1141]),
+	.A2(n_19918),
+	.B1(n_19760),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1394]),
+	.C1(n_19825),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1417]),
+	.Y(n_25791), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g882752 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1325]),
+	.A2(n_19821),
+	.B1(n_13623),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1463]),
+	.C1(n_19688),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1440]),
+	.Y(n_25790), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g882753 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [773]),
+	.A2(n_13663),
+	.B1(n_13660),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [888]),
+	.C1(n_13659),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1003]),
+	.Y(n_25789), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g882754 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [957]),
+	.A2(n_13918),
+	.B1(n_19723),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1095]),
+	.C1(n_13609),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1049]),
+	.Y(n_25788), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g882755 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1141]),
+	.A2(n_19918),
+	.B1(n_19823),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1348]),
+	.C1(n_19824),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1371]),
+	.Y(n_25787), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g882756 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1325]),
+	.A2(n_19821),
+	.B1(n_13623),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1463]),
+	.C1(n_19688),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1440]),
+	.Y(n_25786), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g882757 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [773]),
+	.A2(n_13663),
+	.B1(n_13592),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [842]),
+	.C1(n_19726),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [865]),
+	.Y(n_25785), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g882758 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [957]),
+	.A2(n_13918),
+	.B1(n_19723),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1095]),
+	.C1(n_13609),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1049]),
+	.Y(n_25784), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g882759 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1140]),
+	.A2(n_19918),
+	.B1(n_19823),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1347]),
+	.C1(n_19824),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1370]),
+	.Y(n_25783), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g882760 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1324]),
+	.A2(n_19821),
+	.B1(n_13623),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1462]),
+	.C1(n_19688),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1439]),
+	.Y(n_25782), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g882761 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [772]),
+	.A2(n_13663),
+	.B1(n_13660),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [887]),
+	.C1(n_13659),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1002]),
+	.Y(n_25781), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g882762 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [956]),
+	.A2(n_13918),
+	.B1(n_19723),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1094]),
+	.C1(n_13609),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1048]),
+	.Y(n_25780), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g882763 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1140]),
+	.A2(n_19918),
+	.B1(n_19760),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1393]),
+	.C1(n_19825),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1416]),
+	.Y(n_25779), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g882764 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1324]),
+	.A2(n_19821),
+	.B1(n_13623),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1462]),
+	.C1(n_19688),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1439]),
+	.Y(n_25778), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g882765 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [772]),
+	.A2(n_13663),
+	.B1(n_13660),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [887]),
+	.C1(n_13659),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1002]),
+	.Y(n_25777), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g882766 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [956]),
+	.A2(n_13918),
+	.B1(n_19723),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1094]),
+	.C1(n_13609),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1048]),
+	.Y(n_25776), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g882767 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1139]),
+	.A2(n_19918),
+	.B1(n_19823),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1346]),
+	.C1(n_19824),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1369]),
+	.Y(n_25775), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g882768 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1323]),
+	.A2(n_19821),
+	.B1(n_13623),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1461]),
+	.C1(n_19688),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1438]),
+	.Y(n_25774), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g882769 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1143]),
+	.A2(n_19918),
+	.B1(n_19760),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1396]),
+	.C1(n_19825),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1419]),
+	.Y(n_25773), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g882770 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1327]),
+	.A2(n_19821),
+	.B1(n_13623),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1465]),
+	.C1(n_19688),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1442]),
+	.Y(n_25772), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g882771 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [771]),
+	.A2(n_13663),
+	.B1(n_13592),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [840]),
+	.C1(n_19726),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [863]),
+	.Y(n_25771), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g882772 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [955]),
+	.A2(n_13918),
+	.B1(n_19723),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1093]),
+	.C1(n_13609),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1047]),
+	.Y(n_25770), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g882773 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1139]),
+	.A2(n_19918),
+	.B1(n_19823),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1346]),
+	.C1(n_19824),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1369]),
+	.Y(n_25769), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g882774 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1323]),
+	.A2(n_19821),
+	.B1(n_13623),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1461]),
+	.C1(n_19688),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1438]),
+	.Y(n_25768), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g882775 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [771]),
+	.A2(n_13663),
+	.B1(n_13592),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [840]),
+	.C1(n_19726),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [863]),
+	.Y(n_25767), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g882776 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [955]),
+	.A2(n_13918),
+	.B1(n_19723),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1093]),
+	.C1(n_13609),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1047]),
+	.Y(n_25766), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g882777 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [775]),
+	.A2(n_13663),
+	.B1(n_13660),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [890]),
+	.C1(n_13659),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1005]),
+	.Y(n_25765), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g882778 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [959]),
+	.A2(n_13918),
+	.B1(n_19723),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1097]),
+	.C1(n_13609),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1051]),
+	.Y(n_25764), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g882779 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1138]),
+	.A2(n_19918),
+	.B1(n_19823),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1345]),
+	.C1(n_19824),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1368]),
+	.Y(n_25763), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g882780 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1322]),
+	.A2(n_19821),
+	.B1(n_13623),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1460]),
+	.C1(n_19688),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1437]),
+	.Y(n_25762), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g882781 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [839]),
+	.A2(n_13592),
+	.B1(n_19726),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [862]),
+	.C1(n_13663),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [770]),
+	.Y(n_25761), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g882782 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [954]),
+	.A2(n_13918),
+	.B1(n_19723),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1092]),
+	.C1(n_13609),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1046]),
+	.Y(n_25760), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g882783 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1138]),
+	.A2(n_19918),
+	.B1(n_19760),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1391]),
+	.C1(n_19825),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1414]),
+	.Y(n_25759), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g882784 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [947]),
+	.A2(n_13918),
+	.B1(n_19723),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1085]),
+	.C1(n_13609),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1039]),
+	.Y(n_25758), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g882785 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1322]),
+	.A2(n_19821),
+	.B1(n_13623),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1460]),
+	.C1(n_19688),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1437]),
+	.Y(n_25757), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g882786 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [885]),
+	.A2(n_13660),
+	.B1(n_13659),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1000]),
+	.C1(n_13663),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [770]),
+	.Y(n_25756), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g882787 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [954]),
+	.A2(n_13918),
+	.B1(n_19723),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1092]),
+	.C1(n_13609),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1046]),
+	.Y(n_25755), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g882788 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1314]),
+	.A2(n_19821),
+	.B1(n_13623),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1452]),
+	.C1(n_19688),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1429]),
+	.Y(n_25754), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g882789 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1375]),
+	.A2(n_19824),
+	.B1(n_19825),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1421]),
+	.C1(n_19918),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1145]),
+	.Y(n_25753), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g882790 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1329]),
+	.A2(n_19821),
+	.B1(n_13623),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1467]),
+	.C1(n_19823),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1352]),
+	.Y(n_25752), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g882792 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [961]),
+	.A2(n_13918),
+	.B1(n_19723),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1099]),
+	.C1(n_13582),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [800]),
+	.Y(n_25750), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g882793 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1137]),
+	.A2(n_19918),
+	.B1(n_19823),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1344]),
+	.C1(n_19824),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1367]),
+	.Y(n_25749), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g882794 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1321]),
+	.A2(n_19821),
+	.B1(n_13623),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1459]),
+	.C1(n_19688),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1436]),
+	.Y(n_25748), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g882795 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [838]),
+	.A2(n_13592),
+	.B1(n_19726),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [861]),
+	.C1(n_13663),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [769]),
+	.Y(n_25747), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g882796 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [953]),
+	.A2(n_13918),
+	.B1(n_19723),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1091]),
+	.C1(n_13609),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1045]),
+	.Y(n_25746), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g882797 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1137]),
+	.A2(n_19918),
+	.B1(n_19760),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1390]),
+	.C1(n_19825),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1413]),
+	.Y(n_25745), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g882798 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1321]),
+	.A2(n_19821),
+	.B1(n_13623),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1459]),
+	.C1(n_19688),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1436]),
+	.Y(n_25744), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g882799 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [884]),
+	.A2(n_13660),
+	.B1(n_13659),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [999]),
+	.C1(n_13663),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [769]),
+	.Y(n_25743), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g882800 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [953]),
+	.A2(n_13918),
+	.B1(n_19723),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1091]),
+	.C1(n_13609),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1045]),
+	.Y(n_25742), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g882802 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [960]),
+	.A2(n_13918),
+	.B1(n_19723),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1098]),
+	.C1(n_13582),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [799]),
+	.Y(n_25740), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g882804 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1328]),
+	.A2(n_19821),
+	.B1(n_13623),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1466]),
+	.C1(n_19823),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1351]),
+	.Y(n_25738), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g882805 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1136]),
+	.A2(n_19918),
+	.B1(n_19760),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1389]),
+	.C1(n_19825),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1412]),
+	.Y(n_25737), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g882806 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1320]),
+	.A2(n_19821),
+	.B1(n_13623),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1458]),
+	.C1(n_19688),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1435]),
+	.Y(n_25736), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g882807 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [768]),
+	.A2(n_13663),
+	.B1(n_13660),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [883]),
+	.C1(n_13659),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [998]),
+	.Y(n_25735), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g882808 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [952]),
+	.A2(n_13918),
+	.B1(n_19723),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1090]),
+	.C1(n_13609),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1044]),
+	.Y(n_25734), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g882809 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [964]),
+	.A2(n_13918),
+	.B1(n_19723),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1102]),
+	.C1(n_13582),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [803]),
+	.Y(n_25733), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g882811 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1136]),
+	.A2(n_19918),
+	.B1(n_19760),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1389]),
+	.C1(n_19825),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1412]),
+	.Y(n_25731), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g882812 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1320]),
+	.A2(n_19821),
+	.B1(n_13623),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1458]),
+	.C1(n_19688),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1435]),
+	.Y(n_25730), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g882814 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [768]),
+	.A2(n_13663),
+	.B1(n_13660),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [883]),
+	.C1(n_13659),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [998]),
+	.Y(n_25728), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g882815 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [952]),
+	.A2(n_13918),
+	.B1(n_19723),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1090]),
+	.C1(n_13609),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1044]),
+	.Y(n_25727), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g882816 (
+	.A(n_19578),
+	.B(n_19577),
+	.C(n_19576),
+	.D(n_25176),
+	.Y(n_25726), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g882817 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1319]),
+	.A2(n_19821),
+	.B1(n_13623),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1457]),
+	.C1(n_19751),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1250]),
+	.Y(n_25725), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g882818 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [744]),
+	.A2(n_13656),
+	.B1(n_13582),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [790]),
+	.C1(n_13963),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1066]),
+	.Y(n_25724), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g882819 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [951]),
+	.A2(n_13918),
+	.B1(n_19723),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1089]),
+	.C1(n_19726),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [859]),
+	.Y(n_25723), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g882820 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1319]),
+	.A2(n_19821),
+	.B1(n_13623),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1457]),
+	.C1(n_19824),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1365]),
+	.Y(n_25722), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g882821 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [962]),
+	.A2(n_13918),
+	.B1(n_19723),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1100]),
+	.C1(n_13582),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [801]),
+	.Y(n_25721), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g882824 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [744]),
+	.A2(n_13656),
+	.B1(n_13582),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [790]),
+	.C1(n_13963),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1066]),
+	.Y(n_25718), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g882825 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1330]),
+	.A2(n_19821),
+	.B1(n_13623),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1468]),
+	.C1(n_19823),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1353]),
+	.Y(n_25717), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g882826 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1143]),
+	.A2(n_19918),
+	.B1(n_19760),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1396]),
+	.C1(n_19825),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1419]),
+	.Y(n_25716), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g882827 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1327]),
+	.A2(n_19821),
+	.B1(n_13623),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1465]),
+	.C1(n_19688),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1442]),
+	.Y(n_25715), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g882828 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [775]),
+	.A2(n_13663),
+	.B1(n_13592),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [844]),
+	.C1(n_19726),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [867]),
+	.Y(n_25714), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g882829 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [959]),
+	.A2(n_13918),
+	.B1(n_19723),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1097]),
+	.C1(n_13609),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1051]),
+	.Y(n_25713), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g882830 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [743]),
+	.A2(n_13656),
+	.B1(n_13582),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [789]),
+	.C1(n_13963),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1065]),
+	.Y(n_25712), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g882831 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1318]),
+	.A2(n_19821),
+	.B1(n_13623),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1456]),
+	.C1(n_19824),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1364]),
+	.Y(n_25711), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g882832 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [743]),
+	.A2(n_13656),
+	.B1(n_13582),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [789]),
+	.C1(n_13963),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1065]),
+	.Y(n_25710), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g882833 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [950]),
+	.A2(n_13918),
+	.B1(n_19723),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1088]),
+	.C1(n_19726),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [858]),
+	.Y(n_25709), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g882834 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1329]),
+	.A2(n_19821),
+	.B1(n_13623),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1467]),
+	.C1(n_19688),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1444]),
+	.Y(n_25708), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g882835 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [961]),
+	.A2(n_13918),
+	.B1(n_19723),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1099]),
+	.C1(n_13609),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1053]),
+	.Y(n_25707), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g882836 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1328]),
+	.A2(n_19821),
+	.B1(n_13623),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1466]),
+	.C1(n_19688),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1443]),
+	.Y(n_25706), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g882837 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [742]),
+	.A2(n_13656),
+	.B1(n_13582),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [788]),
+	.C1(n_13963),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1064]),
+	.Y(n_25705), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g882838 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [742]),
+	.A2(n_13656),
+	.B1(n_13582),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [788]),
+	.C1(n_13963),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1064]),
+	.Y(n_25704), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g882839 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [949]),
+	.A2(n_13918),
+	.B1(n_19723),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1087]),
+	.C1(n_13660),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [880]),
+	.Y(n_25703), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g882840 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1333]),
+	.A2(n_19821),
+	.B1(n_13623),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1471]),
+	.C1(n_19688),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1448]),
+	.Y(n_25702), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g882841 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [741]),
+	.A2(n_13656),
+	.B1(n_13582),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [787]),
+	.C1(n_13963),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1063]),
+	.Y(n_25701), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g882842 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1316]),
+	.A2(n_19821),
+	.B1(n_13623),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1454]),
+	.C1(n_19748),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1178]),
+	.Y(n_25700), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g882843 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1355]),
+	.A2(n_19823),
+	.B1(n_19824),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1378]),
+	.C1(n_19918),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1148]),
+	.Y(n_25699), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g882844 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1332]),
+	.A2(n_19821),
+	.B1(n_13623),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1470]),
+	.C1(n_19688),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1447]),
+	.Y(n_25698), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g882845 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [741]),
+	.A2(n_13656),
+	.B1(n_13582),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [787]),
+	.C1(n_13963),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1063]),
+	.Y(n_25697), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g882846 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [948]),
+	.A2(n_13918),
+	.B1(n_19723),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1086]),
+	.C1(n_13660),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [879]),
+	.Y(n_25696), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g882847 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1129]),
+	.A2(n_19918),
+	.B1(n_19760),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1382]),
+	.C1(n_19825),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1405]),
+	.Y(n_25695), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g882848 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1313]),
+	.A2(n_19821),
+	.B1(n_13623),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1451]),
+	.C1(n_19688),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1428]),
+	.Y(n_25694), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g882849 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [761]),
+	.A2(n_13663),
+	.B1(n_13660),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [876]),
+	.C1(n_13659),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [991]),
+	.Y(n_25693), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g882850 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [945]),
+	.A2(n_13918),
+	.B1(n_19723),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1083]),
+	.C1(n_13609),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1037]),
+	.Y(n_25692), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g882853 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1129]),
+	.A2(n_19918),
+	.B1(n_19823),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1336]),
+	.C1(n_19824),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1359]),
+	.Y(n_25689), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g882854 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1313]),
+	.A2(n_19821),
+	.B1(n_13623),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1451]),
+	.C1(n_19688),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1428]),
+	.Y(n_25688), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g882855 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [761]),
+	.A2(n_13663),
+	.B1(n_13592),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [830]),
+	.C1(n_19726),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [853]),
+	.Y(n_25687), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g882856 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [945]),
+	.A2(n_13918),
+	.B1(n_19723),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1083]),
+	.C1(n_13609),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1037]),
+	.Y(n_25686), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g882857 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1384]),
+	.A2(n_19760),
+	.B1(n_19825),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1407]),
+	.C1(n_19918),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1131]),
+	.Y(n_25685), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g882858 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1315]),
+	.A2(n_19821),
+	.B1(n_13623),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1453]),
+	.C1(n_19688),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1430]),
+	.Y(n_25684), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g882859 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1128]),
+	.A2(n_19918),
+	.B1(n_19760),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1381]),
+	.C1(n_19825),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1404]),
+	.Y(n_25683), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g882860 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1312]),
+	.A2(n_19821),
+	.B1(n_13623),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1450]),
+	.C1(n_19688),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1427]),
+	.Y(n_25682), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g882861 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [760]),
+	.A2(n_13663),
+	.B1(n_13592),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [829]),
+	.C1(n_19726),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [852]),
+	.Y(n_25681), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g882862 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [944]),
+	.A2(n_13918),
+	.B1(n_19723),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1082]),
+	.C1(n_13609),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1036]),
+	.Y(n_25680), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g882863 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [832]),
+	.A2(n_13592),
+	.B1(n_19726),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [855]),
+	.C1(n_13663),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [763]),
+	.Y(n_25679), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g882864 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [947]),
+	.A2(n_13918),
+	.B1(n_19723),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1085]),
+	.C1(n_13609),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1039]),
+	.Y(n_25678), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g882865 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1128]),
+	.A2(n_19918),
+	.B1(n_19760),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1381]),
+	.C1(n_19825),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1404]),
+	.Y(n_25677), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g882866 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1312]),
+	.A2(n_19821),
+	.B1(n_13623),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1450]),
+	.C1(n_19688),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1427]),
+	.Y(n_25676), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g882867 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [22]),
+	.A2(n_19676),
+	.B1(n_19687),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [321]),
+	.C1(n_19828),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [344]),
+	.Y(n_25675), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g882868 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [760]),
+	.A2(n_13663),
+	.B1(n_13660),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [875]),
+	.C1(n_13659),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [990]),
+	.Y(n_25674), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g882869 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [944]),
+	.A2(n_13918),
+	.B1(n_19723),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1082]),
+	.C1(n_13609),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1036]),
+	.Y(n_25673), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g882870 (
+	.A1(n_19814),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1234]),
+	.B1(n_13919),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1280]),
+	.Y(n_25672), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g882871 (
+	.A1(n_19914),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1027]),
+	.B1(n_13963),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1073]),
+	.Y(n_25671), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g882872 (
+	.A1(n_13656),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [751]),
+	.B1(n_19915),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [912]),
+	.Y(n_25670), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g882873 (
+	.A1(n_19916),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [935]),
+	.B1(n_19917),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [981]),
+	.Y(n_25669), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g882874 (
+	.A1(n_19911),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [38]),
+	.B1(n_19912),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [176]),
+	.Y(n_25668), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g882875 (
+	.A1(n_19813),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1165]),
+	.B1(n_13919),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1280]),
+	.Y(n_25667), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g882876 (
+	.A1(n_19914),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1027]),
+	.B1(n_13963),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1073]),
+	.Y(n_25666), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g882877 (
+	.A1(n_19729),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [820]),
+	.B1(n_19915),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [912]),
+	.Y(n_25665), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g882878 (
+	.A1(n_19916),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [935]),
+	.B1(n_19917),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [981]),
+	.Y(n_25664), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g882879 (
+	.A1(n_19914),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1014]),
+	.B1(n_13963),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1060]),
+	.Y(n_25663), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g882880 (
+	.A1(n_19911),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [38]),
+	.B1(n_19912),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [176]),
+	.Y(n_25662), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g882881 (
+	.A1(n_19752),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1302]),
+	.B1(n_13919),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1279]),
+	.Y(n_25661), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g882882 (
+	.A1(n_19914),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1026]),
+	.B1(n_13963),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1072]),
+	.Y(n_25660), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g882883 (
+	.A1(n_13656),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [750]),
+	.B1(n_19915),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [911]),
+	.Y(n_25659), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g882884 (
+	.A1(n_19916),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [934]),
+	.B1(n_19917),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [980]),
+	.Y(n_25658), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g882885 (
+	.A1(n_19911),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [37]),
+	.B1(n_19912),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [175]),
+	.Y(n_25657), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g882886 (
+	.A1(n_19748),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1187]),
+	.B1(n_13919),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1279]),
+	.Y(n_25656), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g882887 (
+	.A1(n_19914),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1026]),
+	.B1(n_13963),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1072]),
+	.Y(n_25655), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g882888 (
+	.A1(n_19729),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [819]),
+	.B1(n_19915),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [911]),
+	.Y(n_25654), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g882889 (
+	.A1(n_19916),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [934]),
+	.B1(n_19917),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [980]),
+	.Y(n_25653), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g882890 (
+	.A1(n_19911),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [37]),
+	.B1(n_19912),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [175]),
+	.Y(n_25652), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g882891 (
+	.A1(n_19811),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1117]),
+	.B1(n_13919),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1278]),
+	.Y(n_25651), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g882892 (
+	.A1(n_19914),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1025]),
+	.B1(n_13963),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1071]),
+	.Y(n_25650), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g882893 (
+	.A1(n_13656),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [749]),
+	.B1(n_19915),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [910]),
+	.Y(n_25649), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g882894 (
+	.A1(n_19916),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [933]),
+	.B1(n_19917),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [979]),
+	.Y(n_25648), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g882895 (
+	.A1(n_19911),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [36]),
+	.B1(n_19912),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [174]),
+	.Y(n_25647), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g882896 (
+	.A1(n_19811),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1117]),
+	.B1(n_13919),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1278]),
+	.Y(n_25646), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g882897 (
+	.A1(n_19914),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1025]),
+	.B1(n_13963),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1071]),
+	.Y(n_25645), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g882898 (
+	.A1(n_19729),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [818]),
+	.B1(n_19915),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [910]),
+	.Y(n_25644), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g882899 (
+	.A1(n_19916),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [933]),
+	.B1(n_19917),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [979]),
+	.Y(n_25643), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g882900 (
+	.A1(n_19729),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [826]),
+	.B1(n_19915),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [918]),
+	.Y(n_25642), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g882901 (
+	.A1(n_19911),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [36]),
+	.B1(n_19912),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [174]),
+	.Y(n_25641), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g882902 (
+	.A1(n_19811),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1116]),
+	.B1(n_13919),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1277]),
+	.Y(n_25640), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g882903 (
+	.A1(n_19914),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1024]),
+	.B1(n_13963),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1070]),
+	.Y(n_25639), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g882904 (
+	.A1(n_13656),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [748]),
+	.B1(n_19915),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [909]),
+	.Y(n_25638), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g882905 (
+	.A1(n_19916),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [932]),
+	.B1(n_19917),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [978]),
+	.Y(n_25637), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g882906 (
+	.A1(n_19911),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [35]),
+	.B1(n_19912),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [173]),
+	.Y(n_25636), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g882907 (
+	.A1(n_19813),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1166]),
+	.B1(n_13919),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1281]),
+	.Y(n_25635), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g882908 (
+	.A1(n_19748),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1185]),
+	.B1(n_13919),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1277]),
+	.Y(n_25634), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g882909 (
+	.A_N(n_33734),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [81]),
+	.Y(n_25633), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g882910 (
+	.A1(n_13582),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [794]),
+	.B1(n_19915),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [909]),
+	.Y(n_25632), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g882911 (
+	.A1(n_19916),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [932]),
+	.B1(n_19917),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [978]),
+	.Y(n_25631), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g882912 (
+	.A1(n_19911),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [35]),
+	.B1(n_19912),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [173]),
+	.Y(n_25630), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g882913 (
+	.A1(n_19914),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1028]),
+	.B1(n_13963),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1074]),
+	.Y(n_25629), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g882914 (
+	.A1(n_19729),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [821]),
+	.B1(n_19915),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [913]),
+	.Y(n_25628), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g882915 (
+	.A1(n_19916),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [936]),
+	.B1(n_19917),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [982]),
+	.Y(n_25627), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g882916 (
+	.A1(n_19911),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [39]),
+	.B1(n_19912),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [177]),
+	.Y(n_25626), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g882917 (
+	.A1(n_19914),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1012]),
+	.B1(n_13963),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1058]),
+	.Y(n_25625), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g882918 (
+	.A1(n_19811),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1115]),
+	.B1(n_13919),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1276]),
+	.Y(n_25624), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g882919 (
+	.A1(n_19914),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1023]),
+	.B1(n_13963),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1069]),
+	.Y(n_25623), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g882920 (
+	.A1(n_19729),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [816]),
+	.B1(n_19915),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [908]),
+	.Y(n_25622), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g882921 (
+	.A1(n_19916),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [931]),
+	.B1(n_19917),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [977]),
+	.Y(n_25621), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g882922 (
+	.A1(n_19918),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1131]),
+	.B1(n_13623),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1453]),
+	.Y(n_25620), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g882923 (
+	.A1(n_19811),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1108]),
+	.B1(n_13919),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1269]),
+	.Y(n_25619), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g882924 (
+	.A1(n_19911),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [34]),
+	.B1(n_19912),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [172]),
+	.Y(n_25618), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g882925 (
+	.A1(n_19914),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1016]),
+	.B1(n_13963),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1062]),
+	.Y(n_25617), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g882926 (
+	.A1(n_13656),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [740]),
+	.B1(n_19915),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [901]),
+	.Y(n_25616), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g882927 (
+	.A1(n_19811),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1115]),
+	.B1(n_13919),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1276]),
+	.Y(n_25615), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g882928 (
+	.A1(n_19911),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [27]),
+	.B1(n_19912),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [165]),
+	.Y(n_25614), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g882929 (
+	.A1(n_19914),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1023]),
+	.B1(n_13963),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1069]),
+	.Y(n_25613), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g882930 (
+	.A1(n_13656),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [747]),
+	.B1(n_19915),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [908]),
+	.Y(n_25612), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g882931 (
+	.A1(n_19916),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [931]),
+	.B1(n_19917),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [977]),
+	.Y(n_25611), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g882932 (
+	.A1(n_19911),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [34]),
+	.B1(n_19912),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [172]),
+	.Y(n_25610), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g882933 (
+	.A1(n_19811),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1107]),
+	.B1(n_13919),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1268]),
+	.Y(n_25609), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g882934 (
+	.A1(n_19914),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1015]),
+	.B1(n_13963),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1061]),
+	.Y(n_25608), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g882935 (
+	.A1(n_13656),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [739]),
+	.B1(n_19915),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [900]),
+	.Y(n_25607), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g882936 (
+	.A1(n_13663),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [762]),
+	.B1(n_19723),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1084]),
+	.Y(n_25606), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g882937 (
+	.A1(n_19911),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [26]),
+	.B1(n_19912),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [164]),
+	.Y(n_25605), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g882938 (
+	.A1(n_19752),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1306]),
+	.B1(n_13919),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1283]),
+	.Y(n_25604), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g882939 (
+	.A1(n_19911),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [41]),
+	.B1(n_19912),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [179]),
+	.Y(n_25603), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g882940 (
+	.A1(n_19914),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1030]),
+	.B1(n_13963),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1076]),
+	.Y(n_25602), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g882941 (
+	.A1(n_13656),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [754]),
+	.B1(n_19915),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [915]),
+	.Y(n_25601), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g882942 (
+	.A1(n_19918),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1147]),
+	.B1(n_13623),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1469]),
+	.Y(n_25600), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g882943 (
+	.A1(n_19811),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1124]),
+	.B1(n_13919),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1285]),
+	.Y(n_25599), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g882944 (
+	.A1(n_19811),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1114]),
+	.B1(n_13919),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1275]),
+	.Y(n_25598), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g882945 (
+	.A1(n_19914),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1022]),
+	.B1(n_13963),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1068]),
+	.Y(n_25597), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g882946 (
+	.A1(n_19729),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [815]),
+	.B1(n_19915),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [907]),
+	.Y(n_25596), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g882947 (
+	.A1(n_19916),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [930]),
+	.B1(n_19917),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [976]),
+	.Y(n_25595), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g882948 (
+	.A1(n_19916),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [940]),
+	.B1(n_19917),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [986]),
+	.Y(n_25594), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g882949 (
+	.A1(n_19911),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [33]),
+	.B1(n_19912),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [171]),
+	.Y(n_25593), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g882950 (
+	.A1(n_13582),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [802]),
+	.B1(n_19915),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [917]),
+	.Y(n_25592), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g882951 (
+	.A1(n_19914),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1032]),
+	.B1(n_13963),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1078]),
+	.X(n_25591), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g882952 (
+	.A1(n_13663),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [779]),
+	.B1(n_19723),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1101]),
+	.Y(n_25590), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g882953 (
+	.A1(n_19814),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1229]),
+	.B1(n_13919),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1275]),
+	.Y(n_25589), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g882954 (
+	.A1(n_19914),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1022]),
+	.B1(n_13963),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1068]),
+	.Y(n_25588), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g882955 (
+	.A1(n_13582),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [792]),
+	.B1(n_19915),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [907]),
+	.Y(n_25587), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g882956 (
+	.A1(n_19916),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [930]),
+	.B1(n_19917),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [976]),
+	.Y(n_25586), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g882957 (
+	.A1(n_19911),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [43]),
+	.B1(n_19912),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [181]),
+	.Y(n_25585), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g882958 (
+	.A1(n_19911),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [33]),
+	.B1(n_19912),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [171]),
+	.Y(n_25584), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g882959 (
+	.A1(n_19914),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1029]),
+	.B1(n_13963),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1075]),
+	.Y(n_25583), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g882961 (
+	.A1(n_13656),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [753]),
+	.B1(n_19915),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [914]),
+	.Y(n_25581), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g882962 (
+	.A1(n_19752),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1305]),
+	.B1(n_13919),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1282]),
+	.Y(n_25580), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g882963 (
+	.A1(n_19811),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1113]),
+	.B1(n_13919),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1274]),
+	.Y(n_25579), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g882964 (
+	.A1(n_19911),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [40]),
+	.B1(n_19912),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [178]),
+	.Y(n_25578), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g882965 (
+	.A1(n_19914),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1021]),
+	.B1(n_13963),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1067]),
+	.Y(n_25577), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g882966 (
+	.A1(n_13582),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [791]),
+	.B1(n_19915),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [906]),
+	.Y(n_25576), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g882967 (
+	.A1(n_19916),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [929]),
+	.B1(n_19917),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [975]),
+	.Y(n_25575), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g882968 (
+	.A1(n_19911),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [32]),
+	.B1(n_19912),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [170]),
+	.Y(n_25574), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g882969 (
+	.A1(n_19916),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [941]),
+	.B1(n_19917),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [987]),
+	.Y(n_25573), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g882970 (
+	.A1(n_13656),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [757]),
+	.B1(n_19915),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [918]),
+	.Y(n_25572), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g882972 (
+	.A1(n_19751),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1251]),
+	.B1(n_13919),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1274]),
+	.Y(n_25570), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g882973 (
+	.A1(n_19914),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1021]),
+	.B1(n_13963),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1067]),
+	.Y(n_25569), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g882974 (
+	.A1(n_13582),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [791]),
+	.B1(n_19915),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [906]),
+	.Y(n_25568), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g882975 (
+	.A1(n_19916),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [929]),
+	.B1(n_19917),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [975]),
+	.Y(n_25567), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g882976 (
+	.A1(n_19811),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1125]),
+	.B1(n_13919),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1286]),
+	.Y(n_25566), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g882977 (
+	.A1(n_19911),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [44]),
+	.B1(n_19912),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [182]),
+	.Y(n_25565), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g882978 (
+	.A1(n_19916),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [942]),
+	.B1(n_19917),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [988]),
+	.Y(n_25564), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g882979 (
+	.A1(n_19914),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1034]),
+	.B1(n_13963),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1080]),
+	.Y(n_25563), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g882980 (
+	.A1(n_19911),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [32]),
+	.B1(n_19912),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [170]),
+	.Y(n_25562), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g882981 (
+	.A1(n_19912),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [183]),
+	.B1(n_13919),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1287]),
+	.X(n_25561), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g882982 (
+	.A1(n_19917),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [972]),
+	.B1(n_19914),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1018]),
+	.Y(n_25560), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g882983 (
+	.A1(n_13663),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [781]),
+	.B1(n_19915),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [919]),
+	.Y(n_25559), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g882984 (
+	.A1(n_19911),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [24]),
+	.B1(n_19912),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [162]),
+	.Y(n_25558), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g882985 (
+	.A1(n_19918),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1127]),
+	.B1(n_19823),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1334]),
+	.Y(n_25557), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g882986 (
+	.A1(n_19811),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1104]),
+	.B1(n_13919),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1265]),
+	.Y(n_25556), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g882987 (
+	.A1(n_19914),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1012]),
+	.B1(n_13963),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1058]),
+	.Y(n_25555), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g882988 (
+	.A1(n_13582),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [782]),
+	.B1(n_19915),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [897]),
+	.Y(n_25554), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g882989 (
+	.A1(n_13663),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [759]),
+	.B1(n_19723),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1081]),
+	.Y(n_25553), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g882990 (
+	.A1(n_19911),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [23]),
+	.B1(n_19912),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [161]),
+	.Y(n_25552), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g882991 (
+	.A1(n_19918),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1135]),
+	.B1(n_13919),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1273]),
+	.Y(n_25551), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g882992 (
+	.A1(n_19917),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [974]),
+	.B1(n_19914),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1020]),
+	.Y(n_25550), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g882993 (
+	.A1(n_19911),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [31]),
+	.B1(n_19912),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [169]),
+	.Y(n_25549), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g882994 (
+	.A1(n_19918),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1135]),
+	.B1(n_13919),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1273]),
+	.Y(n_25548), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g882995 (
+	.A1(n_19916),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [939]),
+	.B1(n_19917),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [985]),
+	.Y(n_25547), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g882996 (
+	.A1(n_13656),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [755]),
+	.B1(n_19915),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [916]),
+	.Y(n_25546), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g882998 (
+	.A1(n_19917),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [974]),
+	.B1(n_19914),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1020]),
+	.Y(n_25544), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g882999 (
+	.A1(n_19752),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1307]),
+	.B1(n_13919),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1284]),
+	.Y(n_25543), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883000 (
+	.A1(n_19911),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [31]),
+	.B1(n_19708),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [77]),
+	.Y(n_25542), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883001 (
+	.A1(n_19707),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [146]),
+	.B1(n_19912),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [169]),
+	.Y(n_25541), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883002 (
+	.A1(n_19911),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [42]),
+	.B1(n_19912),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [180]),
+	.Y(n_25540), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883003 (
+	.A1(n_19811),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1120]),
+	.B1(n_13919),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1281]),
+	.Y(n_25539), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883004 (
+	.A1(n_19914),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1028]),
+	.B1(n_13963),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1074]),
+	.Y(n_25538), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883005 (
+	.A1(n_13582),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [798]),
+	.B1(n_19915),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [913]),
+	.Y(n_25537), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883006 (
+	.A1(n_19916),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [936]),
+	.B1(n_19917),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [982]),
+	.Y(n_25536), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883007 (
+	.A1(n_19911),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [39]),
+	.B1(n_19912),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [177]),
+	.Y(n_25535), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883008 (
+	.A1(n_19918),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1147]),
+	.B1(n_19760),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1400]),
+	.Y(n_25534), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883009 (
+	.A1(n_19811),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1124]),
+	.B1(n_13919),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1285]),
+	.Y(n_25533), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883010 (
+	.A1(n_19918),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1134]),
+	.B1(n_13919),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1272]),
+	.Y(n_25532), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883011 (
+	.A1(n_19914),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1032]),
+	.B1(n_13963),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1078]),
+	.Y(n_25531), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883012 (
+	.A1(n_19729),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [825]),
+	.B1(n_19915),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [917]),
+	.Y(n_25530), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883013 (
+	.A1(n_19918),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1130]),
+	.B1(n_19760),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1383]),
+	.Y(n_25529), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g883014 (
+	.A1(n_19916),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [940]),
+	.B1(n_19917),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [986]),
+	.X(n_25528), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883015 (
+	.A1(n_13663),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [779]),
+	.B1(n_13592),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [848]),
+	.Y(n_25527), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883016 (
+	.A1(n_19917),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [973]),
+	.B1(n_19914),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1019]),
+	.Y(n_25526), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883017 (
+	.A1(n_19911),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [30]),
+	.B1(n_19667),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [99]),
+	.Y(n_25525), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883018 (
+	.A1(n_19666),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [53]),
+	.B1(n_19912),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [168]),
+	.Y(n_25524), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883019 (
+	.A1(n_19911),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [43]),
+	.B1(n_19912),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [181]),
+	.Y(n_25523), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883020 (
+	.A1(n_19918),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1134]),
+	.B1(n_13919),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1272]),
+	.Y(n_25522), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883021 (
+	.A1(n_19916),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [921]),
+	.B1(n_19917),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [967]),
+	.Y(n_25521), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883022 (
+	.A1(n_19918),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1146]),
+	.B1(n_19823),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1353]),
+	.Y(n_25520), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883023 (
+	.A1(n_19917),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [973]),
+	.B1(n_19914),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1019]),
+	.Y(n_25519), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883024 (
+	.A1(n_19750),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1215]),
+	.B1(n_13919),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1284]),
+	.Y(n_25518), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883025 (
+	.A1(n_19707),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [145]),
+	.B1(n_19912),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [168]),
+	.Y(n_25517), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883026 (
+	.A1(n_19710),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [7]),
+	.B1(n_19911),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [30]),
+	.Y(n_25516), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883027 (
+	.A1(n_19914),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1031]),
+	.B1(n_13963),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1077]),
+	.Y(n_25515), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883028 (
+	.A1(n_19729),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [824]),
+	.B1(n_19915),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [916]),
+	.Y(n_25514), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g883029 (
+	.A1(n_19916),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [939]),
+	.B1(n_19917),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [985]),
+	.X(n_25513), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883030 (
+	.A1(n_13663),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [778]),
+	.B1(n_19723),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1100]),
+	.Y(n_25512), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883031 (
+	.A1(n_19911),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [42]),
+	.B1(n_19912),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [180]),
+	.Y(n_25511), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883032 (
+	.A1(n_19811),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1122]),
+	.B1(n_13919),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1283]),
+	.Y(n_25510), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883033 (
+	.A1(n_19914),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1030]),
+	.B1(n_13963),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1076]),
+	.Y(n_25509), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883034 (
+	.A1(n_13656),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [754]),
+	.B1(n_19915),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [915]),
+	.Y(n_25508), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883036 (
+	.A1(n_19911),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [41]),
+	.B1(n_19912),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [179]),
+	.Y(n_25506), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883037 (
+	.A1(n_19811),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1121]),
+	.B1(n_13919),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1282]),
+	.Y(n_25505), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883038 (
+	.A1(n_19918),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1133]),
+	.B1(n_13919),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1271]),
+	.Y(n_25504), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883039 (
+	.A1(n_19917),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [972]),
+	.B1(n_19914),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1018]),
+	.Y(n_25503), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883040 (
+	.A1(n_19914),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1029]),
+	.B1(n_13963),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1075]),
+	.Y(n_25502), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883041 (
+	.A1(n_19667),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [98]),
+	.B1(n_19912),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [167]),
+	.Y(n_25501), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883042 (
+	.A1(n_13656),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [753]),
+	.B1(n_19915),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [914]),
+	.Y(n_25500), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883043 (
+	.A1(n_19911),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [29]),
+	.B1(n_19666),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [52]),
+	.Y(n_25499), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883044 (
+	.A1(n_13663),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [776]),
+	.B1(n_19723),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1098]),
+	.Y(n_25498), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883045 (
+	.A1(n_19918),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1133]),
+	.B1(n_13919),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1271]),
+	.Y(n_25497), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883046 (
+	.A1(n_19911),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [29]),
+	.B1(n_19912),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [167]),
+	.Y(n_25496), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883047 (
+	.A1(n_19914),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1013]),
+	.B1(n_13963),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1059]),
+	.Y(n_25495), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883048 (
+	.A1(n_19911),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [40]),
+	.B1(n_19912),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [178]),
+	.Y(n_25494), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883049 (
+	.A1(n_19811),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1126]),
+	.B1(n_13919),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1287]),
+	.Y(n_25493), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883050 (
+	.A1(n_19914),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1034]),
+	.B1(n_13963),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1080]),
+	.Y(n_25492), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883051 (
+	.A1(n_19729),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [827]),
+	.B1(n_19915),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [919]),
+	.Y(n_25491), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883052 (
+	.A1(n_13663),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [781]),
+	.B1(n_19723),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1103]),
+	.Y(n_25490), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883053 (
+	.A1(n_19911),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [45]),
+	.B1(n_19912),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [183]),
+	.Y(n_25489), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883054 (
+	.A1(n_19918),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1127]),
+	.B1(n_13623),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1449]),
+	.Y(n_25488), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883055 (
+	.A1(n_19811),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1104]),
+	.B1(n_13919),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1265]),
+	.Y(n_25487), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883056 (
+	.A1(n_13656),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [736]),
+	.B1(n_19915),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [897]),
+	.Y(n_25486), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883057 (
+	.A1(n_13663),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [759]),
+	.B1(n_19723),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1081]),
+	.Y(n_25485), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883058 (
+	.A1(n_19918),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1132]),
+	.B1(n_13919),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1270]),
+	.Y(n_25484), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883059 (
+	.A1(n_19917),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [971]),
+	.B1(n_19914),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1017]),
+	.Y(n_25483), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883060 (
+	.A1(n_19707),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [143]),
+	.B1(n_19912),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [166]),
+	.Y(n_25482), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883061 (
+	.A1(n_19911),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [28]),
+	.B1(n_19708),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [74]),
+	.Y(n_25481), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883062 (
+	.A1(n_19911),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [23]),
+	.B1(n_19912),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [161]),
+	.Y(n_25480), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883063 (
+	.A1(n_19918),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1132]),
+	.B1(n_13919),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1270]),
+	.Y(n_25479), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883064 (
+	.A1(n_19917),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [971]),
+	.B1(n_19914),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1017]),
+	.Y(n_25478), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883065 (
+	.A1(n_19814),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1240]),
+	.B1(n_13919),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1286]),
+	.Y(n_25477), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883066 (
+	.A1(n_19911),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [28]),
+	.B1(n_19912),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [166]),
+	.Y(n_25476), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883067 (
+	.A1(n_19729),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [807]),
+	.B1(n_19915),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [899]),
+	.Y(n_25475), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883068 (
+	.A1(n_19914),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1033]),
+	.B1(n_13963),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1079]),
+	.Y(n_25474), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883069 (
+	.A1(n_13663),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [780]),
+	.B1(n_19723),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1102]),
+	.Y(n_25473), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883070 (
+	.A1(n_19811),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1107]),
+	.B1(n_13919),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1268]),
+	.Y(n_25472), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883071 (
+	.A1(n_19811),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1106]),
+	.B1(n_13919),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1267]),
+	.Y(n_25471), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883072 (
+	.A1(n_19914),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1014]),
+	.B1(n_13963),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1060]),
+	.Y(n_25470), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883073 (
+	.A1(n_13582),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [784]),
+	.B1(n_19915),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [899]),
+	.Y(n_25469), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883074 (
+	.A1(n_19916),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [923]),
+	.B1(n_19917),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [969]),
+	.Y(n_25468), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883075 (
+	.A1(n_19916),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [922]),
+	.B1(n_19917),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [968]),
+	.Y(n_25467), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883076 (
+	.A1(n_19729),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [808]),
+	.B1(n_19915),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [900]),
+	.Y(n_25466), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883077 (
+	.A1(n_19911),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [44]),
+	.B1(n_19912),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [182]),
+	.Y(n_25465), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883078 (
+	.A1(n_19911),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [25]),
+	.B1(n_19912),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [163]),
+	.Y(n_25464), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883079 (
+	.A1(n_19813),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1152]),
+	.B1(n_13919),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1267]),
+	.Y(n_25463), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883080 (
+	.A1(n_19911),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [26]),
+	.B1(n_19912),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [164]),
+	.Y(n_25462), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883081 (
+	.A1(n_19916),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [922]),
+	.B1(n_19917),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [968]),
+	.Y(n_25461), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883082 (
+	.A1(n_19911),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [25]),
+	.B1(n_19912),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [163]),
+	.Y(n_25460), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883083 (
+	.A1(n_19814),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1220]),
+	.B1(n_13919),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1266]),
+	.Y(n_25459), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883084 (
+	.A1(n_19811),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1108]),
+	.B1(n_13919),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1269]),
+	.Y(n_25458), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883085 (
+	.A1(n_19914),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1016]),
+	.B1(n_13963),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1062]),
+	.Y(n_25457), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883086 (
+	.A1(n_19914),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1013]),
+	.B1(n_13963),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1059]),
+	.Y(n_25456), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883087 (
+	.A1(n_13582),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [783]),
+	.B1(n_19915),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [898]),
+	.Y(n_25455), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883088 (
+	.A1(n_19729),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [809]),
+	.B1(n_19915),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [901]),
+	.Y(n_25454), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883089 (
+	.A1(n_19916),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [924]),
+	.B1(n_19917),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [970]),
+	.Y(n_25453), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883090 (
+	.A1(n_19811),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1105]),
+	.B1(n_13919),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1266]),
+	.Y(n_25452), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883091 (
+	.A1(n_19911),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [27]),
+	.B1(n_19912),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [165]),
+	.Y(n_25451), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883092 (
+	.A1(n_13582),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [783]),
+	.B1(n_19915),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [898]),
+	.Y(n_25450), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883093 (
+	.A1(n_19916),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [921]),
+	.B1(n_19917),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [967]),
+	.Y(n_25449), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883094 (
+	.A1(n_19911),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [24]),
+	.B1(n_19912),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [162]),
+	.Y(n_25448), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g883095 (
+	.A(n_19407),
+	.Y(n_33788), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g883096 (
+	.A(n_19406),
+	.Y(n_33789), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g883097 (
+	.A(n_19405),
+	.Y(n_33694), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g883098 (
+	.A(n_19404),
+	.Y(n_33695), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g883099 (
+	.A(n_13663),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [763]),
+	.Y(n_25447), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g883100 (
+	.A(n_19918),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1130]),
+	.Y(n_25446), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g883105 (
+	.A(n_19918),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1148]),
+	.Y(n_25441), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g883108 (
+	.A(n_19918),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1145]),
+	.Y(n_25438), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g883111 (
+	.A(n_13663),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [777]),
+	.Y(n_25435), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g883112 (
+	.A(n_19918),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1144]),
+	.Y(n_25434), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g883113 (
+	.A(n_19918),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1149]),
+	.Y(n_25433), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883114 (
+	.A1(n_19701),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2869]),
+	.B1(n_19702),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2846]),
+	.Y(n_25432), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883115 (
+	.A1(n_19462),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2136]),
+	.B1(n_19464),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2159]),
+	.Y(n_25431), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883116 (
+	.A1(n_19795),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2800]),
+	.B1(n_13629),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2823]),
+	.Y(n_25430), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883117 (
+	.A1(n_19453),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2802]),
+	.B1(n_19766),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2825]),
+	.Y(n_25429), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883118 (
+	.A1(n_19691),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1804]),
+	.B1(n_19818),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1827]),
+	.Y(n_25428), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883119 (
+	.A1(n_19700),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2915]),
+	.B1(n_19699),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2892]),
+	.Y(n_25427), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883120 (
+	.A1(n_19851),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [599]),
+	.B1(n_19872),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [622]),
+	.Y(n_25426), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883121 (
+	.A1(n_19686),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [378]),
+	.B1(n_13928),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [447]),
+	.Y(n_25425), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883122 (
+	.A1(n_13869),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2182]),
+	.B1(n_19396),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2205]),
+	.Y(n_25424), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883123 (
+	.A1(n_19763),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [424]),
+	.B1(n_19768),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [401]),
+	.Y(n_25423), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883124 (
+	.A1(n_13648),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [562]),
+	.B1(n_13639),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [608]),
+	.Y(n_25422), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883125 (
+	.A1(n_13627),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [654]),
+	.B1(n_13631),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [700]),
+	.Y(n_25421), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883126 (
+	.A1(n_19684),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1850]),
+	.B1(n_19831),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1873]),
+	.Y(n_25420), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883127 (
+	.A1(n_19770),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1896]),
+	.B1(n_19398),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1919]),
+	.Y(n_25419), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883128 (
+	.A1(n_19451),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2871]),
+	.B1(n_19689),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2848]),
+	.Y(n_25418), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883129 (
+	.A1(n_19832),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2034]),
+	.B1(n_19772),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2080]),
+	.Y(n_25417), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883130 (
+	.A1(n_19739),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2439]),
+	.B1(n_19693),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2462]),
+	.Y(n_25416), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883131 (
+	.A1(n_19834),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2126]),
+	.B1(n_19678),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2172]),
+	.Y(n_25415), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883132 (
+	.A1(n_19860),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [756]),
+	.B1(n_19861),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [779]),
+	.Y(n_25414), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883133 (
+	.A1(n_13593),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [470]),
+	.B1(n_13922),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [493]),
+	.Y(n_25413), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883134 (
+	.A1(n_13604),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [516]),
+	.B1(n_13584),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [539]),
+	.Y(n_25412), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883135 (
+	.A1(n_19710),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [20]),
+	.B1(n_19666),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [66]),
+	.Y(n_25411), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883136 (
+	.A1(n_19790),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2894]),
+	.B1(n_19721),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2917]),
+	.Y(n_25410), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883137 (
+	.A1(n_13924),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [585]),
+	.B1(n_13626),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [723]),
+	.Y(n_25409), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883138 (
+	.A1(n_19667),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [112]),
+	.B1(n_19708),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [89]),
+	.Y(n_25408), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883139 (
+	.A1(n_13902),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [631]),
+	.B1(n_13611),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [677]),
+	.Y(n_25407), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883140 (
+	.A1(n_19816),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1769]),
+	.B1(n_19757),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1792]),
+	.Y(n_25406), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883141 (
+	.A1(n_19789),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1942]),
+	.B1(n_19839),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1965]),
+	.Y(n_25405), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883142 (
+	.A1(n_19840),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1988]),
+	.B1(n_19841),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2011]),
+	.Y(n_25404), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883143 (
+	.A1(n_19707),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [158]),
+	.B1(n_19694),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [135]),
+	.Y(n_25403), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883144 (
+	.A1(n_19844),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2103]),
+	.B1(n_19847),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2149]),
+	.Y(n_25402), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883145 (
+	.A1(n_19789),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1935]),
+	.B1(n_19839),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1958]),
+	.Y(n_25401), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883146 (
+	.A1(n_19713),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [204]),
+	.B1(n_19712),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [227]),
+	.Y(n_25400), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883147 (
+	.A1(n_19452),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2712]),
+	.B1(n_19743),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2735]),
+	.Y(n_25399), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883148 (
+	.A1(n_19467),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1385]),
+	.B1(n_19892),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1408]),
+	.Y(n_25398), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883149 (
+	.A1(n_13592),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [838]),
+	.B1(n_19726),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [861]),
+	.Y(n_25397), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883150 (
+	.A1(n_19705),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [250]),
+	.B1(n_19788),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [273]),
+	.Y(n_25396), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883151 (
+	.A1(n_19691),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1815]),
+	.B1(n_19818),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1838]),
+	.Y(n_25395), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883152 (
+	.A1(n_19718),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [296]),
+	.B1(n_19787),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [342]),
+	.Y(n_25394), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883153 (
+	.A1(n_19761),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [319]),
+	.B1(n_19714),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [365]),
+	.Y(n_25393), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883154 (
+	.A1(n_13656),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [746]),
+	.B1(n_19729),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [815]),
+	.Y(n_25392), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883155 (
+	.A1(n_19676),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [20]),
+	.B1(n_19855),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [43]),
+	.Y(n_25391), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883156 (
+	.A1(n_13941),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2632]),
+	.B1(n_13907),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2609]),
+	.Y(n_25390), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883157 (
+	.A1(n_19793),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2678]),
+	.B1(n_13914),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2655]),
+	.Y(n_25389), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883158 (
+	.A1(n_13941),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2642]),
+	.B1(n_13907),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2619]),
+	.Y(n_25388), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883159 (
+	.A1(n_13909),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2586]),
+	.B1(n_19719),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2931]),
+	.Y(n_25387), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883160 (
+	.A1(n_13909),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2596]),
+	.B1(n_19719),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2941]),
+	.Y(n_25386), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883161 (
+	.A1(n_19792),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2724]),
+	.B1(n_13619),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2701]),
+	.Y(n_25385), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883162 (
+	.A1(n_19859),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [66]),
+	.B1(n_19681),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [89]),
+	.Y(n_25384), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883163 (
+	.A1(n_19795),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2793]),
+	.B1(n_13629),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2816]),
+	.Y(n_25383), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883164 (
+	.A1(n_19793),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2688]),
+	.B1(n_13914),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2665]),
+	.Y(n_25382), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883165 (
+	.A1(n_19701),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2862]),
+	.B1(n_19702),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2839]),
+	.Y(n_25381), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883166 (
+	.A1(n_19792),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2734]),
+	.B1(n_13619),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2711]),
+	.Y(n_25380), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883167 (
+	.A1(n_19895),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [645]),
+	.B1(n_19730),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [668]),
+	.Y(n_25379), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883168 (
+	.A1(n_19700),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2908]),
+	.B1(n_19699),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2885]),
+	.Y(n_25378), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883169 (
+	.A1(n_19865),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [802]),
+	.B1(n_19867),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [825]),
+	.Y(n_25377), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883170 (
+	.A1(n_19801),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2218]),
+	.B1(n_19732),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2241]),
+	.Y(n_25376), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883171 (
+	.A1(n_19722),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2780]),
+	.B1(n_13927),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2757]),
+	.Y(n_25375), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883172 (
+	.A1(n_19401),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2264]),
+	.B1(n_19736),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2287]),
+	.Y(n_25374), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883173 (
+	.A1(n_19795),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2803]),
+	.B1(n_13629),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2826]),
+	.Y(n_25373), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883174 (
+	.A1(n_19395),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2310]),
+	.B1(n_19733),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2333]),
+	.Y(n_25372), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883175 (
+	.A1(n_19701),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2872]),
+	.B1(n_19702),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2849]),
+	.Y(n_25371), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883176 (
+	.A1(n_19400),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2356]),
+	.B1(n_19802),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2379]),
+	.Y(n_25370), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883177 (
+	.A1(n_19735),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2402]),
+	.B1(n_19403),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2425]),
+	.Y(n_25369), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883178 (
+	.A1(n_19742),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2494]),
+	.B1(n_19402),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2517]),
+	.Y(n_25368), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883179 (
+	.A1(n_19700),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2918]),
+	.B1(n_19699),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2895]),
+	.Y(n_25367), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883180 (
+	.A1(n_19682),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [112]),
+	.B1(n_19862),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [135]),
+	.Y(n_25366), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883181 (
+	.A1(n_19739),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2448]),
+	.B1(n_19693),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2471]),
+	.Y(n_25365), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883182 (
+	.A1(n_19804),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2540]),
+	.B1(n_19805),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2563]),
+	.Y(n_25364), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883183 (
+	.A1(n_19811),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1114]),
+	.B1(n_19750),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1206]),
+	.Y(n_25363), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883184 (
+	.A1(n_13592),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [848]),
+	.B1(n_19726),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [871]),
+	.Y(n_25362), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883185 (
+	.A1(n_19668),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [158]),
+	.B1(n_19873),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [181]),
+	.Y(n_25361), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883186 (
+	.A1(n_13660),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [894]),
+	.B1(n_13659),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1009]),
+	.Y(n_25360), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883187 (
+	.A1(n_19752),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1298]),
+	.B1(n_19748),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1183]),
+	.Y(n_25359), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883188 (
+	.A1(n_19869),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [848]),
+	.B1(n_19864),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [871]),
+	.Y(n_25358), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883189 (
+	.A1(n_13918),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [963]),
+	.B1(n_13609),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1055]),
+	.Y(n_25357), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883190 (
+	.A1(n_19823),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1344]),
+	.B1(n_19824),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1367]),
+	.Y(n_25356), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883191 (
+	.A1(n_19674),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [204]),
+	.B1(n_19675),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [227]),
+	.Y(n_25355), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883192 (
+	.A1(n_19710),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [10]),
+	.B1(n_19666),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [56]),
+	.Y(n_25354), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883193 (
+	.A1(n_19667),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [102]),
+	.B1(n_19708),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [79]),
+	.Y(n_25353), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883194 (
+	.A1(n_13656),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [756]),
+	.B1(n_19729),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [825]),
+	.Y(n_25352), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883195 (
+	.A1(n_19707),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [148]),
+	.B1(n_19694),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [125]),
+	.Y(n_25351), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883196 (
+	.A1(n_19853),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [250]),
+	.B1(n_19868),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [273]),
+	.Y(n_25350), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883197 (
+	.A1(n_19713),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [194]),
+	.B1(n_19787),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [332]),
+	.Y(n_25349), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883198 (
+	.A1(n_19866),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [894]),
+	.B1(n_19871),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [917]),
+	.Y(n_25348), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883199 (
+	.A1(n_19705),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [240]),
+	.B1(n_19788),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [263]),
+	.Y(n_25347), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883200 (
+	.A1(n_19718),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [286]),
+	.B1(n_19761),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [309]),
+	.Y(n_25346), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883201 (
+	.A1(n_19712),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [217]),
+	.B1(n_19714),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [355]),
+	.Y(n_25345), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883202 (
+	.A1(n_19401),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2274]),
+	.B1(n_19736),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2297]),
+	.Y(n_25344), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883203 (
+	.A1(n_19801),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2228]),
+	.B1(n_19732),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2251]),
+	.Y(n_25343), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883204 (
+	.A1(n_13941),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2632]),
+	.B1(n_13907),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2609]),
+	.Y(n_25342), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883205 (
+	.A1(n_19792),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2724]),
+	.B1(n_13619),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2701]),
+	.Y(n_25341), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883206 (
+	.A1(n_19395),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2320]),
+	.B1(n_19733),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2343]),
+	.Y(n_25340), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883207 (
+	.A1(n_19793),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2678]),
+	.B1(n_13914),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2655]),
+	.Y(n_25339), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883208 (
+	.A1(n_13909),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2586]),
+	.B1(n_19719),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2931]),
+	.Y(n_25338), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883209 (
+	.A1(n_19716),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [296]),
+	.B1(n_19687),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [319]),
+	.Y(n_25337), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883210 (
+	.A1(n_19795),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2793]),
+	.B1(n_13629),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2816]),
+	.Y(n_25336), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883211 (
+	.A1(n_19701),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2862]),
+	.B1(n_19702),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2839]),
+	.Y(n_25335), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883212 (
+	.A1(n_19400),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2366]),
+	.B1(n_19802),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2389]),
+	.Y(n_25334), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883213 (
+	.A1(n_19828),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [342]),
+	.B1(n_19877),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [365]),
+	.Y(n_25333), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883214 (
+	.A1(n_19722),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2770]),
+	.B1(n_13927),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2747]),
+	.Y(n_25332), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883215 (
+	.A1(n_19700),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2908]),
+	.B1(n_19699),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2885]),
+	.Y(n_25331), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883216 (
+	.A1(n_19735),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2412]),
+	.B1(n_19403),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2435]),
+	.Y(n_25330), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883217 (
+	.A1(n_19891),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [691]),
+	.B1(n_19888),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [714]),
+	.Y(n_25329), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883218 (
+	.A1(n_19739),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2458]),
+	.B1(n_19693),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2481]),
+	.Y(n_25328), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883219 (
+	.A1(n_13660),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [884]),
+	.B1(n_13659),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [999]),
+	.Y(n_25327), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883220 (
+	.A1(n_19742),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2504]),
+	.B1(n_19402),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2527]),
+	.Y(n_25326), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883221 (
+	.A1(n_19669),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [940]),
+	.B1(n_19670),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [963]),
+	.Y(n_25325), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883222 (
+	.A1(n_19804),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2550]),
+	.B1(n_19805),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2573]),
+	.Y(n_25324), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883223 (
+	.A1(n_13656),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [746]),
+	.B1(n_13582),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [792]),
+	.Y(n_25323), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883224 (
+	.A1(n_19846),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1803]),
+	.B1(n_19803),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1826]),
+	.Y(n_25322), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883225 (
+	.A1(n_19690),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [388]),
+	.B1(n_19890),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [411]),
+	.Y(n_25321), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883226 (
+	.A1(n_19840),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2000]),
+	.B1(n_19844),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2115]),
+	.Y(n_25320), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883227 (
+	.A1(n_19686),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [388]),
+	.B1(n_13928),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [457]),
+	.Y(n_25319), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883228 (
+	.A1(n_19801),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2218]),
+	.B1(n_19732),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2241]),
+	.Y(n_25318), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883229 (
+	.A1(n_19395),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2310]),
+	.B1(n_19733),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2333]),
+	.Y(n_25317), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883230 (
+	.A1(n_19763),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [434]),
+	.B1(n_19768),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [411]),
+	.Y(n_25316), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883231 (
+	.A1(n_19401),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2264]),
+	.B1(n_19736),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2287]),
+	.Y(n_25315), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883232 (
+	.A1(n_19400),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2356]),
+	.B1(n_19802),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2379]),
+	.Y(n_25314), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883233 (
+	.A1(n_19756),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [434]),
+	.B1(n_19769),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [457]),
+	.Y(n_25313), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883234 (
+	.A1(n_19735),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2402]),
+	.B1(n_19403),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2425]),
+	.Y(n_25312), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883235 (
+	.A1(n_19742),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2494]),
+	.B1(n_19402),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2517]),
+	.Y(n_25311), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883236 (
+	.A1(n_13627),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [664]),
+	.B1(n_13631),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [710]),
+	.Y(n_25310), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883237 (
+	.A1(n_19874),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [986]),
+	.B1(n_19876),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1009]),
+	.Y(n_25309), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883238 (
+	.A1(n_19739),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2448]),
+	.B1(n_19693),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2471]),
+	.Y(n_25308), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883239 (
+	.A1(n_19804),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2540]),
+	.B1(n_19805),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2563]),
+	.Y(n_25307), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883240 (
+	.A1(n_19770),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1906]),
+	.B1(n_19831),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1883]),
+	.Y(n_25306), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883241 (
+	.A1(n_19395),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2304]),
+	.B1(n_19733),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2327]),
+	.Y(n_25305), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883242 (
+	.A1(n_19684),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1860]),
+	.B1(n_19398),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1929]),
+	.Y(n_25304), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883243 (
+	.A1(n_19744),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1482]),
+	.B1(n_19806),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1505]),
+	.Y(n_25303), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883244 (
+	.A1(n_19741),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1528]),
+	.B1(n_19745),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1551]),
+	.Y(n_25302), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883245 (
+	.A1(n_19832),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2044]),
+	.B1(n_19772),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2090]),
+	.Y(n_25301), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883246 (
+	.A1(n_19747),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1574]),
+	.B1(n_19746),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1597]),
+	.Y(n_25300), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883247 (
+	.A1(n_19696),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1620]),
+	.B1(n_19809),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1643]),
+	.Y(n_25299), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883248 (
+	.A1(n_19834),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2136]),
+	.B1(n_19678),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2182]),
+	.Y(n_25298), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883249 (
+	.A1(n_19750),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1206]),
+	.B1(n_19814),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1229]),
+	.Y(n_25297), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883250 (
+	.A1(n_19852),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [480]),
+	.B1(n_19820),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [503]),
+	.Y(n_25296), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883251 (
+	.A1(n_19744),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1493]),
+	.B1(n_19806),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1516]),
+	.Y(n_25295), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883252 (
+	.A1(n_19671),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [526]),
+	.B1(n_19863),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [549]),
+	.Y(n_25294), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883253 (
+	.A1(n_19813),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1160]),
+	.B1(n_19748),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1183]),
+	.Y(n_25293), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883254 (
+	.A1(n_19752),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1298]),
+	.B1(n_19751),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1252]),
+	.Y(n_25292), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883255 (
+	.A1(n_13593),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [480]),
+	.B1(n_13922),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [503]),
+	.Y(n_25291), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883256 (
+	.A1(n_19878),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1032]),
+	.B1(n_19879),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1055]),
+	.Y(n_25290), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883257 (
+	.A1(n_19815),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1666]),
+	.B1(n_19755),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1689]),
+	.Y(n_25289), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883258 (
+	.A1(n_19691),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1804]),
+	.B1(n_19818),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1827]),
+	.Y(n_25288), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883259 (
+	.A1(n_13604),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [526]),
+	.B1(n_13584),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [549]),
+	.Y(n_25287), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883260 (
+	.A1(n_13924),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [595]),
+	.B1(n_13626),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [733]),
+	.Y(n_25286), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883261 (
+	.A1(n_19753),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1712]),
+	.B1(n_19754),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1735]),
+	.Y(n_25285), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883262 (
+	.A1(n_19816),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1758]),
+	.B1(n_19757),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1781]),
+	.Y(n_25284), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883263 (
+	.A1(n_13902),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [641]),
+	.B1(n_13611),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [687]),
+	.Y(n_25283), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883264 (
+	.A1(n_19760),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1390]),
+	.B1(n_19825),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1413]),
+	.Y(n_25282), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883265 (
+	.A1(n_19789),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1952]),
+	.B1(n_19839),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1975]),
+	.Y(n_25281), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883266 (
+	.A1(n_19738),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [572]),
+	.B1(n_19737),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [595]),
+	.Y(n_25280), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883267 (
+	.A1(n_19686),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [378]),
+	.B1(n_19768),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [401]),
+	.Y(n_25279), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883268 (
+	.A1(n_13648),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [562]),
+	.B1(n_13639),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [608]),
+	.Y(n_25278), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883269 (
+	.A1(n_19840),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1998]),
+	.B1(n_19841),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2021]),
+	.Y(n_25277), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883270 (
+	.A1(n_19763),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [424]),
+	.B1(n_13928),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [447]),
+	.Y(n_25276), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883271 (
+	.A1(n_19752),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1304]),
+	.B1(n_19748),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1189]),
+	.Y(n_25275), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883272 (
+	.A1(n_19842),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2067]),
+	.B1(n_19844),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2113]),
+	.Y(n_25274), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883273 (
+	.A1(n_13627),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [654]),
+	.B1(n_13631),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [700]),
+	.Y(n_25273), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883274 (
+	.A1(n_19851),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [618]),
+	.B1(n_19872),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [641]),
+	.Y(n_25272), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883275 (
+	.A1(n_19893),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1078]),
+	.B1(n_19827),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1101]),
+	.Y(n_25271), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883276 (
+	.A1(n_19847),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2159]),
+	.B1(n_19843),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2205]),
+	.Y(n_25270), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883277 (
+	.A1(n_19684),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1850]),
+	.B1(n_19831),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1873]),
+	.Y(n_25269), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883278 (
+	.A1(n_19832),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2034]),
+	.B1(n_19772),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2080]),
+	.Y(n_25268), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883279 (
+	.A1(n_19457),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2491]),
+	.B1(n_19856),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2514]),
+	.Y(n_25267), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883280 (
+	.A1(n_19466),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2209]),
+	.B1(n_19883),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2232]),
+	.Y(n_25266), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883281 (
+	.A1(n_19770),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1896]),
+	.B1(n_19398),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1919]),
+	.Y(n_25265), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883282 (
+	.A1(n_19834),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2126]),
+	.B1(n_19678),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2172]),
+	.Y(n_25264), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883283 (
+	.A1(n_19744),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1492]),
+	.B1(n_19806),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1515]),
+	.Y(n_25263), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883284 (
+	.A1(n_13593),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [470]),
+	.B1(n_13922),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [493]),
+	.Y(n_25262), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883285 (
+	.A1(n_13611),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [677]),
+	.B1(n_13626),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [723]),
+	.Y(n_25261), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883286 (
+	.A1(n_19696),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1630]),
+	.B1(n_19809),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1653]),
+	.Y(n_25260), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883287 (
+	.A1(n_19895),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [664]),
+	.B1(n_19730),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [687]),
+	.Y(n_25259), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883288 (
+	.A1(n_13604),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [516]),
+	.B1(n_13902),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [631]),
+	.Y(n_25258), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883289 (
+	.A1(n_19741),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1538]),
+	.B1(n_19745),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1561]),
+	.Y(n_25257), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883290 (
+	.A1(n_13924),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [585]),
+	.B1(n_13584),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [539]),
+	.Y(n_25256), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883291 (
+	.A1(n_19789),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1942]),
+	.B1(n_19839),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1965]),
+	.Y(n_25255), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883292 (
+	.A1(n_19840),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1988]),
+	.B1(n_19847),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2149]),
+	.Y(n_25254), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883293 (
+	.A1(n_19747),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1584]),
+	.B1(n_19746),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1607]),
+	.Y(n_25253), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883294 (
+	.A1(n_19842),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2057]),
+	.B1(n_19841),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2011]),
+	.Y(n_25252), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883295 (
+	.A1(n_19891),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [710]),
+	.B1(n_19888),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [733]),
+	.Y(n_25251), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883296 (
+	.A1(n_19844),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2103]),
+	.B1(n_19843),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2195]),
+	.Y(n_25250), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883297 (
+	.A1(n_19815),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1676]),
+	.B1(n_19755),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1699]),
+	.Y(n_25249), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883298 (
+	.A1(n_19753),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1722]),
+	.B1(n_19754),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1745]),
+	.Y(n_25248), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883299 (
+	.A1(n_19676),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [10]),
+	.B1(n_19855),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [33]),
+	.Y(n_25247), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883300 (
+	.A1(n_19668),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [148]),
+	.B1(n_19873),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [171]),
+	.Y(n_25246), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883301 (
+	.A1(n_19816),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1768]),
+	.B1(n_19757),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1791]),
+	.Y(n_25245), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883302 (
+	.A1(n_19682),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [102]),
+	.B1(n_19862),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [125]),
+	.Y(n_25244), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883303 (
+	.A1(n_19691),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1814]),
+	.B1(n_19818),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1837]),
+	.Y(n_25243), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883304 (
+	.A1(n_19858),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1124]),
+	.B1(n_19881),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1147]),
+	.Y(n_25242), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883305 (
+	.A1(n_19859),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [56]),
+	.B1(n_19681),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [79]),
+	.Y(n_25241), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883306 (
+	.A1(n_19674),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [194]),
+	.B1(n_19675),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [217]),
+	.Y(n_25240), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883307 (
+	.A1(n_19828),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [332]),
+	.B1(n_19877),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [355]),
+	.Y(n_25239), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883308 (
+	.A1(n_19813),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1170]),
+	.B1(n_19748),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1193]),
+	.Y(n_25238), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883309 (
+	.A1(n_19799),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1492]),
+	.B1(n_13798),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1515]),
+	.Y(n_25237), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883310 (
+	.A1(n_19716),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [286]),
+	.B1(n_19687),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [309]),
+	.Y(n_25236), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883311 (
+	.A1(n_19853),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [240]),
+	.B1(n_19868),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [263]),
+	.Y(n_25235), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883312 (
+	.A1(n_19750),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1216]),
+	.B1(n_19751),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1262]),
+	.Y(n_25234), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883313 (
+	.A1(n_19752),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1308]),
+	.B1(n_19814),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1239]),
+	.Y(n_25233), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883314 (
+	.A1(n_19734),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1538]),
+	.B1(n_19740),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1561]),
+	.Y(n_25232), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883315 (
+	.A1(n_19690),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [378]),
+	.B1(n_19890),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [401]),
+	.Y(n_25231), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883316 (
+	.A1(n_19819),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1170]),
+	.B1(n_19882),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1193]),
+	.Y(n_25230), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883317 (
+	.A1(n_19671),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [516]),
+	.B1(n_19863),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [539]),
+	.Y(n_25229), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883318 (
+	.A1(n_19823),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1354]),
+	.B1(n_19760),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1400]),
+	.Y(n_25228), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883319 (
+	.A1(n_19852),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [470]),
+	.B1(n_19820),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [493]),
+	.Y(n_25227), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883320 (
+	.A1(n_19824),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1377]),
+	.B1(n_19825),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1423]),
+	.Y(n_25226), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883321 (
+	.A1(n_19756),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [424]),
+	.B1(n_19769),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [447]),
+	.Y(n_25225), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883322 (
+	.A1(n_19738),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [562]),
+	.B1(n_19737),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [585]),
+	.Y(n_25224), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883323 (
+	.A1(n_19821),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1331]),
+	.B1(n_19688),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1446]),
+	.Y(n_25223), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883324 (
+	.A1(n_19891),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [700]),
+	.B1(n_19888),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [723]),
+	.Y(n_25222), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883325 (
+	.A1(n_19808),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1584]),
+	.B1(n_19812),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1607]),
+	.Y(n_25221), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883326 (
+	.A1(n_19895),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [654]),
+	.B1(n_19730),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [677]),
+	.Y(n_25220), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883327 (
+	.A1(n_19792),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2715]),
+	.B1(n_13619),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2692]),
+	.Y(n_25219), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883328 (
+	.A1(n_19851),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [608]),
+	.B1(n_19872),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [631]),
+	.Y(n_25218), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883329 (
+	.A1(n_19697),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1630]),
+	.B1(n_19759),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1653]),
+	.Y(n_25217), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883330 (
+	.A1(n_19875),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2255]),
+	.B1(n_19880),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2278]),
+	.Y(n_25216), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883331 (
+	.A1(n_19799),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1482]),
+	.B1(n_13798),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1505]),
+	.Y(n_25215), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883332 (
+	.A1(n_19800),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1216]),
+	.B1(n_19884),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1239]),
+	.Y(n_25214), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883333 (
+	.A1(n_19697),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1620]),
+	.B1(n_19759),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1643]),
+	.Y(n_25213), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883334 (
+	.A1(n_19808),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1574]),
+	.B1(n_19812),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1597]),
+	.Y(n_25212), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883335 (
+	.A1(n_19734),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1528]),
+	.B1(n_19740),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1551]),
+	.Y(n_25211), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883336 (
+	.A1(n_19829),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1666]),
+	.B1(n_19833),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1689]),
+	.Y(n_25210), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883337 (
+	.A1(n_19829),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1676]),
+	.B1(n_19833),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1699]),
+	.Y(n_25209), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883338 (
+	.A1(n_19680),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1758]),
+	.B1(n_19845),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1781]),
+	.Y(n_25208), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883339 (
+	.A1(n_19703),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1712]),
+	.B1(n_19838),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1735]),
+	.Y(n_25207), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883340 (
+	.A1(n_19801),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2226]),
+	.B1(n_19732),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2249]),
+	.Y(n_25206), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883341 (
+	.A1(n_19846),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1804]),
+	.B1(n_19803),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1827]),
+	.Y(n_25205), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883342 (
+	.A1(n_19703),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1722]),
+	.B1(n_19838),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1745]),
+	.Y(n_25204), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883343 (
+	.A1(n_19401),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2272]),
+	.B1(n_19736),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2295]),
+	.Y(n_25203), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883344 (
+	.A1(n_13474),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1850]),
+	.B1(n_19810),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1873]),
+	.Y(n_25202), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883345 (
+	.A1(n_19885),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1262]),
+	.B1(n_19886),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1285]),
+	.Y(n_25201), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883346 (
+	.A1(n_19679),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1988]),
+	.B1(n_13772),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2011]),
+	.Y(n_25200), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883347 (
+	.A1(n_19735),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2410]),
+	.B1(n_19403),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2433]),
+	.Y(n_25199), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883348 (
+	.A1(n_19854),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1942]),
+	.B1(n_19830),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1965]),
+	.Y(n_25198), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883349 (
+	.A1(n_19739),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2456]),
+	.B1(n_19693),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2479]),
+	.Y(n_25197), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883350 (
+	.A1(n_19817),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1896]),
+	.B1(n_19826),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1919]),
+	.Y(n_25196), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883351 (
+	.A1(n_19680),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1768]),
+	.B1(n_19845),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1791]),
+	.Y(n_25195), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883352 (
+	.A1(n_19742),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2502]),
+	.B1(n_19402),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2525]),
+	.Y(n_25194), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883353 (
+	.A1(n_19804),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2548]),
+	.B1(n_19805),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2571]),
+	.Y(n_25193), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883354 (
+	.A1(n_19460),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2034]),
+	.B1(n_19848),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2057]),
+	.Y(n_25192), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883355 (
+	.A1(n_13869),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2172]),
+	.B1(n_19396),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2195]),
+	.Y(n_25191), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883356 (
+	.A1(n_19462),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2126]),
+	.B1(n_19464),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2149]),
+	.Y(n_25190), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883357 (
+	.A1(n_13592),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [846]),
+	.B1(n_19729),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [823]),
+	.Y(n_25189), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883358 (
+	.A1(n_19846),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1814]),
+	.B1(n_19803),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1837]),
+	.Y(n_25188), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883359 (
+	.A1(n_13794),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2080]),
+	.B1(n_19397),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2103]),
+	.Y(n_25187), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883360 (
+	.A1(n_13660),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [892]),
+	.B1(n_19726),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [869]),
+	.Y(n_25186), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883361 (
+	.A1(n_19469),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1308]),
+	.B1(n_19894),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1331]),
+	.Y(n_25185), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883362 (
+	.A1(n_19860),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [746]),
+	.B1(n_19861),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [769]),
+	.Y(n_25184), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883364 (
+	.A1(n_19866),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [884]),
+	.B1(n_19871),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [907]),
+	.Y(n_25182), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883365 (
+	.A1(n_19869),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [838]),
+	.B1(n_19864),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [861]),
+	.Y(n_25181), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883366 (
+	.A1(n_19865),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [792]),
+	.B1(n_19867),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [815]),
+	.Y(n_25180), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883367 (
+	.A1(n_19395),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2318]),
+	.B1(n_19733),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2341]),
+	.Y(n_25179), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883368 (
+	.A1(n_19669),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [930]),
+	.B1(n_19670),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [953]),
+	.Y(n_25178), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883369 (
+	.A1(n_19893),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1068]),
+	.B1(n_19827),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1091]),
+	.Y(n_25177), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g883370 (
+	.A1(brqrv_top_brqrv_exu_i0_br_index_r[6]),
+	.A2(n_19544),
+	.B1(n_19569),
+	.C1(n_19665),
+	.D1(n_19664),
+	.Y(n_25176), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g883371 (
+	.A1(brqrv_top_brqrv_exu_i0_br_index_r[5]),
+	.A2(n_19538),
+	.B1(n_19570),
+	.C1(n_19575),
+	.D1(n_19574),
+	.Y(n_25175), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883372 (
+	.A1(n_19682),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [113]),
+	.B1(n_19862),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [136]),
+	.Y(n_25174), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883373 (
+	.A1(n_19690),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [390]),
+	.B1(n_19877),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [367]),
+	.Y(n_25173), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883374 (
+	.A1(n_19823),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1349]),
+	.B1(n_19824),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1372]),
+	.Y(n_25172), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883375 (
+	.A1(n_19859),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [67]),
+	.B1(n_19681),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [90]),
+	.Y(n_25171), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883376 (
+	.A1(n_19676),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [21]),
+	.B1(n_19855),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [44]),
+	.Y(n_25170), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883377 (
+	.A1(n_19890),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [413]),
+	.B1(n_19756),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [436]),
+	.Y(n_25169), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883378 (
+	.A1(n_19750),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1211]),
+	.B1(n_19751),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1257]),
+	.Y(n_25168), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883379 (
+	.A1(n_19696),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1612]),
+	.B1(n_19809),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1635]),
+	.Y(n_25167), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883380 (
+	.A1(n_19445),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2350]),
+	.B1(n_19870),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2373]),
+	.Y(n_25166), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883381 (
+	.A1(n_19813),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1165]),
+	.B1(n_19748),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1188]),
+	.Y(n_25165), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883382 (
+	.A1(n_19813),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1151]),
+	.B1(n_19748),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1174]),
+	.Y(n_25164), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883383 (
+	.A1(n_19811),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1119]),
+	.B1(n_19752),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1303]),
+	.Y(n_25163), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883384 (
+	.A1(n_19790),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2878]),
+	.B1(n_19721),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2901]),
+	.Y(n_25162), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883385 (
+	.A1(n_19742),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2499]),
+	.B1(n_19402),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2522]),
+	.Y(n_25161), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883386 (
+	.A1(n_19739),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2453]),
+	.B1(n_19693),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2476]),
+	.Y(n_25160), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883387 (
+	.A1(n_19453),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2786]),
+	.B1(n_19766),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2809]),
+	.Y(n_25159), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883388 (
+	.A1(n_19804),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2545]),
+	.B1(n_19805),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2568]),
+	.Y(n_25158), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883389 (
+	.A1(n_19442),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2304]),
+	.B1(n_19672),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2327]),
+	.Y(n_25157), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883390 (
+	.A1(n_19735),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2407]),
+	.B1(n_19403),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2430]),
+	.Y(n_25156), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883391 (
+	.A1(n_19464),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2161]),
+	.B1(n_13869),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2184]),
+	.Y(n_25155), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883392 (
+	.A1(n_19451),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2855]),
+	.B1(n_19689),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2832]),
+	.Y(n_25154), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883393 (
+	.A1(n_19400),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2361]),
+	.B1(n_19802),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2384]),
+	.Y(n_25153), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883394 (
+	.A1(n_19401),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2269]),
+	.B1(n_19736),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2292]),
+	.Y(n_25152), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883395 (
+	.A1(n_19455),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2763]),
+	.B1(n_19758),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2740]),
+	.Y(n_25151), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883396 (
+	.A1(n_19395),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2315]),
+	.B1(n_19733),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2338]),
+	.Y(n_25150), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883397 (
+	.A1(n_19801),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2223]),
+	.B1(n_19732),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2246]),
+	.Y(n_25149), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883398 (
+	.A1(n_19462),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2138]),
+	.B1(n_19397),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2115]),
+	.Y(n_25148), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883399 (
+	.A1(n_19452),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2694]),
+	.B1(n_19743),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2717]),
+	.Y(n_25147), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883400 (
+	.A1(n_19700),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2913]),
+	.B1(n_19699),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2890]),
+	.Y(n_25146), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883401 (
+	.A1(n_19722),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2775]),
+	.B1(n_13927),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2752]),
+	.Y(n_25145), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883402 (
+	.A1(n_19454),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2671]),
+	.B1(n_19796),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2648]),
+	.Y(n_25144), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883403 (
+	.A1(n_19701),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2867]),
+	.B1(n_19702),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2844]),
+	.Y(n_25143), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883404 (
+	.A1(n_19795),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2798]),
+	.B1(n_13629),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2821]),
+	.Y(n_25142), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883405 (
+	.A1(n_19848),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2069]),
+	.B1(n_13794),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2092]),
+	.Y(n_25141), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883406 (
+	.A1(n_19449),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2579]),
+	.B1(n_19692),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2924]),
+	.Y(n_25140), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883407 (
+	.A1(n_19875),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2258]),
+	.B1(n_19880),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2281]),
+	.Y(n_25139), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883408 (
+	.A1(n_13909),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2591]),
+	.B1(n_19719),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2936]),
+	.Y(n_25138), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883409 (
+	.A1(n_19793),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2683]),
+	.B1(n_13914),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2660]),
+	.Y(n_25137), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883410 (
+	.A1(n_19456),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2602]),
+	.B1(n_13845),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2625]),
+	.Y(n_25136), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883411 (
+	.A1(n_19792),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2729]),
+	.B1(n_13619),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2706]),
+	.Y(n_25135), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883412 (
+	.A1(n_13941),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2637]),
+	.B1(n_13907),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2614]),
+	.Y(n_25134), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883413 (
+	.A1(n_19460),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2046]),
+	.B1(n_13772),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2023]),
+	.Y(n_25133), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883414 (
+	.A1(n_19458),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2533]),
+	.B1(n_19807),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2556]),
+	.Y(n_25132), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883415 (
+	.A1(n_13582),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [797]),
+	.B1(n_19729),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [820]),
+	.Y(n_25131), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883416 (
+	.A1(n_19448),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2441]),
+	.B1(n_19709),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2464]),
+	.Y(n_25130), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883417 (
+	.A1(n_19466),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2212]),
+	.B1(n_19883),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2235]),
+	.Y(n_25129), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883418 (
+	.A1(n_19830),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1977]),
+	.B1(n_19679),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2000]),
+	.Y(n_25128), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883419 (
+	.A1(n_19457),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2487]),
+	.B1(n_19856),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2510]),
+	.Y(n_25127), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883420 (
+	.A1(n_19446),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2395]),
+	.B1(n_19711),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2418]),
+	.Y(n_25126), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883421 (
+	.A1(n_13660),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [889]),
+	.B1(n_13659),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1004]),
+	.Y(n_25125), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883422 (
+	.A1(n_19854),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1954]),
+	.B1(n_19826),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1931]),
+	.Y(n_25124), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883423 (
+	.A1(n_13627),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [651]),
+	.B1(n_13631),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [697]),
+	.Y(n_25123), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883424 (
+	.A1(n_19718),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [297]),
+	.B1(n_19761),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [320]),
+	.Y(n_25122), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883425 (
+	.A1(n_19445),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2349]),
+	.B1(n_19870),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2372]),
+	.Y(n_25121), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883426 (
+	.A1(n_19842),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2062]),
+	.B1(n_19843),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2200]),
+	.Y(n_25120), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883427 (
+	.A1(n_19442),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2303]),
+	.B1(n_19672),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2326]),
+	.Y(n_25119), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883428 (
+	.A1(n_19840),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1993]),
+	.B1(n_19841),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2016]),
+	.Y(n_25118), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883429 (
+	.A1(n_19844),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2108]),
+	.B1(n_19847),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2154]),
+	.Y(n_25117), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883430 (
+	.A1(n_13474),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1862]),
+	.B1(n_19803),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1839]),
+	.Y(n_25116), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883431 (
+	.A1(n_19789),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1947]),
+	.B1(n_19839),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1970]),
+	.Y(n_25115), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883432 (
+	.A1(n_19875),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2257]),
+	.B1(n_19880),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2280]),
+	.Y(n_25114), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883433 (
+	.A1(n_13924),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [590]),
+	.B1(n_13626),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [728]),
+	.Y(n_25113), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883434 (
+	.A1(n_19466),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2211]),
+	.B1(n_19883),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2234]),
+	.Y(n_25112), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883435 (
+	.A1(n_13604),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [521]),
+	.B1(n_13584),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [544]),
+	.Y(n_25111), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883436 (
+	.A1(n_19810),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1885]),
+	.B1(n_19817),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1908]),
+	.Y(n_25110), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883437 (
+	.A1(n_13902),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [636]),
+	.B1(n_13611),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [682]),
+	.Y(n_25109), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883438 (
+	.A1(n_19446),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2398]),
+	.B1(n_19711),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2421]),
+	.Y(n_25108), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883439 (
+	.A1(n_19468),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1430]),
+	.B1(n_19794),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1453]),
+	.Y(n_25107), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883440 (
+	.A1(n_13593),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [475]),
+	.B1(n_13922),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [498]),
+	.Y(n_25106), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883441 (
+	.A1(n_13869),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2165]),
+	.B1(n_19396),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2188]),
+	.Y(n_25105), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883442 (
+	.A1(n_19834),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2131]),
+	.B1(n_19678),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2177]),
+	.Y(n_25104), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883443 (
+	.A1(n_19770),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1901]),
+	.B1(n_19831),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1878]),
+	.Y(n_25103), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883444 (
+	.A1(n_19462),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2119]),
+	.B1(n_19464),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2142]),
+	.Y(n_25102), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883445 (
+	.A1(n_19832),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2039]),
+	.B1(n_19772),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2085]),
+	.Y(n_25101), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883446 (
+	.A1(n_19684),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1855]),
+	.B1(n_19398),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1924]),
+	.Y(n_25100), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883447 (
+	.A1(n_19856),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2529]),
+	.B1(n_19458),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2552]),
+	.Y(n_25099), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883448 (
+	.A1(n_13794),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2073]),
+	.B1(n_19397),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2096]),
+	.Y(n_25098), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883449 (
+	.A1(n_13627),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [659]),
+	.B1(n_13631),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [705]),
+	.Y(n_25097), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883450 (
+	.A1(n_19763),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [429]),
+	.B1(n_19768),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [406]),
+	.Y(n_25096), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883451 (
+	.A1(n_19460),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2027]),
+	.B1(n_19848),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2050]),
+	.Y(n_25095), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883452 (
+	.A1(n_13648),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [567]),
+	.B1(n_13639),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [613]),
+	.Y(n_25094), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883453 (
+	.A1(n_19686),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [383]),
+	.B1(n_13928),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [452]),
+	.Y(n_25093), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883454 (
+	.A1(n_19467),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1384]),
+	.B1(n_19892),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1407]),
+	.Y(n_25092), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883455 (
+	.A1(n_19457),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2506]),
+	.B1(n_19709),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2483]),
+	.Y(n_25091), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883456 (
+	.A1(n_19679),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1981]),
+	.B1(n_13772),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2004]),
+	.Y(n_25090), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883457 (
+	.A1(n_19761),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [314]),
+	.B1(n_19714),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [360]),
+	.Y(n_25089), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883458 (
+	.A1(n_19816),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1758]),
+	.B1(n_19757),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1781]),
+	.Y(n_25088), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883459 (
+	.A1(n_19705),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [245]),
+	.B1(n_19788),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [268]),
+	.Y(n_25087), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883460 (
+	.A1(n_19854),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1935]),
+	.B1(n_19830),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1958]),
+	.Y(n_25086), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883461 (
+	.A1(n_19718),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [291]),
+	.B1(n_19787),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [337]),
+	.Y(n_25085), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883462 (
+	.A1(n_19713),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [199]),
+	.B1(n_19712),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [222]),
+	.Y(n_25084), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883463 (
+	.A1(n_19711),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2437]),
+	.B1(n_19448),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2460]),
+	.Y(n_25083), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883464 (
+	.A1(n_19817),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1889]),
+	.B1(n_19826),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1912]),
+	.Y(n_25082), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883465 (
+	.A1(n_19696),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1625]),
+	.B1(n_19809),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1648]),
+	.Y(n_25081), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883466 (
+	.A1(n_19741),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1533]),
+	.B1(n_19745),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1556]),
+	.Y(n_25080), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883467 (
+	.A1(n_13474),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1843]),
+	.B1(n_19810),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1866]),
+	.Y(n_25079), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883468 (
+	.A1(n_19446),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2414]),
+	.B1(n_19870),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2391]),
+	.Y(n_25078), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883469 (
+	.A1(n_19747),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1579]),
+	.B1(n_19746),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1602]),
+	.Y(n_25077), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883470 (
+	.A1(n_19744),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1487]),
+	.B1(n_19806),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1510]),
+	.Y(n_25076), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883471 (
+	.A1(n_19887),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1338]),
+	.B1(n_19889),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1361]),
+	.Y(n_25075), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883472 (
+	.A1(n_19891),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [693]),
+	.B1(n_19888),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [716]),
+	.Y(n_25074), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883473 (
+	.A1(n_19691),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1809]),
+	.B1(n_19818),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1832]),
+	.Y(n_25073), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883474 (
+	.A1(n_19753),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1717]),
+	.B1(n_19754),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1740]),
+	.Y(n_25072), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883475 (
+	.A1(n_19895),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [647]),
+	.B1(n_19730),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [670]),
+	.Y(n_25071), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883476 (
+	.A1(n_19816),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1763]),
+	.B1(n_19757),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1786]),
+	.Y(n_25070), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883477 (
+	.A1(n_19815),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1671]),
+	.B1(n_19755),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1694]),
+	.Y(n_25069), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883478 (
+	.A1(n_19879),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1057]),
+	.B1(n_19893),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1080]),
+	.Y(n_25068), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883479 (
+	.A1(n_19851),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [601]),
+	.B1(n_19872),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [624]),
+	.Y(n_25067), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883480 (
+	.A1(n_19469),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1292]),
+	.B1(n_19894),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1315]),
+	.Y(n_25066), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883481 (
+	.A1(n_19667),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [107]),
+	.B1(n_19708),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [84]),
+	.Y(n_25065), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883482 (
+	.A1(n_19878),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1034]),
+	.B1(n_19876),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1011]),
+	.Y(n_25064), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883483 (
+	.A1(n_19738),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [555]),
+	.B1(n_19737),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [578]),
+	.Y(n_25063), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883484 (
+	.A1(n_19707),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [153]),
+	.B1(n_19694),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [130]),
+	.Y(n_25062), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883485 (
+	.A1(n_19710),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [15]),
+	.B1(n_19666),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [61]),
+	.Y(n_25061), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883486 (
+	.A1(n_19756),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [417]),
+	.B1(n_19769),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [440]),
+	.Y(n_25060), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883487 (
+	.A1(n_19468),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1427]),
+	.B1(n_19794),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1450]),
+	.Y(n_25059), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883488 (
+	.A1(n_19752),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1290]),
+	.B1(n_19748),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1175]),
+	.Y(n_25058), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883489 (
+	.A1(n_19844),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2108]),
+	.B1(n_19847),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2154]),
+	.Y(n_25057), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883490 (
+	.A1(n_19670),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [965]),
+	.B1(n_19874),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [988]),
+	.Y(n_25056), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883491 (
+	.A1(n_19842),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2062]),
+	.B1(n_19843),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2200]),
+	.Y(n_25055), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883492 (
+	.A1(n_19852),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [463]),
+	.B1(n_19820),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [486]),
+	.Y(n_25054), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883493 (
+	.A1(n_19840),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1993]),
+	.B1(n_19841),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2016]),
+	.Y(n_25053), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883494 (
+	.A1(n_19789),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1947]),
+	.B1(n_19839),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1970]),
+	.Y(n_25052), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883495 (
+	.A1(n_19671),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [509]),
+	.B1(n_19863),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [532]),
+	.Y(n_25051), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883496 (
+	.A1(n_13902),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [636]),
+	.B1(n_13611),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [682]),
+	.Y(n_25050), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883497 (
+	.A1(n_13924),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [590]),
+	.B1(n_13626),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [728]),
+	.Y(n_25049), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883498 (
+	.A1(n_19690),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [371]),
+	.B1(n_19890),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [394]),
+	.Y(n_25048), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883499 (
+	.A1(n_13604),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [521]),
+	.B1(n_13584),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [544]),
+	.Y(n_25047), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883500 (
+	.A1(n_13593),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [475]),
+	.B1(n_13922),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [498]),
+	.Y(n_25046), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883501 (
+	.A1(n_19669),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [942]),
+	.B1(n_19871),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [919]),
+	.Y(n_25045), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883502 (
+	.A1(n_19834),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2131]),
+	.B1(n_19678),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2177]),
+	.Y(n_25044), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883503 (
+	.A1(n_19832),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2039]),
+	.B1(n_19772),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2085]),
+	.Y(n_25043), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883504 (
+	.A1(n_19705),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [251]),
+	.B1(n_19788),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [274]),
+	.Y(n_25042), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883505 (
+	.A1(n_19885),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1246]),
+	.B1(n_19886),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1269]),
+	.Y(n_25041), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883506 (
+	.A1(n_19831),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1878]),
+	.B1(n_19398),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1924]),
+	.Y(n_25040), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883507 (
+	.A1(n_19691),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1797]),
+	.B1(n_19818),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1820]),
+	.Y(n_25039), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883508 (
+	.A1(n_19684),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1855]),
+	.B1(n_19770),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1901]),
+	.Y(n_25038), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883509 (
+	.A1(n_19468),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1429]),
+	.B1(n_19794),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1452]),
+	.Y(n_25037), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883510 (
+	.A1(n_13648),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [567]),
+	.B1(n_13639),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [613]),
+	.Y(n_25036), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883511 (
+	.A1(n_19763),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [429]),
+	.B1(n_13928),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [452]),
+	.Y(n_25035), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883512 (
+	.A1(n_13627),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [659]),
+	.B1(n_13631),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [705]),
+	.Y(n_25034), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883513 (
+	.A1(n_19467),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1383]),
+	.B1(n_19892),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1406]),
+	.Y(n_25033), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883514 (
+	.A1(n_19686),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [383]),
+	.B1(n_19768),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [406]),
+	.Y(n_25032), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883515 (
+	.A1(n_19800),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1200]),
+	.B1(n_19884),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1223]),
+	.Y(n_25031), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883516 (
+	.A1(n_19853),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [230]),
+	.B1(n_19868),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [253]),
+	.Y(n_25030), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883517 (
+	.A1(n_19892),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1425]),
+	.B1(n_19468),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1448]),
+	.Y(n_25029), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883518 (
+	.A1(n_19887),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1337]),
+	.B1(n_19889),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1360]),
+	.Y(n_25028), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883519 (
+	.A1(n_19760),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1395]),
+	.B1(n_19825),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1418]),
+	.Y(n_25027), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883520 (
+	.A1(n_19842),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2057]),
+	.B1(n_19843),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2195]),
+	.Y(n_25026), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883521 (
+	.A1(n_19469),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1291]),
+	.B1(n_19894),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1314]),
+	.Y(n_25025), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883522 (
+	.A1(n_19691),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1809]),
+	.B1(n_19818),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1832]),
+	.Y(n_25024), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883523 (
+	.A1(n_19753),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1717]),
+	.B1(n_19754),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1740]),
+	.Y(n_25023), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883524 (
+	.A1(n_19816),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1763]),
+	.B1(n_19757),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1786]),
+	.Y(n_25022), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883525 (
+	.A1(n_19467),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1402]),
+	.B1(n_19889),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1379]),
+	.Y(n_25021), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883526 (
+	.A1(n_19815),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1671]),
+	.B1(n_19755),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1694]),
+	.Y(n_25020), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883527 (
+	.A1(n_19819),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1153]),
+	.B1(n_19882),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1176]),
+	.Y(n_25019), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883528 (
+	.A1(n_19752),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1303]),
+	.B1(n_19751),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1257]),
+	.Y(n_25018), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883529 (
+	.A1(n_19750),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1211]),
+	.B1(n_19814),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1234]),
+	.Y(n_25017), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883530 (
+	.A1(n_19800),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1199]),
+	.B1(n_19884),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1222]),
+	.Y(n_25016), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883531 (
+	.A1(n_19811),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1119]),
+	.B1(n_19748),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1188]),
+	.Y(n_25015), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883532 (
+	.A1(n_19752),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1290]),
+	.B1(n_19751),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1244]),
+	.Y(n_25014), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883533 (
+	.A1(n_19696),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1625]),
+	.B1(n_19809),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1648]),
+	.Y(n_25013), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883534 (
+	.A1(n_19894),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1333]),
+	.B1(n_19887),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1356]),
+	.Y(n_25012), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883535 (
+	.A1(n_19747),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1579]),
+	.B1(n_19746),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1602]),
+	.Y(n_25011), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883536 (
+	.A1(n_19885),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1245]),
+	.B1(n_19886),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1268]),
+	.Y(n_25010), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883537 (
+	.A1(n_19840),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1985]),
+	.B1(n_19847),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2146]),
+	.Y(n_25009), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883538 (
+	.A1(n_19819),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1154]),
+	.B1(n_19882),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1177]),
+	.Y(n_25008), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883539 (
+	.A1(n_19741),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1533]),
+	.B1(n_19745),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1556]),
+	.Y(n_25007), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883540 (
+	.A1(n_19744),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1487]),
+	.B1(n_19806),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1510]),
+	.Y(n_25006), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883541 (
+	.A1(n_19866),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [874]),
+	.B1(n_19871),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [897]),
+	.Y(n_25005), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883542 (
+	.A1(n_19742),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2499]),
+	.B1(n_19402),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2522]),
+	.Y(n_25004), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883543 (
+	.A1(n_19739),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2453]),
+	.B1(n_19693),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2476]),
+	.Y(n_25003), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883544 (
+	.A1(n_19400),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2346]),
+	.B1(n_19802),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2369]),
+	.Y(n_25002), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883545 (
+	.A1(n_19804),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2545]),
+	.B1(n_19805),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2568]),
+	.Y(n_25001), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883546 (
+	.A1(n_19858),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1107]),
+	.B1(n_19881),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1130]),
+	.Y(n_25000), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883547 (
+	.A1(n_19735),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2407]),
+	.B1(n_19403),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2430]),
+	.Y(n_24999), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883548 (
+	.A1(n_19893),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1061]),
+	.B1(n_19827),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1084]),
+	.Y(n_24998), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883549 (
+	.A1(n_19400),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2361]),
+	.B1(n_19802),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2384]),
+	.Y(n_24997), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883550 (
+	.A1(n_19401),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2269]),
+	.B1(n_19736),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2292]),
+	.Y(n_24996), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883551 (
+	.A1(n_19469),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1310]),
+	.B1(n_19886),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1287]),
+	.Y(n_24995), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883552 (
+	.A1(n_19874),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [969]),
+	.B1(n_19876),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [992]),
+	.Y(n_24994), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883553 (
+	.A1(n_19395),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2315]),
+	.B1(n_19733),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2338]),
+	.Y(n_24993), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883554 (
+	.A1(n_19801),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2223]),
+	.B1(n_19732),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2246]),
+	.Y(n_24992), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883555 (
+	.A1(n_19864),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [873]),
+	.B1(n_19866),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [896]),
+	.Y(n_24991), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883556 (
+	.A1(n_19858),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1108]),
+	.B1(n_19881),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1131]),
+	.Y(n_24990), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883557 (
+	.A1(n_19878),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1015]),
+	.B1(n_19879),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1038]),
+	.Y(n_24989), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883558 (
+	.A1(n_13656),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [751]),
+	.B1(n_13582),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [797]),
+	.Y(n_24988), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883559 (
+	.A1(n_19669),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [923]),
+	.B1(n_19670),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [946]),
+	.Y(n_24987), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883560 (
+	.A1(n_13660),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [889]),
+	.B1(n_13659),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1004]),
+	.Y(n_24986), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883561 (
+	.A1(n_19869),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [850]),
+	.B1(n_19867),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [827]),
+	.Y(n_24985), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883562 (
+	.A1(n_19866),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [877]),
+	.B1(n_19871),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [900]),
+	.Y(n_24984), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883563 (
+	.A1(n_19700),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2913]),
+	.B1(n_19699),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2890]),
+	.Y(n_24983), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883564 (
+	.A1(n_19722),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2775]),
+	.B1(n_13927),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2752]),
+	.Y(n_24982), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883565 (
+	.A1(n_19467),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1381]),
+	.B1(n_19892),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1404]),
+	.Y(n_24981), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883566 (
+	.A1(n_19869),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [831]),
+	.B1(n_19864),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [854]),
+	.Y(n_24980), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883567 (
+	.A1(n_19701),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2867]),
+	.B1(n_19702),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2844]),
+	.Y(n_24979), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883568 (
+	.A1(n_19860),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [758]),
+	.B1(n_19888),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [735]),
+	.Y(n_24978), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883569 (
+	.A1(n_19795),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2798]),
+	.B1(n_13629),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2821]),
+	.Y(n_24977), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883570 (
+	.A1(n_19792),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2729]),
+	.B1(n_13619),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2706]),
+	.Y(n_24976), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883571 (
+	.A1(n_19865),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [785]),
+	.B1(n_19867),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [808]),
+	.Y(n_24975), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883572 (
+	.A1(n_13909),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2591]),
+	.B1(n_19719),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2936]),
+	.Y(n_24974), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883573 (
+	.A1(n_19793),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2683]),
+	.B1(n_13914),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2660]),
+	.Y(n_24973), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883574 (
+	.A1(n_13941),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2637]),
+	.B1(n_13907),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2614]),
+	.Y(n_24972), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883575 (
+	.A1(n_19860),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [739]),
+	.B1(n_19861),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [762]),
+	.Y(n_24971), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883576 (
+	.A1(n_19712),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [222]),
+	.B1(n_19714),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [360]),
+	.Y(n_24970), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883577 (
+	.A1(n_19705),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [245]),
+	.B1(n_19788),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [268]),
+	.Y(n_24969), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883578 (
+	.A1(n_19861),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [781]),
+	.B1(n_19865),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [804]),
+	.Y(n_24968), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883579 (
+	.A1(n_19718),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [291]),
+	.B1(n_19761),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [314]),
+	.Y(n_24967), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883580 (
+	.A1(n_19713),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [199]),
+	.B1(n_19787),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [337]),
+	.Y(n_24966), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883581 (
+	.A1(n_19722),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2770]),
+	.B1(n_13927),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2747]),
+	.Y(n_24965), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883582 (
+	.A1(n_19712),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [228]),
+	.B1(n_19714),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [366]),
+	.Y(n_24964), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883583 (
+	.A1(n_19703),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1705]),
+	.B1(n_19838),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1728]),
+	.Y(n_24963), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883584 (
+	.A1(n_19707),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [153]),
+	.B1(n_19694),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [130]),
+	.Y(n_24962), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883585 (
+	.A1(n_19667),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [107]),
+	.B1(n_19708),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [84]),
+	.Y(n_24961), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883586 (
+	.A1(n_19680),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1751]),
+	.B1(n_19845),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1774]),
+	.Y(n_24960), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883587 (
+	.A1(n_19710),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [15]),
+	.B1(n_19666),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [61]),
+	.Y(n_24959), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883588 (
+	.A1(n_19893),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1062]),
+	.B1(n_19827),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1085]),
+	.Y(n_24958), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883589 (
+	.A1(n_19742),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2491]),
+	.B1(n_19402),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2514]),
+	.Y(n_24957), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883590 (
+	.A1(n_19703),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1702]),
+	.B1(n_19838),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1725]),
+	.Y(n_24956), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883591 (
+	.A1(n_19878),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1016]),
+	.B1(n_19879),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1039]),
+	.Y(n_24955), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883592 (
+	.A1(n_19846),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1797]),
+	.B1(n_19803),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1820]),
+	.Y(n_24954), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883593 (
+	.A1(n_19790),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2890]),
+	.B1(n_19721),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2913]),
+	.Y(n_24953), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883594 (
+	.A1(n_19455),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2775]),
+	.B1(n_19758),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2752]),
+	.Y(n_24952), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883595 (
+	.A1(n_19451),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2867]),
+	.B1(n_19689),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2844]),
+	.Y(n_24951), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883596 (
+	.A1(n_19453),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2798]),
+	.B1(n_19766),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2821]),
+	.Y(n_24950), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883597 (
+	.A1(n_19829),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1659]),
+	.B1(n_19833),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1682]),
+	.Y(n_24949), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883598 (
+	.A1(n_19449),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2591]),
+	.B1(n_19692),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2936]),
+	.Y(n_24948), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883599 (
+	.A1(n_19454),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2683]),
+	.B1(n_19796),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2660]),
+	.Y(n_24947), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883600 (
+	.A1(n_19452),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2706]),
+	.B1(n_19743),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2729]),
+	.Y(n_24946), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g883601 (
+	.A1(n_19800),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1218]),
+	.B1(n_19882),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1195]),
+	.X(n_24945), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883602 (
+	.A1(n_19697),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1613]),
+	.B1(n_19759),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1636]),
+	.Y(n_24944), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883603 (
+	.A1(n_19456),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2614]),
+	.B1(n_13845),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2637]),
+	.Y(n_24943), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883604 (
+	.A1(n_19693),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2468]),
+	.B1(n_19802),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2376]),
+	.Y(n_24942), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883605 (
+	.A1(n_19458),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2545]),
+	.B1(n_19807),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2568]),
+	.Y(n_24941), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883606 (
+	.A1(n_19457),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2499]),
+	.B1(n_19856),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2522]),
+	.Y(n_24940), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883607 (
+	.A1(n_19858),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1126]),
+	.B1(n_19827),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1103]),
+	.Y(n_24939), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883608 (
+	.A1(n_19808),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1567]),
+	.B1(n_19812),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1590]),
+	.Y(n_24938), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883609 (
+	.A1(n_19448),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2453]),
+	.B1(n_19709),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2476]),
+	.Y(n_24937), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883610 (
+	.A1(n_19446),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2407]),
+	.B1(n_19711),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2430]),
+	.Y(n_24936), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883611 (
+	.A1(n_19874),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [970]),
+	.B1(n_19876),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [993]),
+	.Y(n_24935), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883612 (
+	.A1(n_19445),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2361]),
+	.B1(n_19870),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2384]),
+	.Y(n_24934), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883613 (
+	.A1(n_19734),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1521]),
+	.B1(n_19740),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1544]),
+	.Y(n_24933), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883614 (
+	.A1(n_19442),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2315]),
+	.B1(n_19672),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2338]),
+	.Y(n_24932), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883615 (
+	.A1(n_19799),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1475]),
+	.B1(n_13798),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1498]),
+	.Y(n_24931), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883616 (
+	.A1(n_19875),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2269]),
+	.B1(n_19880),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2292]),
+	.Y(n_24930), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883617 (
+	.A1(n_19466),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2223]),
+	.B1(n_19883),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2246]),
+	.Y(n_24929), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883618 (
+	.A1(n_19468),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1441]),
+	.B1(n_19794),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1464]),
+	.Y(n_24928), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883619 (
+	.A1(n_19467),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1395]),
+	.B1(n_19892),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1418]),
+	.Y(n_24927), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883620 (
+	.A1(n_19887),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1349]),
+	.B1(n_19889),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1372]),
+	.Y(n_24926), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883621 (
+	.A1(n_19853),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [233]),
+	.B1(n_19868),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [256]),
+	.Y(n_24925), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883622 (
+	.A1(n_19469),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1303]),
+	.B1(n_19894),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1326]),
+	.Y(n_24924), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883623 (
+	.A1(n_19881),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1149]),
+	.B1(n_19819),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1172]),
+	.Y(n_24923), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883624 (
+	.A1(n_19885),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1257]),
+	.B1(n_19886),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1280]),
+	.Y(n_24922), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883625 (
+	.A1(n_19800),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1211]),
+	.B1(n_19884),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1234]),
+	.Y(n_24921), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883626 (
+	.A1(n_19716),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [279]),
+	.B1(n_19687),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [302]),
+	.Y(n_24920), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883627 (
+	.A1(n_19819),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1165]),
+	.B1(n_19882),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1188]),
+	.Y(n_24919), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883628 (
+	.A1(n_19813),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1160]),
+	.B1(n_19751),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1252]),
+	.Y(n_24918), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883629 (
+	.A1(n_19858),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1119]),
+	.B1(n_19881),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1142]),
+	.Y(n_24917), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883630 (
+	.A1(n_19893),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1073]),
+	.B1(n_19827),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1096]),
+	.Y(n_24916), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883631 (
+	.A1(n_19669),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [924]),
+	.B1(n_19670),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [947]),
+	.Y(n_24915), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883632 (
+	.A1(n_19828),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [325]),
+	.B1(n_19877),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [348]),
+	.Y(n_24914), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883633 (
+	.A1(n_19878),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1027]),
+	.B1(n_19879),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1050]),
+	.Y(n_24913), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883634 (
+	.A1(n_19672),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2345]),
+	.B1(n_19445),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2368]),
+	.Y(n_24912), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883635 (
+	.A1(n_19874),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [981]),
+	.B1(n_19876),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1004]),
+	.Y(n_24911), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883636 (
+	.A1(n_19674),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [187]),
+	.B1(n_19675),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [210]),
+	.Y(n_24910), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883637 (
+	.A1(n_19669),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [935]),
+	.B1(n_19670),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [958]),
+	.Y(n_24909), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883638 (
+	.A1(n_19866),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [889]),
+	.B1(n_19871),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [912]),
+	.Y(n_24908), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883639 (
+	.A1(n_19865),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [797]),
+	.B1(n_19867),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [820]),
+	.Y(n_24907), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883640 (
+	.A1(n_19442),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2322]),
+	.B1(n_19880),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2299]),
+	.Y(n_24906), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883641 (
+	.A1(n_19869),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [843]),
+	.B1(n_19864),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [866]),
+	.Y(n_24905), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883642 (
+	.A1(n_19860),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [751]),
+	.B1(n_19861),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [774]),
+	.Y(n_24904), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883643 (
+	.A1(n_19859),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [49]),
+	.B1(n_19681),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [72]),
+	.Y(n_24903), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883644 (
+	.A1(n_19682),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [95]),
+	.B1(n_19862),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [118]),
+	.Y(n_24902), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883645 (
+	.A1(n_13869),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2177]),
+	.B1(n_19396),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2200]),
+	.Y(n_24901), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883646 (
+	.A1(n_19462),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2131]),
+	.B1(n_19464),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2154]),
+	.Y(n_24900), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883647 (
+	.A1(n_13794),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2085]),
+	.B1(n_19397),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2108]),
+	.Y(n_24899), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883648 (
+	.A1(n_19460),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2039]),
+	.B1(n_19848),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2062]),
+	.Y(n_24898), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883649 (
+	.A1(n_19887),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1335]),
+	.B1(n_19889),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1358]),
+	.Y(n_24897), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883650 (
+	.A1(n_19668),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [141]),
+	.B1(n_19873),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [164]),
+	.Y(n_24896), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883651 (
+	.A1(n_19679),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1993]),
+	.B1(n_13772),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2016]),
+	.Y(n_24895), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883652 (
+	.A1(n_19466),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2230]),
+	.B1(n_19396),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2207]),
+	.Y(n_24894), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883653 (
+	.A1(n_19854),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1947]),
+	.B1(n_19830),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1970]),
+	.Y(n_24893), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883654 (
+	.A1(n_19866),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [878]),
+	.B1(n_19871),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [901]),
+	.Y(n_24892), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883655 (
+	.A1(n_19817),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1901]),
+	.B1(n_19826),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1924]),
+	.Y(n_24891), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883656 (
+	.A1(n_19676),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [3]),
+	.B1(n_19855),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [26]),
+	.Y(n_24890), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883657 (
+	.A1(n_13474),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1855]),
+	.B1(n_19810),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1878]),
+	.Y(n_24889), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883658 (
+	.A1(n_19703),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1717]),
+	.B1(n_19838),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1740]),
+	.Y(n_24888), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883659 (
+	.A1(n_19680),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1763]),
+	.B1(n_19845),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1786]),
+	.Y(n_24887), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883660 (
+	.A1(n_19846),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1809]),
+	.B1(n_19803),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1832]),
+	.Y(n_24886), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883661 (
+	.A1(n_19829),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1671]),
+	.B1(n_19833),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1694]),
+	.Y(n_24885), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883662 (
+	.A1(n_19883),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2253]),
+	.B1(n_19875),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2276]),
+	.Y(n_24884), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883663 (
+	.A1(n_19790),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2879]),
+	.B1(n_19721),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2902]),
+	.Y(n_24883), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883664 (
+	.A1(n_19697),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1625]),
+	.B1(n_19759),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1648]),
+	.Y(n_24882), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883665 (
+	.A1(n_19808),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1579]),
+	.B1(n_19812),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1602]),
+	.Y(n_24881), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883666 (
+	.A1(n_19451),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2856]),
+	.B1(n_19689),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2833]),
+	.Y(n_24880), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883667 (
+	.A1(n_19734),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1533]),
+	.B1(n_19740),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1556]),
+	.Y(n_24879), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883668 (
+	.A1(n_19799),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1487]),
+	.B1(n_13798),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1510]),
+	.Y(n_24878), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883669 (
+	.A1(n_19891),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [705]),
+	.B1(n_19888),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [728]),
+	.Y(n_24877), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883670 (
+	.A1(n_19869),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [832]),
+	.B1(n_19864),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [855]),
+	.Y(n_24876), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883671 (
+	.A1(n_19895),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [659]),
+	.B1(n_19730),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [682]),
+	.Y(n_24875), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883672 (
+	.A1(n_19453),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2787]),
+	.B1(n_19766),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2810]),
+	.Y(n_24874), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883673 (
+	.A1(n_19851),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [613]),
+	.B1(n_19872),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [636]),
+	.Y(n_24873), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883674 (
+	.A1(n_19738),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [567]),
+	.B1(n_19737),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [590]),
+	.Y(n_24872), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883675 (
+	.A1(n_19667),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [114]),
+	.B1(n_19708),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [91]),
+	.Y(n_24871), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883676 (
+	.A1(n_19455),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2764]),
+	.B1(n_19758),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2741]),
+	.Y(n_24870), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883677 (
+	.A1(n_19671),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [521]),
+	.B1(n_19863),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [544]),
+	.Y(n_24869), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883678 (
+	.A1(n_19852),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [475]),
+	.B1(n_19820),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [498]),
+	.Y(n_24868), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883679 (
+	.A1(n_19756),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [429]),
+	.B1(n_19769),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [452]),
+	.Y(n_24867), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883680 (
+	.A1(n_19690),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [383]),
+	.B1(n_19890),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [406]),
+	.Y(n_24866), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883681 (
+	.A1(n_19679),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1978]),
+	.B1(n_13772),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2001]),
+	.Y(n_24865), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883682 (
+	.A1(n_19452),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2695]),
+	.B1(n_19743),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2718]),
+	.Y(n_24864), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883683 (
+	.A1(n_19828),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [337]),
+	.B1(n_19877),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [360]),
+	.Y(n_24863), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883684 (
+	.A1(n_19790),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2893]),
+	.B1(n_19721),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2916]),
+	.Y(n_24862), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883685 (
+	.A1(n_19716),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [291]),
+	.B1(n_19687),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [314]),
+	.Y(n_24861), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883686 (
+	.A1(n_19454),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2672]),
+	.B1(n_19796),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2649]),
+	.Y(n_24860), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883687 (
+	.A1(n_19853),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [245]),
+	.B1(n_19868),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [268]),
+	.Y(n_24859), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883688 (
+	.A1(n_19674),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [199]),
+	.B1(n_19675),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [222]),
+	.Y(n_24858), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883689 (
+	.A1(n_19865),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [786]),
+	.B1(n_19867),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [809]),
+	.Y(n_24857), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883690 (
+	.A1(n_19859),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [61]),
+	.B1(n_19681),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [84]),
+	.Y(n_24856), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883691 (
+	.A1(n_19682),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [107]),
+	.B1(n_19862),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [130]),
+	.Y(n_24855), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883692 (
+	.A1(n_19449),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2580]),
+	.B1(n_19692),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2925]),
+	.Y(n_24854), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883693 (
+	.A1(n_19668),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [153]),
+	.B1(n_19873),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [176]),
+	.Y(n_24853), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883694 (
+	.A1(n_19676),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [15]),
+	.B1(n_19855),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [38]),
+	.Y(n_24852), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883695 (
+	.A1(n_19451),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2870]),
+	.B1(n_19689),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2847]),
+	.Y(n_24851), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883696 (
+	.A1(n_19866),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [883]),
+	.B1(n_19871),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [906]),
+	.Y(n_24850), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883697 (
+	.A1(n_19456),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2603]),
+	.B1(n_13845),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2626]),
+	.Y(n_24849), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883698 (
+	.A1(n_19887),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1348]),
+	.B1(n_19889),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1371]),
+	.Y(n_24848), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883699 (
+	.A1(n_19467),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1394]),
+	.B1(n_19892),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1417]),
+	.Y(n_24847), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883700 (
+	.A1(n_19860),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [740]),
+	.B1(n_19861),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [763]),
+	.Y(n_24846), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883701 (
+	.A1(n_19710),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [7]),
+	.B1(n_19707),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [145]),
+	.Y(n_24845), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883702 (
+	.A1(n_19453),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2801]),
+	.B1(n_19766),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2824]),
+	.Y(n_24844), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883703 (
+	.A1(n_19458),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2534]),
+	.B1(n_19807),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2557]),
+	.Y(n_24843), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883704 (
+	.A1(n_19468),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1440]),
+	.B1(n_19794),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1463]),
+	.Y(n_24842), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883705 (
+	.A1(n_19469),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1302]),
+	.B1(n_19894),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1325]),
+	.Y(n_24841), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883706 (
+	.A1(n_19457),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2488]),
+	.B1(n_19856),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2511]),
+	.Y(n_24840), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883707 (
+	.A1(n_19819),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1164]),
+	.B1(n_19882),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1187]),
+	.Y(n_24839), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883708 (
+	.A1(n_19455),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2778]),
+	.B1(n_19758),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2755]),
+	.Y(n_24838), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883709 (
+	.A1(n_19800),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1210]),
+	.B1(n_19884),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1233]),
+	.Y(n_24837), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883710 (
+	.A1(n_19448),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2442]),
+	.B1(n_19709),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2465]),
+	.Y(n_24836), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883711 (
+	.A1(n_19885),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1256]),
+	.B1(n_19886),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1279]),
+	.Y(n_24835), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883712 (
+	.A1(n_19858),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1118]),
+	.B1(n_19881),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1141]),
+	.Y(n_24834), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883713 (
+	.A1(n_19446),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2396]),
+	.B1(n_19711),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2419]),
+	.Y(n_24833), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883714 (
+	.A1(n_19874),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [980]),
+	.B1(n_19876),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1003]),
+	.Y(n_24832), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883715 (
+	.A1(n_19469),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1289]),
+	.B1(n_19894),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1312]),
+	.Y(n_24831), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883716 (
+	.A1(n_19878),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1026]),
+	.B1(n_19879),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1049]),
+	.Y(n_24830), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883717 (
+	.A1(n_19445),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2350]),
+	.B1(n_19870),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2373]),
+	.Y(n_24829), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883718 (
+	.A1(n_19705),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [250]),
+	.B1(n_19718),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [296]),
+	.Y(n_24828), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883719 (
+	.A1(n_19819),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1155]),
+	.B1(n_19882),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1178]),
+	.Y(n_24827), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883720 (
+	.A1(n_19452),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2709]),
+	.B1(n_19743),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2732]),
+	.Y(n_24826), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883721 (
+	.A1(n_19893),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1072]),
+	.B1(n_19827),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1095]),
+	.Y(n_24825), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883722 (
+	.A1(n_19669),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [934]),
+	.B1(n_19670),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [957]),
+	.Y(n_24824), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883723 (
+	.A1(n_19442),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2304]),
+	.B1(n_19672),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2327]),
+	.Y(n_24823), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883724 (
+	.A1(n_19865),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [796]),
+	.B1(n_19867),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [819]),
+	.Y(n_24822), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883725 (
+	.A1(n_19454),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2686]),
+	.B1(n_19796),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2663]),
+	.Y(n_24821), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883726 (
+	.A1(n_19869),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [842]),
+	.B1(n_19864),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [865]),
+	.Y(n_24820), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883727 (
+	.A1(n_19800),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1201]),
+	.B1(n_19884),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1224]),
+	.Y(n_24819), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883728 (
+	.A1(n_19875),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2258]),
+	.B1(n_19880),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2281]),
+	.Y(n_24818), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883729 (
+	.A1(n_13909),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2597]),
+	.B1(n_19719),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2942]),
+	.Y(n_24817), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883730 (
+	.A1(n_19866),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [888]),
+	.B1(n_19871),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [911]),
+	.Y(n_24816), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883731 (
+	.A1(n_19466),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2212]),
+	.B1(n_19883),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2235]),
+	.Y(n_24815), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883732 (
+	.A1(n_19860),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [750]),
+	.B1(n_19861),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [773]),
+	.Y(n_24814), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883733 (
+	.A1(n_13794),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2084]),
+	.B1(n_19397),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2107]),
+	.Y(n_24813), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883734 (
+	.A1(n_13869),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2166]),
+	.B1(n_19396),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2189]),
+	.Y(n_24812), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883735 (
+	.A1(n_19462),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2130]),
+	.B1(n_19464),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2153]),
+	.Y(n_24811), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883736 (
+	.A1(n_19449),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2594]),
+	.B1(n_19692),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2939]),
+	.Y(n_24810), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883737 (
+	.A1(n_19468),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1430]),
+	.B1(n_19794),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1453]),
+	.Y(n_24809), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883738 (
+	.A1(n_13869),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2176]),
+	.B1(n_19396),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2199]),
+	.Y(n_24808), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883739 (
+	.A1(n_19460),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2038]),
+	.B1(n_19848),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2061]),
+	.Y(n_24807), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883740 (
+	.A1(n_19467),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1384]),
+	.B1(n_19892),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1407]),
+	.Y(n_24806), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883741 (
+	.A1(n_19679),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1992]),
+	.B1(n_13772),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2015]),
+	.Y(n_24805), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883742 (
+	.A1(n_19817),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1900]),
+	.B1(n_19826),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1923]),
+	.Y(n_24804), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883743 (
+	.A1(n_19456),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2617]),
+	.B1(n_13845),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2640]),
+	.Y(n_24803), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883744 (
+	.A1(n_19887),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1338]),
+	.B1(n_19889),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1361]),
+	.Y(n_24802), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883745 (
+	.A1(n_19854),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1946]),
+	.B1(n_19830),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1969]),
+	.Y(n_24801), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883746 (
+	.A1(n_13474),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1854]),
+	.B1(n_19810),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1877]),
+	.Y(n_24800), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883747 (
+	.A1(n_19469),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1292]),
+	.B1(n_19894),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1315]),
+	.Y(n_24799), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883748 (
+	.A1(n_19703),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1716]),
+	.B1(n_19838),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1739]),
+	.Y(n_24798), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883749 (
+	.A1(n_19680),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1762]),
+	.B1(n_19845),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1785]),
+	.Y(n_24797), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883750 (
+	.A1(n_19462),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2120]),
+	.B1(n_19464),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2143]),
+	.Y(n_24796), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883751 (
+	.A1(n_19885),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1246]),
+	.B1(n_19886),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1269]),
+	.Y(n_24795), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883752 (
+	.A1(n_19846),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1808]),
+	.B1(n_19803),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1831]),
+	.Y(n_24794), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883753 (
+	.A1(n_19829),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1670]),
+	.B1(n_19833),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1693]),
+	.Y(n_24793), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883754 (
+	.A1(n_19458),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2548]),
+	.B1(n_19807),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2571]),
+	.Y(n_24792), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883755 (
+	.A1(n_19800),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1200]),
+	.B1(n_19884),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1223]),
+	.Y(n_24791), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883756 (
+	.A1(n_19734),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1532]),
+	.B1(n_19740),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1555]),
+	.Y(n_24790), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883757 (
+	.A1(n_19787),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [342]),
+	.B1(n_19714),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [365]),
+	.Y(n_24789), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883758 (
+	.A1(n_19787),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [329]),
+	.B1(n_19788),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [260]),
+	.Y(n_24788), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883759 (
+	.A1(n_19457),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2502]),
+	.B1(n_19856),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2525]),
+	.Y(n_24787), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883760 (
+	.A1(n_19808),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1578]),
+	.B1(n_19812),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1601]),
+	.Y(n_24786), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883761 (
+	.A1(n_19819),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1154]),
+	.B1(n_19882),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1177]),
+	.Y(n_24785), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883762 (
+	.A1(n_19697),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1624]),
+	.B1(n_19759),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1647]),
+	.Y(n_24784), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883763 (
+	.A1(n_19858),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1108]),
+	.B1(n_19881),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1131]),
+	.Y(n_24783), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883764 (
+	.A1(n_13648),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [572]),
+	.B1(n_13639),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [618]),
+	.Y(n_24782), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883765 (
+	.A1(n_19799),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1486]),
+	.B1(n_13798),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1509]),
+	.Y(n_24781), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883766 (
+	.A1(n_19790),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2889]),
+	.B1(n_19721),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2912]),
+	.Y(n_24780), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883767 (
+	.A1(n_13794),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2074]),
+	.B1(n_19397),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2097]),
+	.Y(n_24779), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883768 (
+	.A1(n_19451),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2866]),
+	.B1(n_19689),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2843]),
+	.Y(n_24778), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883769 (
+	.A1(n_19448),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2456]),
+	.B1(n_19709),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2479]),
+	.Y(n_24777), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883770 (
+	.A1(n_19893),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1062]),
+	.B1(n_19827),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1085]),
+	.Y(n_24776), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883771 (
+	.A1(n_19885),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1243]),
+	.B1(n_19886),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1266]),
+	.Y(n_24775), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883772 (
+	.A1(n_19453),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2797]),
+	.B1(n_19766),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2820]),
+	.Y(n_24774), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883773 (
+	.A1(n_19455),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2774]),
+	.B1(n_19758),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2751]),
+	.Y(n_24773), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883774 (
+	.A1(n_19878),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1016]),
+	.B1(n_19879),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1039]),
+	.Y(n_24772), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883775 (
+	.A1(n_19452),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2705]),
+	.B1(n_19743),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2728]),
+	.Y(n_24771), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883776 (
+	.A1(n_19446),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2410]),
+	.B1(n_19711),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2433]),
+	.Y(n_24770), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883777 (
+	.A1(n_19454),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2682]),
+	.B1(n_19796),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2659]),
+	.Y(n_24769), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883778 (
+	.A1(n_19460),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2028]),
+	.B1(n_19848),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2051]),
+	.Y(n_24768), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883779 (
+	.A1(n_19874),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [970]),
+	.B1(n_19876),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [993]),
+	.Y(n_24767), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883780 (
+	.A1(n_19669),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [924]),
+	.B1(n_19670),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [947]),
+	.Y(n_24766), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883781 (
+	.A1(n_19449),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2590]),
+	.B1(n_19692),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2935]),
+	.Y(n_24765), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883782 (
+	.A1(n_19456),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2613]),
+	.B1(n_13845),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2636]),
+	.Y(n_24764), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883783 (
+	.A1(n_19713),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [206]),
+	.B1(n_19787),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [344]),
+	.Y(n_24763), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883784 (
+	.A1(n_19458),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2544]),
+	.B1(n_19807),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2567]),
+	.Y(n_24762), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883785 (
+	.A1(n_19457),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2498]),
+	.B1(n_19856),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2521]),
+	.Y(n_24761), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883786 (
+	.A1(n_19866),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [878]),
+	.B1(n_19871),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [901]),
+	.Y(n_24760), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883787 (
+	.A1(n_19445),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2364]),
+	.B1(n_19870),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2387]),
+	.Y(n_24759), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883788 (
+	.A1(n_19448),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2452]),
+	.B1(n_19709),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2475]),
+	.Y(n_24758), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883789 (
+	.A1(n_19446),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2406]),
+	.B1(n_19711),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2429]),
+	.Y(n_24757), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883790 (
+	.A1(n_19869),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [832]),
+	.B1(n_19864),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [855]),
+	.Y(n_24756), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883791 (
+	.A1(n_19445),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2360]),
+	.B1(n_19870),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2383]),
+	.Y(n_24755), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883792 (
+	.A1(n_19442),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2318]),
+	.B1(n_19672),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2341]),
+	.Y(n_24754), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883793 (
+	.A1(n_19442),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2314]),
+	.B1(n_19672),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2337]),
+	.Y(n_24753), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883794 (
+	.A1(n_19865),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [786]),
+	.B1(n_19867),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [809]),
+	.Y(n_24752), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883795 (
+	.A1(n_19875),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2268]),
+	.B1(n_19880),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2291]),
+	.Y(n_24751), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883796 (
+	.A1(n_19860),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [740]),
+	.B1(n_19861),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [763]),
+	.Y(n_24750), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883797 (
+	.A1(n_19466),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2222]),
+	.B1(n_19883),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2245]),
+	.Y(n_24749), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883798 (
+	.A1(n_19679),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1982]),
+	.B1(n_13772),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2005]),
+	.Y(n_24748), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883799 (
+	.A1(n_19691),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1796]),
+	.B1(n_19818),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1819]),
+	.Y(n_24747), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883800 (
+	.A1(n_19891),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [704]),
+	.B1(n_19888),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [727]),
+	.Y(n_24746), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883801 (
+	.A1(n_19875),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2272]),
+	.B1(n_19880),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2295]),
+	.Y(n_24745), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883802 (
+	.A1(n_19895),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [658]),
+	.B1(n_19730),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [681]),
+	.Y(n_24744), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883803 (
+	.A1(n_13909),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2596]),
+	.B1(n_19719),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2941]),
+	.Y(n_24743), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883804 (
+	.A1(n_13869),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2166]),
+	.B1(n_19396),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2189]),
+	.Y(n_24742), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883805 (
+	.A1(n_19851),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [612]),
+	.B1(n_19872),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [635]),
+	.Y(n_24741), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883806 (
+	.A1(n_19738),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [566]),
+	.B1(n_19737),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [589]),
+	.Y(n_24740), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883807 (
+	.A1(n_19466),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2226]),
+	.B1(n_19883),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2249]),
+	.Y(n_24739), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883808 (
+	.A1(n_19462),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2120]),
+	.B1(n_19464),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2143]),
+	.Y(n_24738), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883809 (
+	.A1(n_19756),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [428]),
+	.B1(n_19769),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [451]),
+	.Y(n_24737), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883810 (
+	.A1(n_19852),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [474]),
+	.B1(n_19820),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [497]),
+	.Y(n_24736), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883811 (
+	.A1(n_13794),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2074]),
+	.B1(n_19397),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2097]),
+	.Y(n_24735), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883812 (
+	.A1(n_19671),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [520]),
+	.B1(n_19863),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [543]),
+	.Y(n_24734), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883813 (
+	.A1(n_19690),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [382]),
+	.B1(n_19890),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [405]),
+	.Y(n_24733), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883814 (
+	.A1(n_19793),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2689]),
+	.B1(n_13914),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2666]),
+	.Y(n_24732), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883815 (
+	.A1(n_19460),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2028]),
+	.B1(n_19848),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2051]),
+	.Y(n_24731), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883816 (
+	.A1(n_19854),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1936]),
+	.B1(n_19830),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1959]),
+	.Y(n_24730), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883817 (
+	.A1(n_19828),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [336]),
+	.B1(n_19877),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [359]),
+	.Y(n_24729), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883818 (
+	.A1(n_19793),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2688]),
+	.B1(n_13914),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2665]),
+	.Y(n_24728), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883819 (
+	.A1(n_19716),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [290]),
+	.B1(n_19687),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [313]),
+	.Y(n_24727), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883820 (
+	.A1(n_19679),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1982]),
+	.B1(n_13772),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2005]),
+	.Y(n_24726), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883821 (
+	.A1(n_19853),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [244]),
+	.B1(n_19868),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [267]),
+	.Y(n_24725), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883822 (
+	.A1(n_13869),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2180]),
+	.B1(n_19396),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2203]),
+	.Y(n_24724), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883823 (
+	.A1(n_19674),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [198]),
+	.B1(n_19675),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [221]),
+	.Y(n_24723), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883824 (
+	.A1(n_19800),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1197]),
+	.B1(n_19884),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1220]),
+	.Y(n_24722), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883825 (
+	.A1(n_19854),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1936]),
+	.B1(n_19830),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1959]),
+	.Y(n_24721), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883826 (
+	.A1(n_19668),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [152]),
+	.B1(n_19873),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [175]),
+	.Y(n_24720), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883827 (
+	.A1(n_19462),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2134]),
+	.B1(n_19464),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2157]),
+	.Y(n_24719), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883828 (
+	.A1(n_19859),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [60]),
+	.B1(n_19681),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [83]),
+	.Y(n_24718), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883829 (
+	.A1(n_19817),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1890]),
+	.B1(n_19826),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1913]),
+	.Y(n_24717), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883830 (
+	.A1(n_19682),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [106]),
+	.B1(n_19862),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [129]),
+	.Y(n_24716), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883831 (
+	.A1(n_19817),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1890]),
+	.B1(n_19826),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1913]),
+	.Y(n_24715), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883832 (
+	.A1(n_19676),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [14]),
+	.B1(n_19855),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [37]),
+	.Y(n_24714), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883833 (
+	.A1(n_13474),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1844]),
+	.B1(n_19810),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1867]),
+	.Y(n_24713), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883834 (
+	.A1(n_19828),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [322]),
+	.B1(n_19877),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [345]),
+	.Y(n_24712), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883835 (
+	.A1(n_13794),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2088]),
+	.B1(n_19397),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2111]),
+	.Y(n_24711), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883836 (
+	.A1(n_19790),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2889]),
+	.B1(n_19721),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2912]),
+	.Y(n_24710), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883837 (
+	.A1(n_19453),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2797]),
+	.B1(n_19766),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2820]),
+	.Y(n_24709), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883838 (
+	.A1(n_19846),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1798]),
+	.B1(n_19803),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1821]),
+	.Y(n_24708), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883839 (
+	.A1(n_19680),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1752]),
+	.B1(n_19845),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1775]),
+	.Y(n_24707), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883840 (
+	.A1(n_19451),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2866]),
+	.B1(n_19689),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2843]),
+	.Y(n_24706), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883841 (
+	.A1(n_19700),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2919]),
+	.B1(n_19699),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2896]),
+	.Y(n_24705), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883842 (
+	.A1(n_19455),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2774]),
+	.B1(n_19758),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2751]),
+	.Y(n_24704), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883843 (
+	.A1(n_19452),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2705]),
+	.B1(n_19743),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2728]),
+	.Y(n_24703), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883844 (
+	.A1(n_19460),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2042]),
+	.B1(n_19848),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2065]),
+	.Y(n_24702), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883845 (
+	.A1(n_19703),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1706]),
+	.B1(n_19838),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1729]),
+	.Y(n_24701), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883846 (
+	.A1(n_19449),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2590]),
+	.B1(n_19692),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2935]),
+	.Y(n_24700), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883847 (
+	.A1(n_19829),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1660]),
+	.B1(n_19833),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1683]),
+	.Y(n_24699), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883848 (
+	.A1(n_19454),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2682]),
+	.B1(n_19796),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2659]),
+	.Y(n_24698), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883849 (
+	.A1(n_19456),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2613]),
+	.B1(n_13845),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2636]),
+	.Y(n_24697), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883850 (
+	.A1(n_19458),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2544]),
+	.B1(n_19807),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2567]),
+	.Y(n_24696), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883851 (
+	.A1(n_13474),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1844]),
+	.B1(n_19810),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1867]),
+	.Y(n_24695), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883852 (
+	.A1(n_19679),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1996]),
+	.B1(n_13772),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2019]),
+	.Y(n_24694), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883853 (
+	.A1(n_19697),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1614]),
+	.B1(n_19759),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1637]),
+	.Y(n_24693), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883854 (
+	.A1(n_19457),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2498]),
+	.B1(n_19856),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2521]),
+	.Y(n_24692), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883855 (
+	.A1(n_19808),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1568]),
+	.B1(n_19812),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1591]),
+	.Y(n_24691), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883856 (
+	.A1(n_19448),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2452]),
+	.B1(n_19709),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2475]),
+	.Y(n_24690), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883857 (
+	.A1(n_19446),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2406]),
+	.B1(n_19711),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2429]),
+	.Y(n_24689), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883858 (
+	.A1(n_19445),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2360]),
+	.B1(n_19870),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2383]),
+	.Y(n_24688), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883859 (
+	.A1(n_19854),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1950]),
+	.B1(n_19830),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1973]),
+	.Y(n_24687), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883860 (
+	.A1(n_19734),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1522]),
+	.B1(n_19740),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1545]),
+	.Y(n_24686), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883861 (
+	.A1(n_19442),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2314]),
+	.B1(n_19672),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2337]),
+	.Y(n_24685), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883862 (
+	.A1(n_19875),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2268]),
+	.B1(n_19880),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2291]),
+	.Y(n_24684), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883863 (
+	.A1(n_19799),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1476]),
+	.B1(n_13798),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1499]),
+	.Y(n_24683), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883864 (
+	.A1(n_19466),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2222]),
+	.B1(n_19883),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2245]),
+	.Y(n_24682), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883865 (
+	.A1(n_19819),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1151]),
+	.B1(n_19882),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1174]),
+	.Y(n_24681), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883866 (
+	.A1(n_19846),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1798]),
+	.B1(n_19803),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1821]),
+	.Y(n_24680), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883867 (
+	.A1(n_13869),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2176]),
+	.B1(n_19396),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2199]),
+	.Y(n_24679), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883868 (
+	.A1(n_19817),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1904]),
+	.B1(n_19826),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1927]),
+	.Y(n_24678), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883869 (
+	.A1(n_19468),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1431]),
+	.B1(n_19794),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1454]),
+	.Y(n_24677), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883870 (
+	.A1(n_19462),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2130]),
+	.B1(n_19464),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2153]),
+	.Y(n_24676), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883871 (
+	.A1(n_19891),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [694]),
+	.B1(n_19888),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [717]),
+	.Y(n_24675), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883872 (
+	.A1(n_13474),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1858]),
+	.B1(n_19810),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1881]),
+	.Y(n_24674), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883873 (
+	.A1(n_13794),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2084]),
+	.B1(n_19397),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2107]),
+	.Y(n_24673), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883874 (
+	.A1(n_19460),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2038]),
+	.B1(n_19848),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2061]),
+	.Y(n_24672), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883875 (
+	.A1(n_19895),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [648]),
+	.B1(n_19730),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [671]),
+	.Y(n_24671), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883876 (
+	.A1(n_19817),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1900]),
+	.B1(n_19826),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1923]),
+	.Y(n_24670), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883877 (
+	.A1(n_19851),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [602]),
+	.B1(n_19872),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [625]),
+	.Y(n_24669), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883878 (
+	.A1(n_19854),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1946]),
+	.B1(n_19830),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1969]),
+	.Y(n_24668), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883879 (
+	.A1(n_19466),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2230]),
+	.B1(n_19883),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2253]),
+	.Y(n_24667), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883880 (
+	.A1(n_19738),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [556]),
+	.B1(n_19737),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [579]),
+	.Y(n_24666), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883881 (
+	.A1(n_19679),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1992]),
+	.B1(n_13772),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2015]),
+	.Y(n_24665), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883882 (
+	.A1(n_13474),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1854]),
+	.B1(n_19810),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1877]),
+	.Y(n_24664), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883883 (
+	.A1(n_19680),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1752]),
+	.B1(n_19845),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1775]),
+	.Y(n_24663), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883884 (
+	.A1(n_19703),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1716]),
+	.B1(n_19838),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1739]),
+	.Y(n_24662), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883885 (
+	.A1(n_19680),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1762]),
+	.B1(n_19845),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1785]),
+	.Y(n_24661), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883886 (
+	.A1(n_19846),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1812]),
+	.B1(n_19803),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1835]),
+	.Y(n_24660), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883887 (
+	.A1(n_19671),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [510]),
+	.B1(n_19863),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [533]),
+	.Y(n_24659), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883888 (
+	.A1(n_19852),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [464]),
+	.B1(n_19820),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [487]),
+	.Y(n_24658), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883889 (
+	.A1(n_19846),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1808]),
+	.B1(n_19803),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1831]),
+	.Y(n_24657), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883890 (
+	.A1(n_19829),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1670]),
+	.B1(n_19833),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1693]),
+	.Y(n_24656), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883891 (
+	.A1(n_19734),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1532]),
+	.B1(n_19740),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1555]),
+	.Y(n_24655), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883892 (
+	.A1(n_19680),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1766]),
+	.B1(n_19845),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1789]),
+	.Y(n_24654), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883893 (
+	.A1(n_19756),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [418]),
+	.B1(n_19769),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [441]),
+	.Y(n_24653), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883894 (
+	.A1(n_19808),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1578]),
+	.B1(n_19812),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1601]),
+	.Y(n_24652), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883895 (
+	.A1(n_19703),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1706]),
+	.B1(n_19838),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1729]),
+	.Y(n_24651), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883896 (
+	.A1(n_19697),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1624]),
+	.B1(n_19759),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1647]),
+	.Y(n_24650), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883897 (
+	.A1(n_19690),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [372]),
+	.B1(n_19890),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [395]),
+	.Y(n_24649), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883898 (
+	.A1(n_19799),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1486]),
+	.B1(n_13798),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1509]),
+	.Y(n_24648), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883899 (
+	.A1(n_19858),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1105]),
+	.B1(n_19881),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1128]),
+	.Y(n_24647), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883900 (
+	.A1(n_19468),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1440]),
+	.B1(n_19794),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1463]),
+	.Y(n_24646), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883901 (
+	.A1(n_19703),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1720]),
+	.B1(n_19838),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1743]),
+	.Y(n_24645), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883902 (
+	.A1(n_19828),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [326]),
+	.B1(n_19877),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [349]),
+	.Y(n_24644), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883903 (
+	.A1(n_19887),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1348]),
+	.B1(n_19889),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1371]),
+	.Y(n_24643), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883904 (
+	.A1(n_19829),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1674]),
+	.B1(n_19833),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1697]),
+	.Y(n_24642), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883905 (
+	.A1(n_19716),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [280]),
+	.B1(n_19687),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [303]),
+	.Y(n_24641), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883906 (
+	.A1(n_19467),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1394]),
+	.B1(n_19892),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1417]),
+	.Y(n_24640), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883907 (
+	.A1(n_19469),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1302]),
+	.B1(n_19894),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1325]),
+	.Y(n_24639), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883908 (
+	.A1(n_19885),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1256]),
+	.B1(n_19886),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1279]),
+	.Y(n_24638), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883909 (
+	.A1(n_19829),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1660]),
+	.B1(n_19833),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1683]),
+	.Y(n_24637), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883910 (
+	.A1(n_19853),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [234]),
+	.B1(n_19868),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [257]),
+	.Y(n_24636), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883911 (
+	.A1(n_19819),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1164]),
+	.B1(n_19882),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1187]),
+	.Y(n_24635), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883912 (
+	.A1(n_19674),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [188]),
+	.B1(n_19675),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [211]),
+	.Y(n_24634), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883913 (
+	.A1(n_19800),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1210]),
+	.B1(n_19884),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1233]),
+	.Y(n_24633), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883914 (
+	.A1(n_19858),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1118]),
+	.B1(n_19881),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1141]),
+	.Y(n_24632), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883915 (
+	.A1(n_19817),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1904]),
+	.B1(n_19826),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1927]),
+	.Y(n_24631), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883916 (
+	.A1(n_19722),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2767]),
+	.B1(n_13629),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2813]),
+	.Y(n_24630), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883917 (
+	.A1(n_19893),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1072]),
+	.B1(n_19827),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1095]),
+	.Y(n_24629), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883918 (
+	.A1(n_19697),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1628]),
+	.B1(n_19759),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1651]),
+	.Y(n_24628), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883919 (
+	.A1(n_19668),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [142]),
+	.B1(n_19873),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [165]),
+	.Y(n_24627), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883920 (
+	.A1(n_19874),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [980]),
+	.B1(n_19876),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1003]),
+	.Y(n_24626), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883921 (
+	.A1(n_19682),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [96]),
+	.B1(n_19862),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [119]),
+	.Y(n_24625), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883922 (
+	.A1(n_19878),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1026]),
+	.B1(n_19879),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1049]),
+	.Y(n_24624), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883923 (
+	.A1(n_19669),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [934]),
+	.B1(n_19670),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [957]),
+	.Y(n_24623), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883924 (
+	.A1(n_19808),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1582]),
+	.B1(n_19812),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1605]),
+	.Y(n_24622), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883925 (
+	.A1(n_19866),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [888]),
+	.B1(n_19871),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [911]),
+	.Y(n_24621), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883926 (
+	.A1(n_19869),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [842]),
+	.B1(n_19864),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [865]),
+	.Y(n_24620), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883927 (
+	.A1(n_19859),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [50]),
+	.B1(n_19681),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [73]),
+	.Y(n_24619), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883928 (
+	.A1(n_19697),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1614]),
+	.B1(n_19759),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1637]),
+	.Y(n_24618), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883929 (
+	.A1(n_19676),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [4]),
+	.B1(n_19855),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [27]),
+	.Y(n_24617), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883930 (
+	.A1(n_19865),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [796]),
+	.B1(n_19867),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [819]),
+	.Y(n_24616), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883931 (
+	.A1(n_19860),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [750]),
+	.B1(n_19861),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [773]),
+	.Y(n_24615), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883932 (
+	.A1(n_19842),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2049]),
+	.B1(n_19844),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2095]),
+	.Y(n_24614), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883933 (
+	.A1(n_19734),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1536]),
+	.B1(n_19740),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1559]),
+	.Y(n_24613), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883934 (
+	.A1(n_19891),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [704]),
+	.B1(n_19888),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [727]),
+	.Y(n_24612), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883935 (
+	.A1(n_19895),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [658]),
+	.B1(n_19730),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [681]),
+	.Y(n_24611), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883936 (
+	.A1(n_19799),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1490]),
+	.B1(n_13798),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1513]),
+	.Y(n_24610), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883937 (
+	.A1(n_19851),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [612]),
+	.B1(n_19872),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [635]),
+	.Y(n_24609), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883938 (
+	.A1(n_19738),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [566]),
+	.B1(n_19737),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [589]),
+	.Y(n_24608), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883939 (
+	.A1(n_19756),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [428]),
+	.B1(n_19769),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [451]),
+	.Y(n_24607), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883940 (
+	.A1(n_19790),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2877]),
+	.B1(n_19721),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2900]),
+	.Y(n_24606), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883941 (
+	.A1(n_19852),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [474]),
+	.B1(n_19820),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [497]),
+	.Y(n_24605), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883942 (
+	.A1(n_19808),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1568]),
+	.B1(n_19812),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1591]),
+	.Y(n_24604), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883943 (
+	.A1(n_19451),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2854]),
+	.B1(n_19689),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2831]),
+	.Y(n_24603), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883944 (
+	.A1(n_19671),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [520]),
+	.B1(n_19863),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [543]),
+	.Y(n_24602), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883945 (
+	.A1(n_19690),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [382]),
+	.B1(n_19890),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [405]),
+	.Y(n_24601), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883946 (
+	.A1(n_19722),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2781]),
+	.B1(n_13927),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2758]),
+	.Y(n_24600), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883947 (
+	.A1(n_19453),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2785]),
+	.B1(n_19766),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2808]),
+	.Y(n_24599), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883948 (
+	.A1(n_19853),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [244]),
+	.B1(n_19868),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [267]),
+	.Y(n_24598), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883949 (
+	.A1(n_19716),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [290]),
+	.B1(n_19687),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [313]),
+	.Y(n_24597), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883950 (
+	.A1(n_19669),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [937]),
+	.B1(n_19670),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [960]),
+	.Y(n_24596), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883951 (
+	.A1(n_19455),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2762]),
+	.B1(n_19758),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2739]),
+	.Y(n_24595), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883952 (
+	.A1(n_19468),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1444]),
+	.B1(n_19794),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1467]),
+	.Y(n_24594), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883953 (
+	.A1(n_19828),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [336]),
+	.B1(n_19877),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [359]),
+	.Y(n_24593), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883954 (
+	.A1(n_19674),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [198]),
+	.B1(n_19675),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [221]),
+	.Y(n_24592), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883955 (
+	.A1(n_19452),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2693]),
+	.B1(n_19743),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2716]),
+	.Y(n_24591), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883956 (
+	.A1(n_19859),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [60]),
+	.B1(n_19681),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [83]),
+	.Y(n_24590), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883957 (
+	.A1(n_19893),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1059]),
+	.B1(n_19827),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1082]),
+	.Y(n_24589), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883958 (
+	.A1(n_19682),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [106]),
+	.B1(n_19862),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [129]),
+	.Y(n_24588), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883959 (
+	.A1(n_19734),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1522]),
+	.B1(n_19740),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1545]),
+	.Y(n_24587), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883960 (
+	.A1(n_19467),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1398]),
+	.B1(n_19892),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1421]),
+	.Y(n_24586), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883961 (
+	.A1(n_19454),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2670]),
+	.B1(n_19796),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2647]),
+	.Y(n_24585), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883962 (
+	.A1(n_19668),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [152]),
+	.B1(n_19873),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [175]),
+	.Y(n_24584), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883963 (
+	.A1(n_19676),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [14]),
+	.B1(n_19855),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [37]),
+	.Y(n_24583), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883964 (
+	.A1(n_19686),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [375]),
+	.B1(n_13648),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [559]),
+	.Y(n_24582), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883965 (
+	.A1(n_19449),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2578]),
+	.B1(n_19692),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2923]),
+	.Y(n_24581), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883966 (
+	.A1(n_19799),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1476]),
+	.B1(n_13798),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1499]),
+	.Y(n_24580), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883967 (
+	.A1(n_19844),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2107]),
+	.B1(n_19847),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2153]),
+	.Y(n_24579), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883968 (
+	.A1(n_19842),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2061]),
+	.B1(n_19843),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2199]),
+	.Y(n_24578), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883969 (
+	.A1(n_19887),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1352]),
+	.B1(n_19889),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1375]),
+	.Y(n_24577), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883970 (
+	.A1(n_19456),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2601]),
+	.B1(n_13845),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2624]),
+	.Y(n_24576), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883971 (
+	.A1(n_19840),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1992]),
+	.B1(n_19841),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2015]),
+	.Y(n_24575), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883972 (
+	.A1(n_19789),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1946]),
+	.B1(n_19839),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1969]),
+	.Y(n_24574), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883973 (
+	.A1(n_13902),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [635]),
+	.B1(n_13611),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [681]),
+	.Y(n_24573), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883974 (
+	.A1(n_13924),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [589]),
+	.B1(n_13626),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [727]),
+	.Y(n_24572), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883975 (
+	.A1(n_19458),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2532]),
+	.B1(n_19807),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2555]),
+	.Y(n_24571), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883976 (
+	.A1(n_13604),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [520]),
+	.B1(n_13584),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [543]),
+	.Y(n_24570), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883977 (
+	.A1(n_13593),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [474]),
+	.B1(n_13922),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [497]),
+	.Y(n_24569), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883978 (
+	.A1(n_19457),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2486]),
+	.B1(n_19856),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2509]),
+	.Y(n_24568), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883979 (
+	.A1(n_19834),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2130]),
+	.B1(n_19678),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2176]),
+	.Y(n_24567), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883980 (
+	.A1(n_19832),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2038]),
+	.B1(n_19772),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2084]),
+	.Y(n_24566), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883981 (
+	.A1(n_19831),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1877]),
+	.B1(n_19398),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1923]),
+	.Y(n_24565), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883982 (
+	.A1(n_19448),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2440]),
+	.B1(n_19709),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2463]),
+	.Y(n_24564), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883983 (
+	.A1(n_19684),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1854]),
+	.B1(n_19770),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1900]),
+	.Y(n_24563), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883984 (
+	.A1(n_13627),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [658]),
+	.B1(n_13631),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [704]),
+	.Y(n_24562), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883985 (
+	.A1(n_13648),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [566]),
+	.B1(n_13639),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [612]),
+	.Y(n_24561), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883986 (
+	.A1(n_19469),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1306]),
+	.B1(n_19894),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1329]),
+	.Y(n_24560), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883987 (
+	.A1(n_19885),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1260]),
+	.B1(n_19886),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1283]),
+	.Y(n_24559), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883988 (
+	.A1(n_19446),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2394]),
+	.B1(n_19711),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2417]),
+	.Y(n_24558), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883989 (
+	.A1(n_19763),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [428]),
+	.B1(n_19768),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [405]),
+	.Y(n_24557), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883990 (
+	.A1(n_19686),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [382]),
+	.B1(n_13928),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [451]),
+	.Y(n_24556), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883991 (
+	.A1(n_19828),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [325]),
+	.B1(n_19877),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [348]),
+	.Y(n_24555), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883992 (
+	.A1(n_19445),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2348]),
+	.B1(n_19870),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2371]),
+	.Y(n_24554), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883993 (
+	.A1(n_19823),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1348]),
+	.B1(n_19824),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1371]),
+	.Y(n_24553), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883994 (
+	.A1(n_19800),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1214]),
+	.B1(n_19884),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1237]),
+	.Y(n_24552), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883995 (
+	.A1(n_19442),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2302]),
+	.B1(n_19672),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2325]),
+	.Y(n_24551), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883996 (
+	.A1(n_19691),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1808]),
+	.B1(n_19818),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1831]),
+	.Y(n_24550), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883997 (
+	.A1(n_19816),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1762]),
+	.B1(n_19757),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1785]),
+	.Y(n_24549), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883998 (
+	.A1(n_19753),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1716]),
+	.B1(n_19754),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1739]),
+	.Y(n_24548), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g883999 (
+	.A1(n_19875),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2256]),
+	.B1(n_19880),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2279]),
+	.Y(n_24547), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884000 (
+	.A1(n_19815),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1670]),
+	.B1(n_19755),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1693]),
+	.Y(n_24546), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884001 (
+	.A1(n_19891),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [694]),
+	.B1(n_19888),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [717]),
+	.Y(n_24545), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884002 (
+	.A1(n_19750),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1210]),
+	.B1(n_19814),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1233]),
+	.Y(n_24544), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884003 (
+	.A1(n_19466),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2210]),
+	.B1(n_19883),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2233]),
+	.Y(n_24543), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884004 (
+	.A1(n_19813),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1164]),
+	.B1(n_19748),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1187]),
+	.Y(n_24542), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884005 (
+	.A1(n_19819),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1168]),
+	.B1(n_19882),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1191]),
+	.Y(n_24541), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884006 (
+	.A1(n_19811),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1118]),
+	.B1(n_19751),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1256]),
+	.Y(n_24540), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884007 (
+	.A1(n_19858),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1122]),
+	.B1(n_19881),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1145]),
+	.Y(n_24539), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884008 (
+	.A1(n_19696),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1624]),
+	.B1(n_19809),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1647]),
+	.Y(n_24538), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884009 (
+	.A1(n_19747),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1578]),
+	.B1(n_19746),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1601]),
+	.Y(n_24537), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884010 (
+	.A1(n_13869),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2164]),
+	.B1(n_19396),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2187]),
+	.Y(n_24536), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884011 (
+	.A1(n_19741),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1532]),
+	.B1(n_19745),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1555]),
+	.Y(n_24535), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884012 (
+	.A1(n_19744),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1486]),
+	.B1(n_19806),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1509]),
+	.Y(n_24534), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884013 (
+	.A1(n_19895),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [648]),
+	.B1(n_19730),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [671]),
+	.Y(n_24533), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884014 (
+	.A1(n_19462),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2118]),
+	.B1(n_19464),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2141]),
+	.Y(n_24532), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884015 (
+	.A1(n_19742),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2498]),
+	.B1(n_19402),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2521]),
+	.Y(n_24531), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884016 (
+	.A1(n_19739),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2452]),
+	.B1(n_19693),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2475]),
+	.Y(n_24530), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884017 (
+	.A1(n_19804),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2544]),
+	.B1(n_19805),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2567]),
+	.Y(n_24529), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884018 (
+	.A1(n_19878),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1013]),
+	.B1(n_19879),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1036]),
+	.Y(n_24528), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884019 (
+	.A1(n_19735),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2406]),
+	.B1(n_19403),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2429]),
+	.Y(n_24527), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884020 (
+	.A1(n_13794),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2072]),
+	.B1(n_19397),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2095]),
+	.Y(n_24526), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884021 (
+	.A1(n_19756),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [419]),
+	.B1(n_19769),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [442]),
+	.Y(n_24525), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884022 (
+	.A1(n_19395),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2314]),
+	.B1(n_19733),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2337]),
+	.Y(n_24524), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884023 (
+	.A1(n_19401),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2268]),
+	.B1(n_19736),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2291]),
+	.Y(n_24523), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884024 (
+	.A1(n_19893),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1076]),
+	.B1(n_19827),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1099]),
+	.Y(n_24522), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884025 (
+	.A1(n_19460),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2026]),
+	.B1(n_19848),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2049]),
+	.Y(n_24521), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884026 (
+	.A1(n_19400),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2360]),
+	.B1(n_19802),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2383]),
+	.Y(n_24520), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884027 (
+	.A1(n_19801),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2222]),
+	.B1(n_19732),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2245]),
+	.Y(n_24519), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884028 (
+	.A1(n_19679),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1980]),
+	.B1(n_13772),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2003]),
+	.Y(n_24518), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884029 (
+	.A1(n_13582),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [796]),
+	.B1(n_19729),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [819]),
+	.Y(n_24517), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884030 (
+	.A1(n_19701),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2853]),
+	.B1(n_19702),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2830]),
+	.Y(n_24516), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884031 (
+	.A1(n_19878),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1030]),
+	.B1(n_19879),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1053]),
+	.Y(n_24515), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884032 (
+	.A1(n_19854),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1934]),
+	.B1(n_19830),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1957]),
+	.Y(n_24514), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884033 (
+	.A1(n_19851),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [602]),
+	.B1(n_19872),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [625]),
+	.Y(n_24513), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884034 (
+	.A1(n_13592),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [842]),
+	.B1(n_19726),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [865]),
+	.Y(n_24512), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884035 (
+	.A1(n_19874),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [984]),
+	.B1(n_19876),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1007]),
+	.Y(n_24511), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884036 (
+	.A1(n_19817),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1888]),
+	.B1(n_19826),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1911]),
+	.Y(n_24510), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884037 (
+	.A1(n_19747),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1566]),
+	.B1(n_19746),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1589]),
+	.Y(n_24509), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884038 (
+	.A1(n_19700),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2912]),
+	.B1(n_19699),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2889]),
+	.Y(n_24508), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884039 (
+	.A1(n_19722),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2774]),
+	.B1(n_13927),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2751]),
+	.Y(n_24507), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884040 (
+	.A1(n_13474),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1842]),
+	.B1(n_19810),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1865]),
+	.Y(n_24506), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884041 (
+	.A1(n_19701),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2866]),
+	.B1(n_19702),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2843]),
+	.Y(n_24505), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884042 (
+	.A1(n_19795),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2797]),
+	.B1(n_13629),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2820]),
+	.Y(n_24504), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884043 (
+	.A1(n_19669),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [938]),
+	.B1(n_19670),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [961]),
+	.Y(n_24503), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884044 (
+	.A1(n_19792),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2728]),
+	.B1(n_13619),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2705]),
+	.Y(n_24502), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884045 (
+	.A1(n_13909),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2590]),
+	.B1(n_19719),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2935]),
+	.Y(n_24501), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884046 (
+	.A1(n_19846),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1796]),
+	.B1(n_19803),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1819]),
+	.Y(n_24500), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884047 (
+	.A1(n_19793),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2682]),
+	.B1(n_13914),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2659]),
+	.Y(n_24499), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884048 (
+	.A1(n_13941),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2636]),
+	.B1(n_13907),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2613]),
+	.Y(n_24498), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884049 (
+	.A1(n_19680),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1750]),
+	.B1(n_19845),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1773]),
+	.Y(n_24497), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884050 (
+	.A1(n_19761),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [313]),
+	.B1(n_19714),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [359]),
+	.Y(n_24496), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884051 (
+	.A1(n_19738),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [556]),
+	.B1(n_19737),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [579]),
+	.Y(n_24495), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884052 (
+	.A1(n_19718),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [290]),
+	.B1(n_19787),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [336]),
+	.Y(n_24494), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884053 (
+	.A1(n_19705),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [244]),
+	.B1(n_19788),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [267]),
+	.Y(n_24493), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884054 (
+	.A1(n_19713),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [198]),
+	.B1(n_19712),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [221]),
+	.Y(n_24492), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884055 (
+	.A1(n_19703),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1704]),
+	.B1(n_19838),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1727]),
+	.Y(n_24491), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884056 (
+	.A1(n_19707),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [152]),
+	.B1(n_19694),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [129]),
+	.Y(n_24490), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884057 (
+	.A1(n_19866),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [892]),
+	.B1(n_19871),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [915]),
+	.Y(n_24489), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884058 (
+	.A1(n_19829),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1658]),
+	.B1(n_19833),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1681]),
+	.Y(n_24488), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884059 (
+	.A1(n_19667),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [106]),
+	.B1(n_19708),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [83]),
+	.Y(n_24487), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884060 (
+	.A1(n_19710),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [14]),
+	.B1(n_19666),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [60]),
+	.Y(n_24486), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884061 (
+	.A1(n_19874),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [967]),
+	.B1(n_19876),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [990]),
+	.Y(n_24485), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884062 (
+	.A1(n_19869),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [846]),
+	.B1(n_19864),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [869]),
+	.Y(n_24484), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884063 (
+	.A1(n_19697),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1612]),
+	.B1(n_19759),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1635]),
+	.Y(n_24483), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884064 (
+	.A1(n_19760),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1394]),
+	.B1(n_19825),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1417]),
+	.Y(n_24482), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884065 (
+	.A1(n_19808),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1566]),
+	.B1(n_19812),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1589]),
+	.Y(n_24481), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884066 (
+	.A1(n_19752),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1302]),
+	.B1(n_19751),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1256]),
+	.Y(n_24480), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884067 (
+	.A1(n_19750),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1210]),
+	.B1(n_19814),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1233]),
+	.Y(n_24479), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884068 (
+	.A1(n_19671),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [510]),
+	.B1(n_19863),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [533]),
+	.Y(n_24478), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884069 (
+	.A1(n_19734),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1520]),
+	.B1(n_19740),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1543]),
+	.Y(n_24477), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884070 (
+	.A1(n_19865),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [800]),
+	.B1(n_19867),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [823]),
+	.Y(n_24476), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884071 (
+	.A1(n_19811),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1118]),
+	.B1(n_19813),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1164]),
+	.Y(n_24475), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884072 (
+	.A1(n_19799),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1474]),
+	.B1(n_13798),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1497]),
+	.Y(n_24474), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884073 (
+	.A1(n_19742),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2498]),
+	.B1(n_19402),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2521]),
+	.Y(n_24473), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884074 (
+	.A1(n_19739),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2452]),
+	.B1(n_19693),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2475]),
+	.Y(n_24472), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884075 (
+	.A1(n_19804),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2544]),
+	.B1(n_19805),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2567]),
+	.Y(n_24471), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884076 (
+	.A1(n_19735),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2406]),
+	.B1(n_19403),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2429]),
+	.Y(n_24470), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884077 (
+	.A1(n_19860),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [754]),
+	.B1(n_19861),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [777]),
+	.Y(n_24469), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884078 (
+	.A1(n_19795),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2804]),
+	.B1(n_13629),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2827]),
+	.Y(n_24468), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884079 (
+	.A1(n_19395),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2314]),
+	.B1(n_19733),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2337]),
+	.Y(n_24467), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884080 (
+	.A1(n_19401),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2268]),
+	.B1(n_19736),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2291]),
+	.Y(n_24466), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884081 (
+	.A1(n_19468),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1428]),
+	.B1(n_19794),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1451]),
+	.Y(n_24465), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884082 (
+	.A1(n_19400),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2360]),
+	.B1(n_19802),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2383]),
+	.Y(n_24464), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884083 (
+	.A1(n_19801),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2222]),
+	.B1(n_19732),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2245]),
+	.Y(n_24463), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884084 (
+	.A1(n_19467),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1382]),
+	.B1(n_19892),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1405]),
+	.Y(n_24462), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884085 (
+	.A1(n_19852),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [464]),
+	.B1(n_19820),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [487]),
+	.Y(n_24461), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884086 (
+	.A1(n_19700),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2912]),
+	.B1(n_19699),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2889]),
+	.Y(n_24460), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884087 (
+	.A1(n_19722),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2774]),
+	.B1(n_13927),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2751]),
+	.Y(n_24459), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884088 (
+	.A1(n_19701),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2866]),
+	.B1(n_19702),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2843]),
+	.Y(n_24458), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884089 (
+	.A1(n_19887),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1336]),
+	.B1(n_19889),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1359]),
+	.Y(n_24457), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884090 (
+	.A1(n_19795),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2797]),
+	.B1(n_13629),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2820]),
+	.Y(n_24456), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884091 (
+	.A1(n_19448),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2443]),
+	.B1(n_19709),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2466]),
+	.Y(n_24455), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884092 (
+	.A1(n_19792),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2728]),
+	.B1(n_13619),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2705]),
+	.Y(n_24454), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884093 (
+	.A1(n_13909),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2590]),
+	.B1(n_19719),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2935]),
+	.Y(n_24453), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884094 (
+	.A1(n_19469),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1290]),
+	.B1(n_19894),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1313]),
+	.Y(n_24452), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884095 (
+	.A1(n_19891),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [708]),
+	.B1(n_19888),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [731]),
+	.Y(n_24451), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884096 (
+	.A1(n_19793),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2682]),
+	.B1(n_13914),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2659]),
+	.Y(n_24450), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884097 (
+	.A1(n_13941),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2636]),
+	.B1(n_13907),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2613]),
+	.Y(n_24449), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884098 (
+	.A1(n_19398),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1916]),
+	.B1(n_19844),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2100]),
+	.Y(n_24448), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884099 (
+	.A1(n_19885),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1244]),
+	.B1(n_19886),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1267]),
+	.Y(n_24447), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884100 (
+	.A1(n_13656),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [750]),
+	.B1(n_13582),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [796]),
+	.Y(n_24446), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884101 (
+	.A1(n_19895),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [662]),
+	.B1(n_19730),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [685]),
+	.Y(n_24445), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884102 (
+	.A1(n_19756),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [418]),
+	.B1(n_19769),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [441]),
+	.Y(n_24444), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884103 (
+	.A1(n_19800),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1198]),
+	.B1(n_19884),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1221]),
+	.Y(n_24443), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884104 (
+	.A1(n_19669),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [921]),
+	.B1(n_19670),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [944]),
+	.Y(n_24442), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884105 (
+	.A1(n_13660),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [888]),
+	.B1(n_13659),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1003]),
+	.Y(n_24441), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884106 (
+	.A1(n_19819),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1152]),
+	.B1(n_19882),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1175]),
+	.Y(n_24440), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884107 (
+	.A1(n_19690),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [372]),
+	.B1(n_19890),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [395]),
+	.Y(n_24439), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884108 (
+	.A1(n_19851),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [616]),
+	.B1(n_19872),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [639]),
+	.Y(n_24438), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884109 (
+	.A1(n_19858),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1106]),
+	.B1(n_19881),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1129]),
+	.Y(n_24437), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884110 (
+	.A1(n_19847),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2153]),
+	.B1(n_19843),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2199]),
+	.Y(n_24436), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884111 (
+	.A1(n_19831),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1865]),
+	.B1(n_19398),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1911]),
+	.Y(n_24435), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884112 (
+	.A1(n_19842),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2061]),
+	.B1(n_19844),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2107]),
+	.Y(n_24434), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884113 (
+	.A1(n_19840),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1992]),
+	.B1(n_19839),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1969]),
+	.Y(n_24433), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884114 (
+	.A1(n_19789),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1946]),
+	.B1(n_19841),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2015]),
+	.Y(n_24432), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884115 (
+	.A1(n_19738),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [570]),
+	.B1(n_19737),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [593]),
+	.Y(n_24431), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884116 (
+	.A1(n_19893),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1060]),
+	.B1(n_19827),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1083]),
+	.Y(n_24430), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884117 (
+	.A1(n_13611),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [681]),
+	.B1(n_13626),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [727]),
+	.Y(n_24429), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884118 (
+	.A1(n_13924),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [589]),
+	.B1(n_13902),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [635]),
+	.Y(n_24428), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884119 (
+	.A1(n_13604),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [520]),
+	.B1(n_13922),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [497]),
+	.Y(n_24427), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884120 (
+	.A1(n_19395),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2307]),
+	.B1(n_19736),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2284]),
+	.Y(n_24426), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884121 (
+	.A1(n_19823),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1336]),
+	.B1(n_19824),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1359]),
+	.Y(n_24425), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884122 (
+	.A1(n_13593),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [474]),
+	.B1(n_13584),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [543]),
+	.Y(n_24424), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884123 (
+	.A1(n_19834),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2130]),
+	.B1(n_19678),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2176]),
+	.Y(n_24423), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884124 (
+	.A1(n_19770),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1900]),
+	.B1(n_19398),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1923]),
+	.Y(n_24422), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884125 (
+	.A1(n_19878),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1014]),
+	.B1(n_19879),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1037]),
+	.Y(n_24421), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884126 (
+	.A1(n_19832),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2038]),
+	.B1(n_19772),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2084]),
+	.Y(n_24420), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884127 (
+	.A1(n_19735),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2412]),
+	.B1(n_19403),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2435]),
+	.Y(n_24419), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884128 (
+	.A1(n_19874),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [968]),
+	.B1(n_19876),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [991]),
+	.Y(n_24418), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884129 (
+	.A1(n_19684),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1854]),
+	.B1(n_19831),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1877]),
+	.Y(n_24417), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884130 (
+	.A1(n_13627),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [658]),
+	.B1(n_13631),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [704]),
+	.Y(n_24416), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884131 (
+	.A1(n_19669),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [922]),
+	.B1(n_19670),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [945]),
+	.Y(n_24415), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884132 (
+	.A1(n_13648),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [566]),
+	.B1(n_13639),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [612]),
+	.Y(n_24414), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884133 (
+	.A1(n_19671),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [524]),
+	.B1(n_19863),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [547]),
+	.Y(n_24413), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884134 (
+	.A1(n_19763),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [428]),
+	.B1(n_13928),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [451]),
+	.Y(n_24412), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884135 (
+	.A1(n_19686),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [382]),
+	.B1(n_19768),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [405]),
+	.Y(n_24411), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884136 (
+	.A1(n_19834),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2119]),
+	.B1(n_19678),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2165]),
+	.Y(n_24410), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884137 (
+	.A1(n_19866),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [876]),
+	.B1(n_19871),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [899]),
+	.Y(n_24409), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884138 (
+	.A1(n_19691),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1808]),
+	.B1(n_19818),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1831]),
+	.Y(n_24408), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884139 (
+	.A1(n_19816),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1762]),
+	.B1(n_19757),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1785]),
+	.Y(n_24407), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884140 (
+	.A1(n_19852),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [478]),
+	.B1(n_19820),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [501]),
+	.Y(n_24406), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884141 (
+	.A1(n_19753),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1716]),
+	.B1(n_19754),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1739]),
+	.Y(n_24405), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884142 (
+	.A1(n_19815),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1670]),
+	.B1(n_19755),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1693]),
+	.Y(n_24404), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884143 (
+	.A1(n_19869),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [830]),
+	.B1(n_19864),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [853]),
+	.Y(n_24403), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884144 (
+	.A1(n_19718),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [290]),
+	.B1(n_19761),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [313]),
+	.Y(n_24402), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884145 (
+	.A1(n_19705),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [244]),
+	.B1(n_19788),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [267]),
+	.Y(n_24401), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884146 (
+	.A1(n_19828),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [326]),
+	.B1(n_19877),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [349]),
+	.Y(n_24400), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884147 (
+	.A1(n_19865),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [784]),
+	.B1(n_19867),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [807]),
+	.Y(n_24399), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884148 (
+	.A1(n_19712),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [221]),
+	.B1(n_19714),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [359]),
+	.Y(n_24398), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884149 (
+	.A1(n_19713),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [198]),
+	.B1(n_19787),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [336]),
+	.Y(n_24397), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884150 (
+	.A1(n_19756),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [432]),
+	.B1(n_19769),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [455]),
+	.Y(n_24396), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884151 (
+	.A1(n_19747),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1578]),
+	.B1(n_19746),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1601]),
+	.Y(n_24395), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884152 (
+	.A1(n_19741),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1532]),
+	.B1(n_19745),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1555]),
+	.Y(n_24394), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884153 (
+	.A1(n_19860),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [738]),
+	.B1(n_19861),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [761]),
+	.Y(n_24393), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884154 (
+	.A1(n_19696),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1624]),
+	.B1(n_19809),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1647]),
+	.Y(n_24392), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884155 (
+	.A1(n_19744),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1486]),
+	.B1(n_19806),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1509]),
+	.Y(n_24391), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884156 (
+	.A1(n_19707),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [152]),
+	.B1(n_19694),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [129]),
+	.Y(n_24390), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884157 (
+	.A1(n_19690),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [386]),
+	.B1(n_19890),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [409]),
+	.Y(n_24389), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884158 (
+	.A1(n_19667),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [106]),
+	.B1(n_19708),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [83]),
+	.Y(n_24388), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884159 (
+	.A1(n_19891),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [692]),
+	.B1(n_19888),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [715]),
+	.Y(n_24387), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884160 (
+	.A1(n_19895),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [646]),
+	.B1(n_19730),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [669]),
+	.Y(n_24386), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884161 (
+	.A1(n_19710),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [14]),
+	.B1(n_19666),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [60]),
+	.Y(n_24385), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884162 (
+	.A1(n_19866),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [875]),
+	.B1(n_19871),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [898]),
+	.Y(n_24384), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884163 (
+	.A1(n_19716),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [280]),
+	.B1(n_19687),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [303]),
+	.Y(n_24383), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884164 (
+	.A1(n_19887),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1347]),
+	.B1(n_19889),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1370]),
+	.Y(n_24382), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884165 (
+	.A1(n_19851),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [600]),
+	.B1(n_19872),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [623]),
+	.Y(n_24381), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884166 (
+	.A1(n_19467),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1393]),
+	.B1(n_19892),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1416]),
+	.Y(n_24380), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884167 (
+	.A1(n_19468),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1439]),
+	.B1(n_19794),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1462]),
+	.Y(n_24379), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884168 (
+	.A1(n_19828),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [340]),
+	.B1(n_19877),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [363]),
+	.Y(n_24378), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884169 (
+	.A1(n_19738),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [554]),
+	.B1(n_19737),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [577]),
+	.Y(n_24377), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884170 (
+	.A1(n_19469),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1301]),
+	.B1(n_19894),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1324]),
+	.Y(n_24376), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884171 (
+	.A1(n_19454),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2673]),
+	.B1(n_19452),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2696]),
+	.Y(n_24375), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884172 (
+	.A1(n_19885),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1255]),
+	.B1(n_19886),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1278]),
+	.Y(n_24374), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884173 (
+	.A1(n_19716),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [294]),
+	.B1(n_19687),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [317]),
+	.Y(n_24373), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884174 (
+	.A1(n_19800),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1209]),
+	.B1(n_19884),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1232]),
+	.Y(n_24372), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884175 (
+	.A1(n_19671),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [508]),
+	.B1(n_19863),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [531]),
+	.Y(n_24371), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884176 (
+	.A1(n_19819),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1163]),
+	.B1(n_19882),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1186]),
+	.Y(n_24370), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884177 (
+	.A1(n_19852),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [462]),
+	.B1(n_19820),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [485]),
+	.Y(n_24369), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884178 (
+	.A1(n_19858),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1117]),
+	.B1(n_19881),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1140]),
+	.Y(n_24368), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884179 (
+	.A1(n_19853),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [234]),
+	.B1(n_19868),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [257]),
+	.Y(n_24367), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884180 (
+	.A1(n_19874),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [979]),
+	.B1(n_19876),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1002]),
+	.Y(n_24366), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884181 (
+	.A1(n_19756),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [416]),
+	.B1(n_19769),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [439]),
+	.Y(n_24365), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884182 (
+	.A1(n_19674),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [188]),
+	.B1(n_19675),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [211]),
+	.Y(n_24364), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884183 (
+	.A1(n_19878),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1025]),
+	.B1(n_19879),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1048]),
+	.Y(n_24363), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884184 (
+	.A1(n_19853),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [248]),
+	.B1(n_19868),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [271]),
+	.Y(n_24362), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884185 (
+	.A1(n_19893),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1071]),
+	.B1(n_19827),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1094]),
+	.Y(n_24361), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884186 (
+	.A1(n_19690),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [370]),
+	.B1(n_19890),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [393]),
+	.Y(n_24360), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884187 (
+	.A1(n_19669),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [933]),
+	.B1(n_19670),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [956]),
+	.Y(n_24359), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884188 (
+	.A1(n_19865),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [795]),
+	.B1(n_19867),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [818]),
+	.Y(n_24358), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884189 (
+	.A1(n_19674),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [202]),
+	.B1(n_19675),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [225]),
+	.Y(n_24357), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884190 (
+	.A1(n_19869),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [841]),
+	.B1(n_19864),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [864]),
+	.Y(n_24356), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884191 (
+	.A1(n_19828),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [324]),
+	.B1(n_19877),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [347]),
+	.Y(n_24355), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884192 (
+	.A1(n_19866),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [887]),
+	.B1(n_19871),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [910]),
+	.Y(n_24354), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884193 (
+	.A1(n_19860),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [749]),
+	.B1(n_19861),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [772]),
+	.Y(n_24353), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884194 (
+	.A1(n_19716),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [278]),
+	.B1(n_19687),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [301]),
+	.Y(n_24352), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884195 (
+	.A1(n_13869),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2175]),
+	.B1(n_19396),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2198]),
+	.Y(n_24351), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884196 (
+	.A1(n_19853),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [232]),
+	.B1(n_19868),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [255]),
+	.Y(n_24350), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884197 (
+	.A1(n_13794),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2083]),
+	.B1(n_19397),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2106]),
+	.Y(n_24349), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884198 (
+	.A1(n_19668),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [156]),
+	.B1(n_19873),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [179]),
+	.Y(n_24348), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884199 (
+	.A1(n_19462),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2129]),
+	.B1(n_19464),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2152]),
+	.Y(n_24347), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884200 (
+	.A1(n_19674),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [186]),
+	.B1(n_19675),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [209]),
+	.Y(n_24346), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884201 (
+	.A1(n_19460),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2037]),
+	.B1(n_19848),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2060]),
+	.Y(n_24345), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884202 (
+	.A1(n_19817),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1899]),
+	.B1(n_19826),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1922]),
+	.Y(n_24344), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884203 (
+	.A1(n_19668),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [140]),
+	.B1(n_19873),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [163]),
+	.Y(n_24343), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884204 (
+	.A1(n_19854),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1945]),
+	.B1(n_19830),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1968]),
+	.Y(n_24342), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884205 (
+	.A1(n_19682),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [110]),
+	.B1(n_19862),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [133]),
+	.Y(n_24341), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884206 (
+	.A1(n_19869),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [829]),
+	.B1(n_19864),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [852]),
+	.Y(n_24340), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884207 (
+	.A1(n_19679),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1991]),
+	.B1(n_13772),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2014]),
+	.Y(n_24339), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884208 (
+	.A1(n_13474),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1853]),
+	.B1(n_19810),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1876]),
+	.Y(n_24338), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884209 (
+	.A1(n_19682),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [94]),
+	.B1(n_19862),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [117]),
+	.Y(n_24337), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884210 (
+	.A1(n_19668),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [142]),
+	.B1(n_19873),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [165]),
+	.Y(n_24336), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884211 (
+	.A1(n_19846),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1807]),
+	.B1(n_19803),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1830]),
+	.Y(n_24335), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884212 (
+	.A1(n_19859),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [48]),
+	.B1(n_19681),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [71]),
+	.Y(n_24334), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884213 (
+	.A1(n_19680),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1761]),
+	.B1(n_19845),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1784]),
+	.Y(n_24333), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884214 (
+	.A1(n_19859),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [64]),
+	.B1(n_19681),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [87]),
+	.Y(n_24332), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884215 (
+	.A1(n_19676),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2]),
+	.B1(n_19855),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [25]),
+	.Y(n_24331), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884216 (
+	.A1(n_19703),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1715]),
+	.B1(n_19838),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1738]),
+	.Y(n_24330), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884217 (
+	.A1(n_19829),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1669]),
+	.B1(n_19833),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1692]),
+	.Y(n_24329), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884218 (
+	.A1(n_19676),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [18]),
+	.B1(n_19855),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [41]),
+	.Y(n_24328), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884219 (
+	.A1(n_19734),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1531]),
+	.B1(n_19740),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1554]),
+	.Y(n_24327), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884220 (
+	.A1(n_19816),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1749]),
+	.B1(n_19757),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1772]),
+	.Y(n_24326), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884221 (
+	.A1(n_19682),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [96]),
+	.B1(n_19862),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [119]),
+	.Y(n_24325), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884222 (
+	.A1(n_19808),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1577]),
+	.B1(n_19812),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1600]),
+	.Y(n_24324), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884223 (
+	.A1(n_19697),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1623]),
+	.B1(n_19759),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1646]),
+	.Y(n_24323), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884224 (
+	.A1(n_19468),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1428]),
+	.B1(n_19794),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1451]),
+	.Y(n_24322), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884225 (
+	.A1(n_19799),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1485]),
+	.B1(n_13798),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1508]),
+	.Y(n_24321), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884226 (
+	.A1(n_19467),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1382]),
+	.B1(n_19892),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1405]),
+	.Y(n_24320), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884227 (
+	.A1(n_19790),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2888]),
+	.B1(n_19721),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2911]),
+	.Y(n_24319), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884228 (
+	.A1(n_19895),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [644]),
+	.B1(n_19730),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [667]),
+	.Y(n_24318), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884229 (
+	.A1(n_19453),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2796]),
+	.B1(n_19766),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2819]),
+	.Y(n_24317), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884230 (
+	.A1(n_19887),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1336]),
+	.B1(n_19889),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1359]),
+	.Y(n_24316), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884231 (
+	.A1(n_19451),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2865]),
+	.B1(n_19689),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2842]),
+	.Y(n_24315), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884232 (
+	.A1(n_19469),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1290]),
+	.B1(n_19894),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1313]),
+	.Y(n_24314), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884233 (
+	.A1(n_19455),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2773]),
+	.B1(n_19758),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2750]),
+	.Y(n_24313), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884234 (
+	.A1(n_19452),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2704]),
+	.B1(n_19743),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2727]),
+	.Y(n_24312), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884235 (
+	.A1(n_19449),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2589]),
+	.B1(n_19692),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2934]),
+	.Y(n_24311), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884236 (
+	.A1(n_19859),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [50]),
+	.B1(n_19681),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [73]),
+	.Y(n_24310), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884237 (
+	.A1(n_19885),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1244]),
+	.B1(n_19886),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1267]),
+	.Y(n_24309), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884238 (
+	.A1(n_19454),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2681]),
+	.B1(n_19796),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2658]),
+	.Y(n_24308), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884239 (
+	.A1(n_19456),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2612]),
+	.B1(n_13845),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2635]),
+	.Y(n_24307), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884240 (
+	.A1(n_19800),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1198]),
+	.B1(n_19884),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1221]),
+	.Y(n_24306), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884241 (
+	.A1(n_19448),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2451]),
+	.B1(n_19709),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2474]),
+	.Y(n_24305), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884242 (
+	.A1(n_19457),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2497]),
+	.B1(n_19856),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2520]),
+	.Y(n_24304), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884243 (
+	.A1(n_19874),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [975]),
+	.B1(n_19876),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [998]),
+	.Y(n_24303), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884244 (
+	.A1(n_19865),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [783]),
+	.B1(n_19867),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [806]),
+	.Y(n_24302), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884245 (
+	.A1(n_19819),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1152]),
+	.B1(n_19882),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1175]),
+	.Y(n_24301), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884246 (
+	.A1(n_19458),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2543]),
+	.B1(n_19807),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2566]),
+	.Y(n_24300), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884247 (
+	.A1(n_19446),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2405]),
+	.B1(n_19711),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2428]),
+	.Y(n_24299), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884248 (
+	.A1(n_19858),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1106]),
+	.B1(n_19881),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1129]),
+	.Y(n_24298), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884249 (
+	.A1(n_19676),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [4]),
+	.B1(n_19855),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [27]),
+	.Y(n_24297), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884250 (
+	.A1(n_19875),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2267]),
+	.B1(n_19880),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2290]),
+	.Y(n_24296), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884251 (
+	.A1(n_19442),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2313]),
+	.B1(n_19672),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2336]),
+	.Y(n_24295), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884252 (
+	.A1(n_19454),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2678]),
+	.B1(n_19796),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2655]),
+	.Y(n_24294), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884253 (
+	.A1(n_19893),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1060]),
+	.B1(n_19827),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1083]),
+	.Y(n_24293), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884254 (
+	.A1(n_19445),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2359]),
+	.B1(n_19870),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2382]),
+	.Y(n_24292), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884255 (
+	.A1(n_19466),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2221]),
+	.B1(n_19883),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2244]),
+	.Y(n_24291), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884256 (
+	.A1(n_19878),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1014]),
+	.B1(n_19879),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1037]),
+	.Y(n_24290), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884257 (
+	.A1(n_19891),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [703]),
+	.B1(n_19888),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [726]),
+	.Y(n_24289), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884258 (
+	.A1(n_19895),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [657]),
+	.B1(n_19730),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [680]),
+	.Y(n_24288), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884259 (
+	.A1(n_19874),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [968]),
+	.B1(n_19876),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [991]),
+	.Y(n_24287), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884260 (
+	.A1(n_19851),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [611]),
+	.B1(n_19872),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [634]),
+	.Y(n_24286), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884261 (
+	.A1(n_19766),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2811]),
+	.B1(n_19689),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2834]),
+	.Y(n_24285), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884262 (
+	.A1(n_19738),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [565]),
+	.B1(n_19737),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [588]),
+	.Y(n_24284), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884263 (
+	.A1(n_19669),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [922]),
+	.B1(n_19670),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [945]),
+	.Y(n_24283), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884264 (
+	.A1(n_19671),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [519]),
+	.B1(n_19863),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [542]),
+	.Y(n_24282), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884265 (
+	.A1(n_19852),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [473]),
+	.B1(n_19820),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [496]),
+	.Y(n_24281), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884266 (
+	.A1(n_19866),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [876]),
+	.B1(n_19871),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [899]),
+	.Y(n_24280), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884267 (
+	.A1(n_19756),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [427]),
+	.B1(n_19769),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [450]),
+	.Y(n_24279), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884268 (
+	.A1(n_19690),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [381]),
+	.B1(n_19890),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [404]),
+	.Y(n_24278), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884269 (
+	.A1(n_19869),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [830]),
+	.B1(n_19864),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [853]),
+	.Y(n_24277), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884270 (
+	.A1(n_19828),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [335]),
+	.B1(n_19877),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [358]),
+	.Y(n_24276), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884271 (
+	.A1(n_19716),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [289]),
+	.B1(n_19687),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [312]),
+	.Y(n_24275), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884272 (
+	.A1(n_19865),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [784]),
+	.B1(n_19867),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [807]),
+	.Y(n_24274), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884273 (
+	.A1(n_19853),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [243]),
+	.B1(n_19868),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [266]),
+	.Y(n_24273), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884274 (
+	.A1(n_19860),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [738]),
+	.B1(n_19861),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [761]),
+	.Y(n_24272), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884275 (
+	.A1(n_19674),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [197]),
+	.B1(n_19675),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [220]),
+	.Y(n_24271), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884276 (
+	.A1(n_19793),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2669]),
+	.B1(n_13914),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2646]),
+	.Y(n_24270), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884277 (
+	.A1(n_19686),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [372]),
+	.B1(n_19768),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [395]),
+	.Y(n_24269), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884278 (
+	.A1(n_19860),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [737]),
+	.B1(n_19861),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [760]),
+	.Y(n_24268), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884279 (
+	.A1(n_19859),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [59]),
+	.B1(n_19681),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [82]),
+	.Y(n_24267), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884280 (
+	.A1(n_19795),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2784]),
+	.B1(n_13629),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2807]),
+	.Y(n_24266), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884281 (
+	.A1(n_19682),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [105]),
+	.B1(n_19862),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [128]),
+	.Y(n_24265), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884282 (
+	.A1(n_19763),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [415]),
+	.B1(n_19768),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [392]),
+	.Y(n_24264), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884283 (
+	.A1(n_19668),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [151]),
+	.B1(n_19873),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [174]),
+	.Y(n_24263), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884284 (
+	.A1(n_13869),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2164]),
+	.B1(n_19396),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2187]),
+	.Y(n_24262), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884285 (
+	.A1(n_19676),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [13]),
+	.B1(n_19855),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [36]),
+	.Y(n_24261), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884286 (
+	.A1(n_19753),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1703]),
+	.B1(n_19754),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1726]),
+	.Y(n_24260), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884287 (
+	.A1(n_19462),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2118]),
+	.B1(n_19464),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2141]),
+	.Y(n_24259), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884288 (
+	.A1(n_13869),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2162]),
+	.B1(n_19396),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2185]),
+	.Y(n_24258), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884289 (
+	.A1(n_19676),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [17]),
+	.B1(n_19855),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [40]),
+	.Y(n_24257), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884290 (
+	.A1(n_19790),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2888]),
+	.B1(n_19721),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2911]),
+	.Y(n_24256), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884291 (
+	.A1(n_13794),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2072]),
+	.B1(n_19397),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2095]),
+	.Y(n_24255), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884292 (
+	.A1(n_19455),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2773]),
+	.B1(n_19758),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2750]),
+	.Y(n_24254), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884293 (
+	.A1(n_19462),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2116]),
+	.B1(n_19464),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2139]),
+	.Y(n_24253), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884294 (
+	.A1(n_19451),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2865]),
+	.B1(n_19689),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2842]),
+	.Y(n_24252), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884295 (
+	.A1(n_19460),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2026]),
+	.B1(n_19848),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2049]),
+	.Y(n_24251), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884296 (
+	.A1(n_19453),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2796]),
+	.B1(n_19766),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2819]),
+	.Y(n_24250), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884297 (
+	.A1(n_19452),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2704]),
+	.B1(n_19743),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2727]),
+	.Y(n_24249), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884298 (
+	.A1(n_19721),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2903]),
+	.B1(n_19692),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2926]),
+	.Y(n_24248), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884299 (
+	.A1(n_19449),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2589]),
+	.B1(n_19692),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2934]),
+	.Y(n_24247), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884300 (
+	.A1(n_19679),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1980]),
+	.B1(n_13772),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2003]),
+	.Y(n_24246), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884301 (
+	.A1(n_19454),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2681]),
+	.B1(n_19796),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2658]),
+	.Y(n_24245), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884302 (
+	.A1(n_13794),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2070]),
+	.B1(n_19397),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2093]),
+	.Y(n_24244), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884303 (
+	.A1(n_19854),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1934]),
+	.B1(n_19830),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1957]),
+	.Y(n_24243), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884304 (
+	.A1(n_19456),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2612]),
+	.B1(n_13845),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2635]),
+	.Y(n_24242), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884305 (
+	.A1(n_19448),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2451]),
+	.B1(n_19709),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2474]),
+	.Y(n_24241), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884306 (
+	.A1(n_19460),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2024]),
+	.B1(n_19848),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2047]),
+	.Y(n_24240), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884307 (
+	.A1(n_19457),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2497]),
+	.B1(n_19856),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2520]),
+	.Y(n_24239), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884308 (
+	.A1(n_19817),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1888]),
+	.B1(n_19826),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1911]),
+	.Y(n_24238), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884309 (
+	.A1(n_19458),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2543]),
+	.B1(n_19807),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2566]),
+	.Y(n_24237), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884310 (
+	.A1(n_13474),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1842]),
+	.B1(n_19810),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1865]),
+	.Y(n_24236), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884311 (
+	.A1(n_19446),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2405]),
+	.B1(n_19711),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2428]),
+	.Y(n_24235), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884312 (
+	.A1(n_19875),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2267]),
+	.B1(n_19880),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2290]),
+	.Y(n_24234), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884313 (
+	.A1(n_19468),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1447]),
+	.B1(n_19794),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1470]),
+	.Y(n_24233), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884314 (
+	.A1(n_19442),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2313]),
+	.B1(n_19672),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2336]),
+	.Y(n_24232), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884315 (
+	.A1(n_19846),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1796]),
+	.B1(n_19803),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1819]),
+	.Y(n_24231), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884316 (
+	.A1(n_19445),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2359]),
+	.B1(n_19870),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2382]),
+	.Y(n_24230), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884317 (
+	.A1(n_19679),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1978]),
+	.B1(n_13772),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2001]),
+	.Y(n_24229), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884318 (
+	.A1(n_19466),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2221]),
+	.B1(n_19883),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2244]),
+	.Y(n_24228), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884319 (
+	.A1(n_19680),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1750]),
+	.B1(n_19845),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1773]),
+	.Y(n_24227), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884320 (
+	.A1(n_19467),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1401]),
+	.B1(n_19892),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1424]),
+	.Y(n_24226), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884321 (
+	.A1(n_13794),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2083]),
+	.B1(n_19397),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2106]),
+	.Y(n_24225), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884322 (
+	.A1(n_19703),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1704]),
+	.B1(n_19838),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1727]),
+	.Y(n_24224), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884323 (
+	.A1(n_19462),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2129]),
+	.B1(n_19464),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2152]),
+	.Y(n_24223), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884324 (
+	.A1(n_19854),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1932]),
+	.B1(n_19830),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1955]),
+	.Y(n_24222), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884325 (
+	.A1(n_13869),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2175]),
+	.B1(n_19396),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2198]),
+	.Y(n_24221), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884326 (
+	.A1(n_19829),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1658]),
+	.B1(n_19833),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1681]),
+	.Y(n_24220), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884327 (
+	.A1(n_19460),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2037]),
+	.B1(n_19848),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2060]),
+	.Y(n_24219), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884328 (
+	.A1(n_19817),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1899]),
+	.B1(n_19826),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1922]),
+	.Y(n_24218), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884329 (
+	.A1(n_19697),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1612]),
+	.B1(n_19759),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1635]),
+	.Y(n_24217), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884330 (
+	.A1(n_19854),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1945]),
+	.B1(n_19830),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1968]),
+	.Y(n_24216), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884331 (
+	.A1(n_19817),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1886]),
+	.B1(n_19826),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1909]),
+	.Y(n_24215), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884332 (
+	.A1(n_19679),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1991]),
+	.B1(n_13772),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2014]),
+	.Y(n_24214), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884333 (
+	.A1(n_19808),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1566]),
+	.B1(n_19812),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1589]),
+	.Y(n_24213), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884334 (
+	.A1(n_13474),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1853]),
+	.B1(n_19810),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1876]),
+	.Y(n_24212), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884335 (
+	.A1(n_19703),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1715]),
+	.B1(n_19838),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1738]),
+	.Y(n_24211), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884336 (
+	.A1(n_19887),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1355]),
+	.B1(n_19889),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1378]),
+	.Y(n_24210), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884337 (
+	.A1(n_19734),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1520]),
+	.B1(n_19740),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1543]),
+	.Y(n_24209), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884338 (
+	.A1(n_13474),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1840]),
+	.B1(n_19810),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1863]),
+	.Y(n_24208), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884339 (
+	.A1(n_19680),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1761]),
+	.B1(n_19845),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1784]),
+	.Y(n_24207), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884340 (
+	.A1(n_19846),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1807]),
+	.B1(n_19803),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1830]),
+	.Y(n_24206), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884341 (
+	.A1(n_19799),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1474]),
+	.B1(n_13798),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1497]),
+	.Y(n_24205), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884342 (
+	.A1(n_19829),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1669]),
+	.B1(n_19833),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1692]),
+	.Y(n_24204), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884343 (
+	.A1(n_19697),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1623]),
+	.B1(n_19759),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1646]),
+	.Y(n_24203), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884344 (
+	.A1(n_19734),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1531]),
+	.B1(n_19740),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1554]),
+	.Y(n_24202), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884345 (
+	.A1(n_13869),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2163]),
+	.B1(n_19396),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2186]),
+	.Y(n_24201), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884346 (
+	.A1(n_19790),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2877]),
+	.B1(n_19721),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2900]),
+	.Y(n_24200), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884347 (
+	.A1(n_19808),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1577]),
+	.B1(n_19812),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1600]),
+	.Y(n_24199), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884348 (
+	.A1(n_19458),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2530]),
+	.B1(n_19807),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2553]),
+	.Y(n_24198), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884349 (
+	.A1(n_19799),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1485]),
+	.B1(n_13798),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1508]),
+	.Y(n_24197), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884350 (
+	.A1(n_19451),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2854]),
+	.B1(n_19689),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2831]),
+	.Y(n_24196), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884351 (
+	.A1(n_19449),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2576]),
+	.B1(n_19692),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2921]),
+	.Y(n_24195), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884352 (
+	.A1(n_19468),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1439]),
+	.B1(n_19794),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1462]),
+	.Y(n_24194), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884353 (
+	.A1(n_19469),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1309]),
+	.B1(n_19894),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1332]),
+	.Y(n_24193), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884354 (
+	.A1(n_19453),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2785]),
+	.B1(n_19766),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2808]),
+	.Y(n_24192), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884355 (
+	.A1(n_19887),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1347]),
+	.B1(n_19889),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1370]),
+	.Y(n_24191), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884356 (
+	.A1(n_19457),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2484]),
+	.B1(n_19856),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2507]),
+	.Y(n_24190), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884357 (
+	.A1(n_19467),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1393]),
+	.B1(n_19892),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1416]),
+	.Y(n_24189), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884358 (
+	.A1(n_19455),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2762]),
+	.B1(n_19758),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2739]),
+	.Y(n_24188), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884359 (
+	.A1(n_19469),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1301]),
+	.B1(n_19894),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1324]),
+	.Y(n_24187), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884360 (
+	.A1(n_13918),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [964]),
+	.B1(n_13609),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1056]),
+	.Y(n_24186), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884361 (
+	.A1(n_19885),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1255]),
+	.B1(n_19886),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1278]),
+	.Y(n_24185), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884362 (
+	.A1(n_19448),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2438]),
+	.B1(n_19709),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2461]),
+	.Y(n_24184), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884363 (
+	.A1(n_19800),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1209]),
+	.B1(n_19884),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1232]),
+	.Y(n_24183), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884364 (
+	.A1(n_19452),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2693]),
+	.B1(n_19743),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2716]),
+	.Y(n_24182), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884365 (
+	.A1(n_19819),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1163]),
+	.B1(n_19882),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1186]),
+	.Y(n_24181), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884366 (
+	.A1(n_19454),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2670]),
+	.B1(n_19796),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2647]),
+	.Y(n_24180), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884367 (
+	.A1(n_19858),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1117]),
+	.B1(n_19881),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1140]),
+	.Y(n_24179), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884368 (
+	.A1(n_19874),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [979]),
+	.B1(n_19876),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1002]),
+	.Y(n_24178), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884369 (
+	.A1(n_19885),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1263]),
+	.B1(n_19886),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1286]),
+	.Y(n_24177), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884370 (
+	.A1(n_19449),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2578]),
+	.B1(n_19692),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2923]),
+	.Y(n_24176), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884371 (
+	.A1(n_19878),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1025]),
+	.B1(n_19879),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1048]),
+	.Y(n_24175), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884372 (
+	.A1(n_19893),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1071]),
+	.B1(n_19827),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1094]),
+	.Y(n_24174), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884373 (
+	.A1(n_19456),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2601]),
+	.B1(n_13845),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2624]),
+	.Y(n_24173), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884374 (
+	.A1(n_19669),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [933]),
+	.B1(n_19670),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [956]),
+	.Y(n_24172), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884375 (
+	.A1(n_19862),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [120]),
+	.B1(n_19668),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [143]),
+	.Y(n_24171), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884376 (
+	.A1(n_19865),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [795]),
+	.B1(n_19867),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [818]),
+	.Y(n_24170), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884377 (
+	.A1(n_19869),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [841]),
+	.B1(n_19864),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [864]),
+	.Y(n_24169), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884378 (
+	.A1(n_19446),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2392]),
+	.B1(n_19711),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2415]),
+	.Y(n_24168), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884379 (
+	.A1(n_19458),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2532]),
+	.B1(n_19807),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2555]),
+	.Y(n_24167), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884380 (
+	.A1(n_19445),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2346]),
+	.B1(n_19870),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2369]),
+	.Y(n_24166), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884381 (
+	.A1(n_19866),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [887]),
+	.B1(n_19871),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [910]),
+	.Y(n_24165), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884382 (
+	.A1(n_19671),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [513]),
+	.B1(n_19863),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [536]),
+	.Y(n_24164), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884383 (
+	.A1(n_19860),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [749]),
+	.B1(n_19861),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [772]),
+	.Y(n_24163), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884384 (
+	.A1(n_19457),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2486]),
+	.B1(n_19856),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2509]),
+	.Y(n_24162), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884385 (
+	.A1(n_19800),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1217]),
+	.B1(n_19884),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1240]),
+	.Y(n_24161), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884386 (
+	.A1(n_19851),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [611]),
+	.B1(n_19872),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [634]),
+	.Y(n_24160), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884387 (
+	.A1(n_19442),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2300]),
+	.B1(n_19672),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2323]),
+	.Y(n_24159), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884388 (
+	.A1(n_19895),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [657]),
+	.B1(n_19730),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [680]),
+	.Y(n_24158), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884389 (
+	.A1(n_19448),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2440]),
+	.B1(n_19709),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2463]),
+	.Y(n_24157), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884390 (
+	.A1(n_19891),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [703]),
+	.B1(n_19888),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [726]),
+	.Y(n_24156), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884391 (
+	.A1(n_19446),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2394]),
+	.B1(n_19711),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2417]),
+	.Y(n_24155), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884392 (
+	.A1(n_19738),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [565]),
+	.B1(n_19737),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [588]),
+	.Y(n_24154), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884393 (
+	.A1(n_19756),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [427]),
+	.B1(n_19769),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [450]),
+	.Y(n_24153), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884394 (
+	.A1(n_19852),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [473]),
+	.B1(n_19820),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [496]),
+	.Y(n_24152), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884395 (
+	.A1(n_19875),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2254]),
+	.B1(n_19880),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2277]),
+	.Y(n_24151), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884396 (
+	.A1(n_19445),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2348]),
+	.B1(n_19870),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2371]),
+	.Y(n_24150), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884397 (
+	.A1(n_19462),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2117]),
+	.B1(n_19464),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2140]),
+	.Y(n_24149), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884398 (
+	.A1(n_19671),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [519]),
+	.B1(n_19863),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [542]),
+	.Y(n_24148), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884399 (
+	.A1(n_19690),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [381]),
+	.B1(n_19890),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [404]),
+	.Y(n_24147), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884400 (
+	.A1(n_19442),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2302]),
+	.B1(n_19672),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2325]),
+	.Y(n_24146), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884401 (
+	.A1(n_19667),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [94]),
+	.B1(n_19708),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [71]),
+	.Y(n_24145), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884402 (
+	.A1(n_19819),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1171]),
+	.B1(n_19882),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1194]),
+	.Y(n_24144), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884403 (
+	.A1(n_19828),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [335]),
+	.B1(n_19877),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [358]),
+	.Y(n_24143), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884404 (
+	.A1(n_19866),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [884]),
+	.B1(n_19871),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [907]),
+	.Y(n_24142), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884405 (
+	.A1(n_19466),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2208]),
+	.B1(n_19883),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2231]),
+	.Y(n_24141), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884406 (
+	.A1(n_19875),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2256]),
+	.B1(n_19880),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2279]),
+	.Y(n_24140), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884407 (
+	.A1(n_19853),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [243]),
+	.B1(n_19868),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [266]),
+	.Y(n_24139), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884408 (
+	.A1(n_19466),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2210]),
+	.B1(n_19883),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2233]),
+	.Y(n_24138), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884409 (
+	.A1(n_19716),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [289]),
+	.B1(n_19687),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [312]),
+	.Y(n_24137), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884410 (
+	.A1(n_19674),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [197]),
+	.B1(n_19675),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [220]),
+	.Y(n_24136), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884411 (
+	.A1(n_19858),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1125]),
+	.B1(n_19881),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1148]),
+	.Y(n_24135), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884412 (
+	.A1(n_19859),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [59]),
+	.B1(n_19681),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [82]),
+	.Y(n_24134), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884413 (
+	.A1(n_19682),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [97]),
+	.B1(n_19681),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [74]),
+	.Y(n_24133), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884414 (
+	.A1(n_13660),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [895]),
+	.B1(n_13659),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1010]),
+	.Y(n_24132), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884415 (
+	.A1(n_19682),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [105]),
+	.B1(n_19862),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [128]),
+	.Y(n_24131), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884416 (
+	.A1(n_19891),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [692]),
+	.B1(n_19888),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [715]),
+	.Y(n_24130), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884417 (
+	.A1(n_19668),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [151]),
+	.B1(n_19873),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [174]),
+	.Y(n_24129), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884418 (
+	.A1(n_19790),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2875]),
+	.B1(n_19721),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2898]),
+	.Y(n_24128), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884419 (
+	.A1(n_19676),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [13]),
+	.B1(n_19855),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [36]),
+	.Y(n_24127), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884420 (
+	.A1(n_19895),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [646]),
+	.B1(n_19730),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [669]),
+	.Y(n_24126), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884421 (
+	.A1(n_19401),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2275]),
+	.B1(n_19736),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2298]),
+	.Y(n_24125), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884422 (
+	.A1(n_19840),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1980]),
+	.B1(n_19841),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2003]),
+	.Y(n_24124), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884423 (
+	.A1(n_19851),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [600]),
+	.B1(n_19872),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [623]),
+	.Y(n_24123), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884424 (
+	.A1(n_19760),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1393]),
+	.B1(n_19825),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1416]),
+	.Y(n_24122), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884425 (
+	.A1(n_19451),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2852]),
+	.B1(n_19689),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2829]),
+	.Y(n_24121), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884426 (
+	.A1(n_19738),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [554]),
+	.B1(n_19737),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [577]),
+	.Y(n_24120), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884427 (
+	.A1(n_19691),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1807]),
+	.B1(n_19818),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1830]),
+	.Y(n_24119), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884428 (
+	.A1(n_19753),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1715]),
+	.B1(n_19754),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1738]),
+	.Y(n_24118), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884429 (
+	.A1(n_19816),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1761]),
+	.B1(n_19757),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1784]),
+	.Y(n_24117), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884430 (
+	.A1(n_19815),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1669]),
+	.B1(n_19755),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1692]),
+	.Y(n_24116), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884431 (
+	.A1(n_19671),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [508]),
+	.B1(n_19863),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [531]),
+	.Y(n_24115), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884432 (
+	.A1(n_19453),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2783]),
+	.B1(n_19766),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2806]),
+	.Y(n_24114), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884433 (
+	.A1(n_19752),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1301]),
+	.B1(n_19751),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1255]),
+	.Y(n_24113), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884434 (
+	.A1(n_19813),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1163]),
+	.B1(n_19748),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1186]),
+	.Y(n_24112), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884435 (
+	.A1(n_19852),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [462]),
+	.B1(n_19820),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [485]),
+	.Y(n_24111), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884436 (
+	.A1(n_19750),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1209]),
+	.B1(n_19814),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1232]),
+	.Y(n_24110), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884437 (
+	.A1(n_19747),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1577]),
+	.B1(n_19746),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1600]),
+	.Y(n_24109), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884438 (
+	.A1(n_19893),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1079]),
+	.B1(n_19827),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1102]),
+	.Y(n_24108), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884439 (
+	.A1(n_19756),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [416]),
+	.B1(n_19769),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [439]),
+	.Y(n_24107), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884440 (
+	.A1(n_19741),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1531]),
+	.B1(n_19745),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1554]),
+	.Y(n_24106), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884441 (
+	.A1(n_19455),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2760]),
+	.B1(n_19758),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2737]),
+	.Y(n_24105), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884442 (
+	.A1(n_19696),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1623]),
+	.B1(n_19809),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1646]),
+	.Y(n_24104), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884443 (
+	.A1(n_19744),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1485]),
+	.B1(n_19806),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1508]),
+	.Y(n_24103), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884444 (
+	.A1(n_19690),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [370]),
+	.B1(n_19890),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [393]),
+	.Y(n_24102), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884445 (
+	.A1(n_19841),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2014]),
+	.B1(n_19843),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2198]),
+	.Y(n_24101), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884446 (
+	.A1(n_19839),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1968]),
+	.B1(n_19847),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2152]),
+	.Y(n_24100), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884447 (
+	.A1(n_19851),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [605]),
+	.B1(n_19872),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [628]),
+	.Y(n_24099), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884448 (
+	.A1(n_19842),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2060]),
+	.B1(n_19844),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2106]),
+	.Y(n_24098), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884449 (
+	.A1(n_19789),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1945]),
+	.B1(n_19840),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1991]),
+	.Y(n_24097), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884450 (
+	.A1(n_19828),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [324]),
+	.B1(n_19877),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [347]),
+	.Y(n_24096), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884451 (
+	.A1(n_13794),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2071]),
+	.B1(n_19397),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2094]),
+	.Y(n_24095), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884452 (
+	.A1(n_13902),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [634]),
+	.B1(n_13611),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [680]),
+	.Y(n_24094), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884453 (
+	.A1(n_19452),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2691]),
+	.B1(n_19743),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2714]),
+	.Y(n_24093), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884454 (
+	.A1(n_13924),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [588]),
+	.B1(n_13626),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [726]),
+	.Y(n_24092), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884455 (
+	.A1(n_19878),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1033]),
+	.B1(n_19879),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1056]),
+	.Y(n_24091), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884456 (
+	.A1(n_13604),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [519]),
+	.B1(n_13584),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [542]),
+	.Y(n_24090), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884457 (
+	.A1(n_13593),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [473]),
+	.B1(n_13922),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [496]),
+	.Y(n_24089), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884458 (
+	.A1(n_19716),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [278]),
+	.B1(n_19687),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [301]),
+	.Y(n_24088), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884459 (
+	.A1(n_19834),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2129]),
+	.B1(n_19678),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2175]),
+	.Y(n_24087), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884460 (
+	.A1(n_19853),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [232]),
+	.B1(n_19868),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [255]),
+	.Y(n_24086), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884461 (
+	.A1(n_19832),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2037]),
+	.B1(n_19772),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2083]),
+	.Y(n_24085), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884462 (
+	.A1(n_19454),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2668]),
+	.B1(n_19796),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2645]),
+	.Y(n_24084), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884463 (
+	.A1(n_19770),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1899]),
+	.B1(n_19831),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1876]),
+	.Y(n_24083), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884464 (
+	.A1(n_19684),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1853]),
+	.B1(n_19398),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1922]),
+	.Y(n_24082), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884465 (
+	.A1(n_19674),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [186]),
+	.B1(n_19675),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [209]),
+	.Y(n_24081), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884466 (
+	.A1(n_13627),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [657]),
+	.B1(n_13631),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [703]),
+	.Y(n_24080), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884467 (
+	.A1(n_19763),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [427]),
+	.B1(n_13928),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [450]),
+	.Y(n_24079), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884468 (
+	.A1(n_13648),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [565]),
+	.B1(n_13639),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [611]),
+	.Y(n_24078), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884469 (
+	.A1(n_19686),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [381]),
+	.B1(n_19768),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [404]),
+	.Y(n_24077), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884470 (
+	.A1(n_19795),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2783]),
+	.B1(n_13629),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2806]),
+	.Y(n_24076), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884471 (
+	.A1(n_19668),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [140]),
+	.B1(n_19873),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [163]),
+	.Y(n_24075), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884472 (
+	.A1(n_19449),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2576]),
+	.B1(n_19692),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2921]),
+	.Y(n_24074), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884473 (
+	.A1(n_19804),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2543]),
+	.B1(n_19805),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2566]),
+	.Y(n_24073), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884474 (
+	.A1(n_19742),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2497]),
+	.B1(n_19402),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2520]),
+	.Y(n_24072), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884475 (
+	.A1(n_19682),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [94]),
+	.B1(n_19862),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [117]),
+	.Y(n_24071), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884476 (
+	.A1(n_19739),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2451]),
+	.B1(n_19693),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2474]),
+	.Y(n_24070), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884477 (
+	.A1(n_19735),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2405]),
+	.B1(n_19403),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2428]),
+	.Y(n_24069), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884478 (
+	.A1(n_19456),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2599]),
+	.B1(n_13845),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2622]),
+	.Y(n_24068), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884479 (
+	.A1(n_19874),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [987]),
+	.B1(n_19876),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1010]),
+	.Y(n_24067), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884480 (
+	.A1(n_19400),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2359]),
+	.B1(n_19802),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2382]),
+	.Y(n_24066), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884481 (
+	.A1(n_19859),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [48]),
+	.B1(n_19681),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [71]),
+	.Y(n_24065), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884482 (
+	.A1(n_19395),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2313]),
+	.B1(n_19733),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2336]),
+	.Y(n_24064), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884483 (
+	.A1(n_19676),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2]),
+	.B1(n_19855),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [25]),
+	.Y(n_24063), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884484 (
+	.A1(n_19401),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2267]),
+	.B1(n_19736),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2290]),
+	.Y(n_24062), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884485 (
+	.A1(n_19801),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2221]),
+	.B1(n_19732),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2244]),
+	.Y(n_24061), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884486 (
+	.A1(n_13582),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [795]),
+	.B1(n_19729),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [818]),
+	.Y(n_24060), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884487 (
+	.A1(n_19460),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2025]),
+	.B1(n_19848),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2048]),
+	.Y(n_24059), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884488 (
+	.A1(n_19669),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [941]),
+	.B1(n_19670),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [964]),
+	.Y(n_24058), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884489 (
+	.A1(n_19828),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [322]),
+	.B1(n_19877),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [345]),
+	.Y(n_24057), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884490 (
+	.A1(n_13592),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [841]),
+	.B1(n_19726),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [864]),
+	.Y(n_24056), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884491 (
+	.A1(n_13794),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2086]),
+	.B1(n_19397),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2109]),
+	.Y(n_24055), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884492 (
+	.A1(n_19716),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [276]),
+	.B1(n_19687),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [299]),
+	.Y(n_24054), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884493 (
+	.A1(n_19462),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2132]),
+	.B1(n_19464),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2155]),
+	.Y(n_24053), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884494 (
+	.A1(n_19700),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2911]),
+	.B1(n_19699),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2888]),
+	.Y(n_24052), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884495 (
+	.A1(n_19701),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2865]),
+	.B1(n_19702),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2842]),
+	.Y(n_24051), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884496 (
+	.A1(n_19795),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2796]),
+	.B1(n_13629),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2819]),
+	.Y(n_24050), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884497 (
+	.A1(n_19722),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2773]),
+	.B1(n_13927),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2750]),
+	.Y(n_24049), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884498 (
+	.A1(n_13869),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2178]),
+	.B1(n_19396),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2201]),
+	.Y(n_24048), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884499 (
+	.A1(n_19792),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2727]),
+	.B1(n_13619),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2704]),
+	.Y(n_24047), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884500 (
+	.A1(n_19793),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2681]),
+	.B1(n_13914),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2658]),
+	.Y(n_24046), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884501 (
+	.A1(n_19460),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2040]),
+	.B1(n_19848),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2063]),
+	.Y(n_24045), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884502 (
+	.A1(n_13909),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2589]),
+	.B1(n_19719),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2934]),
+	.Y(n_24044), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884503 (
+	.A1(n_13941),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2635]),
+	.B1(n_13907),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2612]),
+	.Y(n_24043), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884504 (
+	.A1(n_19788),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [266]),
+	.B1(n_19714),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [358]),
+	.Y(n_24042), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884505 (
+	.A1(n_19705),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [243]),
+	.B1(n_19787),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [335]),
+	.Y(n_24041), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884506 (
+	.A1(n_19853),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [230]),
+	.B1(n_19868),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [253]),
+	.Y(n_24040), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884507 (
+	.A1(n_19817),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1902]),
+	.B1(n_19826),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1925]),
+	.Y(n_24039), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884508 (
+	.A1(n_19718),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [289]),
+	.B1(n_19761),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [312]),
+	.Y(n_24038), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884509 (
+	.A1(n_19866),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [895]),
+	.B1(n_19871),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [918]),
+	.Y(n_24037), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884510 (
+	.A1(n_19713),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [197]),
+	.B1(n_19712),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [220]),
+	.Y(n_24036), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884511 (
+	.A1(n_19674),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [184]),
+	.B1(n_19675),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [207]),
+	.Y(n_24035), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884512 (
+	.A1(n_19854),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1948]),
+	.B1(n_19830),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1971]),
+	.Y(n_24034), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884513 (
+	.A1(n_19707),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [151]),
+	.B1(n_19694),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [128]),
+	.Y(n_24033), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884514 (
+	.A1(n_13922),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [483]),
+	.B1(n_13611),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [667]),
+	.Y(n_24032), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884515 (
+	.A1(n_19667),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [105]),
+	.B1(n_19708),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [82]),
+	.Y(n_24031), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884516 (
+	.A1(n_19710),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [13]),
+	.B1(n_19666),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [59]),
+	.Y(n_24030), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884517 (
+	.A1(n_19679),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1994]),
+	.B1(n_13772),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2017]),
+	.Y(n_24029), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884518 (
+	.A1(n_19712),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [209]),
+	.B1(n_19714),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [347]),
+	.Y(n_24028), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884519 (
+	.A1(n_19874),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [984]),
+	.B1(n_19876),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1007]),
+	.Y(n_24027), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884520 (
+	.A1(n_19869),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [849]),
+	.B1(n_19864),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [872]),
+	.Y(n_24026), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884521 (
+	.A1(n_13474),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1856]),
+	.B1(n_19810),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1879]),
+	.Y(n_24025), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884522 (
+	.A1(n_19823),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1347]),
+	.B1(n_19824),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1370]),
+	.Y(n_24024), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884523 (
+	.A1(n_19668),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [138]),
+	.B1(n_19873),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [161]),
+	.Y(n_24023), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884524 (
+	.A1(n_19671),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [511]),
+	.B1(n_19863),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [534]),
+	.Y(n_24022), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884525 (
+	.A1(n_19752),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1301]),
+	.B1(n_19748),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1186]),
+	.Y(n_24021), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884526 (
+	.A1(n_19813),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1163]),
+	.B1(n_19751),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1255]),
+	.Y(n_24020), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884527 (
+	.A1(n_19846),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1810]),
+	.B1(n_19803),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1833]),
+	.Y(n_24019), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884528 (
+	.A1(n_19750),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1209]),
+	.B1(n_19814),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1232]),
+	.Y(n_24018), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884529 (
+	.A1(n_19682),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [92]),
+	.B1(n_19862),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [115]),
+	.Y(n_24017), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884530 (
+	.A1(n_19703),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1718]),
+	.B1(n_19838),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1741]),
+	.Y(n_24016), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884531 (
+	.A1(n_19742),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2497]),
+	.B1(n_19402),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2520]),
+	.Y(n_24015), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884532 (
+	.A1(n_19739),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2451]),
+	.B1(n_19693),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2474]),
+	.Y(n_24014), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884533 (
+	.A1(n_19680),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1764]),
+	.B1(n_19845),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1787]),
+	.Y(n_24013), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884534 (
+	.A1(n_19804),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2543]),
+	.B1(n_19805),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2566]),
+	.Y(n_24012), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884535 (
+	.A1(n_19735),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2405]),
+	.B1(n_19403),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2428]),
+	.Y(n_24011), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884536 (
+	.A1(n_19400),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2359]),
+	.B1(n_19802),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2382]),
+	.Y(n_24010), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884537 (
+	.A1(n_19679),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1979]),
+	.B1(n_13772),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2002]),
+	.Y(n_24009), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884538 (
+	.A1(n_19401),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2267]),
+	.B1(n_19736),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2290]),
+	.Y(n_24008), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884539 (
+	.A1(n_19829),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1672]),
+	.B1(n_19833),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1695]),
+	.Y(n_24007), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884540 (
+	.A1(n_19859),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [46]),
+	.B1(n_19681),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [69]),
+	.Y(n_24006), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884541 (
+	.A1(n_19395),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2313]),
+	.B1(n_19733),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2336]),
+	.Y(n_24005), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884542 (
+	.A1(n_19801),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2221]),
+	.B1(n_19732),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2244]),
+	.Y(n_24004), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884543 (
+	.A1(n_19865),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [803]),
+	.B1(n_19867),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [826]),
+	.Y(n_24003), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884544 (
+	.A1(n_19734),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1534]),
+	.B1(n_19740),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1557]),
+	.Y(n_24002), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884545 (
+	.A1(n_19700),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2911]),
+	.B1(n_19699),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2888]),
+	.Y(n_24001), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884546 (
+	.A1(n_19676),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [0]),
+	.B1(n_19855),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [23]),
+	.Y(n_24000), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884547 (
+	.A1(n_19722),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2773]),
+	.B1(n_13927),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2750]),
+	.Y(n_23999), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884548 (
+	.A1(n_19701),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2865]),
+	.B1(n_19702),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2842]),
+	.Y(n_23998), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884549 (
+	.A1(n_19808),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1580]),
+	.B1(n_19812),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1603]),
+	.Y(n_23997), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884550 (
+	.A1(n_19795),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2796]),
+	.B1(n_13629),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2819]),
+	.Y(n_23996), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884551 (
+	.A1(n_19792),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2727]),
+	.B1(n_13619),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2704]),
+	.Y(n_23995), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884552 (
+	.A1(n_19860),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [757]),
+	.B1(n_19861),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [780]),
+	.Y(n_23994), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884553 (
+	.A1(n_13909),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2589]),
+	.B1(n_19719),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2934]),
+	.Y(n_23993), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884554 (
+	.A1(n_19697),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1626]),
+	.B1(n_19759),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1649]),
+	.Y(n_23992), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884555 (
+	.A1(n_19793),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2681]),
+	.B1(n_13914),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2658]),
+	.Y(n_23991), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884556 (
+	.A1(n_13941),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2635]),
+	.B1(n_13907),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2612]),
+	.Y(n_23990), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884557 (
+	.A1(n_19799),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1488]),
+	.B1(n_13798),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1511]),
+	.Y(n_23989), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884558 (
+	.A1(n_13656),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [749]),
+	.B1(n_13582),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [795]),
+	.Y(n_23988), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884559 (
+	.A1(n_13909),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2577]),
+	.B1(n_19719),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2922]),
+	.Y(n_23987), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884560 (
+	.A1(n_19468),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1442]),
+	.B1(n_19794),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1465]),
+	.Y(n_23986), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884561 (
+	.A1(n_13592),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [841]),
+	.B1(n_19726),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [864]),
+	.Y(n_23985), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884562 (
+	.A1(n_19468),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1426]),
+	.B1(n_19794),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1449]),
+	.Y(n_23984), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884563 (
+	.A1(n_13656),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [757]),
+	.B1(n_13582),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [803]),
+	.Y(n_23983), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884564 (
+	.A1(n_19467),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1396]),
+	.B1(n_19892),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1419]),
+	.Y(n_23982), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884565 (
+	.A1(n_19793),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2670]),
+	.B1(n_13914),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2647]),
+	.Y(n_23981), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884566 (
+	.A1(n_19844),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2106]),
+	.B1(n_19847),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2152]),
+	.Y(n_23980), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884567 (
+	.A1(n_19842),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2060]),
+	.B1(n_19843),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2198]),
+	.Y(n_23979), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884568 (
+	.A1(n_19467),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1380]),
+	.B1(n_19892),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1403]),
+	.Y(n_23978), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884569 (
+	.A1(n_19887),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1350]),
+	.B1(n_19889),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1373]),
+	.Y(n_23977), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884570 (
+	.A1(n_19840),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1991]),
+	.B1(n_19841),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2014]),
+	.Y(n_23976), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884571 (
+	.A1(n_19789),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1945]),
+	.B1(n_19839),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1968]),
+	.Y(n_23975), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884572 (
+	.A1(n_13902),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [634]),
+	.B1(n_13611),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [680]),
+	.Y(n_23974), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884573 (
+	.A1(n_19469),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1304]),
+	.B1(n_19894),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1327]),
+	.Y(n_23973), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884574 (
+	.A1(n_13924),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [588]),
+	.B1(n_13626),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [726]),
+	.Y(n_23972), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884575 (
+	.A1(n_13604),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [519]),
+	.B1(n_13584),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [542]),
+	.Y(n_23971), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884576 (
+	.A1(n_13593),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [473]),
+	.B1(n_13922),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [496]),
+	.Y(n_23970), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884577 (
+	.A1(n_19770),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1906]),
+	.B1(n_19398),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1929]),
+	.Y(n_23969), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884578 (
+	.A1(n_19887),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1334]),
+	.B1(n_19889),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1357]),
+	.Y(n_23968), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884579 (
+	.A1(n_19834),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2129]),
+	.B1(n_19678),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2175]),
+	.Y(n_23967), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884580 (
+	.A1(n_19885),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1258]),
+	.B1(n_19886),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1281]),
+	.Y(n_23966), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884581 (
+	.A1(n_19832),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2037]),
+	.B1(n_19772),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2083]),
+	.Y(n_23965), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884582 (
+	.A1(n_19770),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1899]),
+	.B1(n_19831),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1876]),
+	.Y(n_23964), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884583 (
+	.A1(n_19684),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1853]),
+	.B1(n_19398),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1922]),
+	.Y(n_23963), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884584 (
+	.A1(n_13869),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2183]),
+	.B1(n_19396),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2206]),
+	.Y(n_23962), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884585 (
+	.A1(n_19800),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1212]),
+	.B1(n_19884),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1235]),
+	.Y(n_23961), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884586 (
+	.A1(n_13627),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [657]),
+	.B1(n_13631),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [703]),
+	.Y(n_23960), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884587 (
+	.A1(n_13648),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [565]),
+	.B1(n_13639),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [611]),
+	.Y(n_23959), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884588 (
+	.A1(n_19469),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1288]),
+	.B1(n_19894),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1311]),
+	.Y(n_23958), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884589 (
+	.A1(n_19763),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [427]),
+	.B1(n_19768),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [404]),
+	.Y(n_23957), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884590 (
+	.A1(n_19819),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1166]),
+	.B1(n_19882),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1189]),
+	.Y(n_23956), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884591 (
+	.A1(n_19686),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [381]),
+	.B1(n_13928),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [450]),
+	.Y(n_23955), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884592 (
+	.A1(n_19858),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1120]),
+	.B1(n_19881),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1143]),
+	.Y(n_23954), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884593 (
+	.A1(n_19816),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1761]),
+	.B1(n_19757),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1784]),
+	.Y(n_23953), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884594 (
+	.A1(n_19753),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1715]),
+	.B1(n_19754),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1738]),
+	.Y(n_23952), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884595 (
+	.A1(n_19691),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1807]),
+	.B1(n_19818),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1830]),
+	.Y(n_23951), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884596 (
+	.A1(n_19815),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1669]),
+	.B1(n_19755),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1692]),
+	.Y(n_23950), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884597 (
+	.A1(n_19854),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1933]),
+	.B1(n_19830),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1956]),
+	.Y(n_23949), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884598 (
+	.A1(n_19852),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [465]),
+	.B1(n_19820),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [488]),
+	.Y(n_23948), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884599 (
+	.A1(n_19885),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1242]),
+	.B1(n_19886),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1265]),
+	.Y(n_23947), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884600 (
+	.A1(n_19761),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [312]),
+	.B1(n_19714),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [358]),
+	.Y(n_23946), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884601 (
+	.A1(n_19874),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [982]),
+	.B1(n_19876),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1005]),
+	.Y(n_23945), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884602 (
+	.A1(n_19718),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [289]),
+	.B1(n_19787),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [335]),
+	.Y(n_23944), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884603 (
+	.A1(n_19462),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2137]),
+	.B1(n_19464),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2160]),
+	.Y(n_23943), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884604 (
+	.A1(n_19705),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [243]),
+	.B1(n_19788),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [266]),
+	.Y(n_23942), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884605 (
+	.A1(n_19713),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [197]),
+	.B1(n_19712),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [220]),
+	.Y(n_23941), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884606 (
+	.A1(n_19878),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1028]),
+	.B1(n_19879),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1051]),
+	.Y(n_23940), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884607 (
+	.A1(n_19696),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1623]),
+	.B1(n_19809),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1646]),
+	.Y(n_23939), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884608 (
+	.A1(n_19747),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1577]),
+	.B1(n_19746),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1600]),
+	.Y(n_23938), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884609 (
+	.A1(n_19800),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1196]),
+	.B1(n_19884),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1219]),
+	.Y(n_23937), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884610 (
+	.A1(n_19741),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1531]),
+	.B1(n_19745),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1554]),
+	.Y(n_23936), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884611 (
+	.A1(n_19893),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1074]),
+	.B1(n_19827),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1097]),
+	.Y(n_23935), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884612 (
+	.A1(n_19744),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1485]),
+	.B1(n_19806),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1508]),
+	.Y(n_23934), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884613 (
+	.A1(n_19669),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [936]),
+	.B1(n_19670),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [959]),
+	.Y(n_23933), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884614 (
+	.A1(n_19707),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [151]),
+	.B1(n_19694),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [128]),
+	.Y(n_23932), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884615 (
+	.A1(n_19667),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [105]),
+	.B1(n_19708),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [82]),
+	.Y(n_23931), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884616 (
+	.A1(n_19710),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [13]),
+	.B1(n_19666),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [59]),
+	.Y(n_23930), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884617 (
+	.A1(n_19819),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1150]),
+	.B1(n_19882),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1173]),
+	.Y(n_23929), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884618 (
+	.A1(n_19885),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1258]),
+	.B1(n_19886),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1281]),
+	.Y(n_23928), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884619 (
+	.A1(n_19866),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [890]),
+	.B1(n_19871),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [913]),
+	.Y(n_23927), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884620 (
+	.A1(n_13794),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2091]),
+	.B1(n_19397),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2114]),
+	.Y(n_23926), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884621 (
+	.A1(n_19865),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [798]),
+	.B1(n_19867),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [821]),
+	.Y(n_23925), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884622 (
+	.A1(n_19468),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1438]),
+	.B1(n_19794),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1461]),
+	.Y(n_23924), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884623 (
+	.A1(n_19467),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1392]),
+	.B1(n_19892),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1415]),
+	.Y(n_23923), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884624 (
+	.A1(n_19858),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1104]),
+	.B1(n_19881),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1127]),
+	.Y(n_23922), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884625 (
+	.A1(n_19887),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1346]),
+	.B1(n_19889),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1369]),
+	.Y(n_23921), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884626 (
+	.A1(n_19469),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1300]),
+	.B1(n_19894),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1323]),
+	.Y(n_23920), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884627 (
+	.A1(n_19671),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [511]),
+	.B1(n_19863),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [534]),
+	.Y(n_23919), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884628 (
+	.A1(n_19869),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [844]),
+	.B1(n_19864),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [867]),
+	.Y(n_23918), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884629 (
+	.A1(n_19449),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2586]),
+	.B1(n_19692),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2931]),
+	.Y(n_23917), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884630 (
+	.A1(n_19860),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [752]),
+	.B1(n_19861),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [775]),
+	.Y(n_23916), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884631 (
+	.A1(n_19885),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1254]),
+	.B1(n_19886),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1277]),
+	.Y(n_23915), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884632 (
+	.A1(n_19800),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1208]),
+	.B1(n_19884),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1231]),
+	.Y(n_23914), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884633 (
+	.A1(n_19819),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1162]),
+	.B1(n_19882),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1185]),
+	.Y(n_23913), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884634 (
+	.A1(n_19460),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2045]),
+	.B1(n_19848),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2068]),
+	.Y(n_23912), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884635 (
+	.A1(n_19858),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1116]),
+	.B1(n_19881),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1139]),
+	.Y(n_23911), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884636 (
+	.A1(n_19893),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1058]),
+	.B1(n_19827),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1081]),
+	.Y(n_23910), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884637 (
+	.A1(n_19885),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1251]),
+	.B1(n_19886),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1274]),
+	.Y(n_23909), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884638 (
+	.A1(n_19790),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2891]),
+	.B1(n_19721),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2914]),
+	.Y(n_23908), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884639 (
+	.A1(n_19893),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1070]),
+	.B1(n_19827),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1093]),
+	.Y(n_23907), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884640 (
+	.A1(n_19878),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1024]),
+	.B1(n_19879),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1047]),
+	.Y(n_23906), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884641 (
+	.A1(n_19455),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2776]),
+	.B1(n_19758),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2753]),
+	.Y(n_23905), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884642 (
+	.A1(n_19874),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [978]),
+	.B1(n_19876),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1001]),
+	.Y(n_23904), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884643 (
+	.A1(n_19669),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [932]),
+	.B1(n_19670),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [955]),
+	.Y(n_23903), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884644 (
+	.A1(n_19878),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1012]),
+	.B1(n_19879),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1035]),
+	.Y(n_23902), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884645 (
+	.A1(n_19817),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1887]),
+	.B1(n_19826),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1910]),
+	.Y(n_23901), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884646 (
+	.A1(n_19451),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2868]),
+	.B1(n_19689),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2845]),
+	.Y(n_23900), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884647 (
+	.A1(n_19865),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [794]),
+	.B1(n_19867),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [817]),
+	.Y(n_23899), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884648 (
+	.A1(n_19869),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [840]),
+	.B1(n_19864),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [863]),
+	.Y(n_23898), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884649 (
+	.A1(n_19453),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2799]),
+	.B1(n_19766),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2822]),
+	.Y(n_23897), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884650 (
+	.A1(n_19866),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [886]),
+	.B1(n_19871),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [909]),
+	.Y(n_23896), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884651 (
+	.A1(n_19860),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [748]),
+	.B1(n_19861),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [771]),
+	.Y(n_23895), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884652 (
+	.A1(n_19874),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [966]),
+	.B1(n_19876),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [989]),
+	.Y(n_23894), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884653 (
+	.A1(n_19452),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2707]),
+	.B1(n_19743),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2730]),
+	.Y(n_23893), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884654 (
+	.A1(n_13794),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2082]),
+	.B1(n_19397),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2105]),
+	.Y(n_23892), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884655 (
+	.A1(n_19462),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2128]),
+	.B1(n_19464),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2151]),
+	.Y(n_23891), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884656 (
+	.A1(n_19679),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1999]),
+	.B1(n_13772),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2022]),
+	.Y(n_23890), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884657 (
+	.A1(n_19669),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [920]),
+	.B1(n_19670),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [943]),
+	.Y(n_23889), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884658 (
+	.A1(n_19449),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2592]),
+	.B1(n_19692),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2937]),
+	.Y(n_23888), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884659 (
+	.A1(n_13869),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2174]),
+	.B1(n_19396),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2197]),
+	.Y(n_23887), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884660 (
+	.A1(n_19893),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1065]),
+	.B1(n_19827),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1088]),
+	.Y(n_23886), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884661 (
+	.A1(n_19460),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2036]),
+	.B1(n_19848),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2059]),
+	.Y(n_23885), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884662 (
+	.A1(n_19770),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1889]),
+	.B1(n_19398),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1912]),
+	.Y(n_23884), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884663 (
+	.A1(n_19454),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2684]),
+	.B1(n_19796),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2661]),
+	.Y(n_23883), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884664 (
+	.A1(n_19679),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1990]),
+	.B1(n_13772),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2013]),
+	.Y(n_23882), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884665 (
+	.A1(n_19456),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2615]),
+	.B1(n_13845),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2638]),
+	.Y(n_23881), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884666 (
+	.A1(n_19854),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1944]),
+	.B1(n_19830),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1967]),
+	.Y(n_23880), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884667 (
+	.A1(n_19854),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1953]),
+	.B1(n_19830),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1976]),
+	.Y(n_23879), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884668 (
+	.A1(n_19817),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1898]),
+	.B1(n_19826),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1921]),
+	.Y(n_23878), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884669 (
+	.A1(n_13474),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1852]),
+	.B1(n_19810),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1875]),
+	.Y(n_23877), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884670 (
+	.A1(n_19866),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [874]),
+	.B1(n_19871),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [897]),
+	.Y(n_23876), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884671 (
+	.A1(n_19448),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2454]),
+	.B1(n_19709),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2477]),
+	.Y(n_23875), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884672 (
+	.A1(n_19846),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1806]),
+	.B1(n_19803),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1829]),
+	.Y(n_23874), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884673 (
+	.A1(n_19703),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1714]),
+	.B1(n_19838),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1737]),
+	.Y(n_23873), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884674 (
+	.A1(n_19869),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [828]),
+	.B1(n_19864),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [851]),
+	.Y(n_23872), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884675 (
+	.A1(n_19457),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2500]),
+	.B1(n_19856),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2523]),
+	.Y(n_23871), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884676 (
+	.A1(n_19680),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1760]),
+	.B1(n_19845),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1783]),
+	.Y(n_23870), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884677 (
+	.A1(n_19829),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1668]),
+	.B1(n_19833),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1691]),
+	.Y(n_23869), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884678 (
+	.A1(n_19458),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2546]),
+	.B1(n_19807),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2569]),
+	.Y(n_23868), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884679 (
+	.A1(n_19734),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1530]),
+	.B1(n_19740),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1553]),
+	.Y(n_23867), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884680 (
+	.A1(n_19446),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2408]),
+	.B1(n_19711),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2431]),
+	.Y(n_23866), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884681 (
+	.A1(n_19808),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1576]),
+	.B1(n_19812),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1599]),
+	.Y(n_23865), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884682 (
+	.A1(n_13474),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1841]),
+	.B1(n_19810),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1864]),
+	.Y(n_23864), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884683 (
+	.A1(n_19697),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1622]),
+	.B1(n_19759),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1645]),
+	.Y(n_23863), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884684 (
+	.A1(n_19865),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [782]),
+	.B1(n_19867),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [805]),
+	.Y(n_23862), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884685 (
+	.A1(n_19799),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1484]),
+	.B1(n_13798),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1507]),
+	.Y(n_23861), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884686 (
+	.A1(n_19817),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1907]),
+	.B1(n_19826),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1930]),
+	.Y(n_23860), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884687 (
+	.A1(n_19875),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2270]),
+	.B1(n_19880),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2293]),
+	.Y(n_23859), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884688 (
+	.A1(n_19442),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2316]),
+	.B1(n_19672),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2339]),
+	.Y(n_23858), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884689 (
+	.A1(n_19790),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2887]),
+	.B1(n_19721),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2910]),
+	.Y(n_23857), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884690 (
+	.A1(n_19455),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2772]),
+	.B1(n_19758),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2749]),
+	.Y(n_23856), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884691 (
+	.A1(n_19860),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [736]),
+	.B1(n_19861),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [759]),
+	.Y(n_23855), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884692 (
+	.A1(n_19451),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2864]),
+	.B1(n_19689),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2841]),
+	.Y(n_23854), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884693 (
+	.A1(n_19445),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2362]),
+	.B1(n_19870),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2385]),
+	.Y(n_23853), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884694 (
+	.A1(n_19453),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2795]),
+	.B1(n_19766),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2818]),
+	.Y(n_23852), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884695 (
+	.A1(n_19878),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1029]),
+	.B1(n_19879),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1052]),
+	.Y(n_23851), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884696 (
+	.A1(n_13474),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1861]),
+	.B1(n_19810),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1884]),
+	.Y(n_23850), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884697 (
+	.A1(n_19452),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2703]),
+	.B1(n_19743),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2726]),
+	.Y(n_23849), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884698 (
+	.A1(n_19466),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2224]),
+	.B1(n_19883),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2247]),
+	.Y(n_23848), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884699 (
+	.A1(n_19454),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2680]),
+	.B1(n_19796),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2657]),
+	.Y(n_23847), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884700 (
+	.A1(n_19449),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2588]),
+	.B1(n_19692),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2933]),
+	.Y(n_23846), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884701 (
+	.A1(n_19456),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2611]),
+	.B1(n_13845),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2634]),
+	.Y(n_23845), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884702 (
+	.A1(n_19891),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [690]),
+	.B1(n_19888),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [713]),
+	.Y(n_23844), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884703 (
+	.A1(n_19891),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [706]),
+	.B1(n_19888),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [729]),
+	.Y(n_23843), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884704 (
+	.A1(n_19448),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2450]),
+	.B1(n_19709),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2473]),
+	.Y(n_23842), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884705 (
+	.A1(n_19457),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2496]),
+	.B1(n_19856),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2519]),
+	.Y(n_23841), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884706 (
+	.A1(n_19895),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [660]),
+	.B1(n_19730),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [683]),
+	.Y(n_23840), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884707 (
+	.A1(n_19458),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2542]),
+	.B1(n_19807),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2565]),
+	.Y(n_23839), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884708 (
+	.A1(n_19446),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2404]),
+	.B1(n_19711),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2427]),
+	.Y(n_23838), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884709 (
+	.A1(n_19452),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2691]),
+	.B1(n_19743),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2714]),
+	.Y(n_23837), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884710 (
+	.A1(n_19895),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [644]),
+	.B1(n_19730),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [667]),
+	.Y(n_23836), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884711 (
+	.A1(n_19851),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [614]),
+	.B1(n_19872),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [637]),
+	.Y(n_23835), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884712 (
+	.A1(n_19445),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2358]),
+	.B1(n_19870),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2381]),
+	.Y(n_23834), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884713 (
+	.A1(n_19738),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [568]),
+	.B1(n_19737),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [591]),
+	.Y(n_23833), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884714 (
+	.A1(n_19875),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2266]),
+	.B1(n_19880),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2289]),
+	.Y(n_23832), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884715 (
+	.A1(n_19442),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2312]),
+	.B1(n_19672),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2335]),
+	.Y(n_23831), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884716 (
+	.A1(n_19466),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2220]),
+	.B1(n_19883),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2243]),
+	.Y(n_23830), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884717 (
+	.A1(n_19851),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [598]),
+	.B1(n_19872),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [621]),
+	.Y(n_23829), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884718 (
+	.A1(n_19671),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [522]),
+	.B1(n_19863),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [545]),
+	.Y(n_23828), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884719 (
+	.A1(n_19851),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [610]),
+	.B1(n_19872),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [633]),
+	.Y(n_23827), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884720 (
+	.A1(n_19852),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [476]),
+	.B1(n_19820),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [499]),
+	.Y(n_23826), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884721 (
+	.A1(n_19895),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [656]),
+	.B1(n_19730),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [679]),
+	.Y(n_23825), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884722 (
+	.A1(n_19846),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1815]),
+	.B1(n_19803),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1838]),
+	.Y(n_23824), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884723 (
+	.A1(n_19738),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [552]),
+	.B1(n_19737),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [575]),
+	.Y(n_23823), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884724 (
+	.A1(n_19891),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [702]),
+	.B1(n_19888),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [725]),
+	.Y(n_23822), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884725 (
+	.A1(n_19738),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [564]),
+	.B1(n_19737),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [587]),
+	.Y(n_23821), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884726 (
+	.A1(n_19756),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [430]),
+	.B1(n_19769),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [453]),
+	.Y(n_23820), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884727 (
+	.A1(n_19756),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [426]),
+	.B1(n_19769),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [449]),
+	.Y(n_23819), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884728 (
+	.A1(n_19690),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [384]),
+	.B1(n_19890),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [407]),
+	.Y(n_23818), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884729 (
+	.A1(n_19852),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [472]),
+	.B1(n_19820),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [495]),
+	.Y(n_23817), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884730 (
+	.A1(n_19671),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [518]),
+	.B1(n_19863),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [541]),
+	.Y(n_23816), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884731 (
+	.A1(n_19690),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [380]),
+	.B1(n_19890),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [403]),
+	.Y(n_23815), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884732 (
+	.A1(n_19671),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [506]),
+	.B1(n_19863),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [529]),
+	.Y(n_23814), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884733 (
+	.A1(n_19680),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1769]),
+	.B1(n_19845),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1792]),
+	.Y(n_23813), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884734 (
+	.A1(n_19853),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [246]),
+	.B1(n_19868),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [269]),
+	.Y(n_23812), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884735 (
+	.A1(n_19853),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [242]),
+	.B1(n_19868),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [265]),
+	.Y(n_23811), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884736 (
+	.A1(n_19716),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [292]),
+	.B1(n_19687),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [315]),
+	.Y(n_23810), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884737 (
+	.A1(n_19716),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [288]),
+	.B1(n_19687),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [311]),
+	.Y(n_23809), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884738 (
+	.A1(n_19852),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [460]),
+	.B1(n_19820),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [483]),
+	.Y(n_23808), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884739 (
+	.A1(n_19828),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [334]),
+	.B1(n_19877),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [357]),
+	.Y(n_23807), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884740 (
+	.A1(n_19674),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [196]),
+	.B1(n_19675),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [219]),
+	.Y(n_23806), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884741 (
+	.A1(n_19828),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [338]),
+	.B1(n_19877),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [361]),
+	.Y(n_23805), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884742 (
+	.A1(n_19846),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1795]),
+	.B1(n_19803),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1818]),
+	.Y(n_23804), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884743 (
+	.A1(n_19859),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [58]),
+	.B1(n_19681),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [81]),
+	.Y(n_23803), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884744 (
+	.A1(n_19682),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [104]),
+	.B1(n_19862),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [127]),
+	.Y(n_23802), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884745 (
+	.A1(n_19674),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [200]),
+	.B1(n_19675),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [223]),
+	.Y(n_23801), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884746 (
+	.A1(n_19668),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [150]),
+	.B1(n_19873),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [173]),
+	.Y(n_23800), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884747 (
+	.A1(n_19703),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1723]),
+	.B1(n_19838),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1746]),
+	.Y(n_23799), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884748 (
+	.A1(n_19676),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [12]),
+	.B1(n_19855),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [35]),
+	.Y(n_23798), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884749 (
+	.A1(n_19756),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [414]),
+	.B1(n_19769),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [437]),
+	.Y(n_23797), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884750 (
+	.A1(n_19680),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1751]),
+	.B1(n_19845),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1774]),
+	.Y(n_23796), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884751 (
+	.A1(n_19668),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [154]),
+	.B1(n_19873),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [177]),
+	.Y(n_23795), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884752 (
+	.A1(n_19682),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [108]),
+	.B1(n_19862),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [131]),
+	.Y(n_23794), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884753 (
+	.A1(n_13794),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2082]),
+	.B1(n_19397),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2105]),
+	.Y(n_23793), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884754 (
+	.A1(n_19462),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2128]),
+	.B1(n_19464),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2151]),
+	.Y(n_23792), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884755 (
+	.A1(n_19690),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [368]),
+	.B1(n_19890),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [391]),
+	.Y(n_23791), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884756 (
+	.A1(n_13869),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2174]),
+	.B1(n_19396),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2197]),
+	.Y(n_23790), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884757 (
+	.A1(n_19460),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2036]),
+	.B1(n_19848),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2059]),
+	.Y(n_23789), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884758 (
+	.A1(n_19859),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [62]),
+	.B1(n_19681),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [85]),
+	.Y(n_23788), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884759 (
+	.A1(n_19676),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [16]),
+	.B1(n_19855),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [39]),
+	.Y(n_23787), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884760 (
+	.A1(n_19817),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1898]),
+	.B1(n_19826),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1921]),
+	.Y(n_23786), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884761 (
+	.A1(n_19854),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1944]),
+	.B1(n_19830),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1967]),
+	.Y(n_23785), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884762 (
+	.A1(n_19829),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1677]),
+	.B1(n_19833),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1700]),
+	.Y(n_23784), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884763 (
+	.A1(n_19679),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1990]),
+	.B1(n_13772),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2013]),
+	.Y(n_23783), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884764 (
+	.A1(n_13474),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1852]),
+	.B1(n_19810),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1875]),
+	.Y(n_23782), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884765 (
+	.A1(n_19846),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1794]),
+	.B1(n_19803),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1817]),
+	.Y(n_23781), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884766 (
+	.A1(n_19885),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1253]),
+	.B1(n_19886),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1276]),
+	.Y(n_23780), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884767 (
+	.A1(n_13794),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2070]),
+	.B1(n_19397),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2093]),
+	.Y(n_23779), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884768 (
+	.A1(n_19680),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1748]),
+	.B1(n_19845),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1771]),
+	.Y(n_23778), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884769 (
+	.A1(n_19703),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1714]),
+	.B1(n_19838),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1737]),
+	.Y(n_23777), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884770 (
+	.A1(n_19844),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2109]),
+	.B1(n_19847),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2155]),
+	.Y(n_23776), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884771 (
+	.A1(n_19680),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1760]),
+	.B1(n_19845),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1783]),
+	.Y(n_23775), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884772 (
+	.A1(n_19846),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1806]),
+	.B1(n_19803),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1829]),
+	.Y(n_23774), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884773 (
+	.A1(n_19801),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2229]),
+	.B1(n_19732),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2252]),
+	.Y(n_23773), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884774 (
+	.A1(n_19829),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1668]),
+	.B1(n_19833),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1691]),
+	.Y(n_23772), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884775 (
+	.A1(n_19734),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1530]),
+	.B1(n_19740),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1553]),
+	.Y(n_23771), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884776 (
+	.A1(n_19808),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1576]),
+	.B1(n_19812),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1599]),
+	.Y(n_23770), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884777 (
+	.A1(n_19680),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1749]),
+	.B1(n_19845),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1772]),
+	.Y(n_23769), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884778 (
+	.A1(n_19697),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1622]),
+	.B1(n_19759),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1645]),
+	.Y(n_23768), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884779 (
+	.A1(n_19703),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1702]),
+	.B1(n_19838),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1725]),
+	.Y(n_23767), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884780 (
+	.A1(n_19799),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1484]),
+	.B1(n_13798),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1507]),
+	.Y(n_23766), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884781 (
+	.A1(n_19697),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1631]),
+	.B1(n_19759),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1654]),
+	.Y(n_23765), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884782 (
+	.A1(n_19829),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1656]),
+	.B1(n_19833),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1679]),
+	.Y(n_23764), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884783 (
+	.A1(n_19468),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1438]),
+	.B1(n_19794),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1461]),
+	.Y(n_23763), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884784 (
+	.A1(n_19467),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1392]),
+	.B1(n_19892),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1415]),
+	.Y(n_23762), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884785 (
+	.A1(n_19887),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1346]),
+	.B1(n_19889),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1369]),
+	.Y(n_23761), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884786 (
+	.A1(n_19395),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2321]),
+	.B1(n_19733),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2344]),
+	.Y(n_23760), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884787 (
+	.A1(n_19808),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1585]),
+	.B1(n_19812),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1608]),
+	.Y(n_23759), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884788 (
+	.A1(n_19469),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1300]),
+	.B1(n_19894),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1323]),
+	.Y(n_23758), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884789 (
+	.A1(n_19885),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1254]),
+	.B1(n_19886),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1277]),
+	.Y(n_23757), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884790 (
+	.A1(n_19800),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1208]),
+	.B1(n_19884),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1231]),
+	.Y(n_23756), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884791 (
+	.A1(n_19697),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1610]),
+	.B1(n_19759),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1633]),
+	.Y(n_23755), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884792 (
+	.A1(n_19819),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1162]),
+	.B1(n_19882),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1185]),
+	.Y(n_23754), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884793 (
+	.A1(n_19858),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1116]),
+	.B1(n_19881),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1139]),
+	.Y(n_23753), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884794 (
+	.A1(n_19893),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1070]),
+	.B1(n_19827),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1093]),
+	.Y(n_23752), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884795 (
+	.A1(n_19878),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1024]),
+	.B1(n_19879),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1047]),
+	.Y(n_23751), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884796 (
+	.A1(n_19808),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1564]),
+	.B1(n_19812),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1587]),
+	.Y(n_23750), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884797 (
+	.A1(n_19874),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [978]),
+	.B1(n_19876),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1001]),
+	.Y(n_23749), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884798 (
+	.A1(n_19669),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [932]),
+	.B1(n_19670),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [955]),
+	.Y(n_23748), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884799 (
+	.A1(n_19866),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [886]),
+	.B1(n_19871),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [909]),
+	.Y(n_23747), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884800 (
+	.A1(n_19869),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [840]),
+	.B1(n_19864),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [863]),
+	.Y(n_23746), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884801 (
+	.A1(n_19734),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1518]),
+	.B1(n_19740),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1541]),
+	.Y(n_23745), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884802 (
+	.A1(n_19865),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [794]),
+	.B1(n_19867),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [817]),
+	.Y(n_23744), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884803 (
+	.A1(n_19860),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [748]),
+	.B1(n_19861),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [771]),
+	.Y(n_23743), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884804 (
+	.A1(n_19832),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2027]),
+	.B1(n_19772),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2073]),
+	.Y(n_23742), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884805 (
+	.A1(n_19722),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2764]),
+	.B1(n_13927),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2741]),
+	.Y(n_23741), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884806 (
+	.A1(n_19734),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1539]),
+	.B1(n_19740),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1562]),
+	.Y(n_23740), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884807 (
+	.A1(n_19799),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1472]),
+	.B1(n_13798),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1495]),
+	.Y(n_23739), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884808 (
+	.A1(n_19790),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2887]),
+	.B1(n_19721),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2910]),
+	.Y(n_23738), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884809 (
+	.A1(n_19455),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2772]),
+	.B1(n_19758),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2749]),
+	.Y(n_23737), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884810 (
+	.A1(n_19842),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2063]),
+	.B1(n_19843),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2201]),
+	.Y(n_23736), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884811 (
+	.A1(n_19451),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2864]),
+	.B1(n_19689),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2841]),
+	.Y(n_23735), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884812 (
+	.A1(n_19453),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2795]),
+	.B1(n_19766),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2818]),
+	.Y(n_23734), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884813 (
+	.A1(n_19799),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1493]),
+	.B1(n_13798),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1516]),
+	.Y(n_23733), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884814 (
+	.A1(n_19452),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2703]),
+	.B1(n_19743),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2726]),
+	.Y(n_23732), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884815 (
+	.A1(n_19449),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2588]),
+	.B1(n_19692),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2933]),
+	.Y(n_23731), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884816 (
+	.A1(n_19454),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2680]),
+	.B1(n_19796),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2657]),
+	.Y(n_23730), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884817 (
+	.A1(n_19703),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1703]),
+	.B1(n_19838),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1726]),
+	.Y(n_23729), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884818 (
+	.A1(n_19840),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1994]),
+	.B1(n_19841),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2017]),
+	.Y(n_23728), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884819 (
+	.A1(n_19456),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2611]),
+	.B1(n_13845),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2634]),
+	.Y(n_23727), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884820 (
+	.A1(n_19448),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2450]),
+	.B1(n_19709),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2473]),
+	.Y(n_23726), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884821 (
+	.A1(n_19457),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2496]),
+	.B1(n_19856),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2519]),
+	.Y(n_23725), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884822 (
+	.A1(n_19789),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1948]),
+	.B1(n_19839),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1971]),
+	.Y(n_23724), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884823 (
+	.A1(n_19458),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2542]),
+	.B1(n_19807),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2565]),
+	.Y(n_23723), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884824 (
+	.A1(n_19790),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2876]),
+	.B1(n_19721),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2899]),
+	.Y(n_23722), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884825 (
+	.A1(n_19446),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2404]),
+	.B1(n_19711),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2427]),
+	.Y(n_23721), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884826 (
+	.A1(n_19445),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2358]),
+	.B1(n_19870),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2381]),
+	.Y(n_23720), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884827 (
+	.A1(n_19875),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2266]),
+	.B1(n_19880),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2289]),
+	.Y(n_23719), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884828 (
+	.A1(n_19451),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2853]),
+	.B1(n_19689),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2830]),
+	.Y(n_23718), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884829 (
+	.A1(n_13924),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [591]),
+	.B1(n_13626),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [729]),
+	.Y(n_23717), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884830 (
+	.A1(n_19442),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2312]),
+	.B1(n_19672),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2335]),
+	.Y(n_23716), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884831 (
+	.A1(n_19466),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2220]),
+	.B1(n_19883),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2243]),
+	.Y(n_23715), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884832 (
+	.A1(n_13604),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [522]),
+	.B1(n_13584),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [545]),
+	.Y(n_23714), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884833 (
+	.A1(n_19891),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [702]),
+	.B1(n_19888),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [725]),
+	.Y(n_23713), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884834 (
+	.A1(n_19895),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [656]),
+	.B1(n_19730),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [679]),
+	.Y(n_23712), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884835 (
+	.A1(n_19453),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2784]),
+	.B1(n_19766),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2807]),
+	.Y(n_23711), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884836 (
+	.A1(n_19851),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [610]),
+	.B1(n_19872),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [633]),
+	.Y(n_23710), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884837 (
+	.A1(n_19790),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2896]),
+	.B1(n_19721),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2919]),
+	.Y(n_23709), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884838 (
+	.A1(n_19445),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2351]),
+	.B1(n_19870),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2374]),
+	.Y(n_23708), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884839 (
+	.A1(n_13902),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [637]),
+	.B1(n_13611),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [683]),
+	.Y(n_23707), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884840 (
+	.A1(n_19738),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [564]),
+	.B1(n_19737),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [587]),
+	.Y(n_23706), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884841 (
+	.A1(n_19756),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [426]),
+	.B1(n_19769),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [449]),
+	.Y(n_23705), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884842 (
+	.A1(n_13593),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [476]),
+	.B1(n_13922),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [499]),
+	.Y(n_23704), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884843 (
+	.A1(n_19852),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [472]),
+	.B1(n_19820),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [495]),
+	.Y(n_23703), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884844 (
+	.A1(n_19671),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [518]),
+	.B1(n_19863),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [541]),
+	.Y(n_23702), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884845 (
+	.A1(n_13794),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2081]),
+	.B1(n_19397),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2104]),
+	.Y(n_23701), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884846 (
+	.A1(n_19690),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [380]),
+	.B1(n_19890),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [403]),
+	.Y(n_23700), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884847 (
+	.A1(n_19455),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2761]),
+	.B1(n_19758),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2738]),
+	.Y(n_23699), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884848 (
+	.A1(n_19853),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [242]),
+	.B1(n_19868),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [265]),
+	.Y(n_23698), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884849 (
+	.A1(n_19829),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1657]),
+	.B1(n_19833),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1680]),
+	.Y(n_23697), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884850 (
+	.A1(n_19834),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2132]),
+	.B1(n_19678),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2178]),
+	.Y(n_23696), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884851 (
+	.A1(n_19451),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2873]),
+	.B1(n_19689),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2850]),
+	.Y(n_23695), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884852 (
+	.A1(n_19716),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [288]),
+	.B1(n_19687),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [311]),
+	.Y(n_23694), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884853 (
+	.A1(n_19452),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2692]),
+	.B1(n_19743),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2715]),
+	.Y(n_23693), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884854 (
+	.A1(n_19828),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [334]),
+	.B1(n_19877),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [357]),
+	.Y(n_23692), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884855 (
+	.A1(n_19674),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [196]),
+	.B1(n_19675),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [219]),
+	.Y(n_23691), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884856 (
+	.A1(n_19454),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2669]),
+	.B1(n_19796),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2646]),
+	.Y(n_23690), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884857 (
+	.A1(n_19832),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2040]),
+	.B1(n_19772),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2086]),
+	.Y(n_23689), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884858 (
+	.A1(n_19859),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [58]),
+	.B1(n_19681),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [81]),
+	.Y(n_23688), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884859 (
+	.A1(n_19682),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [104]),
+	.B1(n_19862),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [127]),
+	.Y(n_23687), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884860 (
+	.A1(n_19668),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [150]),
+	.B1(n_19873),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [173]),
+	.Y(n_23686), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884861 (
+	.A1(n_19676),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [12]),
+	.B1(n_19855),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [35]),
+	.Y(n_23685), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884862 (
+	.A1(n_19770),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1902]),
+	.B1(n_19831),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1879]),
+	.Y(n_23684), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884863 (
+	.A1(n_19400),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2350]),
+	.B1(n_19802),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2373]),
+	.Y(n_23683), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884864 (
+	.A1(n_19842),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2049]),
+	.B1(n_19844),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2095]),
+	.Y(n_23682), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884865 (
+	.A1(n_19682),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [97]),
+	.B1(n_19681),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [74]),
+	.Y(n_23681), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884866 (
+	.A1(n_19453),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2804]),
+	.B1(n_19766),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2827]),
+	.Y(n_23680), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884867 (
+	.A1(n_19847),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2151]),
+	.B1(n_19843),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2197]),
+	.Y(n_23679), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884868 (
+	.A1(n_19449),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2577]),
+	.B1(n_19692),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2922]),
+	.Y(n_23678), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884869 (
+	.A1(n_19842),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2059]),
+	.B1(n_19844),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2105]),
+	.Y(n_23677), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884870 (
+	.A1(n_19684),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1856]),
+	.B1(n_19398),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1925]),
+	.Y(n_23676), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884871 (
+	.A1(n_19839),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1967]),
+	.B1(n_19841),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2013]),
+	.Y(n_23675), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884872 (
+	.A1(n_19789),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1944]),
+	.B1(n_19840),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1990]),
+	.Y(n_23674), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884873 (
+	.A1(n_13611),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [679]),
+	.B1(n_13626),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [725]),
+	.Y(n_23673), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884874 (
+	.A1(n_13924),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [587]),
+	.B1(n_13902),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [633]),
+	.Y(n_23672), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884875 (
+	.A1(n_13604),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [518]),
+	.B1(n_13922),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [495]),
+	.Y(n_23671), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884876 (
+	.A1(n_13593),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [472]),
+	.B1(n_13584),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [541]),
+	.Y(n_23670), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884877 (
+	.A1(n_19456),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2600]),
+	.B1(n_13845),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2623]),
+	.Y(n_23669), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884878 (
+	.A1(n_13627),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [660]),
+	.B1(n_13631),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [706]),
+	.Y(n_23668), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884879 (
+	.A1(n_19834),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2128]),
+	.B1(n_19678),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2174]),
+	.Y(n_23667), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884880 (
+	.A1(n_19832),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2036]),
+	.B1(n_19772),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2082]),
+	.Y(n_23666), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884881 (
+	.A1(n_19770),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1898]),
+	.B1(n_19398),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1921]),
+	.Y(n_23665), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884882 (
+	.A1(n_19684),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1852]),
+	.B1(n_19831),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1875]),
+	.Y(n_23664), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884883 (
+	.A1(n_19455),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2781]),
+	.B1(n_19758),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2758]),
+	.Y(n_23663), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884884 (
+	.A1(n_13648),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [568]),
+	.B1(n_13639),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [614]),
+	.Y(n_23662), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884885 (
+	.A1(n_13627),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [656]),
+	.B1(n_13631),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [702]),
+	.Y(n_23661), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884886 (
+	.A1(n_13648),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [564]),
+	.B1(n_13639),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [610]),
+	.Y(n_23660), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884887 (
+	.A1(n_19763),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [426]),
+	.B1(n_13928),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [449]),
+	.Y(n_23659), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884888 (
+	.A1(n_19686),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [380]),
+	.B1(n_19768),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [403]),
+	.Y(n_23658), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884889 (
+	.A1(n_19735),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2413]),
+	.B1(n_19403),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2436]),
+	.Y(n_23657), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884890 (
+	.A1(n_19458),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2531]),
+	.B1(n_19807),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2554]),
+	.Y(n_23656), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884891 (
+	.A1(n_19457),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2485]),
+	.B1(n_19856),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2508]),
+	.Y(n_23655), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884892 (
+	.A1(n_19763),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [430]),
+	.B1(n_19768),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [407]),
+	.Y(n_23654), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884893 (
+	.A1(n_19760),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1392]),
+	.B1(n_19825),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1415]),
+	.Y(n_23653), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884894 (
+	.A1(n_19686),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [384]),
+	.B1(n_13928),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [453]),
+	.Y(n_23652), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884895 (
+	.A1(n_19691),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1806]),
+	.B1(n_19818),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1829]),
+	.Y(n_23651), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884896 (
+	.A1(n_19816),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1760]),
+	.B1(n_19757),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1783]),
+	.Y(n_23650), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884897 (
+	.A1(n_19753),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1714]),
+	.B1(n_19754),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1737]),
+	.Y(n_23649), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884898 (
+	.A1(n_19815),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1668]),
+	.B1(n_19755),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1691]),
+	.Y(n_23648), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884899 (
+	.A1(n_19401),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2257]),
+	.B1(n_19736),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2280]),
+	.Y(n_23647), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884900 (
+	.A1(n_19400),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2348]),
+	.B1(n_19802),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2371]),
+	.Y(n_23646), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884901 (
+	.A1(n_19452),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2712]),
+	.B1(n_19743),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2735]),
+	.Y(n_23645), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884902 (
+	.A1(n_19750),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1208]),
+	.B1(n_19814),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1231]),
+	.Y(n_23644), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884903 (
+	.A1(n_19697),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1611]),
+	.B1(n_19759),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1634]),
+	.Y(n_23643), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884904 (
+	.A1(n_19813),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1162]),
+	.B1(n_19748),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1185]),
+	.Y(n_23642), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884905 (
+	.A1(n_19448),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2439]),
+	.B1(n_19709),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2462]),
+	.Y(n_23641), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884906 (
+	.A1(n_19752),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1300]),
+	.B1(n_19751),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1254]),
+	.Y(n_23640), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884907 (
+	.A1(n_19696),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1622]),
+	.B1(n_19809),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1645]),
+	.Y(n_23639), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884908 (
+	.A1(n_19446),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2393]),
+	.B1(n_19711),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2416]),
+	.Y(n_23638), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884909 (
+	.A1(n_19747),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1576]),
+	.B1(n_19746),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1599]),
+	.Y(n_23637), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884910 (
+	.A1(n_19741),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1530]),
+	.B1(n_19745),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1553]),
+	.Y(n_23636), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884911 (
+	.A1(n_19744),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1484]),
+	.B1(n_19806),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1507]),
+	.Y(n_23635), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884912 (
+	.A1(n_13592),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [831]),
+	.B1(n_19726),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [854]),
+	.Y(n_23634), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884913 (
+	.A1(n_19395),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2302]),
+	.B1(n_19733),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2325]),
+	.Y(n_23633), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884914 (
+	.A1(n_19454),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2689]),
+	.B1(n_19796),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2666]),
+	.Y(n_23632), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884915 (
+	.A1(n_19804),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2542]),
+	.B1(n_19805),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2565]),
+	.Y(n_23631), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884916 (
+	.A1(n_19742),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2496]),
+	.B1(n_19402),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2519]),
+	.Y(n_23630), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884917 (
+	.A1(n_19823),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1350]),
+	.B1(n_19824),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1373]),
+	.Y(n_23629), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884918 (
+	.A1(n_19735),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2404]),
+	.B1(n_19403),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2427]),
+	.Y(n_23628), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884919 (
+	.A1(n_19739),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2450]),
+	.B1(n_19693),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2473]),
+	.Y(n_23627), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884920 (
+	.A1(n_19400),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2358]),
+	.B1(n_19802),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2381]),
+	.Y(n_23626), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884921 (
+	.A1(n_19395),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2312]),
+	.B1(n_19733),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2335]),
+	.Y(n_23625), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884922 (
+	.A1(n_19445),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2347]),
+	.B1(n_19870),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2370]),
+	.Y(n_23624), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884923 (
+	.A1(n_19401),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2266]),
+	.B1(n_19736),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2289]),
+	.Y(n_23623), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884924 (
+	.A1(n_19801),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2220]),
+	.B1(n_19732),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2243]),
+	.Y(n_23622), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884925 (
+	.A1(n_19400),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2367]),
+	.B1(n_19802),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2390]),
+	.Y(n_23621), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884926 (
+	.A1(n_13582),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [794]),
+	.B1(n_19729),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [817]),
+	.Y(n_23620), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884927 (
+	.A1(n_19697),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1615]),
+	.B1(n_19759),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1638]),
+	.Y(n_23619), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884928 (
+	.A1(n_19442),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2301]),
+	.B1(n_19672),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2324]),
+	.Y(n_23618), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884929 (
+	.A1(n_19691),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1810]),
+	.B1(n_19818),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1833]),
+	.Y(n_23617), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884930 (
+	.A1(n_13660),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [886]),
+	.B1(n_13659),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1001]),
+	.Y(n_23616), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884931 (
+	.A1(n_19449),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2597]),
+	.B1(n_19692),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2942]),
+	.Y(n_23615), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884932 (
+	.A1(n_19875),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2255]),
+	.B1(n_19880),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2278]),
+	.Y(n_23614), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884933 (
+	.A1(n_19700),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2910]),
+	.B1(n_19699),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2887]),
+	.Y(n_23613), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884934 (
+	.A1(n_19722),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2772]),
+	.B1(n_13927),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2749]),
+	.Y(n_23612), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884935 (
+	.A1(n_19816),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1764]),
+	.B1(n_19757),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1787]),
+	.Y(n_23611), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884936 (
+	.A1(n_19701),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2864]),
+	.B1(n_19702),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2841]),
+	.Y(n_23610), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884937 (
+	.A1(n_19795),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2795]),
+	.B1(n_13629),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2818]),
+	.Y(n_23609), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884938 (
+	.A1(n_19792),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2726]),
+	.B1(n_13619),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2703]),
+	.Y(n_23608), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884939 (
+	.A1(n_19705),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [246]),
+	.B1(n_19788),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [269]),
+	.Y(n_23607), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884940 (
+	.A1(n_19753),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1718]),
+	.B1(n_19754),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1741]),
+	.Y(n_23606), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884941 (
+	.A1(n_19793),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2680]),
+	.B1(n_13914),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2657]),
+	.Y(n_23605), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884942 (
+	.A1(n_19466),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2209]),
+	.B1(n_19883),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2232]),
+	.Y(n_23604), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884943 (
+	.A1(n_13909),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2588]),
+	.B1(n_19719),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2933]),
+	.Y(n_23603), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884944 (
+	.A1(n_13941),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2634]),
+	.B1(n_13907),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2611]),
+	.Y(n_23602), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884945 (
+	.A1(n_19787),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [334]),
+	.B1(n_19714),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [357]),
+	.Y(n_23601), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884946 (
+	.A1(n_19705),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [242]),
+	.B1(n_19788),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [265]),
+	.Y(n_23600), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884947 (
+	.A1(n_19815),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1672]),
+	.B1(n_19755),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1695]),
+	.Y(n_23599), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884948 (
+	.A1(n_19712),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [219]),
+	.B1(n_19761),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [311]),
+	.Y(n_23598), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884949 (
+	.A1(n_19713),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [196]),
+	.B1(n_19718),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [288]),
+	.Y(n_23597), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884950 (
+	.A1(n_19808),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1565]),
+	.B1(n_19812),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1588]),
+	.Y(n_23596), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884951 (
+	.A1(n_19456),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2620]),
+	.B1(n_13845),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2643]),
+	.Y(n_23595), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884952 (
+	.A1(n_19707),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [150]),
+	.B1(n_19694),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [127]),
+	.Y(n_23594), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884953 (
+	.A1(n_19667),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [104]),
+	.B1(n_19708),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [81]),
+	.Y(n_23593), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884954 (
+	.A1(n_19752),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1304]),
+	.B1(n_19814),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1235]),
+	.Y(n_23592), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884955 (
+	.A1(n_13869),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2163]),
+	.B1(n_19396),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2186]),
+	.Y(n_23591), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884956 (
+	.A1(n_19710),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [12]),
+	.B1(n_19666),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [58]),
+	.Y(n_23590), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884957 (
+	.A1(n_19750),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1212]),
+	.B1(n_19751),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1258]),
+	.Y(n_23589), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884958 (
+	.A1(n_19462),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2117]),
+	.B1(n_19464),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2140]),
+	.Y(n_23588), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884959 (
+	.A1(n_19760),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1392]),
+	.B1(n_19825),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1415]),
+	.Y(n_23587), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884960 (
+	.A1(n_19752),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1300]),
+	.B1(n_19751),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1254]),
+	.Y(n_23586), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884961 (
+	.A1(n_19750),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1208]),
+	.B1(n_19814),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1231]),
+	.Y(n_23585), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884962 (
+	.A1(n_19811),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1116]),
+	.B1(n_19813),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1162]),
+	.Y(n_23584), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884963 (
+	.A1(n_19457),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2491]),
+	.B1(n_19856),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2514]),
+	.Y(n_23583), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884964 (
+	.A1(n_19458),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2551]),
+	.B1(n_19807),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2574]),
+	.Y(n_23582), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884965 (
+	.A1(n_19811),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1120]),
+	.B1(n_19748),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1189]),
+	.Y(n_23581), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884966 (
+	.A1(n_19804),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2542]),
+	.B1(n_19805),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2565]),
+	.Y(n_23580), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884967 (
+	.A1(n_13794),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2071]),
+	.B1(n_19397),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2094]),
+	.Y(n_23579), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884968 (
+	.A1(n_19742),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2496]),
+	.B1(n_19402),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2519]),
+	.Y(n_23578), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884969 (
+	.A1(n_19739),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2450]),
+	.B1(n_19693),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2473]),
+	.Y(n_23577), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884970 (
+	.A1(n_19735),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2404]),
+	.B1(n_19403),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2427]),
+	.Y(n_23576), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884971 (
+	.A1(n_19460),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2025]),
+	.B1(n_19848),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2048]),
+	.Y(n_23575), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884972 (
+	.A1(n_19400),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2358]),
+	.B1(n_19802),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2381]),
+	.Y(n_23574), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884973 (
+	.A1(n_19696),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1626]),
+	.B1(n_19809),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1649]),
+	.Y(n_23573), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884974 (
+	.A1(n_19395),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2312]),
+	.B1(n_19733),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2335]),
+	.Y(n_23572), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884975 (
+	.A1(n_19401),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2266]),
+	.B1(n_19736),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2289]),
+	.Y(n_23571), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884976 (
+	.A1(n_19801),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2220]),
+	.B1(n_19732),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2243]),
+	.Y(n_23570), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884977 (
+	.A1(n_19747),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1580]),
+	.B1(n_19746),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1603]),
+	.Y(n_23569), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884978 (
+	.A1(n_19457),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2505]),
+	.B1(n_19856),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2528]),
+	.Y(n_23568), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884979 (
+	.A1(n_19700),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2910]),
+	.B1(n_19699),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2887]),
+	.Y(n_23567), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884980 (
+	.A1(n_19701),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2864]),
+	.B1(n_19702),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2841]),
+	.Y(n_23566), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884981 (
+	.A1(n_19795),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2795]),
+	.B1(n_13629),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2818]),
+	.Y(n_23565), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884982 (
+	.A1(n_19722),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2772]),
+	.B1(n_13927),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2749]),
+	.Y(n_23564), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884983 (
+	.A1(n_19741),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1534]),
+	.B1(n_19745),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1557]),
+	.Y(n_23563), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884984 (
+	.A1(n_19792),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2726]),
+	.B1(n_13619),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2703]),
+	.Y(n_23562), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884985 (
+	.A1(n_19679),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1979]),
+	.B1(n_13772),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2002]),
+	.Y(n_23561), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884986 (
+	.A1(n_19793),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2680]),
+	.B1(n_13914),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2657]),
+	.Y(n_23560), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884987 (
+	.A1(n_13909),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2588]),
+	.B1(n_19719),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2933]),
+	.Y(n_23559), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884988 (
+	.A1(n_13941),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2634]),
+	.B1(n_13907),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2611]),
+	.Y(n_23558), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884989 (
+	.A1(n_19744),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1488]),
+	.B1(n_19806),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1511]),
+	.Y(n_23557), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884990 (
+	.A1(n_19734),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1519]),
+	.B1(n_19740),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1542]),
+	.Y(n_23556), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884991 (
+	.A1(n_13656),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [748]),
+	.B1(n_19729),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [817]),
+	.Y(n_23555), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884992 (
+	.A1(n_19668),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [141]),
+	.B1(n_19873),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [164]),
+	.Y(n_23554), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884993 (
+	.A1(n_19854),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1933]),
+	.B1(n_19830),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1956]),
+	.Y(n_23553), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884994 (
+	.A1(n_19700),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2899]),
+	.B1(n_19699),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2876]),
+	.Y(n_23552), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884995 (
+	.A1(n_13660),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [886]),
+	.B1(n_13659),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1001]),
+	.Y(n_23551), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884996 (
+	.A1(n_19448),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2459]),
+	.B1(n_19709),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2482]),
+	.Y(n_23550), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884997 (
+	.A1(n_19742),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2500]),
+	.B1(n_19402),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2523]),
+	.Y(n_23549), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884998 (
+	.A1(n_19817),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1887]),
+	.B1(n_19826),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1910]),
+	.Y(n_23548), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g884999 (
+	.A1(n_19839),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1967]),
+	.B1(n_19847),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2151]),
+	.Y(n_23547), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885000 (
+	.A1(n_19840),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1990]),
+	.B1(n_19841),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2013]),
+	.Y(n_23546), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885001 (
+	.A1(n_19739),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2454]),
+	.B1(n_19693),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2477]),
+	.Y(n_23545), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885002 (
+	.A1(n_19842),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2059]),
+	.B1(n_19843),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2197]),
+	.Y(n_23544), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885003 (
+	.A1(n_19789),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1944]),
+	.B1(n_19844),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2105]),
+	.Y(n_23543), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885004 (
+	.A1(n_13924),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [587]),
+	.B1(n_13584),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [541]),
+	.Y(n_23542), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885005 (
+	.A1(n_13604),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [518]),
+	.B1(n_13902),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [633]),
+	.Y(n_23541), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885006 (
+	.A1(n_13474),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1841]),
+	.B1(n_19810),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1864]),
+	.Y(n_23540), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885007 (
+	.A1(n_19804),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2546]),
+	.B1(n_19805),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2569]),
+	.Y(n_23539), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885008 (
+	.A1(n_13922),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [495]),
+	.B1(n_13611),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [679]),
+	.Y(n_23538), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885009 (
+	.A1(n_13593),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [472]),
+	.B1(n_13626),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [725]),
+	.Y(n_23537), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885010 (
+	.A1(n_19832),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2036]),
+	.B1(n_19772),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2082]),
+	.Y(n_23536), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885011 (
+	.A1(n_19770),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1898]),
+	.B1(n_19398),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1921]),
+	.Y(n_23535), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885012 (
+	.A1(n_19735),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2408]),
+	.B1(n_19403),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2431]),
+	.Y(n_23534), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885013 (
+	.A1(n_19834),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2128]),
+	.B1(n_19678),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2174]),
+	.Y(n_23533), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885014 (
+	.A1(n_19684),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1852]),
+	.B1(n_19831),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1875]),
+	.Y(n_23532), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885015 (
+	.A1(n_19446),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2413]),
+	.B1(n_19711),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2436]),
+	.Y(n_23531), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885016 (
+	.A1(n_13648),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [564]),
+	.B1(n_13639),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [610]),
+	.Y(n_23530), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885017 (
+	.A1(n_19763),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [426]),
+	.B1(n_13928),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [449]),
+	.Y(n_23529), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885018 (
+	.A1(n_19846),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1795]),
+	.B1(n_19803),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1818]),
+	.Y(n_23528), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885019 (
+	.A1(n_19395),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2316]),
+	.B1(n_19733),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2339]),
+	.Y(n_23527), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885020 (
+	.A1(n_13627),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [656]),
+	.B1(n_13631),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [702]),
+	.Y(n_23526), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885021 (
+	.A1(n_19686),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [380]),
+	.B1(n_19768),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [403]),
+	.Y(n_23525), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885022 (
+	.A1(n_13845),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2627]),
+	.B1(n_19796),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2650]),
+	.Y(n_23524), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885023 (
+	.A1(n_19680),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1749]),
+	.B1(n_19845),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1772]),
+	.Y(n_23523), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885024 (
+	.A1(n_19816),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1760]),
+	.B1(n_19757),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1783]),
+	.Y(n_23522), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885025 (
+	.A1(n_19753),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1714]),
+	.B1(n_19754),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1737]),
+	.Y(n_23521), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885026 (
+	.A1(n_19401),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2270]),
+	.B1(n_19736),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2293]),
+	.Y(n_23520), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885027 (
+	.A1(n_19691),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1806]),
+	.B1(n_19818),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1829]),
+	.Y(n_23519), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885028 (
+	.A1(n_19815),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1668]),
+	.B1(n_19755),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1691]),
+	.Y(n_23518), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885029 (
+	.A1(n_19743),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2721]),
+	.B1(n_19758),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2744]),
+	.Y(n_23517), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885030 (
+	.A1(n_19787),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [334]),
+	.B1(n_19714),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [357]),
+	.Y(n_23516), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885031 (
+	.A1(n_19718),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [288]),
+	.B1(n_19761),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [311]),
+	.Y(n_23515), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885032 (
+	.A1(n_19400),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2362]),
+	.B1(n_19802),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2385]),
+	.Y(n_23514), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885033 (
+	.A1(n_19712),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [219]),
+	.B1(n_19788),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [265]),
+	.Y(n_23513), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885034 (
+	.A1(n_19713),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [196]),
+	.B1(n_19705),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [242]),
+	.Y(n_23512), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885035 (
+	.A1(n_19799),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1473]),
+	.B1(n_13798),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1496]),
+	.Y(n_23511), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885036 (
+	.A1(n_19445),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2367]),
+	.B1(n_19870),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2390]),
+	.Y(n_23510), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885037 (
+	.A1(n_19801),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2224]),
+	.B1(n_19732),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2247]),
+	.Y(n_23509), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885038 (
+	.A1(n_19747),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1576]),
+	.B1(n_19746),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1599]),
+	.Y(n_23508), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885039 (
+	.A1(n_19703),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1703]),
+	.B1(n_19838),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1726]),
+	.Y(n_23507), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885040 (
+	.A1(n_19741),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1530]),
+	.B1(n_19745),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1553]),
+	.Y(n_23506), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885041 (
+	.A1(n_19744),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1484]),
+	.B1(n_19806),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1507]),
+	.Y(n_23505), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885042 (
+	.A1(n_19696),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1622]),
+	.B1(n_19809),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1645]),
+	.Y(n_23504), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885043 (
+	.A1(n_19707),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [150]),
+	.B1(n_19694),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [127]),
+	.Y(n_23503), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885044 (
+	.A1(n_19667),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [104]),
+	.B1(n_19708),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [81]),
+	.Y(n_23502), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885045 (
+	.A1(n_19829),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1657]),
+	.B1(n_19833),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1680]),
+	.Y(n_23501), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885046 (
+	.A1(n_19710),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [12]),
+	.B1(n_19666),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [58]),
+	.Y(n_23500), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885047 (
+	.A1(n_19800),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1212]),
+	.B1(n_19884),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1235]),
+	.Y(n_23499), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885048 (
+	.A1(n_19790),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2886]),
+	.B1(n_19721),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2909]),
+	.Y(n_23498), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885049 (
+	.A1(n_19442),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2321]),
+	.B1(n_19672),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2344]),
+	.Y(n_23497), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885050 (
+	.A1(n_19451),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2863]),
+	.B1(n_19689),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2840]),
+	.Y(n_23496), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885051 (
+	.A1(n_19697),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1611]),
+	.B1(n_19759),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1634]),
+	.Y(n_23495), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885052 (
+	.A1(n_19453),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2794]),
+	.B1(n_19766),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2817]),
+	.Y(n_23494), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885053 (
+	.A1(n_19455),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2771]),
+	.B1(n_19758),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2748]),
+	.Y(n_23493), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885054 (
+	.A1(n_13656),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [752]),
+	.B1(n_13582),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [798]),
+	.Y(n_23492), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885055 (
+	.A1(n_19452),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2702]),
+	.B1(n_19743),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2725]),
+	.Y(n_23491), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885056 (
+	.A1(n_19454),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2679]),
+	.B1(n_19796),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2656]),
+	.Y(n_23490), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885057 (
+	.A1(n_19808),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1565]),
+	.B1(n_19812),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1588]),
+	.Y(n_23489), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885058 (
+	.A1(n_19449),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2587]),
+	.B1(n_19692),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2932]),
+	.Y(n_23488), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885059 (
+	.A1(n_19456),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2610]),
+	.B1(n_13845),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2633]),
+	.Y(n_23487), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885060 (
+	.A1(n_19458),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2541]),
+	.B1(n_19807),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2564]),
+	.Y(n_23486), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885061 (
+	.A1(n_19875),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2275]),
+	.B1(n_19880),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2298]),
+	.Y(n_23485), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885062 (
+	.A1(n_19457),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2495]),
+	.B1(n_19856),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2518]),
+	.Y(n_23484), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885063 (
+	.A1(n_19734),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1519]),
+	.B1(n_19740),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1542]),
+	.Y(n_23483), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885064 (
+	.A1(n_19448),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2449]),
+	.B1(n_19709),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2472]),
+	.Y(n_23482), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885065 (
+	.A1(n_19446),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2403]),
+	.B1(n_19711),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2426]),
+	.Y(n_23481), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885066 (
+	.A1(n_19453),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2783]),
+	.B1(n_19766),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2806]),
+	.Y(n_23480), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885067 (
+	.A1(n_19445),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2357]),
+	.B1(n_19870),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2380]),
+	.Y(n_23479), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885068 (
+	.A1(n_19799),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1473]),
+	.B1(n_13798),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1496]),
+	.Y(n_23478), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885069 (
+	.A1(n_19442),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2311]),
+	.B1(n_19672),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2334]),
+	.Y(n_23477), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885070 (
+	.A1(n_13592),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [844]),
+	.B1(n_19726),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [867]),
+	.Y(n_23476), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885071 (
+	.A1(n_19707),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [140]),
+	.B1(n_19694),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [117]),
+	.Y(n_23475), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885072 (
+	.A1(n_19875),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2265]),
+	.B1(n_19880),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2288]),
+	.Y(n_23474), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885073 (
+	.A1(n_19466),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2229]),
+	.B1(n_19883),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2252]),
+	.Y(n_23473), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885074 (
+	.A1(n_19466),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2219]),
+	.B1(n_19883),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2242]),
+	.Y(n_23472), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885075 (
+	.A1(n_19742),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2505]),
+	.B1(n_19402),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2528]),
+	.Y(n_23471), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885076 (
+	.A1(n_13869),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2173]),
+	.B1(n_19396),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2196]),
+	.Y(n_23470), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885077 (
+	.A1(n_19462),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2127]),
+	.B1(n_19464),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2150]),
+	.Y(n_23469), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885078 (
+	.A1(n_13794),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2081]),
+	.B1(n_19397),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2104]),
+	.Y(n_23468), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885079 (
+	.A1(n_19460),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2035]),
+	.B1(n_19848),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2058]),
+	.Y(n_23467), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885080 (
+	.A1(n_19739),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2459]),
+	.B1(n_19693),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2482]),
+	.Y(n_23466), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885081 (
+	.A1(n_19468),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1427]),
+	.B1(n_19794),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1450]),
+	.Y(n_23465), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885082 (
+	.A1(n_19679),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1989]),
+	.B1(n_13772),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2012]),
+	.Y(n_23464), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885083 (
+	.A1(n_19700),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2914]),
+	.B1(n_19699),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2891]),
+	.Y(n_23463), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885084 (
+	.A1(n_19854),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1943]),
+	.B1(n_19830),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1966]),
+	.Y(n_23462), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885085 (
+	.A1(n_19817),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1897]),
+	.B1(n_19826),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1920]),
+	.Y(n_23461), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885086 (
+	.A1(n_19467),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1381]),
+	.B1(n_19892),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1404]),
+	.Y(n_23460), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885087 (
+	.A1(n_13474),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1851]),
+	.B1(n_19810),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1874]),
+	.Y(n_23459), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885088 (
+	.A1(n_19722),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2776]),
+	.B1(n_13927),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2753]),
+	.Y(n_23458), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885089 (
+	.A1(n_19790),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2876]),
+	.B1(n_19721),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2899]),
+	.Y(n_23457), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885090 (
+	.A1(n_19846),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1805]),
+	.B1(n_19803),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1828]),
+	.Y(n_23456), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885091 (
+	.A1(n_19680),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1759]),
+	.B1(n_19845),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1782]),
+	.Y(n_23455), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885092 (
+	.A1(n_19703),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1713]),
+	.B1(n_19838),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1736]),
+	.Y(n_23454), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885093 (
+	.A1(n_19891),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [711]),
+	.B1(n_19888),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [734]),
+	.Y(n_23453), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885094 (
+	.A1(n_19701),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2868]),
+	.B1(n_19702),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2845]),
+	.Y(n_23452), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885095 (
+	.A1(n_19887),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1335]),
+	.B1(n_19889),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1358]),
+	.Y(n_23451), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885096 (
+	.A1(n_19829),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1667]),
+	.B1(n_19833),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1690]),
+	.Y(n_23450), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885097 (
+	.A1(n_19795),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2799]),
+	.B1(n_13629),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2822]),
+	.Y(n_23449), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885098 (
+	.A1(n_19697),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1621]),
+	.B1(n_19759),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1644]),
+	.Y(n_23448), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885099 (
+	.A1(n_19734),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1529]),
+	.B1(n_19740),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1552]),
+	.Y(n_23447), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885100 (
+	.A1(n_19808),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1575]),
+	.B1(n_19812),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1598]),
+	.Y(n_23446), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885101 (
+	.A1(n_19799),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1483]),
+	.B1(n_13798),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1506]),
+	.Y(n_23445), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885102 (
+	.A1(n_19469),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1289]),
+	.B1(n_19894),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1312]),
+	.Y(n_23444), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885103 (
+	.A1(n_13909),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2592]),
+	.B1(n_19719),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2937]),
+	.Y(n_23443), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885104 (
+	.A1(n_19895),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [665]),
+	.B1(n_19730),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [688]),
+	.Y(n_23442), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885105 (
+	.A1(n_19468),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1437]),
+	.B1(n_19794),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1460]),
+	.Y(n_23441), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885106 (
+	.A1(n_19467),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1391]),
+	.B1(n_19892),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1414]),
+	.Y(n_23440), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885107 (
+	.A1(n_19793),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2684]),
+	.B1(n_13914),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2661]),
+	.Y(n_23439), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885108 (
+	.A1(n_19887),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1345]),
+	.B1(n_19889),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1368]),
+	.Y(n_23438), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885109 (
+	.A1(n_19469),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1299]),
+	.B1(n_19894),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1322]),
+	.Y(n_23437), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885110 (
+	.A1(n_19885),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1243]),
+	.B1(n_19886),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1266]),
+	.Y(n_23436), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885111 (
+	.A1(n_19792),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2730]),
+	.B1(n_13619),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2707]),
+	.Y(n_23435), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885112 (
+	.A1(n_19885),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1253]),
+	.B1(n_19886),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1276]),
+	.Y(n_23434), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885113 (
+	.A1(n_19800),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1197]),
+	.B1(n_19884),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1220]),
+	.Y(n_23433), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885114 (
+	.A1(n_19800),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1207]),
+	.B1(n_19884),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1230]),
+	.Y(n_23432), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885115 (
+	.A1(n_19819),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1161]),
+	.B1(n_19882),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1184]),
+	.Y(n_23431), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885116 (
+	.A1(n_13941),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2638]),
+	.B1(n_13907),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2615]),
+	.Y(n_23430), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885117 (
+	.A1(n_19858),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1115]),
+	.B1(n_19881),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1138]),
+	.Y(n_23429), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885118 (
+	.A1(n_19893),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1069]),
+	.B1(n_19827),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1092]),
+	.Y(n_23428), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885119 (
+	.A1(n_19851),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [619]),
+	.B1(n_19872),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [642]),
+	.Y(n_23427), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885120 (
+	.A1(n_19878),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1023]),
+	.B1(n_19879),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1046]),
+	.Y(n_23426), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885121 (
+	.A1(n_19874),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [977]),
+	.B1(n_19876),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1000]),
+	.Y(n_23425), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885122 (
+	.A1(n_19788),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [269]),
+	.B1(n_19714),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [361]),
+	.Y(n_23424), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885123 (
+	.A1(n_19669),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [931]),
+	.B1(n_19670),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [954]),
+	.Y(n_23423), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885124 (
+	.A1(n_19819),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1151]),
+	.B1(n_19882),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1174]),
+	.Y(n_23422), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885125 (
+	.A1(n_19866),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [885]),
+	.B1(n_19871),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [908]),
+	.Y(n_23421), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885126 (
+	.A1(n_19869),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [839]),
+	.B1(n_19864),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [862]),
+	.Y(n_23420), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885127 (
+	.A1(n_19705),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [246]),
+	.B1(n_19787),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [338]),
+	.Y(n_23419), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885128 (
+	.A1(n_19865),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [793]),
+	.B1(n_19867),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [816]),
+	.Y(n_23418), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885129 (
+	.A1(n_19858),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1105]),
+	.B1(n_19881),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1128]),
+	.Y(n_23417), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885130 (
+	.A1(n_19860),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [747]),
+	.B1(n_19861),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [770]),
+	.Y(n_23416), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885131 (
+	.A1(n_19718),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [292]),
+	.B1(n_19761),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [315]),
+	.Y(n_23415), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885132 (
+	.A1(n_19891),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [701]),
+	.B1(n_19888),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [724]),
+	.Y(n_23414), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885133 (
+	.A1(n_19895),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [655]),
+	.B1(n_19730),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [678]),
+	.Y(n_23413), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885134 (
+	.A1(n_19451),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2853]),
+	.B1(n_19689),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2830]),
+	.Y(n_23412), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885135 (
+	.A1(n_19738),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [573]),
+	.B1(n_19737),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [596]),
+	.Y(n_23411), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885136 (
+	.A1(n_19713),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [200]),
+	.B1(n_19712),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [223]),
+	.Y(n_23410), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885137 (
+	.A1(n_19851),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [609]),
+	.B1(n_19872),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [632]),
+	.Y(n_23409), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885138 (
+	.A1(n_19893),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1059]),
+	.B1(n_19827),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1082]),
+	.Y(n_23408), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885139 (
+	.A1(n_19738),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [563]),
+	.B1(n_19737),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [586]),
+	.Y(n_23407), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885140 (
+	.A1(n_19671),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [517]),
+	.B1(n_19863),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [540]),
+	.Y(n_23406), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885141 (
+	.A1(n_19756),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [425]),
+	.B1(n_19769),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [448]),
+	.Y(n_23405), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885142 (
+	.A1(n_19707),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [154]),
+	.B1(n_19694),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [131]),
+	.Y(n_23404), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885143 (
+	.A1(n_19852),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [471]),
+	.B1(n_19820),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [494]),
+	.Y(n_23403), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885144 (
+	.A1(n_19690),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [379]),
+	.B1(n_19890),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [402]),
+	.Y(n_23402), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885145 (
+	.A1(n_19878),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1013]),
+	.B1(n_19879),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1036]),
+	.Y(n_23401), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885146 (
+	.A1(n_19828),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [333]),
+	.B1(n_19877),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [356]),
+	.Y(n_23400), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885147 (
+	.A1(n_19716),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [287]),
+	.B1(n_19687),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [310]),
+	.Y(n_23399), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885148 (
+	.A1(n_19671),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [527]),
+	.B1(n_19863),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [550]),
+	.Y(n_23398), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885149 (
+	.A1(n_19667),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [108]),
+	.B1(n_19708),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [85]),
+	.Y(n_23397), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885150 (
+	.A1(n_19853),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [241]),
+	.B1(n_19868),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [264]),
+	.Y(n_23396), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885151 (
+	.A1(n_19674),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [195]),
+	.B1(n_19675),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [218]),
+	.Y(n_23395), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885152 (
+	.A1(n_19874),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [967]),
+	.B1(n_19876),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [990]),
+	.Y(n_23394), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885153 (
+	.A1(n_19710),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [16]),
+	.B1(n_19666),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [62]),
+	.Y(n_23393), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885154 (
+	.A1(n_19668),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [149]),
+	.B1(n_19873),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [172]),
+	.Y(n_23392), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885155 (
+	.A1(n_19682),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [103]),
+	.B1(n_19862),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [126]),
+	.Y(n_23391), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885156 (
+	.A1(n_19859),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [57]),
+	.B1(n_19681),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [80]),
+	.Y(n_23390), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885157 (
+	.A1(n_19676),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [11]),
+	.B1(n_19855),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [34]),
+	.Y(n_23389), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885158 (
+	.A1(n_19669),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [921]),
+	.B1(n_19670),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [944]),
+	.Y(n_23388), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885159 (
+	.A1(n_19852),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [481]),
+	.B1(n_19820),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [504]),
+	.Y(n_23387), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885160 (
+	.A1(n_19760),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1391]),
+	.B1(n_19825),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1414]),
+	.Y(n_23386), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885161 (
+	.A1(n_19842),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2051]),
+	.B1(n_19841),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2005]),
+	.Y(n_23385), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885162 (
+	.A1(n_19840),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1982]),
+	.B1(n_19844),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2097]),
+	.Y(n_23384), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885163 (
+	.A1(n_19752),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1299]),
+	.B1(n_19751),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1253]),
+	.Y(n_23383), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885164 (
+	.A1(n_19750),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1207]),
+	.B1(n_19814),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1230]),
+	.Y(n_23382), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885165 (
+	.A1(n_19866),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [875]),
+	.B1(n_19871),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [898]),
+	.Y(n_23381), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885166 (
+	.A1(n_19839),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1959]),
+	.B1(n_19847),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2143]),
+	.Y(n_23380), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885167 (
+	.A1(n_19813),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1161]),
+	.B1(n_19748),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1184]),
+	.Y(n_23379), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885168 (
+	.A1(n_19789),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1936]),
+	.B1(n_19843),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2189]),
+	.Y(n_23378), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885169 (
+	.A1(n_19869),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [829]),
+	.B1(n_19864),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [852]),
+	.Y(n_23377), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885170 (
+	.A1(n_13584),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [532]),
+	.B1(n_13626),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [716]),
+	.Y(n_23376), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885171 (
+	.A1(n_19804),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2541]),
+	.B1(n_19805),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2564]),
+	.Y(n_23375), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885172 (
+	.A1(n_19453),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2784]),
+	.B1(n_19766),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2807]),
+	.Y(n_23374), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885173 (
+	.A1(n_19742),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2495]),
+	.B1(n_19402),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2518]),
+	.Y(n_23373), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885174 (
+	.A1(n_13584),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [533]),
+	.B1(n_13626),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [717]),
+	.Y(n_23372), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885175 (
+	.A1(n_19739),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2449]),
+	.B1(n_19693),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2472]),
+	.Y(n_23371), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885176 (
+	.A1(n_19735),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2403]),
+	.B1(n_19403),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2426]),
+	.Y(n_23370), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885177 (
+	.A1(n_13604),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [510]),
+	.B1(n_13611),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [671]),
+	.Y(n_23369), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885178 (
+	.A1(n_19400),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2357]),
+	.B1(n_19802),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2380]),
+	.Y(n_23368), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885179 (
+	.A1(n_19865),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [783]),
+	.B1(n_19867),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [806]),
+	.Y(n_23367), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885180 (
+	.A1(n_19395),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2311]),
+	.B1(n_19733),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2334]),
+	.Y(n_23366), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885181 (
+	.A1(n_13924),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [579]),
+	.B1(n_13902),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [625]),
+	.Y(n_23365), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885182 (
+	.A1(n_19756),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [435]),
+	.B1(n_19769),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [458]),
+	.Y(n_23364), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885183 (
+	.A1(n_19401),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2265]),
+	.B1(n_19736),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2288]),
+	.Y(n_23363), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885184 (
+	.A1(n_13593),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [464]),
+	.B1(n_13922),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [487]),
+	.Y(n_23362), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885185 (
+	.A1(n_19801),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2219]),
+	.B1(n_19732),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2242]),
+	.Y(n_23361), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885186 (
+	.A1(n_19700),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2909]),
+	.B1(n_19699),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2886]),
+	.Y(n_23360), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885187 (
+	.A1(n_19722),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2771]),
+	.B1(n_13927),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2748]),
+	.Y(n_23359), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885188 (
+	.A1(n_19834),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2120]),
+	.B1(n_19678),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2166]),
+	.Y(n_23358), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885189 (
+	.A1(n_19701),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2863]),
+	.B1(n_19702),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2840]),
+	.Y(n_23357), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885190 (
+	.A1(n_19795),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2794]),
+	.B1(n_13629),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2817]),
+	.Y(n_23356), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885191 (
+	.A1(n_19832),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2028]),
+	.B1(n_19772),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2074]),
+	.Y(n_23355), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885192 (
+	.A1(n_19792),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2725]),
+	.B1(n_13619),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2702]),
+	.Y(n_23354), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885193 (
+	.A1(n_19770),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1890]),
+	.B1(n_19398),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1913]),
+	.Y(n_23353), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885194 (
+	.A1(n_19793),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2679]),
+	.B1(n_13914),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2656]),
+	.Y(n_23352), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885195 (
+	.A1(n_19860),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [737]),
+	.B1(n_19861),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [760]),
+	.Y(n_23351), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885196 (
+	.A1(n_13909),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2587]),
+	.B1(n_19719),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2932]),
+	.Y(n_23350), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885197 (
+	.A1(n_13941),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2633]),
+	.B1(n_13907),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2610]),
+	.Y(n_23349), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885198 (
+	.A1(n_19721),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2903]),
+	.B1(n_19692),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2926]),
+	.Y(n_23348), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885199 (
+	.A1(n_19684),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1844]),
+	.B1(n_19831),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1867]),
+	.Y(n_23347), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885200 (
+	.A1(n_13648),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [556]),
+	.B1(n_13639),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [602]),
+	.Y(n_23346), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885201 (
+	.A1(n_13656),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [747]),
+	.B1(n_13582),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [793]),
+	.Y(n_23345), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885202 (
+	.A1(n_19690),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [389]),
+	.B1(n_19890),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [412]),
+	.Y(n_23344), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885203 (
+	.A1(n_19763),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [418]),
+	.B1(n_13928),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [441]),
+	.Y(n_23343), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885204 (
+	.A1(n_19891),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [691]),
+	.B1(n_19888),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [714]),
+	.Y(n_23342), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885205 (
+	.A1(n_13627),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [648]),
+	.B1(n_13631),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [694]),
+	.Y(n_23341), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885206 (
+	.A1(n_13660),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [885]),
+	.B1(n_13659),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1000]),
+	.Y(n_23340), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885207 (
+	.A1(n_19686),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [372]),
+	.B1(n_19768),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [395]),
+	.Y(n_23339), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885208 (
+	.A1(n_13656),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [738]),
+	.B1(n_19729),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [807]),
+	.Y(n_23338), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885209 (
+	.A1(n_19842),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2058]),
+	.B1(n_19844),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2104]),
+	.Y(n_23337), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885210 (
+	.A1(n_19895),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [645]),
+	.B1(n_19730),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [668]),
+	.Y(n_23336), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885211 (
+	.A1(n_19455),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2761]),
+	.B1(n_19758),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2738]),
+	.Y(n_23335), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885212 (
+	.A1(n_19840),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1989]),
+	.B1(n_19841),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2012]),
+	.Y(n_23334), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885213 (
+	.A1(n_19821),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1315]),
+	.B1(n_19688),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1430]),
+	.Y(n_23333), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885214 (
+	.A1(n_19847),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2150]),
+	.B1(n_19843),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2196]),
+	.Y(n_23332), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885215 (
+	.A1(n_19789),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1943]),
+	.B1(n_19839),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1966]),
+	.Y(n_23331), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885216 (
+	.A1(n_13924),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [586]),
+	.B1(n_13902),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [632]),
+	.Y(n_23330), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885217 (
+	.A1(n_19828),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [343]),
+	.B1(n_19877),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [366]),
+	.Y(n_23329), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885218 (
+	.A1(n_13604),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [517]),
+	.B1(n_13584),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [540]),
+	.Y(n_23328), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885219 (
+	.A1(n_19824),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1361]),
+	.B1(n_19825),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1407]),
+	.Y(n_23327), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885220 (
+	.A1(n_13611),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [678]),
+	.B1(n_13626),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [724]),
+	.Y(n_23326), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885221 (
+	.A1(n_19851),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [599]),
+	.B1(n_19872),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [622]),
+	.Y(n_23325), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885222 (
+	.A1(n_13593),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [471]),
+	.B1(n_13922),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [494]),
+	.Y(n_23324), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885223 (
+	.A1(n_19823),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1338]),
+	.B1(n_19760),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1384]),
+	.Y(n_23323), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885224 (
+	.A1(n_19832),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2035]),
+	.B1(n_19772),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2081]),
+	.Y(n_23322), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885225 (
+	.A1(n_19752),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1292]),
+	.B1(n_19751),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1246]),
+	.Y(n_23321), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885226 (
+	.A1(n_19770),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1897]),
+	.B1(n_19398),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1920]),
+	.Y(n_23320), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885227 (
+	.A1(n_19834),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2127]),
+	.B1(n_19678),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2173]),
+	.Y(n_23319), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885228 (
+	.A1(n_19734),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1523]),
+	.B1(n_19740),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1546]),
+	.Y(n_23318), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885229 (
+	.A1(n_19738),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [553]),
+	.B1(n_19737),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [576]),
+	.Y(n_23317), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885230 (
+	.A1(n_19684),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1851]),
+	.B1(n_19831),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1874]),
+	.Y(n_23316), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885231 (
+	.A1(n_19813),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1154]),
+	.B1(n_19748),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1177]),
+	.Y(n_23315), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885232 (
+	.A1(n_13627),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [655]),
+	.B1(n_13631),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [701]),
+	.Y(n_23314), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885233 (
+	.A1(n_13648),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [563]),
+	.B1(n_13639),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [609]),
+	.Y(n_23313), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885234 (
+	.A1(n_19750),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1200]),
+	.B1(n_19814),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1223]),
+	.Y(n_23312), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885235 (
+	.A1(n_19763),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [425]),
+	.B1(n_13928),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [448]),
+	.Y(n_23311), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885236 (
+	.A1(n_19686),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [379]),
+	.B1(n_19768),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [402]),
+	.Y(n_23310), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885237 (
+	.A1(n_19799),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1477]),
+	.B1(n_13798),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1500]),
+	.Y(n_23309), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885238 (
+	.A1(n_19816),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1759]),
+	.B1(n_19757),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1782]),
+	.Y(n_23308), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885239 (
+	.A1(n_19753),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1713]),
+	.B1(n_19754),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1736]),
+	.Y(n_23307), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885240 (
+	.A1(n_19816),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1752]),
+	.B1(n_19757),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1775]),
+	.Y(n_23306), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885241 (
+	.A1(n_19716),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [297]),
+	.B1(n_19687),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [320]),
+	.Y(n_23305), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885242 (
+	.A1(n_19691),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1805]),
+	.B1(n_19818),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1828]),
+	.Y(n_23304), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885243 (
+	.A1(n_19671),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [507]),
+	.B1(n_19863),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [530]),
+	.Y(n_23303), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885244 (
+	.A1(n_19815),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1667]),
+	.B1(n_19755),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1690]),
+	.Y(n_23302), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885245 (
+	.A1(n_19753),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1706]),
+	.B1(n_19754),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1729]),
+	.Y(n_23301), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885246 (
+	.A1(n_19718),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [287]),
+	.B1(n_19761),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [310]),
+	.Y(n_23300), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885247 (
+	.A1(n_19691),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1798]),
+	.B1(n_19818),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1821]),
+	.Y(n_23299), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885248 (
+	.A1(n_19705),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [241]),
+	.B1(n_19788),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [264]),
+	.Y(n_23298), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885249 (
+	.A1(n_19815),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1660]),
+	.B1(n_19755),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1683]),
+	.Y(n_23297), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885250 (
+	.A1(n_19712),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [218]),
+	.B1(n_19714),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [356]),
+	.Y(n_23296), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885251 (
+	.A1(n_19713),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [195]),
+	.B1(n_19787),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [333]),
+	.Y(n_23295), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885252 (
+	.A1(n_19696),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1621]),
+	.B1(n_19809),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1644]),
+	.Y(n_23294), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885253 (
+	.A1(n_19852),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [461]),
+	.B1(n_19820),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [484]),
+	.Y(n_23293), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885254 (
+	.A1(n_19747),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1568]),
+	.B1(n_19746),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1591]),
+	.Y(n_23292), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885255 (
+	.A1(n_19747),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1575]),
+	.B1(n_19746),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1598]),
+	.Y(n_23291), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885256 (
+	.A1(n_19741),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1522]),
+	.B1(n_19745),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1545]),
+	.Y(n_23290), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885257 (
+	.A1(n_19741),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1529]),
+	.B1(n_19745),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1552]),
+	.Y(n_23289), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885258 (
+	.A1(n_19744),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1483]),
+	.B1(n_19806),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1506]),
+	.Y(n_23288), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885259 (
+	.A1(n_19697),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1619]),
+	.B1(n_19759),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1642]),
+	.Y(n_23287), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885260 (
+	.A1(n_13924),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [586]),
+	.B1(n_13902),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [632]),
+	.Y(n_23286), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885261 (
+	.A1(n_19696),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1614]),
+	.B1(n_19809),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1637]),
+	.Y(n_23285), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885262 (
+	.A1(n_19707),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [149]),
+	.B1(n_19694),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [126]),
+	.Y(n_23284), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885263 (
+	.A1(n_19667),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [103]),
+	.B1(n_19708),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [80]),
+	.Y(n_23283), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885264 (
+	.A1(n_19853),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [251]),
+	.B1(n_19868),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [274]),
+	.Y(n_23282), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885265 (
+	.A1(n_19744),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1476]),
+	.B1(n_19806),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1499]),
+	.Y(n_23281), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885266 (
+	.A1(n_19756),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [415]),
+	.B1(n_19769),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [438]),
+	.Y(n_23280), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885267 (
+	.A1(n_19710),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [11]),
+	.B1(n_19666),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [57]),
+	.Y(n_23279), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885268 (
+	.A1(n_19842),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2058]),
+	.B1(n_19844),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2104]),
+	.Y(n_23278), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885269 (
+	.A1(n_19742),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2488]),
+	.B1(n_19402),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2511]),
+	.Y(n_23277), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885270 (
+	.A1(n_19840),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1989]),
+	.B1(n_19841),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2012]),
+	.Y(n_23276), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885271 (
+	.A1(n_19690),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [369]),
+	.B1(n_19890),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [392]),
+	.Y(n_23275), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885272 (
+	.A1(n_19739),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2442]),
+	.B1(n_19693),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2465]),
+	.Y(n_23274), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885273 (
+	.A1(n_19847),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2150]),
+	.B1(n_19843),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2196]),
+	.Y(n_23273), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885274 (
+	.A1(n_19804),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2534]),
+	.B1(n_19805),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2557]),
+	.Y(n_23272), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885275 (
+	.A1(n_19789),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1943]),
+	.B1(n_19839),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1966]),
+	.Y(n_23271), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885276 (
+	.A1(n_19697),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1615]),
+	.B1(n_19759),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1638]),
+	.Y(n_23270), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885277 (
+	.A1(n_19674),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [205]),
+	.B1(n_19675),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [228]),
+	.Y(n_23269), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885278 (
+	.A1(n_19735),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2396]),
+	.B1(n_19403),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2419]),
+	.Y(n_23268), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885279 (
+	.A1(n_19452),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2692]),
+	.B1(n_19743),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2715]),
+	.Y(n_23267), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885280 (
+	.A1(n_13604),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [517]),
+	.B1(n_13584),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [540]),
+	.Y(n_23266), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885281 (
+	.A1(n_19395),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2304]),
+	.B1(n_19733),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2327]),
+	.Y(n_23265), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885282 (
+	.A1(n_13611),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [678]),
+	.B1(n_13626),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [724]),
+	.Y(n_23264), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885283 (
+	.A1(n_13593),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [471]),
+	.B1(n_13922),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [494]),
+	.Y(n_23263), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885284 (
+	.A1(n_19828),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [323]),
+	.B1(n_19877),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [346]),
+	.Y(n_23262), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885285 (
+	.A1(n_19401),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2258]),
+	.B1(n_19736),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2281]),
+	.Y(n_23261), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885286 (
+	.A1(n_19832),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2035]),
+	.B1(n_19772),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2081]),
+	.Y(n_23260), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885287 (
+	.A1(n_19400),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2350]),
+	.B1(n_19802),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2373]),
+	.Y(n_23259), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885288 (
+	.A1(n_19801),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2212]),
+	.B1(n_19732),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2235]),
+	.Y(n_23258), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885289 (
+	.A1(n_19770),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1897]),
+	.B1(n_19398),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1920]),
+	.Y(n_23257), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885290 (
+	.A1(n_19834),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2127]),
+	.B1(n_19678),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2173]),
+	.Y(n_23256), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885291 (
+	.A1(n_19716),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [277]),
+	.B1(n_19687),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [300]),
+	.Y(n_23255), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885292 (
+	.A1(n_19684),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1851]),
+	.B1(n_19831),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1874]),
+	.Y(n_23254), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885293 (
+	.A1(n_13648),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [563]),
+	.B1(n_13639),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [609]),
+	.Y(n_23253), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885294 (
+	.A1(n_19668),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [159]),
+	.B1(n_19873),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [182]),
+	.Y(n_23252), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885295 (
+	.A1(n_19763),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [425]),
+	.B1(n_13928),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [448]),
+	.Y(n_23251), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885296 (
+	.A1(n_13582),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [786]),
+	.B1(n_19729),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [809]),
+	.Y(n_23250), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885297 (
+	.A1(n_19853),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [231]),
+	.B1(n_19868),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [254]),
+	.Y(n_23249), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885298 (
+	.A1(n_13627),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [655]),
+	.B1(n_13631),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [701]),
+	.Y(n_23248), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885299 (
+	.A1(n_19686),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [379]),
+	.B1(n_19768),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [402]),
+	.Y(n_23247), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885300 (
+	.A1(n_19823),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1345]),
+	.B1(n_19824),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1368]),
+	.Y(n_23246), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885301 (
+	.A1(n_19682),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [113]),
+	.B1(n_19862),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [136]),
+	.Y(n_23245), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885302 (
+	.A1(n_19674),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [185]),
+	.B1(n_19675),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [208]),
+	.Y(n_23244), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885303 (
+	.A1(n_13660),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [878]),
+	.B1(n_13659),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [993]),
+	.Y(n_23243), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885304 (
+	.A1(n_13592),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [832]),
+	.B1(n_19726),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [855]),
+	.Y(n_23242), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885305 (
+	.A1(n_19816),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1759]),
+	.B1(n_19757),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1782]),
+	.Y(n_23241), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885306 (
+	.A1(n_19824),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1379]),
+	.B1(n_19825),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1425]),
+	.Y(n_23240), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885307 (
+	.A1(n_19753),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1713]),
+	.B1(n_19754),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1736]),
+	.Y(n_23239), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885308 (
+	.A1(n_19691),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1805]),
+	.B1(n_19818),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1828]),
+	.Y(n_23238), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885309 (
+	.A1(n_19700),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2902]),
+	.B1(n_19699),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2879]),
+	.Y(n_23237), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885310 (
+	.A1(n_19668),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [139]),
+	.B1(n_19873),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [162]),
+	.Y(n_23236), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885311 (
+	.A1(n_19815),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1667]),
+	.B1(n_19755),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1690]),
+	.Y(n_23235), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885312 (
+	.A1(n_19454),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2669]),
+	.B1(n_19796),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2646]),
+	.Y(n_23234), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885313 (
+	.A1(n_19722),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2764]),
+	.B1(n_13927),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2741]),
+	.Y(n_23233), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885314 (
+	.A1(n_19752),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1299]),
+	.B1(n_19748),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1184]),
+	.Y(n_23232), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885315 (
+	.A1(n_19701),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2856]),
+	.B1(n_19702),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2833]),
+	.Y(n_23231), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885316 (
+	.A1(n_19813),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1161]),
+	.B1(n_19751),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1253]),
+	.Y(n_23230), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885317 (
+	.A1(n_19795),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2787]),
+	.B1(n_13629),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2810]),
+	.Y(n_23229), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885318 (
+	.A1(n_19750),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1207]),
+	.B1(n_19814),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1230]),
+	.Y(n_23228), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885319 (
+	.A1(n_19682),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [93]),
+	.B1(n_19862),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [116]),
+	.Y(n_23227), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885320 (
+	.A1(n_19859),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [67]),
+	.B1(n_19681),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [90]),
+	.Y(n_23226), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885321 (
+	.A1(n_19792),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2718]),
+	.B1(n_13619),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2695]),
+	.Y(n_23225), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885322 (
+	.A1(n_13909),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2580]),
+	.B1(n_19719),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2925]),
+	.Y(n_23224), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885323 (
+	.A1(n_19747),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1575]),
+	.B1(n_19746),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1598]),
+	.Y(n_23223), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885324 (
+	.A1(n_19741),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1529]),
+	.B1(n_19745),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1552]),
+	.Y(n_23222), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885325 (
+	.A1(n_19793),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2672]),
+	.B1(n_13914),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2649]),
+	.Y(n_23221), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885326 (
+	.A1(n_19859),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [47]),
+	.B1(n_19681),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [70]),
+	.Y(n_23220), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885327 (
+	.A1(n_19696),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1621]),
+	.B1(n_19809),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1644]),
+	.Y(n_23219), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885328 (
+	.A1(n_13941),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2626]),
+	.B1(n_13907),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2603]),
+	.Y(n_23218), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885329 (
+	.A1(n_19744),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1483]),
+	.B1(n_19806),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1506]),
+	.Y(n_23217), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885330 (
+	.A1(n_19676),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1]),
+	.B1(n_19855),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [24]),
+	.Y(n_23216), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885331 (
+	.A1(n_19804),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2541]),
+	.B1(n_19805),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2564]),
+	.Y(n_23215), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885332 (
+	.A1(n_19788),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [257]),
+	.B1(n_19714),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [349]),
+	.Y(n_23214), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885333 (
+	.A1(n_19718),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [280]),
+	.B1(n_19761),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [303]),
+	.Y(n_23213), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885334 (
+	.A1(n_19742),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2495]),
+	.B1(n_19402),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2518]),
+	.Y(n_23212), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885335 (
+	.A1(n_19705),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [234]),
+	.B1(n_19787),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [326]),
+	.Y(n_23211), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885336 (
+	.A1(n_19739),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2449]),
+	.B1(n_19693),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2472]),
+	.Y(n_23210), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885337 (
+	.A1(n_19735),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2403]),
+	.B1(n_19403),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2426]),
+	.Y(n_23209), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885338 (
+	.A1(n_19713),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [188]),
+	.B1(n_19712),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [211]),
+	.Y(n_23208), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885339 (
+	.A1(n_19400),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2357]),
+	.B1(n_19802),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2380]),
+	.Y(n_23207), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885340 (
+	.A1(n_19676),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [21]),
+	.B1(n_19855),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [44]),
+	.Y(n_23206), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885341 (
+	.A1(n_19395),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2311]),
+	.B1(n_19733),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2334]),
+	.Y(n_23205), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885342 (
+	.A1(n_19701),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2854]),
+	.B1(n_19702),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2831]),
+	.Y(n_23204), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885343 (
+	.A1(n_19707),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [142]),
+	.B1(n_19694),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [119]),
+	.Y(n_23203), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885344 (
+	.A1(n_19401),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2265]),
+	.B1(n_19736),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2288]),
+	.Y(n_23202), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885345 (
+	.A1(n_19667),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [96]),
+	.B1(n_19708),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [73]),
+	.Y(n_23201), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885346 (
+	.A1(n_19801),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2219]),
+	.B1(n_19732),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2242]),
+	.Y(n_23200), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885347 (
+	.A1(n_19696),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1614]),
+	.B1(n_19809),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1637]),
+	.Y(n_23199), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885348 (
+	.A1(n_19674),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [189]),
+	.B1(n_19675),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [212]),
+	.Y(n_23198), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885349 (
+	.A1(n_19710),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [4]),
+	.B1(n_19666),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [50]),
+	.Y(n_23197), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885350 (
+	.A1(n_13582),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [793]),
+	.B1(n_19729),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [816]),
+	.Y(n_23196), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885351 (
+	.A1(n_19844),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2096]),
+	.B1(n_19847),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2142]),
+	.Y(n_23195), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885352 (
+	.A1(n_19842),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2050]),
+	.B1(n_19843),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2188]),
+	.Y(n_23194), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885353 (
+	.A1(n_19449),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2577]),
+	.B1(n_19692),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2922]),
+	.Y(n_23193), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885354 (
+	.A1(n_19790),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2895]),
+	.B1(n_19721),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2918]),
+	.Y(n_23192), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885355 (
+	.A1(n_13592),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [839]),
+	.B1(n_19726),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [862]),
+	.Y(n_23191), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885356 (
+	.A1(n_19840),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1981]),
+	.B1(n_19841),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2004]),
+	.Y(n_23190), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885357 (
+	.A1(n_19462),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2116]),
+	.B1(n_19464),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2139]),
+	.Y(n_23189), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885358 (
+	.A1(n_19789),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1935]),
+	.B1(n_19839),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1958]),
+	.Y(n_23188), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885359 (
+	.A1(n_19700),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2909]),
+	.B1(n_19699),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2886]),
+	.Y(n_23187), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885360 (
+	.A1(n_19451),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2872]),
+	.B1(n_19689),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2849]),
+	.Y(n_23186), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885361 (
+	.A1(n_13902),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [624]),
+	.B1(n_13611),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [670]),
+	.Y(n_23185), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885362 (
+	.A1(n_19701),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2863]),
+	.B1(n_19702),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2840]),
+	.Y(n_23184), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885363 (
+	.A1(n_19795),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2794]),
+	.B1(n_13629),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2817]),
+	.Y(n_23183), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885364 (
+	.A1(n_13924),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [578]),
+	.B1(n_13626),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [716]),
+	.Y(n_23182), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885365 (
+	.A1(n_19722),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2771]),
+	.B1(n_13927),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2748]),
+	.Y(n_23181), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885366 (
+	.A1(n_13604),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [509]),
+	.B1(n_13584),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [532]),
+	.Y(n_23180), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885367 (
+	.A1(n_13593),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [463]),
+	.B1(n_13922),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [486]),
+	.Y(n_23179), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885368 (
+	.A1(n_19792),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2725]),
+	.B1(n_13619),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2702]),
+	.Y(n_23178), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885369 (
+	.A1(n_19793),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2679]),
+	.B1(n_13914),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2656]),
+	.Y(n_23177), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885370 (
+	.A1(n_19453),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2803]),
+	.B1(n_19766),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2826]),
+	.Y(n_23176), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885371 (
+	.A1(n_19790),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2895]),
+	.B1(n_19721),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2918]),
+	.Y(n_23175), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885372 (
+	.A1(n_13909),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2587]),
+	.B1(n_19719),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2932]),
+	.Y(n_23174), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885373 (
+	.A1(n_19834),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2119]),
+	.B1(n_19678),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2165]),
+	.Y(n_23173), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885374 (
+	.A1(n_13941),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2633]),
+	.B1(n_13907),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2610]),
+	.Y(n_23172), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885375 (
+	.A1(n_19455),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2780]),
+	.B1(n_19758),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2757]),
+	.Y(n_23171), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885376 (
+	.A1(n_19832),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2027]),
+	.B1(n_19772),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2073]),
+	.Y(n_23170), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885377 (
+	.A1(n_19761),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [310]),
+	.B1(n_19714),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [356]),
+	.Y(n_23169), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885378 (
+	.A1(n_19718),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [287]),
+	.B1(n_19787),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [333]),
+	.Y(n_23168), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885379 (
+	.A1(n_19770),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1889]),
+	.B1(n_19831),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1866]),
+	.Y(n_23167), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885380 (
+	.A1(n_19684),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1843]),
+	.B1(n_19398),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1912]),
+	.Y(n_23166), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885381 (
+	.A1(n_19705),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [241]),
+	.B1(n_19788),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [264]),
+	.Y(n_23165), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885382 (
+	.A1(n_19713),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [195]),
+	.B1(n_19712),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [218]),
+	.Y(n_23164), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885383 (
+	.A1(n_13627),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [647]),
+	.B1(n_13631),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [693]),
+	.Y(n_23163), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885384 (
+	.A1(n_13648),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [555]),
+	.B1(n_13639),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [601]),
+	.Y(n_23162), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885385 (
+	.A1(n_19707),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [149]),
+	.B1(n_19694),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [126]),
+	.Y(n_23161), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885386 (
+	.A1(n_19456),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2600]),
+	.B1(n_13845),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2623]),
+	.Y(n_23160), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885387 (
+	.A1(n_19451),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2872]),
+	.B1(n_19689),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2849]),
+	.Y(n_23159), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885388 (
+	.A1(n_19452),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2711]),
+	.B1(n_19743),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2734]),
+	.Y(n_23158), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885389 (
+	.A1(n_19768),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [394]),
+	.B1(n_13928),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [440]),
+	.Y(n_23157), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885390 (
+	.A1(n_19667),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [103]),
+	.B1(n_19708),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [80]),
+	.Y(n_23156), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885391 (
+	.A1(n_19686),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [371]),
+	.B1(n_19763),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [417]),
+	.Y(n_23155), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885392 (
+	.A1(n_19710),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [11]),
+	.B1(n_19666),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [57]),
+	.Y(n_23154), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885393 (
+	.A1(n_19454),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2688]),
+	.B1(n_19796),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2665]),
+	.Y(n_23153), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885394 (
+	.A1(n_19824),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1360]),
+	.B1(n_19825),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1406]),
+	.Y(n_23152), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885395 (
+	.A1(n_19790),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2886]),
+	.B1(n_19721),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2909]),
+	.Y(n_23151), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885396 (
+	.A1(n_19455),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2771]),
+	.B1(n_19758),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2748]),
+	.Y(n_23150), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885397 (
+	.A1(n_19451),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2863]),
+	.B1(n_19689),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2840]),
+	.Y(n_23149), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885398 (
+	.A1(n_19453),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2794]),
+	.B1(n_19766),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2817]),
+	.Y(n_23148), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885399 (
+	.A1(n_19823),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1337]),
+	.B1(n_19760),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1383]),
+	.Y(n_23147), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885400 (
+	.A1(n_19452),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2702]),
+	.B1(n_19743),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2725]),
+	.Y(n_23146), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885401 (
+	.A1(n_19703),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1709]),
+	.B1(n_19838),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1732]),
+	.Y(n_23145), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885402 (
+	.A1(n_19449),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2596]),
+	.B1(n_19692),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2941]),
+	.Y(n_23144), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885403 (
+	.A1(n_19449),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2587]),
+	.B1(n_19692),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2932]),
+	.Y(n_23143), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885404 (
+	.A1(n_19454),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2679]),
+	.B1(n_19796),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2656]),
+	.Y(n_23142), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885405 (
+	.A1(n_19456),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2610]),
+	.B1(n_13845),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2633]),
+	.Y(n_23141), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885406 (
+	.A1(n_19453),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2803]),
+	.B1(n_19766),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2826]),
+	.Y(n_23140), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885407 (
+	.A1(n_19448),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2449]),
+	.B1(n_19709),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2472]),
+	.Y(n_23139), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885408 (
+	.A1(n_19457),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2495]),
+	.B1(n_19856),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2518]),
+	.Y(n_23138), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885409 (
+	.A1(n_19816),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1751]),
+	.B1(n_19757),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1774]),
+	.Y(n_23137), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885410 (
+	.A1(n_19753),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1705]),
+	.B1(n_19754),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1728]),
+	.Y(n_23136), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885411 (
+	.A1(n_19458),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2541]),
+	.B1(n_19807),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2564]),
+	.Y(n_23135), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885412 (
+	.A1(n_19446),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2403]),
+	.B1(n_19711),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2426]),
+	.Y(n_23134), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885413 (
+	.A1(n_19456),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2619]),
+	.B1(n_13845),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2642]),
+	.Y(n_23133), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885414 (
+	.A1(n_19691),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1797]),
+	.B1(n_19818),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1820]),
+	.Y(n_23132), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885415 (
+	.A1(n_19875),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2265]),
+	.B1(n_19880),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2288]),
+	.Y(n_23131), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885416 (
+	.A1(n_19442),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2311]),
+	.B1(n_19672),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2334]),
+	.Y(n_23130), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885417 (
+	.A1(n_19815),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1659]),
+	.B1(n_19755),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1682]),
+	.Y(n_23129), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885418 (
+	.A1(n_19445),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2357]),
+	.B1(n_19870),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2380]),
+	.Y(n_23128), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885419 (
+	.A1(n_19466),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2219]),
+	.B1(n_19883),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2242]),
+	.Y(n_23127), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885420 (
+	.A1(n_13869),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2173]),
+	.B1(n_19396),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2196]),
+	.Y(n_23126), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885421 (
+	.A1(n_19752),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1291]),
+	.B1(n_19814),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1222]),
+	.Y(n_23125), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885422 (
+	.A1(n_19462),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2127]),
+	.B1(n_19464),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2150]),
+	.Y(n_23124), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885423 (
+	.A1(n_19455),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2780]),
+	.B1(n_19758),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2757]),
+	.Y(n_23123), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885424 (
+	.A1(n_19750),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1199]),
+	.B1(n_19751),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1245]),
+	.Y(n_23122), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885425 (
+	.A1(n_19460),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2035]),
+	.B1(n_19848),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2058]),
+	.Y(n_23121), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885426 (
+	.A1(n_19458),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2550]),
+	.B1(n_19807),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2573]),
+	.Y(n_23120), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885427 (
+	.A1(n_19813),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1153]),
+	.B1(n_19748),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1176]),
+	.Y(n_23119), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885428 (
+	.A1(n_19679),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1989]),
+	.B1(n_13772),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2012]),
+	.Y(n_23118), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885429 (
+	.A1(n_19854),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1943]),
+	.B1(n_19830),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1966]),
+	.Y(n_23117), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885430 (
+	.A1(n_19817),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1897]),
+	.B1(n_19826),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1920]),
+	.Y(n_23116), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885431 (
+	.A1(n_13474),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1851]),
+	.B1(n_19810),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1874]),
+	.Y(n_23115), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885432 (
+	.A1(n_19457),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2504]),
+	.B1(n_19856),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2527]),
+	.Y(n_23114), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885433 (
+	.A1(n_19696),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1613]),
+	.B1(n_19809),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1636]),
+	.Y(n_23113), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885434 (
+	.A1(n_19846),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1805]),
+	.B1(n_19803),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1828]),
+	.Y(n_23112), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885435 (
+	.A1(n_19703),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1713]),
+	.B1(n_19838),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1736]),
+	.Y(n_23111), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885436 (
+	.A1(n_19741),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1521]),
+	.B1(n_19745),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1544]),
+	.Y(n_23110), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885437 (
+	.A1(n_19680),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1759]),
+	.B1(n_19845),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1782]),
+	.Y(n_23109), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885438 (
+	.A1(n_19829),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1667]),
+	.B1(n_19833),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1690]),
+	.Y(n_23108), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885439 (
+	.A1(n_19747),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1567]),
+	.B1(n_19746),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1590]),
+	.Y(n_23107), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885440 (
+	.A1(n_19697),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1621]),
+	.B1(n_19759),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1644]),
+	.Y(n_23106), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885441 (
+	.A1(n_19808),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1575]),
+	.B1(n_19812),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1598]),
+	.Y(n_23105), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885442 (
+	.A1(n_19744),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1475]),
+	.B1(n_19806),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1498]),
+	.Y(n_23104), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885443 (
+	.A1(n_19448),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2458]),
+	.B1(n_19709),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2481]),
+	.Y(n_23103), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885444 (
+	.A1(n_19734),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1529]),
+	.B1(n_19740),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1552]),
+	.Y(n_23102), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885445 (
+	.A1(n_19799),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1483]),
+	.B1(n_13798),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1506]),
+	.Y(n_23101), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885446 (
+	.A1(n_19700),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2900]),
+	.B1(n_19699),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2877]),
+	.Y(n_23100), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885447 (
+	.A1(n_19452),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2711]),
+	.B1(n_19743),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2734]),
+	.Y(n_23099), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885448 (
+	.A1(n_19451),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2873]),
+	.B1(n_19689),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2850]),
+	.Y(n_23098), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885449 (
+	.A1(n_19887),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1345]),
+	.B1(n_19889),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1368]),
+	.Y(n_23097), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885450 (
+	.A1(n_19804),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2533]),
+	.B1(n_19805),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2556]),
+	.Y(n_23096), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885451 (
+	.A1(n_19467),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1391]),
+	.B1(n_19892),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1414]),
+	.Y(n_23095), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885452 (
+	.A1(n_19468),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1437]),
+	.B1(n_19794),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1460]),
+	.Y(n_23094), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885453 (
+	.A1(n_19742),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2487]),
+	.B1(n_19402),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2510]),
+	.Y(n_23093), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885454 (
+	.A1(n_19469),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1299]),
+	.B1(n_19894),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1322]),
+	.Y(n_23092), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885455 (
+	.A1(n_19817),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1891]),
+	.B1(n_19826),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1914]),
+	.Y(n_23091), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885456 (
+	.A1(n_19446),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2412]),
+	.B1(n_19711),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2435]),
+	.Y(n_23090), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885457 (
+	.A1(n_19819),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1161]),
+	.B1(n_19882),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1184]),
+	.Y(n_23089), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885458 (
+	.A1(n_19800),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1207]),
+	.B1(n_19884),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1230]),
+	.Y(n_23088), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885459 (
+	.A1(n_19739),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2441]),
+	.B1(n_19693),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2464]),
+	.Y(n_23087), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885460 (
+	.A1(n_19735),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2395]),
+	.B1(n_19403),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2418]),
+	.Y(n_23086), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885461 (
+	.A1(n_19858),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1115]),
+	.B1(n_19881),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1138]),
+	.Y(n_23085), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885462 (
+	.A1(n_19458),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2531]),
+	.B1(n_19807),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2554]),
+	.Y(n_23084), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885463 (
+	.A1(n_19874),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [977]),
+	.B1(n_19876),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1000]),
+	.Y(n_23083), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885464 (
+	.A1(n_19454),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2688]),
+	.B1(n_19796),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2665]),
+	.Y(n_23082), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885465 (
+	.A1(n_19400),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2349]),
+	.B1(n_19802),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2372]),
+	.Y(n_23081), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885466 (
+	.A1(n_19878),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1023]),
+	.B1(n_19879),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1046]),
+	.Y(n_23080), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885467 (
+	.A1(n_19445),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2366]),
+	.B1(n_19870),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2389]),
+	.Y(n_23079), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885468 (
+	.A1(n_19893),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1069]),
+	.B1(n_19827),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1092]),
+	.Y(n_23078), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885469 (
+	.A1(n_19395),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2303]),
+	.B1(n_19733),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2326]),
+	.Y(n_23077), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885470 (
+	.A1(n_19401),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2257]),
+	.B1(n_19736),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2280]),
+	.Y(n_23076), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885471 (
+	.A1(n_19669),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [931]),
+	.B1(n_19670),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [954]),
+	.Y(n_23075), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885472 (
+	.A1(n_19442),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2320]),
+	.B1(n_19672),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2343]),
+	.Y(n_23074), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885473 (
+	.A1(n_19865),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [793]),
+	.B1(n_19867),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [816]),
+	.Y(n_23073), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885474 (
+	.A1(n_19801),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2211]),
+	.B1(n_19732),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2234]),
+	.Y(n_23072), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885475 (
+	.A1(n_19869),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [839]),
+	.B1(n_19864),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [862]),
+	.Y(n_23071), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885476 (
+	.A1(n_19866),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [885]),
+	.B1(n_19871),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [908]),
+	.Y(n_23070), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885477 (
+	.A1(n_19860),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [747]),
+	.B1(n_19861),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [770]),
+	.Y(n_23069), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885478 (
+	.A1(n_19851),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [609]),
+	.B1(n_19872),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [632]),
+	.Y(n_23068), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885479 (
+	.A1(n_19895),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [655]),
+	.B1(n_19730),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [678]),
+	.Y(n_23067), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885480 (
+	.A1(n_19891),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [701]),
+	.B1(n_19888),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [724]),
+	.Y(n_23066), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885481 (
+	.A1(n_13582),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [785]),
+	.B1(n_19729),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [808]),
+	.Y(n_23065), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885482 (
+	.A1(n_19738),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [563]),
+	.B1(n_19737),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [586]),
+	.Y(n_23064), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885483 (
+	.A1(n_19756),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [425]),
+	.B1(n_19769),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [448]),
+	.Y(n_23063), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885484 (
+	.A1(n_19449),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2596]),
+	.B1(n_19692),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2941]),
+	.Y(n_23062), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885485 (
+	.A1(n_19852),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [471]),
+	.B1(n_19820),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [494]),
+	.Y(n_23061), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885486 (
+	.A1(n_19875),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2274]),
+	.B1(n_19880),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2297]),
+	.Y(n_23060), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885487 (
+	.A1(n_19671),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [517]),
+	.B1(n_19863),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [540]),
+	.Y(n_23059), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885488 (
+	.A1(n_19690),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [379]),
+	.B1(n_19890),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [402]),
+	.Y(n_23058), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885489 (
+	.A1(n_19466),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2228]),
+	.B1(n_19883),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2251]),
+	.Y(n_23057), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885490 (
+	.A1(n_19853),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [241]),
+	.B1(n_19868),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [264]),
+	.Y(n_23056), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885491 (
+	.A1(n_13918),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [946]),
+	.B1(n_13609),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1038]),
+	.Y(n_23055), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885492 (
+	.A1(n_13869),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2169]),
+	.B1(n_19396),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2192]),
+	.Y(n_23054), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885493 (
+	.A1(n_19716),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [287]),
+	.B1(n_19687),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [310]),
+	.Y(n_23053), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885494 (
+	.A1(n_19828),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [333]),
+	.B1(n_19877),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [356]),
+	.Y(n_23052), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885495 (
+	.A1(n_19674),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [195]),
+	.B1(n_19675),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [218]),
+	.Y(n_23051), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885496 (
+	.A1(n_13660),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [877]),
+	.B1(n_13659),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [992]),
+	.Y(n_23050), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885497 (
+	.A1(n_19859),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [57]),
+	.B1(n_19681),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [80]),
+	.Y(n_23049), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885498 (
+	.A1(n_19682),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [103]),
+	.B1(n_19862),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [126]),
+	.Y(n_23048), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885499 (
+	.A1(n_13592),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [831]),
+	.B1(n_19726),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [854]),
+	.Y(n_23047), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885500 (
+	.A1(n_19668),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [149]),
+	.B1(n_19873),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [172]),
+	.Y(n_23046), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885501 (
+	.A1(n_19676),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [11]),
+	.B1(n_19855),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [34]),
+	.Y(n_23045), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885502 (
+	.A1(n_19456),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2619]),
+	.B1(n_13845),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2642]),
+	.Y(n_23044), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885503 (
+	.A1(n_19700),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2901]),
+	.B1(n_19699),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2878]),
+	.Y(n_23043), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885504 (
+	.A1(n_19790),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2885]),
+	.B1(n_19721),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2908]),
+	.Y(n_23042), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885505 (
+	.A1(n_19701),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2855]),
+	.B1(n_19702),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2832]),
+	.Y(n_23041), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885506 (
+	.A1(n_19455),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2770]),
+	.B1(n_19758),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2747]),
+	.Y(n_23040), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885507 (
+	.A1(n_19468),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1446]),
+	.B1(n_19794),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1469]),
+	.Y(n_23039), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885508 (
+	.A1(n_19795),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2786]),
+	.B1(n_13629),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2809]),
+	.Y(n_23038), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885509 (
+	.A1(n_19451),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2862]),
+	.B1(n_19689),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2839]),
+	.Y(n_23037), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885510 (
+	.A1(n_19453),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2793]),
+	.B1(n_19766),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2816]),
+	.Y(n_23036), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885511 (
+	.A1(n_19722),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2763]),
+	.B1(n_13927),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2740]),
+	.Y(n_23035), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885512 (
+	.A1(n_19467),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1400]),
+	.B1(n_19892),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1423]),
+	.Y(n_23034), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885513 (
+	.A1(n_19452),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2701]),
+	.B1(n_19743),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2724]),
+	.Y(n_23033), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885514 (
+	.A1(n_19457),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2485]),
+	.B1(n_19856),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2508]),
+	.Y(n_23032), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885515 (
+	.A1(n_19792),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2717]),
+	.B1(n_13619),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2694]),
+	.Y(n_23031), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885516 (
+	.A1(n_19793),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2671]),
+	.B1(n_13914),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2648]),
+	.Y(n_23030), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885517 (
+	.A1(n_19454),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2678]),
+	.B1(n_19796),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2655]),
+	.Y(n_23029), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885518 (
+	.A1(n_19456),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2609]),
+	.B1(n_13845),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2632]),
+	.Y(n_23028), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885519 (
+	.A1(n_13909),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2579]),
+	.B1(n_19719),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2924]),
+	.Y(n_23027), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885520 (
+	.A1(n_13941),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2625]),
+	.B1(n_13907),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2602]),
+	.Y(n_23026), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885521 (
+	.A1(n_19458),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2540]),
+	.B1(n_19807),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2563]),
+	.Y(n_23025), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885522 (
+	.A1(n_19887),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1354]),
+	.B1(n_19889),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1377]),
+	.Y(n_23024), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885523 (
+	.A1(n_19448),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2448]),
+	.B1(n_19709),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2471]),
+	.Y(n_23023), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885524 (
+	.A1(n_19458),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2550]),
+	.B1(n_19807),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2573]),
+	.Y(n_23022), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885525 (
+	.A1(n_19457),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2494]),
+	.B1(n_19856),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2517]),
+	.Y(n_23021), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885526 (
+	.A1(n_19761),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [302]),
+	.B1(n_19714),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [348]),
+	.Y(n_23020), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885527 (
+	.A1(n_19446),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2402]),
+	.B1(n_19711),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2425]),
+	.Y(n_23019), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885528 (
+	.A1(n_19834),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2138]),
+	.B1(n_19678),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2184]),
+	.Y(n_23018), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885529 (
+	.A1(n_19718),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [279]),
+	.B1(n_19787),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [325]),
+	.Y(n_23017), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885530 (
+	.A1(n_19469),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1308]),
+	.B1(n_19894),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1331]),
+	.Y(n_23016), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885531 (
+	.A1(n_19875),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2264]),
+	.B1(n_19880),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2287]),
+	.Y(n_23015), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885532 (
+	.A1(n_19705),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [233]),
+	.B1(n_19788),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [256]),
+	.Y(n_23014), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885533 (
+	.A1(n_19442),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2310]),
+	.B1(n_19672),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2333]),
+	.Y(n_23013), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885534 (
+	.A1(n_19713),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [187]),
+	.B1(n_19712),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [210]),
+	.Y(n_23012), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885535 (
+	.A1(n_19445),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2356]),
+	.B1(n_19870),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2379]),
+	.Y(n_23011), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885536 (
+	.A1(n_19466),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2218]),
+	.B1(n_19883),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2241]),
+	.Y(n_23010), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885537 (
+	.A1(n_19707),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [141]),
+	.B1(n_19694),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [118]),
+	.Y(n_23009), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885538 (
+	.A1(n_19457),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2504]),
+	.B1(n_19856),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2527]),
+	.Y(n_23008), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885539 (
+	.A1(n_13794),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2080]),
+	.B1(n_19397),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2103]),
+	.Y(n_23007), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885540 (
+	.A1(n_19885),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1262]),
+	.B1(n_19886),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1285]),
+	.Y(n_23006), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885541 (
+	.A1(n_19462),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2126]),
+	.B1(n_19464),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2149]),
+	.Y(n_23005), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885542 (
+	.A1(n_19667),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [95]),
+	.B1(n_19708),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [72]),
+	.Y(n_23004), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885543 (
+	.A1(n_13869),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2172]),
+	.B1(n_19396),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2195]),
+	.Y(n_23003), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885544 (
+	.A1(n_19460),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2034]),
+	.B1(n_19848),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2057]),
+	.Y(n_23002), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885545 (
+	.A1(n_19800),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1216]),
+	.B1(n_19884),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1239]),
+	.Y(n_23001), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885546 (
+	.A1(n_19710),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [3]),
+	.B1(n_19666),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [49]),
+	.Y(n_23000), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885547 (
+	.A1(n_19817),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1896]),
+	.B1(n_19826),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1919]),
+	.Y(n_22999), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885548 (
+	.A1(n_19854),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1942]),
+	.B1(n_19830),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1965]),
+	.Y(n_22998), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885549 (
+	.A1(n_19679),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1988]),
+	.B1(n_13772),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2011]),
+	.Y(n_22997), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885550 (
+	.A1(n_13474),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1850]),
+	.B1(n_19810),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1873]),
+	.Y(n_22996), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885551 (
+	.A1(n_19819),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1170]),
+	.B1(n_19882),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1193]),
+	.Y(n_22995), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885552 (
+	.A1(n_19703),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1712]),
+	.B1(n_19838),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1735]),
+	.Y(n_22994), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885553 (
+	.A1(n_19448),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2458]),
+	.B1(n_19709),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2481]),
+	.Y(n_22993), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885554 (
+	.A1(n_19680),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1758]),
+	.B1(n_19845),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1781]),
+	.Y(n_22992), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885555 (
+	.A1(n_19667),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [96]),
+	.B1(n_19708),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [73]),
+	.Y(n_22991), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885556 (
+	.A1(n_19448),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2439]),
+	.B1(n_19709),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2462]),
+	.Y(n_22990), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885557 (
+	.A1(n_19846),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1804]),
+	.B1(n_19803),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1827]),
+	.Y(n_22989), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885558 (
+	.A1(n_19858),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1124]),
+	.B1(n_19881),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1147]),
+	.Y(n_22988), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885559 (
+	.A1(n_19829),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1666]),
+	.B1(n_19833),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1689]),
+	.Y(n_22987), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885560 (
+	.A1(n_19751),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1260]),
+	.B1(n_19814),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1237]),
+	.Y(n_22986), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885561 (
+	.A1(n_19734),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1528]),
+	.B1(n_19740),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1551]),
+	.Y(n_22985), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885562 (
+	.A1(n_19808),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1574]),
+	.B1(n_19812),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1597]),
+	.Y(n_22984), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885563 (
+	.A1(n_19858),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1121]),
+	.B1(n_19881),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1144]),
+	.Y(n_22983), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885564 (
+	.A1(n_19750),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1214]),
+	.B1(n_19748),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1191]),
+	.Y(n_22982), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885565 (
+	.A1(n_19697),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1620]),
+	.B1(n_19759),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1643]),
+	.Y(n_22981), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885566 (
+	.A1(n_19760),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1398]),
+	.B1(n_19688),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1444]),
+	.Y(n_22980), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885567 (
+	.A1(n_19799),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1482]),
+	.B1(n_13798),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1505]),
+	.Y(n_22979), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885568 (
+	.A1(n_19811),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1122]),
+	.B1(n_19813),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1168]),
+	.Y(n_22978), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885569 (
+	.A1(n_19446),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2412]),
+	.B1(n_19711),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2435]),
+	.Y(n_22977), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885570 (
+	.A1(n_19819),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1167]),
+	.B1(n_19882),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1190]),
+	.Y(n_22976), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885571 (
+	.A1(n_19468),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1436]),
+	.B1(n_19794),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1459]),
+	.Y(n_22975), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885572 (
+	.A1(n_19874),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [986]),
+	.B1(n_19876),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1009]),
+	.Y(n_22974), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885573 (
+	.A1(n_19467),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1390]),
+	.B1(n_19892),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1413]),
+	.Y(n_22973), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885574 (
+	.A1(n_19887),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1344]),
+	.B1(n_19889),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1367]),
+	.Y(n_22972), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885575 (
+	.A1(n_19469),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1298]),
+	.B1(n_19894),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1321]),
+	.Y(n_22971), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885576 (
+	.A1(n_13869),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2162]),
+	.B1(n_19396),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2185]),
+	.Y(n_22970), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885577 (
+	.A1(n_19878),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1032]),
+	.B1(n_19879),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1055]),
+	.Y(n_22969), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885578 (
+	.A1(n_19691),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1812]),
+	.B1(n_19818),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1835]),
+	.Y(n_22968), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885579 (
+	.A1(n_19885),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1252]),
+	.B1(n_19886),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1275]),
+	.Y(n_22967), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885580 (
+	.A1(n_19816),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1766]),
+	.B1(n_19757),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1789]),
+	.Y(n_22966), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885581 (
+	.A1(n_19800),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1206]),
+	.B1(n_19884),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1229]),
+	.Y(n_22965), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885582 (
+	.A1(n_19819),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1160]),
+	.B1(n_19882),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1183]),
+	.Y(n_22964), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885583 (
+	.A1(n_19753),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1720]),
+	.B1(n_19754),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1743]),
+	.Y(n_22963), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885584 (
+	.A1(n_19858),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1114]),
+	.B1(n_19881),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1137]),
+	.Y(n_22962), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885585 (
+	.A1(n_19815),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1674]),
+	.B1(n_19755),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1697]),
+	.Y(n_22961), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885586 (
+	.A1(n_19445),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2366]),
+	.B1(n_19870),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2389]),
+	.Y(n_22960), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885587 (
+	.A1(n_19893),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1078]),
+	.B1(n_19827),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1101]),
+	.Y(n_22959), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885588 (
+	.A1(n_19893),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1068]),
+	.B1(n_19827),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1091]),
+	.Y(n_22958), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885589 (
+	.A1(n_19878),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1022]),
+	.B1(n_19879),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1045]),
+	.Y(n_22957), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885590 (
+	.A1(n_19787),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [340]),
+	.B1(n_19714),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [363]),
+	.Y(n_22956), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885591 (
+	.A1(n_19718),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [294]),
+	.B1(n_19761),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [317]),
+	.Y(n_22955), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885592 (
+	.A1(n_19874),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [976]),
+	.B1(n_19876),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [999]),
+	.Y(n_22954), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885593 (
+	.A1(n_19669),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [930]),
+	.B1(n_19670),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [953]),
+	.Y(n_22953), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885594 (
+	.A1(n_19712),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [225]),
+	.B1(n_19788),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [271]),
+	.Y(n_22952), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885595 (
+	.A1(n_19669),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [940]),
+	.B1(n_19670),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [963]),
+	.Y(n_22951), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885596 (
+	.A1(n_19713),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [202]),
+	.B1(n_19705),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [248]),
+	.Y(n_22950), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885597 (
+	.A1(n_19869),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [838]),
+	.B1(n_19864),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [861]),
+	.Y(n_22949), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885598 (
+	.A1(n_19446),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2393]),
+	.B1(n_19711),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2416]),
+	.Y(n_22948), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885599 (
+	.A1(n_19865),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [792]),
+	.B1(n_19867),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [815]),
+	.Y(n_22947), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885600 (
+	.A1(n_19667),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [97]),
+	.B1(n_19707),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [143]),
+	.Y(n_22946), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885601 (
+	.A1(n_19860),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [746]),
+	.B1(n_19861),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [769]),
+	.Y(n_22945), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885602 (
+	.A1(n_19844),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2111]),
+	.B1(n_19847),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2157]),
+	.Y(n_22944), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885603 (
+	.A1(n_19442),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2320]),
+	.B1(n_19672),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2343]),
+	.Y(n_22943), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885604 (
+	.A1(n_19840),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1996]),
+	.B1(n_19839),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1973]),
+	.Y(n_22942), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885605 (
+	.A1(n_19891),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [700]),
+	.B1(n_19888),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [723]),
+	.Y(n_22941), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885606 (
+	.A1(n_19865),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [802]),
+	.B1(n_19867),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [825]),
+	.Y(n_22940), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885607 (
+	.A1(n_19895),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [654]),
+	.B1(n_19730),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [677]),
+	.Y(n_22939), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885608 (
+	.A1(n_19842),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2065]),
+	.B1(n_19843),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2203]),
+	.Y(n_22938), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885609 (
+	.A1(n_19831),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1881]),
+	.B1(n_19841),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2019]),
+	.Y(n_22937), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885610 (
+	.A1(n_19851),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [608]),
+	.B1(n_19872),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [631]),
+	.Y(n_22936), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885611 (
+	.A1(n_19738),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [562]),
+	.B1(n_19737),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [585]),
+	.Y(n_22935), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885612 (
+	.A1(n_19869),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [848]),
+	.B1(n_19864),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [871]),
+	.Y(n_22934), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885613 (
+	.A1(n_13902),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [639]),
+	.B1(n_13611),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [685]),
+	.Y(n_22933), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885614 (
+	.A1(n_19671),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [516]),
+	.B1(n_19863),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [539]),
+	.Y(n_22932), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885615 (
+	.A1(n_13604),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [524]),
+	.B1(n_13922),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [501]),
+	.Y(n_22931), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885616 (
+	.A1(n_19852),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [470]),
+	.B1(n_19820),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [493]),
+	.Y(n_22930), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885617 (
+	.A1(n_19756),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [424]),
+	.B1(n_19769),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [447]),
+	.Y(n_22929), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885618 (
+	.A1(n_13924),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [593]),
+	.B1(n_13626),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [731]),
+	.Y(n_22928), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885619 (
+	.A1(n_19690),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [378]),
+	.B1(n_19890),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [401]),
+	.Y(n_22927), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885620 (
+	.A1(n_19768),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [409]),
+	.B1(n_13584),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [547]),
+	.Y(n_22926), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885621 (
+	.A1(n_19866),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [894]),
+	.B1(n_19871),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [917]),
+	.Y(n_22925), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885622 (
+	.A1(n_19828),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [332]),
+	.B1(n_19877),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [355]),
+	.Y(n_22924), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885623 (
+	.A1(n_19875),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2274]),
+	.B1(n_19880),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2297]),
+	.Y(n_22923), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885624 (
+	.A1(n_19716),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [286]),
+	.B1(n_19687),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [309]),
+	.Y(n_22922), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885625 (
+	.A1(n_19834),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2134]),
+	.B1(n_19678),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2180]),
+	.Y(n_22921), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885626 (
+	.A1(n_19832),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2042]),
+	.B1(n_19772),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2088]),
+	.Y(n_22920), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885627 (
+	.A1(n_19853),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [240]),
+	.B1(n_19868),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [263]),
+	.Y(n_22919), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885628 (
+	.A1(n_19674),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [194]),
+	.B1(n_19675),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [217]),
+	.Y(n_22918), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885629 (
+	.A1(n_19860),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [756]),
+	.B1(n_19861),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [779]),
+	.Y(n_22917), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885630 (
+	.A1(n_19789),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1950]),
+	.B1(n_19398),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1927]),
+	.Y(n_22916), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885631 (
+	.A1(n_19668),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [148]),
+	.B1(n_19873),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [171]),
+	.Y(n_22915), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885632 (
+	.A1(n_19684),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1858]),
+	.B1(n_19770),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1904]),
+	.Y(n_22914), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885633 (
+	.A1(n_19682),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [102]),
+	.B1(n_19862),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [125]),
+	.Y(n_22913), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885634 (
+	.A1(n_19466),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2228]),
+	.B1(n_19883),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2251]),
+	.Y(n_22912), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885635 (
+	.A1(n_19859),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [56]),
+	.B1(n_19681),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [79]),
+	.Y(n_22911), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885636 (
+	.A1(n_13627),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [662]),
+	.B1(n_13631),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [708]),
+	.Y(n_22910), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885637 (
+	.A1(n_19676),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [10]),
+	.B1(n_19855),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [33]),
+	.Y(n_22909), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885638 (
+	.A1(n_13648),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [570]),
+	.B1(n_13639),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [616]),
+	.Y(n_22908), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885639 (
+	.A1(n_19460),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2040]),
+	.B1(n_19848),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2063]),
+	.Y(n_22907), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885640 (
+	.A1(n_13593),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [478]),
+	.B1(n_13928),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [455]),
+	.Y(n_22906), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885641 (
+	.A1(n_19790),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2885]),
+	.B1(n_19721),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2908]),
+	.Y(n_22905), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885642 (
+	.A1(n_19451),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2862]),
+	.B1(n_19689),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2839]),
+	.Y(n_22904), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885643 (
+	.A1(n_13869),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2182]),
+	.B1(n_19396),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2205]),
+	.Y(n_22903), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885644 (
+	.A1(n_19686),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [386]),
+	.B1(n_19763),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [432]),
+	.Y(n_22902), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885645 (
+	.A1(n_19453),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2793]),
+	.B1(n_19766),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2816]),
+	.Y(n_22901), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885646 (
+	.A1(n_19455),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2770]),
+	.B1(n_19758),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2747]),
+	.Y(n_22900), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885647 (
+	.A1(n_19445),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2347]),
+	.B1(n_19870),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2370]),
+	.Y(n_22899), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885648 (
+	.A1(n_19700),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2916]),
+	.B1(n_19699),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2893]),
+	.Y(n_22898), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885649 (
+	.A1(n_19452),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2701]),
+	.B1(n_19743),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2724]),
+	.Y(n_22897), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885650 (
+	.A1(n_19462),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2136]),
+	.B1(n_19464),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2159]),
+	.Y(n_22896), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885651 (
+	.A1(n_19701),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2870]),
+	.B1(n_19702),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2847]),
+	.Y(n_22895), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885652 (
+	.A1(n_19449),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2586]),
+	.B1(n_19692),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2931]),
+	.Y(n_22894), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885653 (
+	.A1(n_19795),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2801]),
+	.B1(n_13629),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2824]),
+	.Y(n_22893), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885654 (
+	.A1(n_19456),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2609]),
+	.B1(n_13845),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2632]),
+	.Y(n_22892), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885655 (
+	.A1(n_19722),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2778]),
+	.B1(n_13927),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2755]),
+	.Y(n_22891), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885656 (
+	.A1(n_19448),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2448]),
+	.B1(n_19709),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2471]),
+	.Y(n_22890), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885657 (
+	.A1(n_13794),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2090]),
+	.B1(n_19397),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2113]),
+	.Y(n_22889), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885658 (
+	.A1(n_19792),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2732]),
+	.B1(n_13619),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2709]),
+	.Y(n_22888), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885659 (
+	.A1(n_19457),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2494]),
+	.B1(n_19856),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2517]),
+	.Y(n_22887), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885660 (
+	.A1(n_19793),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2686]),
+	.B1(n_13914),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2663]),
+	.Y(n_22886), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885661 (
+	.A1(n_19458),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2540]),
+	.B1(n_19807),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2563]),
+	.Y(n_22885), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885662 (
+	.A1(n_19446),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2402]),
+	.B1(n_19711),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2425]),
+	.Y(n_22884), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885663 (
+	.A1(n_19468),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1446]),
+	.B1(n_19794),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1469]),
+	.Y(n_22883), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885664 (
+	.A1(n_13909),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2594]),
+	.B1(n_19719),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2939]),
+	.Y(n_22882), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885665 (
+	.A1(n_13941),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2640]),
+	.B1(n_13907),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2617]),
+	.Y(n_22881), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885666 (
+	.A1(n_19445),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2356]),
+	.B1(n_19870),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2379]),
+	.Y(n_22880), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885667 (
+	.A1(n_19442),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2310]),
+	.B1(n_19672),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2333]),
+	.Y(n_22879), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885668 (
+	.A1(n_19460),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2044]),
+	.B1(n_19848),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2067]),
+	.Y(n_22878), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885669 (
+	.A1(n_19875),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2264]),
+	.B1(n_19880),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2287]),
+	.Y(n_22877), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885670 (
+	.A1(n_19696),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1628]),
+	.B1(n_19809),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1651]),
+	.Y(n_22876), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885671 (
+	.A1(n_19466),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2218]),
+	.B1(n_19883),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2241]),
+	.Y(n_22875), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885672 (
+	.A1(n_19467),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1400]),
+	.B1(n_19892),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1423]),
+	.Y(n_22874), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885673 (
+	.A1(n_19747),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1582]),
+	.B1(n_19746),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1605]),
+	.Y(n_22873), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885674 (
+	.A1(n_19468),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1436]),
+	.B1(n_19794),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1459]),
+	.Y(n_22872), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885675 (
+	.A1(n_19679),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1998]),
+	.B1(n_13772),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2021]),
+	.Y(n_22871), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885676 (
+	.A1(n_19741),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1536]),
+	.B1(n_19745),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1559]),
+	.Y(n_22870), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885677 (
+	.A1(n_19467),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1390]),
+	.B1(n_19892),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1413]),
+	.Y(n_22869), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885678 (
+	.A1(n_13924),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [597]),
+	.B1(n_13902),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [643]),
+	.Y(n_22868), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885679 (
+	.A1(n_19887),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1344]),
+	.B1(n_19889),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1367]),
+	.Y(n_22867), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885680 (
+	.A1(n_19744),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1490]),
+	.B1(n_19806),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1513]),
+	.Y(n_22866), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885681 (
+	.A1(n_19469),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1298]),
+	.B1(n_19894),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1321]),
+	.Y(n_22865), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885682 (
+	.A1(n_19854),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1952]),
+	.B1(n_19830),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1975]),
+	.Y(n_22864), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885683 (
+	.A1(n_19707),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [156]),
+	.B1(n_19694),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [133]),
+	.Y(n_22863), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885684 (
+	.A1(n_19885),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1252]),
+	.B1(n_19886),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1275]),
+	.Y(n_22862), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885685 (
+	.A1(n_19800),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1206]),
+	.B1(n_19884),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1229]),
+	.Y(n_22861), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885686 (
+	.A1(n_19667),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [110]),
+	.B1(n_19708),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [87]),
+	.Y(n_22860), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885687 (
+	.A1(n_19819),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1160]),
+	.B1(n_19882),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1183]),
+	.Y(n_22859), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885688 (
+	.A1(n_19710),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [18]),
+	.B1(n_19666),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [64]),
+	.Y(n_22858), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885689 (
+	.A1(n_19858),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1114]),
+	.B1(n_19881),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1137]),
+	.Y(n_22857), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885690 (
+	.A1(n_13922),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [486]),
+	.B1(n_13611),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [670]),
+	.Y(n_22856), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885691 (
+	.A1(n_19442),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2301]),
+	.B1(n_19672),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2324]),
+	.Y(n_22855), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885692 (
+	.A1(n_19817),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1906]),
+	.B1(n_19826),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1929]),
+	.Y(n_22854), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885693 (
+	.A1(n_19874),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [976]),
+	.B1(n_19876),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [999]),
+	.Y(n_22853), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885694 (
+	.A1(n_19887),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1354]),
+	.B1(n_19889),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1377]),
+	.Y(n_22852), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885695 (
+	.A1(n_19878),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1022]),
+	.B1(n_19879),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1045]),
+	.Y(n_22851), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885696 (
+	.A1(n_13474),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1860]),
+	.B1(n_19810),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1883]),
+	.Y(n_22850), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885697 (
+	.A1(n_19400),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2364]),
+	.B1(n_19802),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2387]),
+	.Y(n_22849), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885698 (
+	.A(n_19927),
+	.B(n_19978),
+	.Y(n_33597), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885699 (
+	.A(n_19931),
+	.B(n_19977),
+	.Y(n_33602), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885700 (
+	.A(n_19935),
+	.B(n_19977),
+	.Y(n_33600), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885701 (
+	.A(n_19947),
+	.B(n_19986),
+	.Y(n_33655), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885702 (
+	.A(n_19927),
+	.B(n_19986),
+	.Y(n_33661), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885703 (
+	.A(n_19950),
+	.B(n_19986),
+	.Y(n_33658), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885704 (
+	.A(n_19951),
+	.B(n_19986),
+	.Y(n_33653), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885705 (
+	.A(n_19931),
+	.B(n_19986),
+	.Y(n_33650), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885706 (
+	.A(n_19935),
+	.B(n_19986),
+	.Y(n_33648), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885707 (
+	.A(n_19936),
+	.B(n_19986),
+	.Y(n_33652), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885708 (
+	.A(n_19947),
+	.B(n_19920),
+	.Y(n_33639), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885709 (
+	.A(n_19950),
+	.B(n_19920),
+	.Y(n_33642), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885710 (
+	.A(n_19945),
+	.B(n_19920),
+	.Y(n_33644), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885711 (
+	.A(n_19931),
+	.B(n_19920),
+	.Y(n_33634), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885712 (
+	.A(n_19947),
+	.B(n_19985),
+	.Y(n_33623), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885713 (
+	.A(n_19945),
+	.B(n_19985),
+	.Y(n_33628), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885714 (
+	.A(n_19935),
+	.B(n_19985),
+	.Y(n_33616), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885715 (
+	.A(n_19926),
+	.B(n_19985),
+	.Y(n_33615), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885716 (
+	.A(n_19928),
+	.B(n_19985),
+	.Y(n_33617), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885717 (
+	.A(n_19951),
+	.B(n_19985),
+	.Y(n_33621), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885718 (
+	.A(n_19936),
+	.B(n_19985),
+	.Y(n_33620), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885719 (
+	.A(n_19929),
+	.B(n_19977),
+	.Y(n_33608), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885720 (
+	.A(n_19947),
+	.B(n_19977),
+	.Y(n_33607), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885721 (
+	.A(n_19946),
+	.B(n_19977),
+	.Y(n_33611), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885722 (
+	.A(n_19952),
+	.B(n_19985),
+	.Y(n_33614), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885723 (
+	.A(n_19928),
+	.B(n_19977),
+	.Y(n_33601), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885724 (
+	.A(n_19926),
+	.B(n_19977),
+	.Y(n_33599), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885725 (
+	.A(n_19936),
+	.B(n_19977),
+	.Y(n_33604), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885726 (
+	.A(n_19929),
+	.B(n_19978),
+	.Y(n_33592), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885727 (
+	.A(n_19952),
+	.B(n_19977),
+	.Y(n_33598), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885728 (
+	.A(n_19943),
+	.B(n_19978),
+	.Y(n_33593), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885729 (
+	.A(n_19945),
+	.B(n_19978),
+	.Y(n_33596), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885730 (
+	.A(n_19931),
+	.B(n_19978),
+	.Y(n_33586), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885731 (
+	.A(n_19930),
+	.B(n_19978),
+	.Y(n_33587), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885732 (
+	.A(n_19929),
+	.B(n_19924),
+	.Y(n_33576), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885733 (
+	.A(n_19943),
+	.B(n_19924),
+	.Y(n_33577), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885734 (
+	.A(n_19927),
+	.B(n_19924),
+	.Y(n_33581), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885735 (
+	.A(n_19952),
+	.B(n_19978),
+	.Y(n_33582), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885736 (
+	.A(n_19926),
+	.B(n_19924),
+	.Y(n_33567), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885737 (
+	.A(n_19951),
+	.B(n_19924),
+	.Y(n_33573), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885738 (
+	.A(n_19928),
+	.B(n_19924),
+	.Y(n_33569), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885739 (
+	.A(n_19936),
+	.B(n_19924),
+	.Y(n_33572), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885740 (
+	.A(n_19947),
+	.B(n_19980),
+	.Y(n_33688), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885741 (
+	.A(n_19945),
+	.B(n_19980),
+	.Y(n_33693), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885742 (
+	.A(n_19951),
+	.B(n_19980),
+	.Y(n_33686), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885743 (
+	.A(n_19932),
+	.B(n_19921),
+	.Y(n_33670), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885744 (
+	.A(n_19932),
+	.B(n_19977),
+	.Y(n_33606), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885745 (
+	.A(n_19928),
+	.B(n_19921),
+	.Y(n_33665), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885746 (
+	.A(n_19926),
+	.B(n_19921),
+	.Y(n_33663), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885747 (
+	.A(n_19946),
+	.B(n_19921),
+	.Y(n_33675), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885748 (
+	.A(n_19945),
+	.B(n_19921),
+	.Y(n_33676), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885749 (
+	.A(n_19943),
+	.B(n_19921),
+	.Y(n_33673), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885750 (
+	.A(n_19950),
+	.B(n_19921),
+	.Y(n_33674), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885751 (
+	.A(n_19927),
+	.B(n_19921),
+	.Y(n_33677), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885752 (
+	.A(n_19952),
+	.B(n_19980),
+	.Y(n_33678), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885753 (
+	.A(n_19928),
+	.B(n_19980),
+	.Y(n_33682), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885754 (
+	.A(n_19931),
+	.B(n_19980),
+	.Y(n_33683), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885755 (
+	.A(n_19930),
+	.B(n_19980),
+	.Y(n_33684), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885756 (
+	.A(n_19936),
+	.B(n_19980),
+	.Y(n_33685), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885757 (
+	.A(n_19927),
+	.B(n_19980),
+	.Y(n_33679), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885758 (
+	.A(n_19935),
+	.B(n_19980),
+	.Y(n_33681), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885759 (
+	.A(n_19952),
+	.B(n_19924),
+	.Y(n_35119), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885760 (
+	.A(n_19927),
+	.B(n_19977),
+	.Y(n_33613), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885761 (
+	.A(n_19951),
+	.B(n_19977),
+	.Y(n_33605), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885762 (
+	.A(n_19950),
+	.B(n_19977),
+	.Y(n_33610), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885763 (
+	.A(n_19945),
+	.B(n_19977),
+	.Y(n_33612), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885764 (
+	.A(n_19943),
+	.B(n_19977),
+	.Y(n_33609), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885765 (
+	.A(n_19947),
+	.B(n_19978),
+	.Y(n_33591), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885766 (
+	.A(n_19930),
+	.B(n_19985),
+	.Y(n_33619), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885767 (
+	.A(n_19932),
+	.B(n_19985),
+	.Y(n_33622), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885768 (
+	.A(n_19931),
+	.B(n_19985),
+	.Y(n_33618), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885769 (
+	.A(n_19952),
+	.B(n_19920),
+	.Y(n_33630), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885770 (
+	.A(n_19927),
+	.B(n_19985),
+	.Y(n_33629), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885771 (
+	.A(n_19950),
+	.B(n_19985),
+	.Y(n_33626), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885772 (
+	.A(n_19946),
+	.B(n_19985),
+	.Y(n_33627), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885773 (
+	.A(n_19929),
+	.B(n_19985),
+	.Y(n_33624), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885774 (
+	.A(n_19943),
+	.B(n_19985),
+	.Y(n_33625), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885775 (
+	.A(n_19930),
+	.B(n_19920),
+	.Y(n_33635), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885776 (
+	.A(n_19936),
+	.B(n_19920),
+	.Y(n_33636), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885777 (
+	.A(n_19926),
+	.B(n_19920),
+	.Y(n_33631), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885778 (
+	.A(n_19935),
+	.B(n_19920),
+	.Y(n_33632), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885779 (
+	.A(n_19932),
+	.B(n_19920),
+	.Y(n_33638), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885780 (
+	.A(n_19946),
+	.B(n_19920),
+	.Y(n_33643), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885781 (
+	.A(n_19943),
+	.B(n_19920),
+	.Y(n_33641), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885782 (
+	.A(n_19951),
+	.B(n_19920),
+	.Y(n_33637), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885783 (
+	.A(n_19952),
+	.B(n_19986),
+	.Y(n_33646), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885784 (
+	.A(n_19929),
+	.B(n_19920),
+	.Y(n_33640), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885785 (
+	.A(n_19927),
+	.B(n_19920),
+	.Y(n_33645), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885786 (
+	.A(n_19930),
+	.B(n_19986),
+	.Y(n_33651), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885787 (
+	.A(n_19926),
+	.B(n_19986),
+	.Y(n_33647), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885788 (
+	.A(n_19926),
+	.B(n_19980),
+	.Y(n_33680), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885789 (
+	.A(n_19928),
+	.B(n_19986),
+	.Y(n_33649), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885790 (
+	.A(n_19932),
+	.B(n_19986),
+	.Y(n_33654), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885791 (
+	.A(n_19928),
+	.B(n_19920),
+	.Y(n_33633), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885792 (
+	.A(n_19946),
+	.B(n_19986),
+	.Y(n_33659), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885793 (
+	.A(n_19945),
+	.B(n_19986),
+	.Y(n_33660), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885794 (
+	.A(n_19943),
+	.B(n_19986),
+	.Y(n_33657), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885795 (
+	.A(n_19947),
+	.B(n_19921),
+	.Y(n_33671), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885796 (
+	.A(n_19936),
+	.B(n_19921),
+	.Y(n_33668), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885797 (
+	.A(n_19952),
+	.B(n_19921),
+	.Y(n_33662), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885798 (
+	.A(n_19929),
+	.B(n_19921),
+	.Y(n_33672), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885799 (
+	.A(n_19930),
+	.B(n_19921),
+	.Y(n_33667), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885800 (
+	.A(n_19935),
+	.B(n_19921),
+	.Y(n_33664), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885801 (
+	.A(n_19931),
+	.B(n_19921),
+	.Y(n_33666), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885802 (
+	.A(n_19929),
+	.B(n_19986),
+	.Y(n_33656), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885803 (
+	.A(n_19946),
+	.B(n_19980),
+	.Y(n_33692), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885804 (
+	.A(n_19950),
+	.B(n_19980),
+	.Y(n_33691), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885805 (
+	.A(n_19932),
+	.B(n_19980),
+	.Y(n_33687), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885806 (
+	.A(n_19929),
+	.B(n_19980),
+	.Y(n_33689), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885807 (
+	.A(n_19951),
+	.B(n_19921),
+	.Y(n_33669), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885808 (
+	.A(n_19943),
+	.B(n_19980),
+	.Y(n_33690), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885809 (
+	.A(n_19930),
+	.B(n_19924),
+	.Y(n_33571), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885810 (
+	.A(n_19931),
+	.B(n_19924),
+	.Y(n_33570), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885811 (
+	.A(n_19932),
+	.B(n_19924),
+	.Y(n_33574), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885812 (
+	.A(n_19935),
+	.B(n_19924),
+	.Y(n_33568), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885813 (
+	.A(n_19945),
+	.B(n_19924),
+	.Y(n_33580), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885814 (
+	.A(n_19950),
+	.B(n_19924),
+	.Y(n_33578), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885815 (
+	.A(n_19946),
+	.B(n_19924),
+	.Y(n_33579), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885816 (
+	.A(n_19947),
+	.B(n_19924),
+	.Y(n_33575), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885817 (
+	.A(n_19951),
+	.B(n_19978),
+	.Y(n_33589), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885818 (
+	.A(n_19932),
+	.B(n_19978),
+	.Y(n_33590), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885819 (
+	.A(n_19928),
+	.B(n_19978),
+	.Y(n_33585), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885820 (
+	.A(n_19926),
+	.B(n_19978),
+	.Y(n_33583), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885821 (
+	.A(n_19935),
+	.B(n_19978),
+	.Y(n_33584), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885822 (
+	.A(n_19936),
+	.B(n_19978),
+	.Y(n_33588), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885823 (
+	.A(n_19946),
+	.B(n_19978),
+	.Y(n_33595), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885824 (
+	.A(n_19950),
+	.B(n_19978),
+	.Y(n_33594), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885825 (
+	.A(n_19930),
+	.B(n_19977),
+	.Y(n_33603), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885826 (
+	.A(n_19949),
+	.B(n_19982),
+	.Y(n_33796), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885827 (
+	.A(n_19939),
+	.B(n_19925),
+	.Y(n_33798), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885828 (
+	.A(n_19988),
+	.B(n_19982),
+	.Y(n_33716), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885829 (
+	.A(n_19988),
+	.B(n_19981),
+	.Y(n_33717), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885830 (
+	.A(n_19944),
+	.B(n_19925),
+	.Y(n_33774), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885832 (
+	.A(n_19987),
+	.B(n_19982),
+	.Y(n_33748), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885833 (
+	.A(n_19988),
+	.B(n_19984),
+	.Y(n_33714), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885834 (
+	.A(n_19939),
+	.B(n_19981),
+	.Y(n_33805), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885835 (
+	.A(n_19944),
+	.B(n_19923),
+	.Y(n_33775), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885836 (
+	.A(n_19988),
+	.B(n_19983),
+	.Y(n_33715), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885837 (
+	.A(n_19939),
+	.B(n_19984),
+	.Y(n_33802), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885838 (
+	.A(n_19988),
+	.B(n_19979),
+	.Y(n_33712), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885839 (
+	.A(n_19988),
+	.B(n_19922),
+	.Y(n_33713), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885840 (
+	.A(n_19987),
+	.B(n_19983),
+	.Y(n_33747), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885841 (
+	.A(n_19944),
+	.B(n_19984),
+	.Y(n_33778), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885842 (
+	.A(n_19938),
+	.B(n_19925),
+	.Y(n_33806), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885843 (
+	.A(n_19942),
+	.B(n_19925),
+	.Y(n_33718), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885844 (
+	.A(n_19944),
+	.B(n_19983),
+	.Y(n_33779), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885845 (
+	.A(n_19942),
+	.B(n_19923),
+	.Y(n_33719), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885846 (
+	.A(n_19938),
+	.B(n_19923),
+	.Y(n_33807), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885847 (
+	.A(n_19987),
+	.B(n_19979),
+	.Y(n_33744), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885848 (
+	.A(n_19942),
+	.B(n_19984),
+	.Y(n_33722), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885849 (
+	.A(n_19944),
+	.B(n_19979),
+	.Y(n_33776), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885850 (
+	.A(n_19942),
+	.B(n_19983),
+	.Y(n_33723), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885852 (
+	.A(n_19942),
+	.B(n_19979),
+	.Y(n_33720), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885853 (
+	.A(n_19987),
+	.B(n_19981),
+	.Y(n_33749), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885854 (
+	.A(n_19942),
+	.B(n_19981),
+	.Y(n_33725), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885855 (
+	.A(n_19938),
+	.B(n_19979),
+	.Y(n_33808), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885856 (
+	.A(n_19944),
+	.B(n_19922),
+	.Y(n_33777), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885857 (
+	.A(n_19942),
+	.B(n_19982),
+	.Y(n_33724), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885858 (
+	.A(n_19938),
+	.B(n_19922),
+	.Y(n_33809), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885861 (
+	.A(n_19942),
+	.B(n_19922),
+	.Y(n_33721), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885862 (
+	.A(n_19941),
+	.B(n_19984),
+	.Y(n_33698), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885863 (
+	.A(n_19941),
+	.B(n_19983),
+	.Y(n_33699), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885864 (
+	.A(n_19944),
+	.B(n_19982),
+	.Y(n_33780), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885865 (
+	.A(n_19939),
+	.B(n_19923),
+	.Y(n_33799), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885866 (
+	.A(n_19941),
+	.B(n_19979),
+	.Y(n_33696), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885867 (
+	.A(n_19990),
+	.B(n_19925),
+	.Y(n_33758), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885868 (
+	.A(n_19941),
+	.B(n_19922),
+	.Y(n_33697), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885869 (
+	.A(n_19938),
+	.B(n_19984),
+	.Y(n_33810), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885870 (
+	.A(n_19941),
+	.B(n_19982),
+	.Y(n_33700), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885871 (
+	.A(n_19944),
+	.B(n_19981),
+	.Y(n_33781), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885872 (
+	.A(n_19990),
+	.B(n_19923),
+	.Y(n_33759), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885873 (
+	.A(n_19941),
+	.B(n_19981),
+	.Y(n_33701), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885874 (
+	.A(n_19938),
+	.B(n_19983),
+	.Y(n_33811), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885875 (
+	.A(n_19937),
+	.B(n_19925),
+	.Y(n_33750), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885876 (
+	.A(n_19937),
+	.B(n_19923),
+	.Y(n_33751), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885877 (
+	.A(n_19990),
+	.B(n_19984),
+	.Y(n_33762), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885878 (
+	.A(n_19992),
+	.B(n_19925),
+	.Y(n_33702), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885879 (
+	.A(n_19939),
+	.B(n_19979),
+	.Y(n_33800), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885880 (
+	.A(n_19992),
+	.B(n_19923),
+	.Y(n_33703), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885881 (
+	.A(n_19990),
+	.B(n_19983),
+	.Y(n_33763), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885882 (
+	.A(n_19949),
+	.B(n_19984),
+	.Y(n_33794), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885883 (
+	.A(n_19938),
+	.B(n_19982),
+	.Y(n_33812), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885884 (
+	.A(n_19938),
+	.B(n_19981),
+	.Y(n_33813), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885885 (
+	.A(n_19937),
+	.B(n_19979),
+	.Y(n_33752), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885886 (
+	.A(n_19948),
+	.B(n_19925),
+	.Y(n_33814), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885887 (
+	.A(n_19992),
+	.B(n_19982),
+	.Y(n_33708), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885888 (
+	.A(n_19992),
+	.B(n_19981),
+	.Y(n_33709), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885889 (
+	.A(n_19992),
+	.B(n_19984),
+	.Y(n_33706), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885890 (
+	.A(n_19992),
+	.B(n_19983),
+	.Y(n_33707), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885891 (
+	.A(n_19990),
+	.B(n_19979),
+	.Y(n_33760), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885892 (
+	.A(n_19990),
+	.B(n_19922),
+	.Y(n_33761), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885893 (
+	.A(n_19992),
+	.B(n_19979),
+	.Y(n_33704), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885894 (
+	.A(n_19934),
+	.B(n_19925),
+	.Y(n_33782), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885895 (
+	.A(n_19949),
+	.B(n_19983),
+	.Y(n_33795), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885896 (
+	.A(n_19948),
+	.B(n_19923),
+	.Y(n_33815), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885897 (
+	.A(n_19992),
+	.B(n_19922),
+	.Y(n_33705), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885898 (
+	.A(n_19987),
+	.B(n_19925),
+	.Y(n_33742), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885899 (
+	.A(n_19990),
+	.B(n_19982),
+	.Y(n_33764), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885900 (
+	.A(n_19937),
+	.B(n_19922),
+	.Y(n_33753), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885901 (
+	.A(n_19949),
+	.B(n_19922),
+	.Y(n_33793), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885902 (
+	.A(n_19934),
+	.B(n_19923),
+	.Y(n_33783), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885903 (
+	.A(n_19993),
+	.B(n_19925),
+	.Y(n_33726), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885904 (
+	.A(n_19939),
+	.B(n_19922),
+	.Y(n_33801), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885905 (
+	.A(n_19993),
+	.B(n_19923),
+	.Y(n_33727), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885906 (
+	.A(n_19948),
+	.B(n_19982),
+	.Y(n_33820), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885907 (
+	.A(n_19990),
+	.B(n_19981),
+	.Y(n_33765), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885908 (
+	.A(n_19948),
+	.B(n_19981),
+	.Y(n_33821), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885909 (
+	.A(n_19993),
+	.B(n_19979),
+	.Y(n_33728), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885910 (
+	.A(n_19949),
+	.B(n_19979),
+	.Y(n_33792), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885911 (
+	.A(n_19993),
+	.B(n_19922),
+	.Y(n_33729), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885912 (
+	.A(n_19937),
+	.B(n_19984),
+	.Y(n_33754), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885913 (
+	.A(n_19991),
+	.B(n_19925),
+	.Y(n_33766), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885914 (
+	.A(n_19993),
+	.B(n_19984),
+	.Y(n_33730), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885915 (
+	.A(n_19948),
+	.B(n_19984),
+	.Y(n_33818), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885916 (
+	.A(n_19993),
+	.B(n_19983),
+	.Y(n_33731), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885917 (
+	.A(n_19987),
+	.B(n_19923),
+	.Y(n_33743), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885918 (
+	.A(n_19993),
+	.B(n_19982),
+	.Y(n_33732), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885919 (
+	.A(n_19991),
+	.B(n_19982),
+	.Y(n_33772), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885920 (
+	.A(n_19993),
+	.B(n_19981),
+	.Y(n_33733), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885921 (
+	.A(n_19934),
+	.B(n_19984),
+	.Y(n_33786), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885922 (
+	.A(n_19948),
+	.B(n_19983),
+	.Y(n_33819), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885923 (
+	.A(n_19989),
+	.B(n_19925),
+	.Y(n_33734), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885924 (
+	.A(n_19991),
+	.B(n_19923),
+	.Y(n_33767), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885925 (
+	.A(n_19987),
+	.B(n_19984),
+	.Y(n_33746), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885926 (
+	.A(n_19991),
+	.B(n_19981),
+	.Y(n_33773), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885927 (
+	.A(n_19988),
+	.B(n_19923),
+	.Y(n_33711), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885928 (
+	.A(n_19934),
+	.B(n_19983),
+	.Y(n_33787), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885929 (
+	.A(n_19989),
+	.B(n_19923),
+	.Y(n_33735), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885930 (
+	.A(n_19989),
+	.B(n_19984),
+	.Y(n_33738), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885931 (
+	.A(n_19989),
+	.B(n_19983),
+	.Y(n_33739), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885932 (
+	.A(n_19937),
+	.B(n_19983),
+	.Y(n_33755), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885933 (
+	.A(n_19991),
+	.B(n_19984),
+	.Y(n_33770), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885934 (
+	.A(n_19948),
+	.B(n_19979),
+	.Y(n_33816), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885935 (
+	.A(n_19937),
+	.B(n_19982),
+	.Y(n_33756), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885936 (
+	.A(n_19989),
+	.B(n_19979),
+	.Y(n_33736), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885937 (
+	.A(n_19991),
+	.B(n_19983),
+	.Y(n_33771), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885938 (
+	.A(n_19939),
+	.B(n_19983),
+	.Y(n_33803), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885939 (
+	.A(n_19989),
+	.B(n_19922),
+	.Y(n_33737), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885940 (
+	.A(n_19989),
+	.B(n_19982),
+	.Y(n_33740), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885941 (
+	.A(n_19987),
+	.B(n_19922),
+	.Y(n_33745), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885942 (
+	.A(n_19939),
+	.B(n_19982),
+	.Y(n_33804), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885943 (
+	.A(n_19991),
+	.B(n_19979),
+	.Y(n_33768), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885944 (
+	.A(n_19934),
+	.B(n_19922),
+	.Y(n_33785), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885945 (
+	.A(n_19989),
+	.B(n_19981),
+	.Y(n_33741), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885946 (
+	.A(n_19948),
+	.B(n_19922),
+	.Y(n_33817), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885947 (
+	.A(n_19934),
+	.B(n_19979),
+	.Y(n_33784), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885948 (
+	.A(n_19937),
+	.B(n_19981),
+	.Y(n_33757), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885949 (
+	.A(n_19949),
+	.B(n_19925),
+	.Y(n_33790), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885950 (
+	.A(n_19949),
+	.B(n_19981),
+	.Y(n_33797), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885951 (
+	.A(n_19988),
+	.B(n_19925),
+	.Y(n_33710), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885952 (
+	.A(n_19991),
+	.B(n_19922),
+	.Y(n_33769), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g885953 (
+	.A(n_19949),
+	.B(n_19923),
+	.Y(n_33791), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885954 (
+	.A1(n_19753),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1712]),
+	.B1(n_19754),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1735]),
+	.Y(n_22848), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885955 (
+	.A1(n_19815),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1666]),
+	.B1(n_19755),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1689]),
+	.Y(n_22847), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885956 (
+	.A1(n_19816),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1750]),
+	.B1(n_19757),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1773]),
+	.Y(n_22846), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885957 (
+	.A1(n_19722),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2777]),
+	.B1(n_13927),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2754]),
+	.Y(n_22845), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885958 (
+	.A1(n_19712),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [217]),
+	.B1(n_19714),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [355]),
+	.Y(n_22844), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885959 (
+	.A1(n_19705),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [240]),
+	.B1(n_19788),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [263]),
+	.Y(n_22843), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885960 (
+	.A1(n_19718),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [286]),
+	.B1(n_19761),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [309]),
+	.Y(n_22842), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885961 (
+	.A1(n_19713),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [194]),
+	.B1(n_19787),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [332]),
+	.Y(n_22841), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885962 (
+	.A1(n_19455),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2779]),
+	.B1(n_19758),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2756]),
+	.Y(n_22840), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885963 (
+	.A1(n_19792),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2731]),
+	.B1(n_13619),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2708]),
+	.Y(n_22839), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885964 (
+	.A1(n_13909),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2593]),
+	.B1(n_19719),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2938]),
+	.Y(n_22838), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885965 (
+	.A1(n_19696),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1620]),
+	.B1(n_19809),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1643]),
+	.Y(n_22837), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885966 (
+	.A1(n_19747),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1574]),
+	.B1(n_19746),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1597]),
+	.Y(n_22836), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885967 (
+	.A1(n_19741),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1528]),
+	.B1(n_19745),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1551]),
+	.Y(n_22835), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885968 (
+	.A1(n_19744),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1482]),
+	.B1(n_19806),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1505]),
+	.Y(n_22834), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885969 (
+	.A1(n_19793),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2685]),
+	.B1(n_13914),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2662]),
+	.Y(n_22833), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885970 (
+	.A1(n_19452),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2710]),
+	.B1(n_19743),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2733]),
+	.Y(n_22832), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885971 (
+	.A1(n_13941),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2639]),
+	.B1(n_13907),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2616]),
+	.Y(n_22831), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885972 (
+	.A1(n_19667),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [102]),
+	.B1(n_19708),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [79]),
+	.Y(n_22830), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885973 (
+	.A1(n_19707),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [148]),
+	.B1(n_19694),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [125]),
+	.Y(n_22829), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885974 (
+	.A1(n_19710),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [10]),
+	.B1(n_19666),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [56]),
+	.Y(n_22828), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885975 (
+	.A1(n_19816),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1765]),
+	.B1(n_19757),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1788]),
+	.Y(n_22827), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885976 (
+	.A1(n_13627),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [645]),
+	.B1(n_13631),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [691]),
+	.Y(n_22826), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885977 (
+	.A1(n_19753),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1719]),
+	.B1(n_19754),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1742]),
+	.Y(n_22825), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885978 (
+	.A1(n_19875),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2275]),
+	.B1(n_19880),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2298]),
+	.Y(n_22824), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885979 (
+	.A1(n_19790),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2884]),
+	.B1(n_19721),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2907]),
+	.Y(n_22823), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885980 (
+	.A1(n_13794),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2090]),
+	.B1(n_19397),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2113]),
+	.Y(n_22822), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885981 (
+	.A1(n_19454),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2687]),
+	.B1(n_19796),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2664]),
+	.Y(n_22821), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885982 (
+	.A1(n_19691),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1811]),
+	.B1(n_19818),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1834]),
+	.Y(n_22820), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885983 (
+	.A1(n_19455),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2769]),
+	.B1(n_19758),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2746]),
+	.Y(n_22819), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885984 (
+	.A1(n_19815),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1673]),
+	.B1(n_19755),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1696]),
+	.Y(n_22818), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885985 (
+	.A1(n_19451),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2861]),
+	.B1(n_19689),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2838]),
+	.Y(n_22817), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885986 (
+	.A1(n_19453),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2792]),
+	.B1(n_19766),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2815]),
+	.Y(n_22816), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885987 (
+	.A1(n_19747),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1581]),
+	.B1(n_19746),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1604]),
+	.Y(n_22815), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885988 (
+	.A1(n_19452),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2700]),
+	.B1(n_19743),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2723]),
+	.Y(n_22814), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885989 (
+	.A1(n_19449),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2595]),
+	.B1(n_19692),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2940]),
+	.Y(n_22813), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885990 (
+	.A1(n_19741),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1535]),
+	.B1(n_19745),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1558]),
+	.Y(n_22812), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885991 (
+	.A1(n_19449),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2585]),
+	.B1(n_19692),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2930]),
+	.Y(n_22811), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885992 (
+	.A1(n_19832),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2033]),
+	.B1(n_19772),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2079]),
+	.Y(n_22810), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885993 (
+	.A1(n_19738),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [553]),
+	.B1(n_19737),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [576]),
+	.Y(n_22809), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885994 (
+	.A1(n_19696),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1627]),
+	.B1(n_19809),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1650]),
+	.Y(n_22808), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885995 (
+	.A1(n_19454),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2677]),
+	.B1(n_19796),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2654]),
+	.Y(n_22807), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885996 (
+	.A1(n_19456),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2608]),
+	.B1(n_13845),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2631]),
+	.Y(n_22806), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885997 (
+	.A1(n_19744),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1489]),
+	.B1(n_19806),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1512]),
+	.Y(n_22805), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885998 (
+	.A1(n_19456),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2618]),
+	.B1(n_13845),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2641]),
+	.Y(n_22804), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g885999 (
+	.A1(n_19458),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2539]),
+	.B1(n_19807),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2562]),
+	.Y(n_22803), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886000 (
+	.A1(n_19460),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2044]),
+	.B1(n_19848),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2067]),
+	.Y(n_22802), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886001 (
+	.A1(n_19448),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2447]),
+	.B1(n_19709),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2470]),
+	.Y(n_22801), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886002 (
+	.A1(n_19457),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2493]),
+	.B1(n_19856),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2516]),
+	.Y(n_22800), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886003 (
+	.A1(n_19401),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2271]),
+	.B1(n_19736),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2294]),
+	.Y(n_22799), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886004 (
+	.A1(n_19446),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2401]),
+	.B1(n_19711),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2424]),
+	.Y(n_22798), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886005 (
+	.A1(n_19801),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2225]),
+	.B1(n_19732),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2248]),
+	.Y(n_22797), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886006 (
+	.A1(n_19445),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2355]),
+	.B1(n_19870),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2378]),
+	.Y(n_22796), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886007 (
+	.A1(n_19442),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2309]),
+	.B1(n_19672),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2332]),
+	.Y(n_22795), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886008 (
+	.A1(n_19458),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2549]),
+	.B1(n_19807),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2572]),
+	.Y(n_22794), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886009 (
+	.A1(n_19875),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2263]),
+	.B1(n_19880),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2286]),
+	.Y(n_22793), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886010 (
+	.A1(n_19466),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2217]),
+	.B1(n_19883),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2240]),
+	.Y(n_22792), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886012 (
+	.A1(n_13660),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [891]),
+	.B1(n_19726),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [868]),
+	.Y(n_22790), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886013 (
+	.A1(n_13794),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2079]),
+	.B1(n_19397),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2102]),
+	.Y(n_22789), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886014 (
+	.A1(n_19457),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2503]),
+	.B1(n_19856),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2526]),
+	.Y(n_22788), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886015 (
+	.A1(n_19462),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2125]),
+	.B1(n_19464),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2148]),
+	.Y(n_22787), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886016 (
+	.A1(n_13592),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [845]),
+	.B1(n_19729),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [822]),
+	.Y(n_22786), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886017 (
+	.A1(n_13869),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2171]),
+	.B1(n_19396),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2194]),
+	.Y(n_22785), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886018 (
+	.A1(n_19679),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1998]),
+	.B1(n_13772),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2021]),
+	.Y(n_22784), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886019 (
+	.A1(n_19460),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2033]),
+	.B1(n_19848),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2056]),
+	.Y(n_22783), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886020 (
+	.A1(n_19804),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2547]),
+	.B1(n_19805),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2570]),
+	.Y(n_22782), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886021 (
+	.A1(n_19742),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2501]),
+	.B1(n_19402),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2524]),
+	.Y(n_22781), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886022 (
+	.A1(n_19679),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1987]),
+	.B1(n_13772),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2010]),
+	.Y(n_22780), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886023 (
+	.A1(n_19817),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1895]),
+	.B1(n_19826),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1918]),
+	.Y(n_22779), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886024 (
+	.A1(n_19448),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2457]),
+	.B1(n_19709),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2480]),
+	.Y(n_22778), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886025 (
+	.A1(n_19739),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2455]),
+	.B1(n_19693),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2478]),
+	.Y(n_22777), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886026 (
+	.A1(n_19735),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2409]),
+	.B1(n_19403),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2432]),
+	.Y(n_22776), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886027 (
+	.A1(n_19854),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1941]),
+	.B1(n_19830),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1964]),
+	.Y(n_22775), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886028 (
+	.A1(n_13474),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1849]),
+	.B1(n_19810),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1872]),
+	.Y(n_22774), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886029 (
+	.A1(n_19446),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2411]),
+	.B1(n_19711),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2434]),
+	.Y(n_22773), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886030 (
+	.A1(n_19846),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1803]),
+	.B1(n_19803),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1826]),
+	.Y(n_22772), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886031 (
+	.A1(n_19400),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2363]),
+	.B1(n_19802),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2386]),
+	.Y(n_22771), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886032 (
+	.A1(n_19703),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1711]),
+	.B1(n_19838),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1734]),
+	.Y(n_22770), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886033 (
+	.A1(n_19395),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2317]),
+	.B1(n_19733),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2340]),
+	.Y(n_22769), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886034 (
+	.A1(n_19680),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1757]),
+	.B1(n_19845),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1780]),
+	.Y(n_22768), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886035 (
+	.A1(n_19829),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1665]),
+	.B1(n_19833),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1688]),
+	.Y(n_22767), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886036 (
+	.A1(n_19854),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1952]),
+	.B1(n_19830),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1975]),
+	.Y(n_22766), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886037 (
+	.A1(n_19697),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1613]),
+	.B1(n_19759),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1636]),
+	.Y(n_22765), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886038 (
+	.A1(n_19697),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1619]),
+	.B1(n_19759),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1642]),
+	.Y(n_22764), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886039 (
+	.A1(n_19734),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1527]),
+	.B1(n_19740),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1550]),
+	.Y(n_22763), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886040 (
+	.A1(n_19445),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2365]),
+	.B1(n_19870),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2388]),
+	.Y(n_22762), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886041 (
+	.A1(n_19808),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1573]),
+	.B1(n_19812),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1596]),
+	.Y(n_22761), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886042 (
+	.A1(n_19799),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1481]),
+	.B1(n_13798),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1504]),
+	.Y(n_22760), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886043 (
+	.A1(n_19844),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2110]),
+	.B1(n_19847),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2156]),
+	.Y(n_22759), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886044 (
+	.A1(n_19671),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [507]),
+	.B1(n_19863),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [530]),
+	.Y(n_22758), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886045 (
+	.A1(n_19842),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2064]),
+	.B1(n_19843),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2202]),
+	.Y(n_22757), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886046 (
+	.A1(n_19442),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2319]),
+	.B1(n_19672),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2342]),
+	.Y(n_22756), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886047 (
+	.A1(n_19887),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1343]),
+	.B1(n_19889),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1366]),
+	.Y(n_22755), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886048 (
+	.A1(n_19840),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1995]),
+	.B1(n_19839),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1972]),
+	.Y(n_22754), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886049 (
+	.A1(n_19467),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1389]),
+	.B1(n_19892),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1412]),
+	.Y(n_22753), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886050 (
+	.A1(n_19831),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1880]),
+	.B1(n_19841),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2018]),
+	.Y(n_22752), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886051 (
+	.A1(n_19468),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1435]),
+	.B1(n_19794),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1458]),
+	.Y(n_22751), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886052 (
+	.A1(n_19469),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1297]),
+	.B1(n_19894),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1320]),
+	.Y(n_22750), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886053 (
+	.A1(n_19817),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1906]),
+	.B1(n_19826),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1929]),
+	.Y(n_22749), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886054 (
+	.A1(n_19875),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2273]),
+	.B1(n_19880),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2296]),
+	.Y(n_22748), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886055 (
+	.A1(n_19865),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [799]),
+	.B1(n_19867),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [822]),
+	.Y(n_22747), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886056 (
+	.A1(n_13902),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [638]),
+	.B1(n_13611),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [684]),
+	.Y(n_22746), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886057 (
+	.A1(n_19819),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1159]),
+	.B1(n_19882),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1182]),
+	.Y(n_22745), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886058 (
+	.A1(n_13924),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [592]),
+	.B1(n_13626),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [730]),
+	.Y(n_22744), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886059 (
+	.A1(n_19466),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2227]),
+	.B1(n_19883),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2250]),
+	.Y(n_22743), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886060 (
+	.A1(n_13604),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [523]),
+	.B1(n_13922),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [500]),
+	.Y(n_22742), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886061 (
+	.A1(n_19800),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1205]),
+	.B1(n_19884),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1228]),
+	.Y(n_22741), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886062 (
+	.A1(n_19858),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1113]),
+	.B1(n_19881),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1136]),
+	.Y(n_22740), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886063 (
+	.A1(n_19840),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1981]),
+	.B1(n_19844),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2096]),
+	.Y(n_22739), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886064 (
+	.A1(n_19768),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [408]),
+	.B1(n_13584),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [546]),
+	.Y(n_22738), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886065 (
+	.A1(n_13902),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [623]),
+	.B1(n_13611),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [669]),
+	.Y(n_22737), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886066 (
+	.A1(n_13474),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1860]),
+	.B1(n_19810),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1883]),
+	.Y(n_22736), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886067 (
+	.A1(n_19878),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1021]),
+	.B1(n_19879),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1044]),
+	.Y(n_22735), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886068 (
+	.A1(n_19832),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2041]),
+	.B1(n_19772),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2087]),
+	.Y(n_22734), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886069 (
+	.A1(n_19789),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1949]),
+	.B1(n_19398),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1926]),
+	.Y(n_22733), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886070 (
+	.A1(n_19893),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1067]),
+	.B1(n_19827),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1090]),
+	.Y(n_22732), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886071 (
+	.A1(n_19669),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [929]),
+	.B1(n_19670),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [952]),
+	.Y(n_22731), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886072 (
+	.A1(n_19834),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2133]),
+	.B1(n_19678),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2179]),
+	.Y(n_22730), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886073 (
+	.A1(n_19865),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [791]),
+	.B1(n_19867),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [814]),
+	.Y(n_22729), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886074 (
+	.A1(n_19684),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1857]),
+	.B1(n_19770),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1903]),
+	.Y(n_22728), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886075 (
+	.A1(n_19869),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [837]),
+	.B1(n_19864),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [860]),
+	.Y(n_22727), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886076 (
+	.A1(n_19811),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1123]),
+	.B1(n_19813),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1169]),
+	.Y(n_22726), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886077 (
+	.A1(n_13869),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2181]),
+	.B1(n_19396),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2204]),
+	.Y(n_22725), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886078 (
+	.A1(n_13648),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [569]),
+	.B1(n_13639),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [615]),
+	.Y(n_22724), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886079 (
+	.A1(n_19860),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [745]),
+	.B1(n_19861),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [768]),
+	.Y(n_22723), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886080 (
+	.A1(n_13593),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [477]),
+	.B1(n_13928),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [454]),
+	.Y(n_22722), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886081 (
+	.A1(n_19891),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [699]),
+	.B1(n_19888),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [722]),
+	.Y(n_22721), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886082 (
+	.A1(n_13627),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [661]),
+	.B1(n_13631),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [707]),
+	.Y(n_22720), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886083 (
+	.A1(n_19895),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [653]),
+	.B1(n_19730),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [676]),
+	.Y(n_22719), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886084 (
+	.A1(n_19462),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2135]),
+	.B1(n_19464),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2158]),
+	.Y(n_22718), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886085 (
+	.A1(n_19686),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [385]),
+	.B1(n_19763),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [431]),
+	.Y(n_22717), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886086 (
+	.A1(n_19851),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [607]),
+	.B1(n_19872),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [630]),
+	.Y(n_22716), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886087 (
+	.A1(n_19852),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [461]),
+	.B1(n_19820),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [484]),
+	.Y(n_22715), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886088 (
+	.A1(n_19738),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [561]),
+	.B1(n_19737),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [584]),
+	.Y(n_22714), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886089 (
+	.A1(n_19846),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1814]),
+	.B1(n_19803),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1837]),
+	.Y(n_22713), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886090 (
+	.A1(n_19853),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [247]),
+	.B1(n_19868),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [270]),
+	.Y(n_22712), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886091 (
+	.A1(n_19671),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [515]),
+	.B1(n_19863),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [538]),
+	.Y(n_22711), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886093 (
+	.A1(n_19756),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [423]),
+	.B1(n_19769),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [446]),
+	.Y(n_22709), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886094 (
+	.A1(n_13794),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2089]),
+	.B1(n_19397),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2112]),
+	.Y(n_22708), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886095 (
+	.A1(n_19751),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1259]),
+	.B1(n_19814),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1236]),
+	.Y(n_22707), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886096 (
+	.A1(n_19750),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1213]),
+	.B1(n_19748),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1190]),
+	.Y(n_22706), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886097 (
+	.A1(n_19690),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [377]),
+	.B1(n_19890),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [400]),
+	.Y(n_22705), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886098 (
+	.A1(n_19460),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2043]),
+	.B1(n_19848),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2066]),
+	.Y(n_22704), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886099 (
+	.A1(n_19828),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [331]),
+	.B1(n_19877),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [354]),
+	.Y(n_22703), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886100 (
+	.A1(n_19760),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1397]),
+	.B1(n_19688),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1443]),
+	.Y(n_22702), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886101 (
+	.A1(n_19716),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [285]),
+	.B1(n_19687),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [308]),
+	.Y(n_22701), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886102 (
+	.A1(n_19811),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1121]),
+	.B1(n_19813),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1167]),
+	.Y(n_22700), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886103 (
+	.A1(n_19853),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [239]),
+	.B1(n_19868),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [262]),
+	.Y(n_22699), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886104 (
+	.A1(n_19674),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [193]),
+	.B1(n_19675),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [216]),
+	.Y(n_22698), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886105 (
+	.A1(n_19680),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1768]),
+	.B1(n_19845),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1791]),
+	.Y(n_22697), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886106 (
+	.A1(n_19842),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2050]),
+	.B1(n_19843),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2188]),
+	.Y(n_22696), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886107 (
+	.A1(n_19668),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [147]),
+	.B1(n_19873),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [170]),
+	.Y(n_22695), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886108 (
+	.A1(n_19682),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [101]),
+	.B1(n_19862),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [124]),
+	.Y(n_22694), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886109 (
+	.A1(n_19679),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1997]),
+	.B1(n_13772),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2020]),
+	.Y(n_22693), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886110 (
+	.A1(n_19859),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [55]),
+	.B1(n_19681),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [78]),
+	.Y(n_22692), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886111 (
+	.A1(n_19750),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1215]),
+	.B1(n_19748),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1192]),
+	.Y(n_22691), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886112 (
+	.A1(n_19854),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1951]),
+	.B1(n_19830),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1974]),
+	.Y(n_22690), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886113 (
+	.A1(n_19787),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [339]),
+	.B1(n_19714),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [362]),
+	.Y(n_22689), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886114 (
+	.A1(n_19676),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [9]),
+	.B1(n_19855),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [32]),
+	.Y(n_22688), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886115 (
+	.A1(n_19707),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [139]),
+	.B1(n_19694),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [116]),
+	.Y(n_22687), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886116 (
+	.A1(n_19718),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [293]),
+	.B1(n_19761),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [316]),
+	.Y(n_22686), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886117 (
+	.A1(n_19713),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [201]),
+	.B1(n_19705),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [247]),
+	.Y(n_22685), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886118 (
+	.A1(n_19823),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1343]),
+	.B1(n_19824),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1366]),
+	.Y(n_22684), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886119 (
+	.A1(n_19712),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [224]),
+	.B1(n_19788),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [270]),
+	.Y(n_22683), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886120 (
+	.A1(n_19707),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [155]),
+	.B1(n_19694),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [132]),
+	.Y(n_22682), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886121 (
+	.A1(n_19752),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1297]),
+	.B1(n_19748),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1182]),
+	.Y(n_22681), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886122 (
+	.A1(n_19813),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1159]),
+	.B1(n_19751),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1251]),
+	.Y(n_22680), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886123 (
+	.A1(n_19703),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1722]),
+	.B1(n_19838),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1745]),
+	.Y(n_22679), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886124 (
+	.A1(n_19817),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1905]),
+	.B1(n_19826),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1928]),
+	.Y(n_22678), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886125 (
+	.A1(n_19755),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1684]),
+	.B1(n_19753),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1707]),
+	.Y(n_22677), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886126 (
+	.A1(n_19667),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [109]),
+	.B1(n_19708),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [86]),
+	.Y(n_22676), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886127 (
+	.A1(n_19804),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2539]),
+	.B1(n_19805),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2562]),
+	.Y(n_22675), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886128 (
+	.A1(n_19742),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2493]),
+	.B1(n_19402),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2516]),
+	.Y(n_22674), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886129 (
+	.A1(n_19710),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [17]),
+	.B1(n_19666),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [63]),
+	.Y(n_22673), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886130 (
+	.A1(n_19739),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2447]),
+	.B1(n_19693),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2470]),
+	.Y(n_22672), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886131 (
+	.A1(n_13474),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1859]),
+	.B1(n_19810),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1882]),
+	.Y(n_22671), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886132 (
+	.A1(n_19735),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2401]),
+	.B1(n_19403),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2424]),
+	.Y(n_22670), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886133 (
+	.A1(n_19829),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1676]),
+	.B1(n_19833),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1699]),
+	.Y(n_22669), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886134 (
+	.A1(n_19395),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2309]),
+	.B1(n_19733),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2332]),
+	.Y(n_22668), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886135 (
+	.A1(n_19401),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2263]),
+	.B1(n_19736),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2286]),
+	.Y(n_22667), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886136 (
+	.A1(n_19400),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2355]),
+	.B1(n_19802),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2378]),
+	.Y(n_22666), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886137 (
+	.A1(n_19801),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2217]),
+	.B1(n_19732),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2240]),
+	.Y(n_22665), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886138 (
+	.A1(n_19756),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [415]),
+	.B1(n_19769),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [438]),
+	.Y(n_22664), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886139 (
+	.A1(n_19667),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [98]),
+	.B1(n_19708),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [75]),
+	.Y(n_22663), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886140 (
+	.A1(n_19700),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2907]),
+	.B1(n_19699),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2884]),
+	.Y(n_22662), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886141 (
+	.A1(n_19795),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2792]),
+	.B1(n_13629),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2815]),
+	.Y(n_22661), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886142 (
+	.A1(n_19703),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1724]),
+	.B1(n_19838),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1747]),
+	.Y(n_22660), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886143 (
+	.A1(n_19846),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1813]),
+	.B1(n_19803),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1836]),
+	.Y(n_22659), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886144 (
+	.A1(n_19700),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2919]),
+	.B1(n_19699),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2896]),
+	.Y(n_22658), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886145 (
+	.A1(n_19722),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2769]),
+	.B1(n_13927),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2746]),
+	.Y(n_22657), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886146 (
+	.A1(n_19792),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2723]),
+	.B1(n_13619),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2700]),
+	.Y(n_22656), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886147 (
+	.A1(n_19804),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2538]),
+	.B1(n_19805),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2561]),
+	.Y(n_22655), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886148 (
+	.A1(n_13909),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2585]),
+	.B1(n_19719),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2930]),
+	.Y(n_22654), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886149 (
+	.A1(n_19696),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1627]),
+	.B1(n_19809),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1650]),
+	.Y(n_22653), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886150 (
+	.A1(n_19701),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2873]),
+	.B1(n_19702),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2850]),
+	.Y(n_22652), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886151 (
+	.A1(n_19793),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2677]),
+	.B1(n_13914),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2654]),
+	.Y(n_22651), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886152 (
+	.A1(n_19795),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2804]),
+	.B1(n_13629),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2827]),
+	.Y(n_22650), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886153 (
+	.A1(n_13941),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2631]),
+	.B1(n_13907),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2608]),
+	.Y(n_22649), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886154 (
+	.A1(n_19680),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1767]),
+	.B1(n_19845),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1790]),
+	.Y(n_22648), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886155 (
+	.A1(n_19722),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2781]),
+	.B1(n_13927),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2758]),
+	.Y(n_22647), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886156 (
+	.A1(n_13656),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [745]),
+	.B1(n_19729),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [814]),
+	.Y(n_22646), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886157 (
+	.A1(n_19792),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2735]),
+	.B1(n_13619),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2712]),
+	.Y(n_22645), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886158 (
+	.A1(n_19697),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1616]),
+	.B1(n_19759),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1639]),
+	.Y(n_22644), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886159 (
+	.A1(n_19793),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2689]),
+	.B1(n_13914),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2666]),
+	.Y(n_22643), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886160 (
+	.A1(n_19697),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1630]),
+	.B1(n_19759),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1653]),
+	.Y(n_22642), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886161 (
+	.A1(n_13592),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [837]),
+	.B1(n_19726),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [860]),
+	.Y(n_22641), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886162 (
+	.A1(n_19703),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1721]),
+	.B1(n_19838),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1744]),
+	.Y(n_22640), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886163 (
+	.A1(n_13909),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2597]),
+	.B1(n_19719),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2942]),
+	.Y(n_22639), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886164 (
+	.A1(n_13941),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2643]),
+	.B1(n_13907),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2620]),
+	.Y(n_22638), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886165 (
+	.A1(n_19732),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2236]),
+	.B1(n_19403),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2420]),
+	.Y(n_22637), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886166 (
+	.A1(n_19844),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2102]),
+	.B1(n_19847),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2148]),
+	.Y(n_22636), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886167 (
+	.A1(n_19842),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2056]),
+	.B1(n_19843),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2194]),
+	.Y(n_22635), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886168 (
+	.A1(n_19808),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1584]),
+	.B1(n_19812),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1607]),
+	.Y(n_22634), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886169 (
+	.A1(n_19839),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1964]),
+	.B1(n_19841),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2010]),
+	.Y(n_22633), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886170 (
+	.A1(n_19691),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1815]),
+	.B1(n_19818),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1838]),
+	.Y(n_22632), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886171 (
+	.A1(n_19789),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1941]),
+	.B1(n_19840),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1987]),
+	.Y(n_22631), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886172 (
+	.A1(n_19816),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1769]),
+	.B1(n_19757),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1792]),
+	.Y(n_22630), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886173 (
+	.A1(n_19829),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1675]),
+	.B1(n_19833),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1698]),
+	.Y(n_22629), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886174 (
+	.A1(n_13604),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [515]),
+	.B1(n_13584),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [538]),
+	.Y(n_22628), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886175 (
+	.A1(n_19753),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1723]),
+	.B1(n_19754),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1746]),
+	.Y(n_22627), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886176 (
+	.A1(n_13902),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [630]),
+	.B1(n_13611),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [676]),
+	.Y(n_22626), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886177 (
+	.A1(n_13593),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [469]),
+	.B1(n_13922),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [492]),
+	.Y(n_22625), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886178 (
+	.A1(n_19815),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1677]),
+	.B1(n_19755),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1700]),
+	.Y(n_22624), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886179 (
+	.A1(n_19834),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2125]),
+	.B1(n_19678),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2171]),
+	.Y(n_22623), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886180 (
+	.A1(n_13907),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2607]),
+	.B1(n_19795),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2791]),
+	.Y(n_22622), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886181 (
+	.A1(n_19690),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [369]),
+	.B1(n_19890),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [392]),
+	.Y(n_22621), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886182 (
+	.A1(n_19831),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1872]),
+	.B1(n_19398),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1918]),
+	.Y(n_22620), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886183 (
+	.A1(n_19747),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1581]),
+	.B1(n_19746),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1604]),
+	.Y(n_22619), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886184 (
+	.A1(n_19697),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1629]),
+	.B1(n_19759),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1652]),
+	.Y(n_22618), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886185 (
+	.A1(n_19696),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1631]),
+	.B1(n_19809),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1654]),
+	.Y(n_22617), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886186 (
+	.A1(n_19684),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1849]),
+	.B1(n_19770),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1895]),
+	.Y(n_22616), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886187 (
+	.A1(n_19747),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1585]),
+	.B1(n_19746),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1608]),
+	.Y(n_22615), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886188 (
+	.A1(n_13627),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [653]),
+	.B1(n_13631),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [699]),
+	.Y(n_22614), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886189 (
+	.A1(n_13648),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [561]),
+	.B1(n_13639),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [607]),
+	.Y(n_22613), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886190 (
+	.A1(n_19741),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1539]),
+	.B1(n_19745),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1562]),
+	.Y(n_22612), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886191 (
+	.A1(n_19763),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [423]),
+	.B1(n_19768),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [400]),
+	.Y(n_22611), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886192 (
+	.A1(n_19734),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1538]),
+	.B1(n_19740),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1561]),
+	.Y(n_22610), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886193 (
+	.A1(n_19686),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [377]),
+	.B1(n_13928),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [446]),
+	.Y(n_22609), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886194 (
+	.A1(n_19808),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1583]),
+	.B1(n_19812),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1606]),
+	.Y(n_22608), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886195 (
+	.A1(n_19691),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1803]),
+	.B1(n_19818),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1826]),
+	.Y(n_22607), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886196 (
+	.A1(n_19816),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1757]),
+	.B1(n_19757),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1780]),
+	.Y(n_22606), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886197 (
+	.A1(n_19753),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1711]),
+	.B1(n_19754),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1734]),
+	.Y(n_22605), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886198 (
+	.A1(n_19815),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1665]),
+	.B1(n_19755),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1688]),
+	.Y(n_22604), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886199 (
+	.A1(n_19804),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2551]),
+	.B1(n_19805),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2574]),
+	.Y(n_22603), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886200 (
+	.A1(n_19742),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2505]),
+	.B1(n_19402),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2528]),
+	.Y(n_22602), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886201 (
+	.A1(n_19761),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [308]),
+	.B1(n_19714),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [354]),
+	.Y(n_22601), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886202 (
+	.A1(n_19718),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [285]),
+	.B1(n_19787),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [331]),
+	.Y(n_22600), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886203 (
+	.A1(n_19734),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1537]),
+	.B1(n_19740),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1560]),
+	.Y(n_22599), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886204 (
+	.A1(n_19739),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2459]),
+	.B1(n_19693),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2482]),
+	.Y(n_22598), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886205 (
+	.A1(n_19705),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [239]),
+	.B1(n_19788),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [262]),
+	.Y(n_22597), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886206 (
+	.A1(n_19735),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2413]),
+	.B1(n_19403),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2436]),
+	.Y(n_22596), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886207 (
+	.A1(n_19713),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [193]),
+	.B1(n_19712),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [216]),
+	.Y(n_22595), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886208 (
+	.A1(n_19747),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1573]),
+	.B1(n_19746),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1596]),
+	.Y(n_22594), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886209 (
+	.A1(n_13924),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [584]),
+	.B1(n_13626),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [722]),
+	.Y(n_22593), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886210 (
+	.A1(n_19741),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1527]),
+	.B1(n_19745),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1550]),
+	.Y(n_22592), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886211 (
+	.A1(n_19799),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1491]),
+	.B1(n_13798),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1514]),
+	.Y(n_22591), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886212 (
+	.A1(n_19696),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1619]),
+	.B1(n_19809),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1642]),
+	.Y(n_22590), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886213 (
+	.A1(n_19400),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2367]),
+	.B1(n_19802),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2390]),
+	.Y(n_22589), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886214 (
+	.A1(n_19395),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2321]),
+	.B1(n_19733),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2344]),
+	.Y(n_22588), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886215 (
+	.A1(n_19744),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1481]),
+	.B1(n_19806),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1504]),
+	.Y(n_22587), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886216 (
+	.A1(n_19671),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [523]),
+	.B1(n_19863),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [546]),
+	.Y(n_22586), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886217 (
+	.A1(n_19707),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [147]),
+	.B1(n_19694),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [124]),
+	.Y(n_22585), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886218 (
+	.A1(n_19667),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [101]),
+	.B1(n_19708),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [78]),
+	.Y(n_22584), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886219 (
+	.A1(n_19401),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2275]),
+	.B1(n_19736),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2298]),
+	.Y(n_22583), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886220 (
+	.A1(n_19710),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [9]),
+	.B1(n_19666),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [55]),
+	.Y(n_22582), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886221 (
+	.A1(n_19799),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1492]),
+	.B1(n_13798),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1515]),
+	.Y(n_22581), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886222 (
+	.A1(n_19801),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2229]),
+	.B1(n_19732),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2252]),
+	.Y(n_22580), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886223 (
+	.A1(n_19841),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2010]),
+	.B1(n_19843),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2194]),
+	.Y(n_22579), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886224 (
+	.A1(n_19840),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1987]),
+	.B1(n_19842),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2056]),
+	.Y(n_22578), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886225 (
+	.A1(n_19887),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1353]),
+	.B1(n_19889),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1376]),
+	.Y(n_22577), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886226 (
+	.A1(n_19844),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2102]),
+	.B1(n_19847),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2148]),
+	.Y(n_22576), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886227 (
+	.A1(n_19789),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1941]),
+	.B1(n_19839),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1964]),
+	.Y(n_22575), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886228 (
+	.A1(n_13584),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [538]),
+	.B1(n_13626),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [722]),
+	.Y(n_22574), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886229 (
+	.A1(n_13604),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [515]),
+	.B1(n_13924),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [584]),
+	.Y(n_22573), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886230 (
+	.A1(n_19467),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1399]),
+	.B1(n_19892),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1422]),
+	.Y(n_22572), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886231 (
+	.A1(n_13902),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [630]),
+	.B1(n_13611),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [676]),
+	.Y(n_22571), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886232 (
+	.A1(n_19891),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [710]),
+	.B1(n_19888),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [733]),
+	.Y(n_22570), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886233 (
+	.A1(n_13593),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [469]),
+	.B1(n_13922),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [492]),
+	.Y(n_22569), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886235 (
+	.A1(n_19834),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2125]),
+	.B1(n_19678),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2171]),
+	.Y(n_22567), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886236 (
+	.A1(n_13660),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [895]),
+	.B1(n_19726),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [872]),
+	.Y(n_22566), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886237 (
+	.A1(n_19770),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1895]),
+	.B1(n_19831),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1872]),
+	.Y(n_22565), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886238 (
+	.A1(n_13592),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [849]),
+	.B1(n_19729),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [826]),
+	.Y(n_22564), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886239 (
+	.A1(n_19832),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2033]),
+	.B1(n_19772),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2079]),
+	.Y(n_22563), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886240 (
+	.A1(n_19468),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1445]),
+	.B1(n_19794),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1468]),
+	.Y(n_22562), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886241 (
+	.A1(n_19828),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [323]),
+	.B1(n_19877),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [346]),
+	.Y(n_22561), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886242 (
+	.A1(n_19684),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1849]),
+	.B1(n_19398),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1918]),
+	.Y(n_22560), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886243 (
+	.A1(n_13627),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [653]),
+	.B1(n_13631),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [699]),
+	.Y(n_22559), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886244 (
+	.A1(n_19469),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1307]),
+	.B1(n_19894),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1330]),
+	.Y(n_22558), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886245 (
+	.A1(n_19844),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2114]),
+	.B1(n_19847),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2160]),
+	.Y(n_22557), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886246 (
+	.A1(n_19840),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1999]),
+	.B1(n_19839),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1976]),
+	.Y(n_22556), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886247 (
+	.A1(n_19763),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [423]),
+	.B1(n_19768),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [400]),
+	.Y(n_22555), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886248 (
+	.A1(n_19895),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [664]),
+	.B1(n_19730),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [687]),
+	.Y(n_22554), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886249 (
+	.A1(n_13648),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [561]),
+	.B1(n_13639),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [607]),
+	.Y(n_22553), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886250 (
+	.A1(n_19842),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2068]),
+	.B1(n_19843),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2206]),
+	.Y(n_22552), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886251 (
+	.A1(n_19686),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [377]),
+	.B1(n_13928),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [446]),
+	.Y(n_22551), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886252 (
+	.A1(n_19831),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1884]),
+	.B1(n_19841),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2022]),
+	.Y(n_22550), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886253 (
+	.A1(n_19804),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2535]),
+	.B1(n_19805),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2558]),
+	.Y(n_22549), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886254 (
+	.A1(n_13902),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [642]),
+	.B1(n_13611),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [688]),
+	.Y(n_22548), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886255 (
+	.A1(n_19823),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1343]),
+	.B1(n_19824),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1366]),
+	.Y(n_22547), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886256 (
+	.A1(n_19885),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1261]),
+	.B1(n_19886),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1284]),
+	.Y(n_22546), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886257 (
+	.A1(n_13604),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [527]),
+	.B1(n_13922),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [504]),
+	.Y(n_22545), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886258 (
+	.A1(n_13924),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [596]),
+	.B1(n_13626),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [734]),
+	.Y(n_22544), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886259 (
+	.A1(n_19800),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1215]),
+	.B1(n_19884),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1238]),
+	.Y(n_22543), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886260 (
+	.A1(n_19691),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1803]),
+	.B1(n_19818),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1826]),
+	.Y(n_22542), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886261 (
+	.A1(n_19768),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [412]),
+	.B1(n_13584),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [550]),
+	.Y(n_22541), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886262 (
+	.A1(n_19753),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1711]),
+	.B1(n_19754),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1734]),
+	.Y(n_22540), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886263 (
+	.A1(n_19816),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1757]),
+	.B1(n_19757),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1780]),
+	.Y(n_22539), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886264 (
+	.A1(n_19834),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2137]),
+	.B1(n_19678),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2183]),
+	.Y(n_22538), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886265 (
+	.A1(n_19815),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1665]),
+	.B1(n_19755),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1688]),
+	.Y(n_22537), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886266 (
+	.A1(n_19832),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2045]),
+	.B1(n_19772),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2091]),
+	.Y(n_22536), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886267 (
+	.A1(n_19851),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [618]),
+	.B1(n_19872),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [641]),
+	.Y(n_22535), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886268 (
+	.A1(n_19819),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1169]),
+	.B1(n_19882),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1192]),
+	.Y(n_22534), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886269 (
+	.A1(n_19789),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1953]),
+	.B1(n_19398),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1930]),
+	.Y(n_22533), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886270 (
+	.A1(n_19750),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1205]),
+	.B1(n_19814),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1228]),
+	.Y(n_22532), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886271 (
+	.A1(n_19684),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1861]),
+	.B1(n_19770),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1907]),
+	.Y(n_22531), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886272 (
+	.A1(n_19813),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1159]),
+	.B1(n_19748),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1182]),
+	.Y(n_22530), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886273 (
+	.A1(n_19811),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1113]),
+	.B1(n_19752),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1297]),
+	.Y(n_22529), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886274 (
+	.A1(n_19858),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1123]),
+	.B1(n_19881),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1146]),
+	.Y(n_22528), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886275 (
+	.A1(n_13627),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [665]),
+	.B1(n_13631),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [711]),
+	.Y(n_22527), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886276 (
+	.A1(n_19696),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1619]),
+	.B1(n_19809),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1642]),
+	.Y(n_22526), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886277 (
+	.A1(n_13648),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [573]),
+	.B1(n_13639),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [619]),
+	.Y(n_22525), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886278 (
+	.A1(n_19741),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1527]),
+	.B1(n_19745),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1550]),
+	.Y(n_22524), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886279 (
+	.A1(n_19738),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [572]),
+	.B1(n_19737),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [595]),
+	.Y(n_22523), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886280 (
+	.A1(n_13593),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [481]),
+	.B1(n_13928),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [458]),
+	.Y(n_22522), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886281 (
+	.A1(n_19747),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1573]),
+	.B1(n_19746),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1596]),
+	.Y(n_22521), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886282 (
+	.A1(n_19744),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1481]),
+	.B1(n_19806),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1504]),
+	.Y(n_22520), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886283 (
+	.A1(n_19686),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [389]),
+	.B1(n_19763),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [435]),
+	.Y(n_22519), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886284 (
+	.A1(n_19804),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2539]),
+	.B1(n_19805),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2562]),
+	.Y(n_22518), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886285 (
+	.A1(n_19739),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2447]),
+	.B1(n_19693),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2470]),
+	.Y(n_22517), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886286 (
+	.A1(n_19824),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1378]),
+	.B1(n_19825),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1424]),
+	.Y(n_22516), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886287 (
+	.A1(n_19893),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1077]),
+	.B1(n_19827),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1100]),
+	.Y(n_22515), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886288 (
+	.A1(n_19742),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2493]),
+	.B1(n_19402),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2516]),
+	.Y(n_22514), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886289 (
+	.A1(n_19823),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1355]),
+	.B1(n_19760),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1401]),
+	.Y(n_22513), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886290 (
+	.A1(n_19735),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2401]),
+	.B1(n_19403),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2424]),
+	.Y(n_22512), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886291 (
+	.A1(n_19400),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2355]),
+	.B1(n_19802),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2378]),
+	.Y(n_22511), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886292 (
+	.A1(n_19716),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [277]),
+	.B1(n_19687),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [300]),
+	.Y(n_22510), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886294 (
+	.A1(n_19878),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1031]),
+	.B1(n_19879),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1054]),
+	.Y(n_22508), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886295 (
+	.A1(n_19395),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2309]),
+	.B1(n_19733),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2332]),
+	.Y(n_22507), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886296 (
+	.A1(n_19401),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2263]),
+	.B1(n_19736),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2286]),
+	.Y(n_22506), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886297 (
+	.A1(n_19787),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [343]),
+	.B1(n_19714),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [366]),
+	.Y(n_22505), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886298 (
+	.A1(n_19801),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2217]),
+	.B1(n_19732),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2240]),
+	.Y(n_22504), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886299 (
+	.A1(n_19718),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [297]),
+	.B1(n_19761),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [320]),
+	.Y(n_22503), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886300 (
+	.A1(n_19874),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [985]),
+	.B1(n_19876),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1008]),
+	.Y(n_22502), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886301 (
+	.A1(n_19671),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [526]),
+	.B1(n_19863),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [549]),
+	.Y(n_22501), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886302 (
+	.A1(n_19712),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [228]),
+	.B1(n_19788),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [274]),
+	.Y(n_22500), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886303 (
+	.A1(n_13656),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [745]),
+	.B1(n_19729),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [814]),
+	.Y(n_22499), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886304 (
+	.A1(n_19713),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [205]),
+	.B1(n_19705),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [251]),
+	.Y(n_22498), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886305 (
+	.A1(n_19852),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [480]),
+	.B1(n_19820),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [503]),
+	.Y(n_22497), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886306 (
+	.A1(n_19752),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1309]),
+	.B1(n_19814),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1240]),
+	.Y(n_22496), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886307 (
+	.A1(n_19750),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1217]),
+	.B1(n_19751),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1263]),
+	.Y(n_22495), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886308 (
+	.A1(n_19669),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [939]),
+	.B1(n_19670),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [962]),
+	.Y(n_22494), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886309 (
+	.A1(n_13592),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [837]),
+	.B1(n_19726),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [860]),
+	.Y(n_22493), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886310 (
+	.A1(n_19813),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1171]),
+	.B1(n_19748),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1194]),
+	.Y(n_22492), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886311 (
+	.A1(n_19752),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1293]),
+	.B1(n_19823),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1339]),
+	.Y(n_22491), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886312 (
+	.A1(n_19862),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [120]),
+	.B1(n_19668),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [143]),
+	.Y(n_22490), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886313 (
+	.A1(n_19700),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2907]),
+	.B1(n_19699),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2884]),
+	.Y(n_22489), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886314 (
+	.A1(n_19866),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [893]),
+	.B1(n_19871),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [916]),
+	.Y(n_22488), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886315 (
+	.A1(n_19707),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [159]),
+	.B1(n_19694),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [136]),
+	.Y(n_22487), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886316 (
+	.A1(n_19722),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2769]),
+	.B1(n_13927),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2746]),
+	.Y(n_22486), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886317 (
+	.A1(n_19701),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2861]),
+	.B1(n_19702),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2838]),
+	.Y(n_22485), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886318 (
+	.A1(n_19795),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2792]),
+	.B1(n_13629),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2815]),
+	.Y(n_22484), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886319 (
+	.A1(n_19853),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [233]),
+	.B1(n_19868),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [256]),
+	.Y(n_22483), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886320 (
+	.A1(n_19667),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [113]),
+	.B1(n_19708),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [90]),
+	.Y(n_22482), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886321 (
+	.A1(n_19710),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [21]),
+	.B1(n_19666),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [67]),
+	.Y(n_22481), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886322 (
+	.A1(n_13909),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2585]),
+	.B1(n_19719),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2930]),
+	.Y(n_22480), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886323 (
+	.A1(n_19869),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [847]),
+	.B1(n_19864),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [870]),
+	.Y(n_22479), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886324 (
+	.A1(n_19793),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2677]),
+	.B1(n_13914),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2654]),
+	.Y(n_22478), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886325 (
+	.A1(n_19756),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [434]),
+	.B1(n_19769),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [457]),
+	.Y(n_22477), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886326 (
+	.A1(n_19853),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [231]),
+	.B1(n_19868),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [254]),
+	.Y(n_22476), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886327 (
+	.A1(n_19792),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2723]),
+	.B1(n_13619),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2700]),
+	.Y(n_22475), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886328 (
+	.A1(n_13941),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2631]),
+	.B1(n_13907),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2608]),
+	.Y(n_22474), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886329 (
+	.A1(n_13584),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [537]),
+	.B1(n_13626),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [721]),
+	.Y(n_22473), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886330 (
+	.A1(n_19712),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [216]),
+	.B1(n_19714),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [354]),
+	.Y(n_22472), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886331 (
+	.A1(n_19718),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [285]),
+	.B1(n_19761),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [308]),
+	.Y(n_22471), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886332 (
+	.A1(n_19865),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [801]),
+	.B1(n_19867),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [824]),
+	.Y(n_22470), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886333 (
+	.A1(n_19705),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [239]),
+	.B1(n_19788),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [262]),
+	.Y(n_22469), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886334 (
+	.A1(n_19713),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [193]),
+	.B1(n_19787),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [331]),
+	.Y(n_22468), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886335 (
+	.A1(n_19846),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1800]),
+	.B1(n_19803),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1823]),
+	.Y(n_22467), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886336 (
+	.A1(n_19860),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [755]),
+	.B1(n_19861),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [778]),
+	.Y(n_22466), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886337 (
+	.A1(n_19707),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [147]),
+	.B1(n_19694),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [124]),
+	.Y(n_22465), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886338 (
+	.A1(n_19667),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [101]),
+	.B1(n_19708),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [78]),
+	.Y(n_22464), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g886339 (
+	.A1(n_19789),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1954]),
+	.B1(n_13611),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [689]),
+	.X(n_22463), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886340 (
+	.A1(n_19710),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [9]),
+	.B1(n_19666),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [55]),
+	.Y(n_22462), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886341 (
+	.A1(n_19690),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [388]),
+	.B1(n_19890),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [411]),
+	.Y(n_22461), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886342 (
+	.A1(n_13656),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [740]),
+	.B1(n_13582),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [786]),
+	.Y(n_22460), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886343 (
+	.A1(n_13794),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2079]),
+	.B1(n_19397),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2102]),
+	.Y(n_22459), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886344 (
+	.A1(n_19462),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2125]),
+	.B1(n_19464),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2148]),
+	.Y(n_22458), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886345 (
+	.A1(n_13869),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2171]),
+	.B1(n_19396),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2194]),
+	.Y(n_22457), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886346 (
+	.A1(n_19891),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [709]),
+	.B1(n_19888),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [732]),
+	.Y(n_22456), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886347 (
+	.A1(n_19460),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2033]),
+	.B1(n_19848),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2056]),
+	.Y(n_22455), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886348 (
+	.A1(n_19824),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1379]),
+	.B1(n_19825),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1425]),
+	.Y(n_22454), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886349 (
+	.A1(n_19679),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1987]),
+	.B1(n_13772),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2010]),
+	.Y(n_22453), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886350 (
+	.A1(n_19854),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1941]),
+	.B1(n_19830),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1964]),
+	.Y(n_22452), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886351 (
+	.A1(n_19752),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1310]),
+	.B1(n_19823),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1356]),
+	.Y(n_22451), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886352 (
+	.A1(n_19817),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1895]),
+	.B1(n_19826),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1918]),
+	.Y(n_22450), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886353 (
+	.A1(n_19398),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1917]),
+	.B1(n_19844),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2101]),
+	.Y(n_22449), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886354 (
+	.A1(n_19751),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1264]),
+	.B1(n_19814),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1241]),
+	.Y(n_22448), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886355 (
+	.A1(n_13474),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1849]),
+	.B1(n_19810),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1872]),
+	.Y(n_22447), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886356 (
+	.A1(n_19851),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [617]),
+	.B1(n_19872),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [640]),
+	.Y(n_22446), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886357 (
+	.A1(n_19703),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1711]),
+	.B1(n_19838),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1734]),
+	.Y(n_22445), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886358 (
+	.A1(n_19680),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1757]),
+	.B1(n_19845),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1780]),
+	.Y(n_22444), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886359 (
+	.A1(n_19828),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [342]),
+	.B1(n_19877),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [365]),
+	.Y(n_22443), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886360 (
+	.A1(n_19750),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1218]),
+	.B1(n_19748),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1195]),
+	.Y(n_22442), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886361 (
+	.A1(n_19760),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1402]),
+	.B1(n_19688),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1448]),
+	.Y(n_22441), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886362 (
+	.A1(n_19829),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1665]),
+	.B1(n_19833),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1688]),
+	.Y(n_22440), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886363 (
+	.A1(n_19734),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1527]),
+	.B1(n_19740),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1550]),
+	.Y(n_22439), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886364 (
+	.A1(n_19667),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [114]),
+	.B1(n_19708),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [91]),
+	.Y(n_22438), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886365 (
+	.A1(n_19808),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1573]),
+	.B1(n_19812),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1596]),
+	.Y(n_22437), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886366 (
+	.A1(n_19674),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [185]),
+	.B1(n_19675),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [208]),
+	.Y(n_22436), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886367 (
+	.A1(n_19895),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [663]),
+	.B1(n_19730),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [686]),
+	.Y(n_22435), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886368 (
+	.A1(n_19799),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1481]),
+	.B1(n_13798),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1504]),
+	.Y(n_22434), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886369 (
+	.A1(n_19713),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [206]),
+	.B1(n_19707),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [160]),
+	.Y(n_22433), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886370 (
+	.A1(n_19710),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [22]),
+	.B1(n_19694),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [137]),
+	.Y(n_22432), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886371 (
+	.A1(n_19468),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1435]),
+	.B1(n_19794),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1458]),
+	.Y(n_22431), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886372 (
+	.A1(n_19467),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1389]),
+	.B1(n_19892),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1412]),
+	.Y(n_22430), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886373 (
+	.A1(n_19738),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [571]),
+	.B1(n_19737),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [594]),
+	.Y(n_22429), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886374 (
+	.A1(n_19887),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1343]),
+	.B1(n_19889),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1366]),
+	.Y(n_22428), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886375 (
+	.A1(n_19446),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2409]),
+	.B1(n_19711),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2432]),
+	.Y(n_22427), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886376 (
+	.A1(n_19469),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1297]),
+	.B1(n_19894),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1320]),
+	.Y(n_22426), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886377 (
+	.A1(n_19700),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2920]),
+	.B1(n_19699),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2897]),
+	.Y(n_22425), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886378 (
+	.A1(n_19885),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1251]),
+	.B1(n_19886),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1274]),
+	.Y(n_22424), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886379 (
+	.A1(n_19800),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1205]),
+	.B1(n_19884),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1228]),
+	.Y(n_22423), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886380 (
+	.A1(n_19701),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2874]),
+	.B1(n_19702),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2851]),
+	.Y(n_22422), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886381 (
+	.A1(n_19819),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1159]),
+	.B1(n_19882),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1182]),
+	.Y(n_22421), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886382 (
+	.A1(n_19795),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2805]),
+	.B1(n_13629),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2828]),
+	.Y(n_22420), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886383 (
+	.A1(n_19858),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1113]),
+	.B1(n_19881),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1136]),
+	.Y(n_22419), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886384 (
+	.A1(n_19716),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [296]),
+	.B1(n_19687),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [319]),
+	.Y(n_22418), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886385 (
+	.A1(n_19893),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1067]),
+	.B1(n_19827),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1090]),
+	.Y(n_22417), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886386 (
+	.A1(n_19722),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2782]),
+	.B1(n_13927),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2759]),
+	.Y(n_22416), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886387 (
+	.A1(n_19878),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1021]),
+	.B1(n_19879),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1044]),
+	.Y(n_22415), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886388 (
+	.A1(n_19671),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [525]),
+	.B1(n_19863),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [548]),
+	.Y(n_22414), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886389 (
+	.A1(n_19874),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [975]),
+	.B1(n_19876),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [998]),
+	.Y(n_22413), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886390 (
+	.A1(n_19742),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2506]),
+	.B1(n_19402),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2529]),
+	.Y(n_22412), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886391 (
+	.A1(n_19669),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [929]),
+	.B1(n_19670),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [952]),
+	.Y(n_22411), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886392 (
+	.A1(n_19866),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [883]),
+	.B1(n_19871),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [906]),
+	.Y(n_22410), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886393 (
+	.A1(n_19755),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1687]),
+	.B1(n_19753),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1710]),
+	.Y(n_22409), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886394 (
+	.A1(n_19869),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [837]),
+	.B1(n_19864),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [860]),
+	.Y(n_22408), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886395 (
+	.A1(n_19853),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [250]),
+	.B1(n_19868),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [273]),
+	.Y(n_22407), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886396 (
+	.A1(n_19735),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2414]),
+	.B1(n_19403),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2437]),
+	.Y(n_22406), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886397 (
+	.A1(n_19852),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [479]),
+	.B1(n_19820),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [502]),
+	.Y(n_22405), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886398 (
+	.A1(n_19860),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [745]),
+	.B1(n_19861),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [768]),
+	.Y(n_22404), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886399 (
+	.A1(n_19792),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2736]),
+	.B1(n_13619),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2713]),
+	.Y(n_22403), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886400 (
+	.A1(n_19801),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2230]),
+	.B1(n_19732),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2253]),
+	.Y(n_22402), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886401 (
+	.A1(n_19790),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2884]),
+	.B1(n_19721),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2907]),
+	.Y(n_22401), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886402 (
+	.A1(n_19455),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2769]),
+	.B1(n_19758),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2746]),
+	.Y(n_22400), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886403 (
+	.A1(n_19451),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2861]),
+	.B1(n_19689),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2838]),
+	.Y(n_22399), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886404 (
+	.A1(n_19400),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2368]),
+	.B1(n_19802),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2391]),
+	.Y(n_22398), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886405 (
+	.A1(n_19453),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2792]),
+	.B1(n_19766),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2815]),
+	.Y(n_22397), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886406 (
+	.A1(n_19452),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2700]),
+	.B1(n_19743),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2723]),
+	.Y(n_22396), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886407 (
+	.A1(n_19449),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2585]),
+	.B1(n_19692),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2930]),
+	.Y(n_22395), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886408 (
+	.A1(n_19756),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [433]),
+	.B1(n_19769),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [456]),
+	.Y(n_22394), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886409 (
+	.A1(n_19793),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2690]),
+	.B1(n_13914),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2667]),
+	.Y(n_22393), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886410 (
+	.A1(n_19454),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2677]),
+	.B1(n_19796),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2654]),
+	.Y(n_22392), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886411 (
+	.A1(n_19456),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2608]),
+	.B1(n_13845),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2631]),
+	.Y(n_22391), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886412 (
+	.A1(n_13909),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2598]),
+	.B1(n_19805),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2575]),
+	.Y(n_22390), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886413 (
+	.A1(n_19395),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2322]),
+	.B1(n_19733),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2345]),
+	.Y(n_22389), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886414 (
+	.A1(n_19448),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2447]),
+	.B1(n_19709),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2470]),
+	.Y(n_22388), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886415 (
+	.A1(n_19457),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2493]),
+	.B1(n_19856),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2516]),
+	.Y(n_22387), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886416 (
+	.A1(n_19674),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [204]),
+	.B1(n_19675),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [227]),
+	.Y(n_22386), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886417 (
+	.A1(n_19690),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [387]),
+	.B1(n_19890),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [410]),
+	.Y(n_22385), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886418 (
+	.A1(n_19458),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2539]),
+	.B1(n_19807),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2562]),
+	.Y(n_22384), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886419 (
+	.A1(n_19446),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2401]),
+	.B1(n_19711),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2424]),
+	.Y(n_22383), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886420 (
+	.A1(n_19747),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1586]),
+	.B1(n_19809),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1655]),
+	.Y(n_22382), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886421 (
+	.A1(n_19445),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2355]),
+	.B1(n_19870),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2378]),
+	.Y(n_22381), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886422 (
+	.A1(n_19875),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2263]),
+	.B1(n_19880),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2286]),
+	.Y(n_22380), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886423 (
+	.A1(n_19442),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2309]),
+	.B1(n_19672),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2332]),
+	.Y(n_22379), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886424 (
+	.A1(n_19466),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2217]),
+	.B1(n_19883),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2240]),
+	.Y(n_22378), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g886425 (
+	.A1(n_19684),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1862]),
+	.B1(n_19770),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1908]),
+	.X(n_22377), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886426 (
+	.A1(n_19851),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [607]),
+	.B1(n_19872),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [630]),
+	.Y(n_22376), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886427 (
+	.A1(n_19895),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [653]),
+	.B1(n_19730),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [676]),
+	.Y(n_22375), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886428 (
+	.A1(n_19828),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [341]),
+	.B1(n_19877),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [364]),
+	.Y(n_22374), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g886429 (
+	.A1(n_19768),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [413]),
+	.B1(n_13584),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [551]),
+	.X(n_22373), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886430 (
+	.A1(n_19891),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [699]),
+	.B1(n_19888),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [722]),
+	.Y(n_22372), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886431 (
+	.A1(n_19738),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [561]),
+	.B1(n_19737),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [584]),
+	.Y(n_22371), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886432 (
+	.A1(n_19668),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [139]),
+	.B1(n_19873),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [162]),
+	.Y(n_22370), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886433 (
+	.A1(n_19756),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [423]),
+	.B1(n_19769),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [446]),
+	.Y(n_22369), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886434 (
+	.A1(n_19852),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [469]),
+	.B1(n_19820),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [492]),
+	.Y(n_22368), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886435 (
+	.A1(n_19712),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [209]),
+	.B1(n_19714),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [347]),
+	.Y(n_22367), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886436 (
+	.A1(n_19671),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [515]),
+	.B1(n_19863),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [538]),
+	.Y(n_22366), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886437 (
+	.A1(n_19686),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [389]),
+	.B1(n_19768),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [412]),
+	.Y(n_22365), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886438 (
+	.A1(n_19716),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [295]),
+	.B1(n_19687),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [318]),
+	.Y(n_22364), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886439 (
+	.A1(n_19690),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [377]),
+	.B1(n_19890),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [400]),
+	.Y(n_22363), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886440 (
+	.A1(n_19747),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1566]),
+	.B1(n_19746),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1589]),
+	.Y(n_22362), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886441 (
+	.A1(n_19821),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1333]),
+	.B1(n_19723),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1103]),
+	.Y(n_22361), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886442 (
+	.A1(n_19828),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [331]),
+	.B1(n_19877),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [354]),
+	.Y(n_22360), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886443 (
+	.A1(n_19853),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [239]),
+	.B1(n_19868),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [262]),
+	.Y(n_22359), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886444 (
+	.A1(n_19716),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [285]),
+	.B1(n_19687),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [308]),
+	.Y(n_22358), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886445 (
+	.A1(n_13918),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [965]),
+	.B1(n_13623),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1471]),
+	.Y(n_22357), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886446 (
+	.A1(n_19674),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [193]),
+	.B1(n_19675),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [216]),
+	.Y(n_22356), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886447 (
+	.A1(n_19841),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2023]),
+	.B1(n_19847),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2161]),
+	.Y(n_22355), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886448 (
+	.A1(n_19859),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [55]),
+	.B1(n_19681),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [78]),
+	.Y(n_22354), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886449 (
+	.A1(n_19841),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2004]),
+	.B1(n_19847),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2142]),
+	.Y(n_22353), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886450 (
+	.A1(n_19853),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [249]),
+	.B1(n_19868),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [272]),
+	.Y(n_22352), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886451 (
+	.A1(n_19682),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [101]),
+	.B1(n_19862),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [124]),
+	.Y(n_22351), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886452 (
+	.A1(n_19831),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1885]),
+	.B1(n_19842),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2069]),
+	.Y(n_22350), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886453 (
+	.A1(n_19668),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [147]),
+	.B1(n_19873),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [170]),
+	.Y(n_22349), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886454 (
+	.A1(n_19676),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [9]),
+	.B1(n_19855),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [32]),
+	.Y(n_22348), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886455 (
+	.A1(n_19840),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2000]),
+	.B1(n_19844),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2115]),
+	.Y(n_22347), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886456 (
+	.A1(n_19686),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [387]),
+	.B1(n_19763),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [433]),
+	.Y(n_22346), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886457 (
+	.A1(n_19860),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [753]),
+	.B1(n_19861),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [776]),
+	.Y(n_22345), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886458 (
+	.A1(n_19682),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [112]),
+	.B1(n_19862),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [135]),
+	.Y(n_22344), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886459 (
+	.A1(n_13604),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [528]),
+	.B1(n_13902),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [643]),
+	.Y(n_22343), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886460 (
+	.A1(n_19674),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [203]),
+	.B1(n_19675),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [226]),
+	.Y(n_22342), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886461 (
+	.A1(n_19721),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2906]),
+	.B1(n_19692),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2929]),
+	.Y(n_22341), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886462 (
+	.A1(n_13593),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [482]),
+	.B1(n_13922),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [505]),
+	.Y(n_22340), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886463 (
+	.A1(n_19451),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2860]),
+	.B1(n_19790),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2883]),
+	.Y(n_22339), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886464 (
+	.A1(n_19763),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [436]),
+	.B1(n_13928),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [459]),
+	.Y(n_22338), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886465 (
+	.A1(n_19766),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2814]),
+	.B1(n_19689),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2837]),
+	.Y(n_22337), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886466 (
+	.A1(n_19455),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2768]),
+	.B1(n_19453),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2791]),
+	.Y(n_22336), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886467 (
+	.A1(n_19834),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2138]),
+	.B1(n_13631),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [712]),
+	.Y(n_22335), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886468 (
+	.A1(n_19743),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2722]),
+	.B1(n_19758),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2745]),
+	.Y(n_22334), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886469 (
+	.A1(n_13845),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2630]),
+	.B1(n_19796),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2653]),
+	.Y(n_22333), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886470 (
+	.A1(n_19668),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [157]),
+	.B1(n_19873),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [180]),
+	.Y(n_22332), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886471 (
+	.A1(n_13639),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [620]),
+	.B1(n_19678),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2184]),
+	.Y(n_22331), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886472 (
+	.A1(n_19832),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2046]),
+	.B1(n_19772),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2092]),
+	.Y(n_22330), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886473 (
+	.A1(n_19454),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2676]),
+	.B1(n_19452),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2699]),
+	.Y(n_22329), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886474 (
+	.A1(n_13648),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [574]),
+	.B1(n_13627),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [666]),
+	.Y(n_22328), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886475 (
+	.A1(n_19449),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2584]),
+	.B1(n_19456),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2607]),
+	.Y(n_22327), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886476 (
+	.A1(n_19859),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [66]),
+	.B1(n_19681),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [89]),
+	.Y(n_22326), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886477 (
+	.A1(n_19458),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2538]),
+	.B1(n_19807),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2561]),
+	.Y(n_22325), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886478 (
+	.A1(n_19682),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [111]),
+	.B1(n_19862),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [134]),
+	.Y(n_22324), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886479 (
+	.A1(n_19457),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2492]),
+	.B1(n_19856),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2515]),
+	.Y(n_22323), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886480 (
+	.A1(n_13659),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1011]),
+	.B1(n_13609),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1057]),
+	.Y(n_22322), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886481 (
+	.A1(n_13660),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [896]),
+	.B1(n_19726),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [873]),
+	.Y(n_22321), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886482 (
+	.A1(n_19448),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2446]),
+	.B1(n_19709),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2469]),
+	.Y(n_22320), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886483 (
+	.A1(n_13592),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [850]),
+	.B1(n_19729),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [827]),
+	.Y(n_22319), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886484 (
+	.A1(n_19446),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2400]),
+	.B1(n_19711),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2423]),
+	.Y(n_22318), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886485 (
+	.A1(n_19682),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [93]),
+	.B1(n_19862),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [116]),
+	.Y(n_22317), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886486 (
+	.A1(n_19859),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [65]),
+	.B1(n_19681),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [88]),
+	.Y(n_22316), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886487 (
+	.A1(n_13656),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [758]),
+	.B1(n_13582),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [804]),
+	.Y(n_22315), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886488 (
+	.A1(n_19875),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2262]),
+	.B1(n_19880),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2285]),
+	.Y(n_22314), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886489 (
+	.A1(n_19742),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2490]),
+	.B1(n_19402),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2513]),
+	.Y(n_22313), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886490 (
+	.A1(n_19676),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [20]),
+	.B1(n_19855),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [43]),
+	.Y(n_22312), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886491 (
+	.A1(n_19442),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2308]),
+	.B1(n_19672),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2331]),
+	.Y(n_22311), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886492 (
+	.A1(n_19693),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2483]),
+	.B1(n_19719),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2943]),
+	.Y(n_22310), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886493 (
+	.A1(n_19466),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2216]),
+	.B1(n_19883),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2239]),
+	.Y(n_22309), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886494 (
+	.A1(n_19401),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2276]),
+	.B1(n_19736),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2299]),
+	.Y(n_22308), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886495 (
+	.A1(n_13794),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2078]),
+	.B1(n_19397),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2101]),
+	.Y(n_22307), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886496 (
+	.A1(n_19462),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2124]),
+	.B1(n_19464),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2147]),
+	.Y(n_22306), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886497 (
+	.A1(n_19739),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2460]),
+	.B1(n_19804),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2552]),
+	.Y(n_22305), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886498 (
+	.A1(n_13941),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2644]),
+	.B1(n_13907),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2621]),
+	.Y(n_22304), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886499 (
+	.A1(n_13869),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2170]),
+	.B1(n_19396),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2193]),
+	.Y(n_22303), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886500 (
+	.A1(n_19460),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2032]),
+	.B1(n_19848),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2055]),
+	.Y(n_22302), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886501 (
+	.A1(n_19746),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1609]),
+	.B1(n_19691),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1816]),
+	.Y(n_22301), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886502 (
+	.A1(n_19676),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [19]),
+	.B1(n_19855),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [42]),
+	.Y(n_22300), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886503 (
+	.A1(n_19679),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1986]),
+	.B1(n_13772),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2009]),
+	.Y(n_22299), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886504 (
+	.A1(n_19816),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1770]),
+	.B1(n_19757),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1793]),
+	.Y(n_22298), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886505 (
+	.A1(n_19817),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1894]),
+	.B1(n_19826),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1917]),
+	.Y(n_22297), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886506 (
+	.A1(n_19735),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2396]),
+	.B1(n_19403),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2419]),
+	.Y(n_22296), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886507 (
+	.A1(n_19696),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1632]),
+	.B1(n_19818),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1839]),
+	.Y(n_22295), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886508 (
+	.A1(n_19854),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1940]),
+	.B1(n_19830),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1963]),
+	.Y(n_22294), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886509 (
+	.A1(n_19811),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1126]),
+	.B1(n_19813),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1172]),
+	.Y(n_22293), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886510 (
+	.A1(n_13474),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1848]),
+	.B1(n_19810),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1871]),
+	.Y(n_22292), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886511 (
+	.A1(n_19448),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2455]),
+	.B1(n_19709),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2478]),
+	.Y(n_22291), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886512 (
+	.A1(n_19458),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2547]),
+	.B1(n_19807),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2570]),
+	.Y(n_22290), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886513 (
+	.A1(n_19703),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1710]),
+	.B1(n_19838),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1733]),
+	.Y(n_22289), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886514 (
+	.A1(n_19815),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1678]),
+	.B1(n_19755),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1701]),
+	.Y(n_22288), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886515 (
+	.A1(n_19680),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1756]),
+	.B1(n_19845),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1779]),
+	.Y(n_22287), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886516 (
+	.A1(n_19741),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1540]),
+	.B1(n_19745),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1563]),
+	.Y(n_22286), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886517 (
+	.A1(n_19846),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1802]),
+	.B1(n_19803),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1825]),
+	.Y(n_22285), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886518 (
+	.A1(n_19753),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1724]),
+	.B1(n_19754),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1747]),
+	.Y(n_22284), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886519 (
+	.A1(n_19829),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1664]),
+	.B1(n_19833),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1687]),
+	.Y(n_22283), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886520 (
+	.A1(n_19457),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2501]),
+	.B1(n_19856),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2524]),
+	.Y(n_22282), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886521 (
+	.A1(n_19734),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1526]),
+	.B1(n_19740),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1549]),
+	.Y(n_22281), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886522 (
+	.A1(n_19744),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1494]),
+	.B1(n_19806),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1517]),
+	.Y(n_22280), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886523 (
+	.A1(n_19666),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [54]),
+	.B1(n_19707),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [146]),
+	.Y(n_22279), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886524 (
+	.A1(n_19808),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1572]),
+	.B1(n_19812),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1595]),
+	.Y(n_22278), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886525 (
+	.A1(n_19788),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [275]),
+	.B1(n_19714),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [367]),
+	.Y(n_22277), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886526 (
+	.A1(n_19697),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1618]),
+	.B1(n_19759),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1641]),
+	.Y(n_22276), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886527 (
+	.A1(n_19799),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1480]),
+	.B1(n_13798),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1503]),
+	.Y(n_22275), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886528 (
+	.A1(n_19787),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [344]),
+	.B1(n_19761),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [321]),
+	.Y(n_22274), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886529 (
+	.A1(n_19738),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [569]),
+	.B1(n_19737),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [592]),
+	.Y(n_22273), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886530 (
+	.A1(n_19448),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2455]),
+	.B1(n_19709),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2478]),
+	.Y(n_22272), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886531 (
+	.A1(n_19712),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [229]),
+	.B1(n_19705),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [252]),
+	.Y(n_22271), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886532 (
+	.A1(n_19468),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1434]),
+	.B1(n_19794),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1457]),
+	.Y(n_22270), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886533 (
+	.A1(n_19666),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [68]),
+	.B1(n_19718),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [298]),
+	.Y(n_22269), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886534 (
+	.A1(n_19467),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1388]),
+	.B1(n_19892),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1411]),
+	.Y(n_22268), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886535 (
+	.A1(n_19859),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [47]),
+	.B1(n_19681),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [70]),
+	.Y(n_22267), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886536 (
+	.A1(n_19887),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1342]),
+	.B1(n_19889),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1365]),
+	.Y(n_22266), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886537 (
+	.A1(n_19446),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2409]),
+	.B1(n_19711),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2432]),
+	.Y(n_22265), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886538 (
+	.A1(n_19469),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1296]),
+	.B1(n_19894),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1319]),
+	.Y(n_22264), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886539 (
+	.A1(n_13869),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2181]),
+	.B1(n_19396),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2204]),
+	.Y(n_22263), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886540 (
+	.A1(n_19885),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1250]),
+	.B1(n_19886),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1273]),
+	.Y(n_22262), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886541 (
+	.A1(n_19800),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1204]),
+	.B1(n_19884),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1227]),
+	.Y(n_22261), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886542 (
+	.A1(n_19819),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1158]),
+	.B1(n_19882),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1181]),
+	.Y(n_22260), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886543 (
+	.A1(n_19462),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2135]),
+	.B1(n_19464),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2158]),
+	.Y(n_22259), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886544 (
+	.A1(n_19858),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1112]),
+	.B1(n_19881),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1135]),
+	.Y(n_22258), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886545 (
+	.A1(n_19445),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2363]),
+	.B1(n_19870),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2386]),
+	.Y(n_22257), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886546 (
+	.A1(n_19893),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1066]),
+	.B1(n_19827),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1089]),
+	.Y(n_22256), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886547 (
+	.A1(n_19878),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1020]),
+	.B1(n_19879),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1043]),
+	.Y(n_22255), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886548 (
+	.A1(n_19874),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [974]),
+	.B1(n_19876),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [997]),
+	.Y(n_22254), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886549 (
+	.A1(n_19669),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [928]),
+	.B1(n_19670),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [951]),
+	.Y(n_22253), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886550 (
+	.A1(n_19866),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [882]),
+	.B1(n_19871),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [905]),
+	.Y(n_22252), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886551 (
+	.A1(n_19442),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2317]),
+	.B1(n_19672),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2340]),
+	.Y(n_22251), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886552 (
+	.A1(n_19869),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [836]),
+	.B1(n_19864),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [859]),
+	.Y(n_22250), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886553 (
+	.A1(n_19865),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [790]),
+	.B1(n_19867),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [813]),
+	.Y(n_22249), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886554 (
+	.A1(n_19832),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2043]),
+	.B1(n_19772),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2089]),
+	.Y(n_22248), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886555 (
+	.A1(n_19875),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2271]),
+	.B1(n_19880),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2294]),
+	.Y(n_22247), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886556 (
+	.A1(n_19860),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [744]),
+	.B1(n_19861),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [767]),
+	.Y(n_22246), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886557 (
+	.A1(n_19824),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1357]),
+	.B1(n_19825),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1403]),
+	.Y(n_22245), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886558 (
+	.A1(n_19821),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1311]),
+	.B1(n_19688),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1426]),
+	.Y(n_22244), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886559 (
+	.A1(n_19891),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [698]),
+	.B1(n_19888),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [721]),
+	.Y(n_22243), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886560 (
+	.A1(n_19760),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1380]),
+	.B1(n_13623),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1449]),
+	.Y(n_22242), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886561 (
+	.A1(n_19895),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [652]),
+	.B1(n_19730),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [675]),
+	.Y(n_22241), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886562 (
+	.A1(n_19676),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1]),
+	.B1(n_19855),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [24]),
+	.Y(n_22240), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886563 (
+	.A1(n_19851),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [606]),
+	.B1(n_19872),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [629]),
+	.Y(n_22239), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886564 (
+	.A1(n_19466),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2225]),
+	.B1(n_19883),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2248]),
+	.Y(n_22238), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886565 (
+	.A1(n_19761),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [307]),
+	.B1(n_19714),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [353]),
+	.Y(n_22237), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886566 (
+	.A1(n_19738),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [560]),
+	.B1(n_19737),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [583]),
+	.Y(n_22236), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886567 (
+	.A1(n_13794),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2089]),
+	.B1(n_19397),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2112]),
+	.Y(n_22235), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886568 (
+	.A1(n_19750),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1196]),
+	.B1(n_19751),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1242]),
+	.Y(n_22234), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886569 (
+	.A1(n_19671),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [514]),
+	.B1(n_19863),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [537]),
+	.Y(n_22233), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886570 (
+	.A1(n_19852),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [468]),
+	.B1(n_19820),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [491]),
+	.Y(n_22232), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886571 (
+	.A1(n_19813),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1150]),
+	.B1(n_19748),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1173]),
+	.Y(n_22231), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886572 (
+	.A1(n_19756),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [422]),
+	.B1(n_19769),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [445]),
+	.Y(n_22230), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886573 (
+	.A1(n_19752),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1288]),
+	.B1(n_19814),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1219]),
+	.Y(n_22229), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886574 (
+	.A1(n_19690),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [376]),
+	.B1(n_19890),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [399]),
+	.Y(n_22228), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886575 (
+	.A1(n_19891),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [707]),
+	.B1(n_19888),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [730]),
+	.Y(n_22227), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886576 (
+	.A1(n_19828),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [330]),
+	.B1(n_19877),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [353]),
+	.Y(n_22226), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886577 (
+	.A1(n_19460),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2043]),
+	.B1(n_19848),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2066]),
+	.Y(n_22225), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886578 (
+	.A1(n_19742),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2484]),
+	.B1(n_19402),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2507]),
+	.Y(n_22224), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886579 (
+	.A1(n_19855),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [31]),
+	.B1(n_19873),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [169]),
+	.Y(n_22223), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886580 (
+	.A1(n_19853),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [238]),
+	.B1(n_19868),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [261]),
+	.Y(n_22222), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886581 (
+	.A1(n_19739),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2438]),
+	.B1(n_19693),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2461]),
+	.Y(n_22221), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886582 (
+	.A1(n_19716),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [284]),
+	.B1(n_19687),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [307]),
+	.Y(n_22220), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886583 (
+	.A1(n_19804),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2530]),
+	.B1(n_19805),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2553]),
+	.Y(n_22219), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886584 (
+	.A1(n_19862),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [123]),
+	.B1(n_19668),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [146]),
+	.Y(n_22218), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886585 (
+	.A1(n_19735),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2392]),
+	.B1(n_19403),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2415]),
+	.Y(n_22217), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886586 (
+	.A1(n_19674),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [192]),
+	.B1(n_19675),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [215]),
+	.Y(n_22216), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886587 (
+	.A1(n_19895),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [661]),
+	.B1(n_19730),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [684]),
+	.Y(n_22215), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886588 (
+	.A1(n_19682),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [100]),
+	.B1(n_19681),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [77]),
+	.Y(n_22214), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886589 (
+	.A1(n_19395),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2300]),
+	.B1(n_19733),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2323]),
+	.Y(n_22213), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886590 (
+	.A1(n_19676),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [8]),
+	.B1(n_19859),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [54]),
+	.Y(n_22212), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886591 (
+	.A1(n_19851),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [615]),
+	.B1(n_19872),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [638]),
+	.Y(n_22211), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886592 (
+	.A1(n_19401),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2254]),
+	.B1(n_19736),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2277]),
+	.Y(n_22210), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886593 (
+	.A1(n_19400),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2346]),
+	.B1(n_19802),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2369]),
+	.Y(n_22209), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886594 (
+	.A1(n_19468),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1434]),
+	.B1(n_19794),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1457]),
+	.Y(n_22208), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886595 (
+	.A1(n_19801),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2208]),
+	.B1(n_19732),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2231]),
+	.Y(n_22207), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886596 (
+	.A1(n_19887),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1342]),
+	.B1(n_19889),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1365]),
+	.Y(n_22206), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886597 (
+	.A1(n_19738),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [569]),
+	.B1(n_19737),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [592]),
+	.Y(n_22205), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886598 (
+	.A1(n_19467),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1388]),
+	.B1(n_19892),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1411]),
+	.Y(n_22204), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886599 (
+	.A1(n_19679),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1997]),
+	.B1(n_13772),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2020]),
+	.Y(n_22203), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886600 (
+	.A1(n_19469),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1296]),
+	.B1(n_19894),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1319]),
+	.Y(n_22202), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886601 (
+	.A1(n_19817),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1908]),
+	.B1(n_19826),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1931]),
+	.Y(n_22201), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886602 (
+	.A1(n_19700),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2898]),
+	.B1(n_19699),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2875]),
+	.Y(n_22200), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886603 (
+	.A1(n_19819),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1158]),
+	.B1(n_19882),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1181]),
+	.Y(n_22199), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886604 (
+	.A1(n_13604),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [525]),
+	.B1(n_13922),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [502]),
+	.Y(n_22198), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886605 (
+	.A1(n_19800),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1204]),
+	.B1(n_19884),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1227]),
+	.Y(n_22197), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886606 (
+	.A1(n_19722),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2760]),
+	.B1(n_13927),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2737]),
+	.Y(n_22196), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886607 (
+	.A1(n_19701),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2852]),
+	.B1(n_19702),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2829]),
+	.Y(n_22195), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886608 (
+	.A1(n_19885),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1250]),
+	.B1(n_19886),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1273]),
+	.Y(n_22194), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886609 (
+	.A1(n_19671),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [523]),
+	.B1(n_19863),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [546]),
+	.Y(n_22193), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886610 (
+	.A1(n_19858),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1112]),
+	.B1(n_19881),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1135]),
+	.Y(n_22192), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886611 (
+	.A1(n_19795),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2783]),
+	.B1(n_13629),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2806]),
+	.Y(n_22191), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886612 (
+	.A1(n_19893),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1066]),
+	.B1(n_19827),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1089]),
+	.Y(n_22190), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886613 (
+	.A1(n_19792),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2714]),
+	.B1(n_13619),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2691]),
+	.Y(n_22189), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886614 (
+	.A1(n_19874),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [974]),
+	.B1(n_19876),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [997]),
+	.Y(n_22188), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886615 (
+	.A1(n_19854),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1951]),
+	.B1(n_19830),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1974]),
+	.Y(n_22187), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886616 (
+	.A1(n_13909),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2576]),
+	.B1(n_19719),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2921]),
+	.Y(n_22186), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886617 (
+	.A1(n_19852),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [477]),
+	.B1(n_19820),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [500]),
+	.Y(n_22185), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886618 (
+	.A1(n_19878),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1020]),
+	.B1(n_19879),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1043]),
+	.Y(n_22184), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886619 (
+	.A1(n_19793),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2668]),
+	.B1(n_13914),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2645]),
+	.Y(n_22183), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886620 (
+	.A1(n_19669),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [928]),
+	.B1(n_19670),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [951]),
+	.Y(n_22182), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886621 (
+	.A1(n_13941),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2622]),
+	.B1(n_13907),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2599]),
+	.Y(n_22181), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886622 (
+	.A1(n_19865),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [790]),
+	.B1(n_19867),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [813]),
+	.Y(n_22180), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886623 (
+	.A1(n_19869),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [836]),
+	.B1(n_19864),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [859]),
+	.Y(n_22179), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886624 (
+	.A1(n_19866),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [882]),
+	.B1(n_19871),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [905]),
+	.Y(n_22178), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886625 (
+	.A1(n_19756),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [431]),
+	.B1(n_19769),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [454]),
+	.Y(n_22177), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886626 (
+	.A1(n_19860),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [744]),
+	.B1(n_19861),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [767]),
+	.Y(n_22176), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886627 (
+	.A1(n_19750),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1200]),
+	.B1(n_19814),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1223]),
+	.Y(n_22175), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886628 (
+	.A1(n_13902),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [640]),
+	.B1(n_13611),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [686]),
+	.Y(n_22174), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886629 (
+	.A1(n_13656),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [736]),
+	.B1(n_19729),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [805]),
+	.Y(n_22173), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886630 (
+	.A1(n_19817),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1905]),
+	.B1(n_19826),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1928]),
+	.Y(n_22172), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886631 (
+	.A1(n_19462),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2124]),
+	.B1(n_19464),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2147]),
+	.Y(n_22171), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886632 (
+	.A1(n_19690),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [385]),
+	.B1(n_19890),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [408]),
+	.Y(n_22170), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886633 (
+	.A1(n_13794),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2078]),
+	.B1(n_19397),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2101]),
+	.Y(n_22169), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886634 (
+	.A1(n_19460),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2032]),
+	.B1(n_19848),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2055]),
+	.Y(n_22168), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886635 (
+	.A1(n_13660),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [874]),
+	.B1(n_13659),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [989]),
+	.Y(n_22167), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886636 (
+	.A1(n_13918),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [943]),
+	.B1(n_13609),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1035]),
+	.Y(n_22166), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886637 (
+	.A1(n_19679),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1986]),
+	.B1(n_13772),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2009]),
+	.Y(n_22165), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886638 (
+	.A1(n_19854),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1940]),
+	.B1(n_19830),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1963]),
+	.Y(n_22164), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886639 (
+	.A1(n_19817),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1894]),
+	.B1(n_19826),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1917]),
+	.Y(n_22163), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886640 (
+	.A1(n_13592),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [828]),
+	.B1(n_19726),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [851]),
+	.Y(n_22162), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886641 (
+	.A1(n_13474),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1848]),
+	.B1(n_19810),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1871]),
+	.Y(n_22161), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886642 (
+	.A1(n_13869),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2179]),
+	.B1(n_19396),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2202]),
+	.Y(n_22160), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886643 (
+	.A1(n_13474),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1859]),
+	.B1(n_19810),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1882]),
+	.Y(n_22159), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886644 (
+	.A1(n_19846),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1802]),
+	.B1(n_19803),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1825]),
+	.Y(n_22158), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886645 (
+	.A1(n_19832),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2024]),
+	.B1(n_19772),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2070]),
+	.Y(n_22157), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886646 (
+	.A1(n_19703),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1710]),
+	.B1(n_19838),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1733]),
+	.Y(n_22156), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886647 (
+	.A1(n_19680),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1756]),
+	.B1(n_19845),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1779]),
+	.Y(n_22155), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886648 (
+	.A1(n_19831),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1882]),
+	.B1(n_19841),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2020]),
+	.Y(n_22154), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886649 (
+	.A1(n_19462),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2133]),
+	.B1(n_19464),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2156]),
+	.Y(n_22153), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886650 (
+	.A1(n_19770),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1886]),
+	.B1(n_19398),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1909]),
+	.Y(n_22152), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886651 (
+	.A1(n_19829),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1664]),
+	.B1(n_19833),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1687]),
+	.Y(n_22151), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886652 (
+	.A1(n_19834),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2116]),
+	.B1(n_19678),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2162]),
+	.Y(n_22150), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886653 (
+	.A1(n_19697),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1618]),
+	.B1(n_19759),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1641]),
+	.Y(n_22149), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886654 (
+	.A1(n_19684),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1840]),
+	.B1(n_19831),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1863]),
+	.Y(n_22148), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886655 (
+	.A1(n_19808),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1572]),
+	.B1(n_19812),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1595]),
+	.Y(n_22147), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886656 (
+	.A1(n_19734),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1526]),
+	.B1(n_19740),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1549]),
+	.Y(n_22146), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886657 (
+	.A1(n_19718),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [276]),
+	.B1(n_19761),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [299]),
+	.Y(n_22145), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886658 (
+	.A1(n_19799),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1480]),
+	.B1(n_13798),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1503]),
+	.Y(n_22144), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886659 (
+	.A1(n_13794),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2087]),
+	.B1(n_19397),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2110]),
+	.Y(n_22143), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886660 (
+	.A1(n_19712),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [207]),
+	.B1(n_19788),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [253]),
+	.Y(n_22142), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886661 (
+	.A1(n_19721),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2906]),
+	.B1(n_19692),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2929]),
+	.Y(n_22141), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886662 (
+	.A1(n_19787),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [322]),
+	.B1(n_19714),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [345]),
+	.Y(n_22140), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886663 (
+	.A1(n_19766),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2814]),
+	.B1(n_19689),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2837]),
+	.Y(n_22139), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886664 (
+	.A1(n_19713),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [184]),
+	.B1(n_19705),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [230]),
+	.Y(n_22138), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886665 (
+	.A1(n_19735),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2400]),
+	.B1(n_19739),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2446]),
+	.Y(n_22137), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886666 (
+	.A1(n_19468),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1445]),
+	.B1(n_19794),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1468]),
+	.Y(n_22136), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886667 (
+	.A1(n_19451),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2860]),
+	.B1(n_19790),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2883]),
+	.Y(n_22135), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886668 (
+	.A1(n_19460),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2041]),
+	.B1(n_19848),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2064]),
+	.Y(n_22134), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886669 (
+	.A1(n_19455),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2768]),
+	.B1(n_19453),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2791]),
+	.Y(n_22133), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886670 (
+	.A1(n_13869),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2165]),
+	.B1(n_19396),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2188]),
+	.Y(n_22132), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886671 (
+	.A1(n_19816),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1748]),
+	.B1(n_19757),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1771]),
+	.Y(n_22131), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886672 (
+	.A1(n_19753),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1702]),
+	.B1(n_19754),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1725]),
+	.Y(n_22130), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886673 (
+	.A1(n_13845),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2630]),
+	.B1(n_19796),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2653]),
+	.Y(n_22129), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886674 (
+	.A1(n_19454),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2676]),
+	.B1(n_19452),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2699]),
+	.Y(n_22128), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886675 (
+	.A1(n_19691),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1794]),
+	.B1(n_19818),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1817]),
+	.Y(n_22127), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886676 (
+	.A1(n_19743),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2722]),
+	.B1(n_19758),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2745]),
+	.Y(n_22126), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886677 (
+	.A1(n_19467),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1399]),
+	.B1(n_19892),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1422]),
+	.Y(n_22125), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886678 (
+	.A1(n_19679),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1995]),
+	.B1(n_13772),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2018]),
+	.Y(n_22124), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886679 (
+	.A1(n_19815),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1656]),
+	.B1(n_19755),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1679]),
+	.Y(n_22123), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886680 (
+	.A1(n_19747),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1564]),
+	.B1(n_19746),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1587]),
+	.Y(n_22122), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886681 (
+	.A1(n_19448),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2446]),
+	.B1(n_19709),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2469]),
+	.Y(n_22121), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886682 (
+	.A1(n_19457),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2492]),
+	.B1(n_19856),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2515]),
+	.Y(n_22120), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886683 (
+	.A1(n_19741),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1518]),
+	.B1(n_19745),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1541]),
+	.Y(n_22119), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886684 (
+	.A1(n_19458),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2538]),
+	.B1(n_19807),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2561]),
+	.Y(n_22118), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886685 (
+	.A1(n_19696),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1610]),
+	.B1(n_19809),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1633]),
+	.Y(n_22117), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886686 (
+	.A1(n_19446),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2400]),
+	.B1(n_19711),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2423]),
+	.Y(n_22116), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886687 (
+	.A1(n_19744),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1472]),
+	.B1(n_19806),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1495]),
+	.Y(n_22115), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886688 (
+	.A1(n_19875),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2262]),
+	.B1(n_19880),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2285]),
+	.Y(n_22114), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886689 (
+	.A1(n_19854),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1949]),
+	.B1(n_19830),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1972]),
+	.Y(n_22113), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886690 (
+	.A1(n_19442),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2308]),
+	.B1(n_19672),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2331]),
+	.Y(n_22112), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886691 (
+	.A1(n_19445),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2354]),
+	.B1(n_19870),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2377]),
+	.Y(n_22111), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886692 (
+	.A1(n_19817),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1903]),
+	.B1(n_19826),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1926]),
+	.Y(n_22110), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886693 (
+	.A1(n_19841),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2001]),
+	.B1(n_19847),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2139]),
+	.Y(n_22109), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886694 (
+	.A1(n_19466),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2216]),
+	.B1(n_19883),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2239]),
+	.Y(n_22108), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886695 (
+	.A1(n_19840),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1978]),
+	.B1(n_19844),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2093]),
+	.Y(n_22107), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886696 (
+	.A1(n_19462),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2119]),
+	.B1(n_19464),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2142]),
+	.Y(n_22106), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886697 (
+	.A1(n_19842),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2047]),
+	.B1(n_19843),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2185]),
+	.Y(n_22105), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886698 (
+	.A1(n_19891),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [698]),
+	.B1(n_19888),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [721]),
+	.Y(n_22104), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886699 (
+	.A1(n_19895),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [652]),
+	.B1(n_19730),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [675]),
+	.Y(n_22103), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886700 (
+	.A1(n_19887),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1353]),
+	.B1(n_19889),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1376]),
+	.Y(n_22102), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886701 (
+	.A1(n_19789),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1932]),
+	.B1(n_19839),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1955]),
+	.Y(n_22101), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886702 (
+	.A1(n_13474),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1857]),
+	.B1(n_19810),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1880]),
+	.Y(n_22100), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886703 (
+	.A1(n_13902),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [621]),
+	.B1(n_13611),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [667]),
+	.Y(n_22099), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886704 (
+	.A1(n_19738),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [560]),
+	.B1(n_19737),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [583]),
+	.Y(n_22098), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886705 (
+	.A1(n_13604),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [506]),
+	.B1(n_13584),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [529]),
+	.Y(n_22097), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886706 (
+	.A1(n_19671),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [514]),
+	.B1(n_19863),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [537]),
+	.Y(n_22096), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886707 (
+	.A1(n_19852),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [468]),
+	.B1(n_19820),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [491]),
+	.Y(n_22095), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886708 (
+	.A1(n_13924),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [575]),
+	.B1(n_13626),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [713]),
+	.Y(n_22094), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886709 (
+	.A1(n_19756),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [422]),
+	.B1(n_19769),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [445]),
+	.Y(n_22093), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886710 (
+	.A1(n_13593),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [460]),
+	.B1(n_13922),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [483]),
+	.Y(n_22092), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886711 (
+	.A1(n_19690),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [376]),
+	.B1(n_19890),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [399]),
+	.Y(n_22091), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886712 (
+	.A1(n_19846),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1811]),
+	.B1(n_19803),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1834]),
+	.Y(n_22090), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886713 (
+	.A1(n_19469),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1307]),
+	.B1(n_19894),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1330]),
+	.Y(n_22089), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886714 (
+	.A1(n_19828),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [330]),
+	.B1(n_19877),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [353]),
+	.Y(n_22088), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886715 (
+	.A1(n_13648),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [552]),
+	.B1(n_13639),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [598]),
+	.Y(n_22087), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886716 (
+	.A1(n_19716),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [284]),
+	.B1(n_19687),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [307]),
+	.Y(n_22086), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886717 (
+	.A1(n_19763),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [414]),
+	.B1(n_13928),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [437]),
+	.Y(n_22085), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886718 (
+	.A1(n_19853),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [238]),
+	.B1(n_19868),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [261]),
+	.Y(n_22084), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886719 (
+	.A1(n_19680),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1765]),
+	.B1(n_19845),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1788]),
+	.Y(n_22083), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886720 (
+	.A1(n_19855),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [31]),
+	.B1(n_19873),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [169]),
+	.Y(n_22082), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886721 (
+	.A1(n_13627),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [644]),
+	.B1(n_13631),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [690]),
+	.Y(n_22081), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886722 (
+	.A1(n_13627),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [649]),
+	.B1(n_13631),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [695]),
+	.Y(n_22080), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886723 (
+	.A1(n_19686),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [368]),
+	.B1(n_19768),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [391]),
+	.Y(n_22079), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886724 (
+	.A1(n_19862),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [123]),
+	.B1(n_19668),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [146]),
+	.Y(n_22078), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886725 (
+	.A1(n_19674),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [192]),
+	.B1(n_19675),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [215]),
+	.Y(n_22077), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886726 (
+	.A1(n_19707),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [138]),
+	.B1(n_19694),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [115]),
+	.Y(n_22076), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886727 (
+	.A1(n_19682),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [100]),
+	.B1(n_19681),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [77]),
+	.Y(n_22075), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886728 (
+	.A1(n_19667),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [92]),
+	.B1(n_19708),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [69]),
+	.Y(n_22074), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886729 (
+	.A1(n_19676),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [8]),
+	.B1(n_19859),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [54]),
+	.Y(n_22073), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886730 (
+	.A1(n_19703),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1719]),
+	.B1(n_19838),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1742]),
+	.Y(n_22072), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886731 (
+	.A1(n_13794),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2073]),
+	.B1(n_19397),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2096]),
+	.Y(n_22071), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886732 (
+	.A1(n_19710),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [0]),
+	.B1(n_19666),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [46]),
+	.Y(n_22070), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886733 (
+	.A1(n_19885),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1261]),
+	.B1(n_19886),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1284]),
+	.Y(n_22069), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886734 (
+	.A1(n_19700),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2906]),
+	.B1(n_19719),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2929]),
+	.Y(n_22068), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886735 (
+	.A1(n_19829),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1673]),
+	.B1(n_19833),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1696]),
+	.Y(n_22067), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886736 (
+	.A1(n_19702),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2837]),
+	.B1(n_13927),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2745]),
+	.Y(n_22066), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886737 (
+	.A1(n_19792),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2722]),
+	.B1(n_13619),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2699]),
+	.Y(n_22065), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886738 (
+	.A1(n_13907),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2607]),
+	.B1(n_19795),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2791]),
+	.Y(n_22064), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886739 (
+	.A1(n_19793),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2676]),
+	.B1(n_13914),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2653]),
+	.Y(n_22063), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886740 (
+	.A1(n_19800),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1215]),
+	.B1(n_19884),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1238]),
+	.Y(n_22062), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886741 (
+	.A1(n_19722),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2768]),
+	.B1(n_13629),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2814]),
+	.Y(n_22061), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886742 (
+	.A1(n_19839),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1957]),
+	.B1(n_19847),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2141]),
+	.Y(n_22060), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886743 (
+	.A1(n_13909),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2584]),
+	.B1(n_13941),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2630]),
+	.Y(n_22059), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886744 (
+	.A1(n_19847),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2140]),
+	.B1(n_19843),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2186]),
+	.Y(n_22058), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886745 (
+	.A1(n_19697),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1627]),
+	.B1(n_19759),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1650]),
+	.Y(n_22057), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886746 (
+	.A1(n_19757),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1779]),
+	.B1(n_19818),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1825]),
+	.Y(n_22056), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886747 (
+	.A1(n_19700),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2917]),
+	.B1(n_19699),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2894]),
+	.Y(n_22055), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886748 (
+	.A1(n_19754),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1733]),
+	.B1(n_19809),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1641]),
+	.Y(n_22054), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886749 (
+	.A1(n_19722),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2779]),
+	.B1(n_13927),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2756]),
+	.Y(n_22053), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886750 (
+	.A1(n_19696),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1618]),
+	.B1(n_19746),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1595]),
+	.Y(n_22052), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886751 (
+	.A1(n_19747),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1572]),
+	.B1(n_19745),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1549]),
+	.Y(n_22051), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886752 (
+	.A1(n_19808),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1581]),
+	.B1(n_19812),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1604]),
+	.Y(n_22050), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886753 (
+	.A1(n_19701),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2871]),
+	.B1(n_19702),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2848]),
+	.Y(n_22049), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886754 (
+	.A1(n_19816),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1756]),
+	.B1(n_19691),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1802]),
+	.Y(n_22048), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886755 (
+	.A1(n_19755),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1687]),
+	.B1(n_19753),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1710]),
+	.Y(n_22047), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886756 (
+	.A1(n_19832),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2030]),
+	.B1(n_19678),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2168]),
+	.Y(n_22046), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886757 (
+	.A1(n_19795),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2802]),
+	.B1(n_13629),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2825]),
+	.Y(n_22045), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886758 (
+	.A1(n_19741),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1526]),
+	.B1(n_19815),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1664]),
+	.Y(n_22044), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886759 (
+	.A1(n_19744),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1480]),
+	.B1(n_19806),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1503]),
+	.Y(n_22043), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886760 (
+	.A1(n_19792),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2733]),
+	.B1(n_13619),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2710]),
+	.Y(n_22042), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886761 (
+	.A1(n_19398),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1917]),
+	.B1(n_19844),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2101]),
+	.Y(n_22041), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886762 (
+	.A1(n_19840),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1986]),
+	.B1(n_19847),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2147]),
+	.Y(n_22040), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886763 (
+	.A1(n_13909),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2595]),
+	.B1(n_19719),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2940]),
+	.Y(n_22039), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886764 (
+	.A1(n_19841),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2009]),
+	.B1(n_19843),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2193]),
+	.Y(n_22038), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886765 (
+	.A1(n_19460),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2027]),
+	.B1(n_19848),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2050]),
+	.Y(n_22037), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886766 (
+	.A1(n_19789),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1940]),
+	.B1(n_19839),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1963]),
+	.Y(n_22036), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886767 (
+	.A1(n_19734),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1535]),
+	.B1(n_19740),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1558]),
+	.Y(n_22035), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886768 (
+	.A1(n_19793),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2687]),
+	.B1(n_13914),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2664]),
+	.Y(n_22034), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886769 (
+	.A1(n_13584),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [537]),
+	.B1(n_13626),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [721]),
+	.Y(n_22033), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886770 (
+	.A1(n_13941),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2641]),
+	.B1(n_13907),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2618]),
+	.Y(n_22032), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886771 (
+	.A1(n_13604),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [514]),
+	.B1(n_13611),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [675]),
+	.Y(n_22031), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886772 (
+	.A1(n_19819),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1169]),
+	.B1(n_19882),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1192]),
+	.Y(n_22030), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886773 (
+	.A1(n_13928),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [445]),
+	.B1(n_13902),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [629]),
+	.Y(n_22029), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886774 (
+	.A1(n_13593),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [468]),
+	.B1(n_13922),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [491]),
+	.Y(n_22028), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886775 (
+	.A1(n_19816),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1767]),
+	.B1(n_19757),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1790]),
+	.Y(n_22027), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886776 (
+	.A1(n_19831),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1871]),
+	.B1(n_19842),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2055]),
+	.Y(n_22026), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886777 (
+	.A1(n_19770),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1894]),
+	.B1(n_19772),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2078]),
+	.Y(n_22025), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886778 (
+	.A1(n_19799),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1489]),
+	.B1(n_13798),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1512]),
+	.Y(n_22024), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886779 (
+	.A1(n_19834),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2124]),
+	.B1(n_19678),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2170]),
+	.Y(n_22023), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886780 (
+	.A1(n_19684),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1848]),
+	.B1(n_19832),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2032]),
+	.Y(n_22022), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886781 (
+	.A1(n_19753),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1721]),
+	.B1(n_19754),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1744]),
+	.Y(n_22021), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886782 (
+	.A1(n_19691),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1813]),
+	.B1(n_19818),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1836]),
+	.Y(n_22020), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886783 (
+	.A1(n_19768),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [399]),
+	.B1(n_13924),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [583]),
+	.Y(n_22019), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886784 (
+	.A1(n_19763),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [422]),
+	.B1(n_13639),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [606]),
+	.Y(n_22018), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886785 (
+	.A1(n_19815),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1675]),
+	.B1(n_19755),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1698]),
+	.Y(n_22017), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886786 (
+	.A1(n_13627),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [652]),
+	.B1(n_13631),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [698]),
+	.Y(n_22016), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886787 (
+	.A1(n_19686),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [376]),
+	.B1(n_13648),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [560]),
+	.Y(n_22015), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886788 (
+	.A1(n_19858),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1123]),
+	.B1(n_19881),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1146]),
+	.Y(n_22014), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886789 (
+	.A1(n_19770),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1891]),
+	.B1(n_19772),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2075]),
+	.Y(n_22013), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886790 (
+	.A1(n_19696),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1629]),
+	.B1(n_19809),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1652]),
+	.Y(n_22012), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886791 (
+	.A1(n_19741),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1537]),
+	.B1(n_19745),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1560]),
+	.Y(n_22011), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886792 (
+	.A1(n_19468),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1443]),
+	.B1(n_19794),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1466]),
+	.Y(n_22010), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886793 (
+	.A1(n_19824),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1365]),
+	.B1(n_19825),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1411]),
+	.Y(n_22009), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886794 (
+	.A1(n_19747),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1583]),
+	.B1(n_19746),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1606]),
+	.Y(n_22008), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886795 (
+	.A1(n_19750),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1204]),
+	.B1(n_19748),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1181]),
+	.Y(n_22007), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886796 (
+	.A1(n_19744),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1491]),
+	.B1(n_19806),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1514]),
+	.Y(n_22006), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886797 (
+	.A1(n_19752),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1296]),
+	.B1(n_19823),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1342]),
+	.Y(n_22005), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886798 (
+	.A1(n_19760),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1388]),
+	.B1(n_19688),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1434]),
+	.Y(n_22004), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886799 (
+	.A1(n_19811),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1112]),
+	.B1(n_19813),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1158]),
+	.Y(n_22003), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886800 (
+	.A1(n_19467),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1397]),
+	.B1(n_19892),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1420]),
+	.Y(n_22002), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886801 (
+	.A1(n_19842),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2066]),
+	.B1(n_19843),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2204]),
+	.Y(n_22001), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886802 (
+	.A1(n_19804),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2538]),
+	.B1(n_19805),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2561]),
+	.Y(n_22000), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886803 (
+	.A1(n_19742),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2492]),
+	.B1(n_19402),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2515]),
+	.Y(n_21999), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886804 (
+	.A1(n_19840),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1997]),
+	.B1(n_19839),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1974]),
+	.Y(n_21998), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886805 (
+	.A1(n_19693),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2469]),
+	.B1(n_19802),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2377]),
+	.Y(n_21997), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886806 (
+	.A1(n_19400),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2354]),
+	.B1(n_19733),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2331]),
+	.Y(n_21996), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886807 (
+	.A1(n_19844),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2112]),
+	.B1(n_19847),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2158]),
+	.Y(n_21995), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886808 (
+	.A1(n_19395),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2308]),
+	.B1(n_19736),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2285]),
+	.Y(n_21994), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886809 (
+	.A1(n_19887),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1351]),
+	.B1(n_19889),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1374]),
+	.Y(n_21993), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886810 (
+	.A1(n_19732),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2239]),
+	.B1(n_19403),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2423]),
+	.Y(n_21992), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886811 (
+	.A1(n_19801),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2216]),
+	.B1(n_19401),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2262]),
+	.Y(n_21991), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886812 (
+	.A1(n_19754),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1730]),
+	.B1(n_19809),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1638]),
+	.Y(n_21990), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886813 (
+	.A1(n_19679),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1981]),
+	.B1(n_13772),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2004]),
+	.Y(n_21989), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886814 (
+	.A1(n_13924),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [594]),
+	.B1(n_13626),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [732]),
+	.Y(n_21988), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886815 (
+	.A1(n_19458),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2549]),
+	.B1(n_19807),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2572]),
+	.Y(n_21987), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886816 (
+	.A1(n_19469),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1305]),
+	.B1(n_19894),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1328]),
+	.Y(n_21986), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886817 (
+	.A1(n_19768),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [410]),
+	.B1(n_13584),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [548]),
+	.Y(n_21985), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g886818 (
+	.A1(n_13592),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [836]),
+	.B1(n_13609),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1043]),
+	.X(n_21984), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886819 (
+	.A1(n_19813),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1153]),
+	.B1(n_19748),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1176]),
+	.Y(n_21983), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886820 (
+	.A1(n_19460),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2030]),
+	.B1(n_19848),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2053]),
+	.Y(n_21982), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886821 (
+	.A1(n_19457),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2503]),
+	.B1(n_19856),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2526]),
+	.Y(n_21981), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886822 (
+	.A1(n_19834),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2135]),
+	.B1(n_19678),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2181]),
+	.Y(n_21980), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886823 (
+	.A1(n_19712),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [215]),
+	.B1(n_19718),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [284]),
+	.Y(n_21979), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886824 (
+	.A1(n_19885),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1259]),
+	.B1(n_19886),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1282]),
+	.Y(n_21978), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886825 (
+	.A1(n_19787),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [330]),
+	.B1(n_19788),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [261]),
+	.Y(n_21977), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886826 (
+	.A1(n_19713),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [192]),
+	.B1(n_19705),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [238]),
+	.Y(n_21976), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886827 (
+	.A1(n_19789),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1951]),
+	.B1(n_19398),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1928]),
+	.Y(n_21975), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886828 (
+	.A1(n_19684),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1859]),
+	.B1(n_19770),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1905]),
+	.Y(n_21974), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886829 (
+	.A1(n_19667),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [100]),
+	.B1(n_19694),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [123]),
+	.Y(n_21973), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886830 (
+	.A1(n_19710),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [8]),
+	.B1(n_19708),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [77]),
+	.Y(n_21972), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886831 (
+	.A1(n_19800),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1213]),
+	.B1(n_19884),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1236]),
+	.Y(n_21971), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886832 (
+	.A1(n_13648),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [571]),
+	.B1(n_13639),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [617]),
+	.Y(n_21970), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886833 (
+	.A1(n_13593),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [479]),
+	.B1(n_13928),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [456]),
+	.Y(n_21969), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886834 (
+	.A1(n_19834),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2117]),
+	.B1(n_19678),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2163]),
+	.Y(n_21968), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886835 (
+	.A1(n_19831),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1868]),
+	.B1(n_19842),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2052]),
+	.Y(n_21967), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886836 (
+	.A1(n_19854),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1935]),
+	.B1(n_19830),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1958]),
+	.Y(n_21966), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886837 (
+	.A1(n_13627),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [663]),
+	.B1(n_13631),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [709]),
+	.Y(n_21965), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886838 (
+	.A1(n_19751),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1250]),
+	.B1(n_19814),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1227]),
+	.Y(n_21964), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886839 (
+	.A1(n_19750),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1204]),
+	.B1(n_19748),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1181]),
+	.Y(n_21963), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886840 (
+	.A1(n_19819),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1167]),
+	.B1(n_19882),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1190]),
+	.Y(n_21962), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886841 (
+	.A1(n_19754),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1733]),
+	.B1(n_19809),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1641]),
+	.Y(n_21961), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886842 (
+	.A1(n_19696),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1618]),
+	.B1(n_19746),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1595]),
+	.Y(n_21960), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886843 (
+	.A1(n_19757),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1779]),
+	.B1(n_19818),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1825]),
+	.Y(n_21959), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886844 (
+	.A1(n_19747),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1572]),
+	.B1(n_19745),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1549]),
+	.Y(n_21958), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886845 (
+	.A1(n_19448),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2457]),
+	.B1(n_19709),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2480]),
+	.Y(n_21957), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886846 (
+	.A1(n_19742),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2503]),
+	.B1(n_19402),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2526]),
+	.Y(n_21956), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886847 (
+	.A1(n_19760),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1388]),
+	.B1(n_19688),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1434]),
+	.Y(n_21955), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886848 (
+	.A1(n_19858),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1121]),
+	.B1(n_19881),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1144]),
+	.Y(n_21954), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886849 (
+	.A1(n_19739),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2457]),
+	.B1(n_19693),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2480]),
+	.Y(n_21953), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886850 (
+	.A1(n_19752),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1296]),
+	.B1(n_19823),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1342]),
+	.Y(n_21952), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886851 (
+	.A1(n_19811),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1112]),
+	.B1(n_19813),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1158]),
+	.Y(n_21951), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886852 (
+	.A1(n_19804),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2549]),
+	.B1(n_19805),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2572]),
+	.Y(n_21950), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886853 (
+	.A1(n_19735),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2411]),
+	.B1(n_19403),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2434]),
+	.Y(n_21949), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886854 (
+	.A1(n_19741),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1526]),
+	.B1(n_19815),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1664]),
+	.Y(n_21948), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886855 (
+	.A1(n_19816),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1756]),
+	.B1(n_19691),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1802]),
+	.Y(n_21947), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886856 (
+	.A1(n_19744),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1480]),
+	.B1(n_19806),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1503]),
+	.Y(n_21946), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886857 (
+	.A1(n_19395),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2319]),
+	.B1(n_19733),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2342]),
+	.Y(n_21945), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886858 (
+	.A1(n_19893),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1075]),
+	.B1(n_19827),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1098]),
+	.Y(n_21944), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886859 (
+	.A1(n_19841),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2009]),
+	.B1(n_19843),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2193]),
+	.Y(n_21943), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886860 (
+	.A1(n_19401),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2273]),
+	.B1(n_19736),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2296]),
+	.Y(n_21942), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886861 (
+	.A1(n_19446),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2411]),
+	.B1(n_19711),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2434]),
+	.Y(n_21941), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886862 (
+	.A1(n_19840),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1986]),
+	.B1(n_19847),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2147]),
+	.Y(n_21940), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886863 (
+	.A1(n_19400),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2365]),
+	.B1(n_19802),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2388]),
+	.Y(n_21939), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886864 (
+	.A1(n_19789),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1940]),
+	.B1(n_19839),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1963]),
+	.Y(n_21938), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886865 (
+	.A1(n_19801),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2227]),
+	.B1(n_19732),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2250]),
+	.Y(n_21937), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886866 (
+	.A1(n_13604),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [514]),
+	.B1(n_13611),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [675]),
+	.Y(n_21936), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886867 (
+	.A1(n_13928),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [445]),
+	.B1(n_13902),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [629]),
+	.Y(n_21935), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886868 (
+	.A1(n_13593),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [468]),
+	.B1(n_13922),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [491]),
+	.Y(n_21934), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886869 (
+	.A1(n_19878),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1029]),
+	.B1(n_19879),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1052]),
+	.Y(n_21933), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886870 (
+	.A1(n_19772),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2078]),
+	.B1(n_19678),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2170]),
+	.Y(n_21932), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886871 (
+	.A1(n_19770),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1894]),
+	.B1(n_19834),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2124]),
+	.Y(n_21931), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886872 (
+	.A1(n_19831),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1871]),
+	.B1(n_19842),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2055]),
+	.Y(n_21930), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886873 (
+	.A1(n_19684),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1848]),
+	.B1(n_19832),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2032]),
+	.Y(n_21929), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886874 (
+	.A1(n_19874),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [983]),
+	.B1(n_19876),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1006]),
+	.Y(n_21928), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886875 (
+	.A1(n_19768),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [399]),
+	.B1(n_13924),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [583]),
+	.Y(n_21927), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886876 (
+	.A1(n_19817),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1889]),
+	.B1(n_19826),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1912]),
+	.Y(n_21926), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886877 (
+	.A1(n_19763),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [422]),
+	.B1(n_13639),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [606]),
+	.Y(n_21925), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886878 (
+	.A1(n_19445),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2365]),
+	.B1(n_19870),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2388]),
+	.Y(n_21924), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886879 (
+	.A1(n_13648),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [560]),
+	.B1(n_13627),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [652]),
+	.Y(n_21923), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886880 (
+	.A1(n_19686),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [376]),
+	.B1(n_13631),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [698]),
+	.Y(n_21922), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886881 (
+	.A1(n_19669),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [937]),
+	.B1(n_19670),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [960]),
+	.Y(n_21921), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886883 (
+	.A1(n_19702),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2837]),
+	.B1(n_13927),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2745]),
+	.Y(n_21919), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886884 (
+	.A1(n_19701),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2860]),
+	.B1(n_19699),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2883]),
+	.Y(n_21918), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886885 (
+	.A1(n_13660),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [893]),
+	.B1(n_19726),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [870]),
+	.Y(n_21917), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886886 (
+	.A1(n_19700),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2906]),
+	.B1(n_19719),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2929]),
+	.Y(n_21916), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886887 (
+	.A1(n_19792),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2722]),
+	.B1(n_13619),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2699]),
+	.Y(n_21915), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886888 (
+	.A1(n_13592),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [847]),
+	.B1(n_19729),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [824]),
+	.Y(n_21914), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886889 (
+	.A1(n_19722),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2768]),
+	.B1(n_13629),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2814]),
+	.Y(n_21913), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886890 (
+	.A1(n_19793),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2676]),
+	.B1(n_13914),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2653]),
+	.Y(n_21912), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886891 (
+	.A1(n_13909),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2584]),
+	.B1(n_13941),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2630]),
+	.Y(n_21911), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886892 (
+	.A1(n_19866),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [891]),
+	.B1(n_19871),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [914]),
+	.Y(n_21910), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886894 (
+	.A1(n_19742),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2492]),
+	.B1(n_19402),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2515]),
+	.Y(n_21908), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886895 (
+	.A1(n_19442),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2319]),
+	.B1(n_19672),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2342]),
+	.Y(n_21907), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886896 (
+	.A1(n_19751),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1261]),
+	.B1(n_19814),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1238]),
+	.Y(n_21906), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886897 (
+	.A1(n_19693),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2469]),
+	.B1(n_19802),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2377]),
+	.Y(n_21905), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886898 (
+	.A1(n_19400),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2354]),
+	.B1(n_19733),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2331]),
+	.Y(n_21904), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886899 (
+	.A1(n_19804),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2532]),
+	.B1(n_19805),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2555]),
+	.Y(n_21903), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886900 (
+	.A1(n_19732),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2239]),
+	.B1(n_19403),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2423]),
+	.Y(n_21902), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886901 (
+	.A1(n_19869),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [845]),
+	.B1(n_19864),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [868]),
+	.Y(n_21901), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886902 (
+	.A1(n_19395),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2308]),
+	.B1(n_19736),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2285]),
+	.Y(n_21900), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886903 (
+	.A1(n_19760),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1399]),
+	.B1(n_19688),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1445]),
+	.Y(n_21899), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886904 (
+	.A1(n_19735),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2400]),
+	.B1(n_19739),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2446]),
+	.Y(n_21898), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886905 (
+	.A1(n_19801),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2216]),
+	.B1(n_19401),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2262]),
+	.Y(n_21897), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886906 (
+	.A1(n_13474),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1843]),
+	.B1(n_19810),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1866]),
+	.Y(n_21896), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g886907 (
+	.A1(n_19726),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [859]),
+	.B1(n_19723),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1089]),
+	.X(n_21895), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886908 (
+	.A1(n_19757),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1777]),
+	.B1(n_19818),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1823]),
+	.Y(n_21894), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886909 (
+	.A1(n_19860),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [753]),
+	.B1(n_19861),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [776]),
+	.Y(n_21893), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886910 (
+	.A1(n_19729),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [813]),
+	.B1(n_13659),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [997]),
+	.Y(n_21892), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886911 (
+	.A1(n_13918),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [951]),
+	.B1(n_13660),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [882]),
+	.Y(n_21891), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886912 (
+	.A1(n_19718),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [295]),
+	.B1(n_19761),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [318]),
+	.Y(n_21890), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886913 (
+	.A1(n_19705),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [249]),
+	.B1(n_19788),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [272]),
+	.Y(n_21889), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886914 (
+	.A1(n_19761),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [307]),
+	.B1(n_19714),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [353]),
+	.Y(n_21888), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886915 (
+	.A1(n_19787),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [330]),
+	.B1(n_19788),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [261]),
+	.Y(n_21887), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886916 (
+	.A1(n_19875),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2273]),
+	.B1(n_19880),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2296]),
+	.Y(n_21886), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886917 (
+	.A1(n_19712),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [215]),
+	.B1(n_19718),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [284]),
+	.Y(n_21885), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886918 (
+	.A1(n_19713),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [192]),
+	.B1(n_19705),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [238]),
+	.Y(n_21884), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886919 (
+	.A1(n_19787),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [341]),
+	.B1(n_19714),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [364]),
+	.Y(n_21883), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886920 (
+	.A1(n_19713),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [203]),
+	.B1(n_19712),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [226]),
+	.Y(n_21882), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886921 (
+	.A1(n_19667),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [100]),
+	.B1(n_19694),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [123]),
+	.Y(n_21881), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886922 (
+	.A1(n_19710),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [8]),
+	.B1(n_19666),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [54]),
+	.Y(n_21880), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886923 (
+	.A1(n_19466),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2227]),
+	.B1(n_19883),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2250]),
+	.Y(n_21879), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886924 (
+	.A1(n_19816),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1754]),
+	.B1(n_19691),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1800]),
+	.Y(n_21878), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886925 (
+	.A1(n_19667),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [111]),
+	.B1(n_19708),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [88]),
+	.Y(n_21877), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886926 (
+	.A1(n_19790),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2892]),
+	.B1(n_19721),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2915]),
+	.Y(n_21876), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886927 (
+	.A1(n_19696),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1611]),
+	.B1(n_19809),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1634]),
+	.Y(n_21875), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886928 (
+	.A1(n_19707),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [157]),
+	.B1(n_19694),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [134]),
+	.Y(n_21874), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886929 (
+	.A1(n_19721),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2905]),
+	.B1(n_19692),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2928]),
+	.Y(n_21873), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886930 (
+	.A1(n_19766),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2813]),
+	.B1(n_19689),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2836]),
+	.Y(n_21872), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886931 (
+	.A1(n_19710),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [19]),
+	.B1(n_19666),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [65]),
+	.Y(n_21871), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886932 (
+	.A1(n_19451),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2859]),
+	.B1(n_19790),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2882]),
+	.Y(n_21870), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886933 (
+	.A1(n_19451),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2869]),
+	.B1(n_19689),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2846]),
+	.Y(n_21869), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886934 (
+	.A1(n_19455),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2767]),
+	.B1(n_19453),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2790]),
+	.Y(n_21868), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886935 (
+	.A1(n_19743),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2721]),
+	.B1(n_19758),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2744]),
+	.Y(n_21867), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886936 (
+	.A1(n_13845),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2629]),
+	.B1(n_19796),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2652]),
+	.Y(n_21866), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886937 (
+	.A1(n_19454),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2675]),
+	.B1(n_19452),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2698]),
+	.Y(n_21865), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886938 (
+	.A1(n_19453),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2800]),
+	.B1(n_19766),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2823]),
+	.Y(n_21864), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886939 (
+	.A1(n_19823),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1350]),
+	.B1(n_19824),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1373]),
+	.Y(n_21863), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886940 (
+	.A1(n_19449),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2583]),
+	.B1(n_19456),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2606]),
+	.Y(n_21862), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886941 (
+	.A1(n_19458),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2537]),
+	.B1(n_19807),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2560]),
+	.Y(n_21861), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886942 (
+	.A1(n_19448),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2445]),
+	.B1(n_19709),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2468]),
+	.Y(n_21860), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886943 (
+	.A1(n_19891),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [693]),
+	.B1(n_19888),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [716]),
+	.Y(n_21859), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886944 (
+	.A1(n_19846),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1813]),
+	.B1(n_19803),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1836]),
+	.Y(n_21858), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886945 (
+	.A1(n_19455),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2777]),
+	.B1(n_19758),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2754]),
+	.Y(n_21857), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886946 (
+	.A1(n_19446),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2399]),
+	.B1(n_19711),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2422]),
+	.Y(n_21856), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886947 (
+	.A1(n_19750),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1212]),
+	.B1(n_19814),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1235]),
+	.Y(n_21855), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886948 (
+	.A1(n_19813),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1166]),
+	.B1(n_19751),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1258]),
+	.Y(n_21854), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886949 (
+	.A1(n_19875),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2261]),
+	.B1(n_19880),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2284]),
+	.Y(n_21853), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886950 (
+	.A1(n_19442),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2307]),
+	.B1(n_19672),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2330]),
+	.Y(n_21852), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886951 (
+	.A1(n_19680),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1767]),
+	.B1(n_19845),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1790]),
+	.Y(n_21851), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886952 (
+	.A1(n_19445),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2353]),
+	.B1(n_19870),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2376]),
+	.Y(n_21850), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886953 (
+	.A1(n_19466),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2215]),
+	.B1(n_19883),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2238]),
+	.Y(n_21849), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886954 (
+	.A1(n_19452),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2708]),
+	.B1(n_19743),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2731]),
+	.Y(n_21848), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886955 (
+	.A1(n_19804),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2546]),
+	.B1(n_19805),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2569]),
+	.Y(n_21847), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886956 (
+	.A1(n_19739),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2454]),
+	.B1(n_19693),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2477]),
+	.Y(n_21846), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886957 (
+	.A1(n_19887),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1341]),
+	.B1(n_19889),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1364]),
+	.Y(n_21845), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886958 (
+	.A1(n_19467),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1387]),
+	.B1(n_19892),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1410]),
+	.Y(n_21844), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886959 (
+	.A1(n_19742),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2500]),
+	.B1(n_19402),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2523]),
+	.Y(n_21843), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886960 (
+	.A1(n_19454),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2685]),
+	.B1(n_19796),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2662]),
+	.Y(n_21842), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886961 (
+	.A1(n_19468),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1433]),
+	.B1(n_19794),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1456]),
+	.Y(n_21841), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886962 (
+	.A1(n_19750),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1199]),
+	.B1(n_19814),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1222]),
+	.Y(n_21840), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886963 (
+	.A1(n_19469),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1295]),
+	.B1(n_19894),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1318]),
+	.Y(n_21839), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886964 (
+	.A1(n_19735),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2408]),
+	.B1(n_19403),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2431]),
+	.Y(n_21838), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886965 (
+	.A1(n_19400),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2362]),
+	.B1(n_19802),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2385]),
+	.Y(n_21837), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886966 (
+	.A1(n_19819),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1157]),
+	.B1(n_19882),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1180]),
+	.Y(n_21836), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886967 (
+	.A1(n_19800),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1203]),
+	.B1(n_19884),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1226]),
+	.Y(n_21835), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886968 (
+	.A1(n_19839),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1972]),
+	.B1(n_19843),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2202]),
+	.Y(n_21834), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886969 (
+	.A1(n_19395),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2316]),
+	.B1(n_19733),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2339]),
+	.Y(n_21833), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886970 (
+	.A1(n_19895),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [647]),
+	.B1(n_19730),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [670]),
+	.Y(n_21832), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886971 (
+	.A1(n_19885),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1249]),
+	.B1(n_19886),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1272]),
+	.Y(n_21831), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886972 (
+	.A1(n_19449),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2593]),
+	.B1(n_19692),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2938]),
+	.Y(n_21830), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886973 (
+	.A1(n_19858),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1111]),
+	.B1(n_19881),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1134]),
+	.Y(n_21829), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886974 (
+	.A1(n_19401),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2270]),
+	.B1(n_19736),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2293]),
+	.Y(n_21828), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886975 (
+	.A1(n_19801),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2224]),
+	.B1(n_19732),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2247]),
+	.Y(n_21827), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886976 (
+	.A1(n_19874),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [973]),
+	.B1(n_19876),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [996]),
+	.Y(n_21826), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886977 (
+	.A1(n_19456),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2616]),
+	.B1(n_13845),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2639]),
+	.Y(n_21825), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886978 (
+	.A1(n_19878),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1019]),
+	.B1(n_19879),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1042]),
+	.Y(n_21824), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886979 (
+	.A1(n_19700),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2914]),
+	.B1(n_19699),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2891]),
+	.Y(n_21823), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886980 (
+	.A1(n_19893),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1065]),
+	.B1(n_19827),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1088]),
+	.Y(n_21822), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886981 (
+	.A1(n_19669),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [927]),
+	.B1(n_19670),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [950]),
+	.Y(n_21821), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886982 (
+	.A1(n_19701),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2868]),
+	.B1(n_19702),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2845]),
+	.Y(n_21820), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886983 (
+	.A1(n_19703),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1721]),
+	.B1(n_19838),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1744]),
+	.Y(n_21819), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886984 (
+	.A1(n_19795),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2799]),
+	.B1(n_13629),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2822]),
+	.Y(n_21818), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886985 (
+	.A1(n_19865),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [789]),
+	.B1(n_19867),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [812]),
+	.Y(n_21817), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886986 (
+	.A1(n_19869),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [835]),
+	.B1(n_19864),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [858]),
+	.Y(n_21816), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886987 (
+	.A1(n_19722),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2776]),
+	.B1(n_13927),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2753]),
+	.Y(n_21815), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886988 (
+	.A1(n_19866),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [881]),
+	.B1(n_19871),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [904]),
+	.Y(n_21814), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886989 (
+	.A1(n_19829),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1675]),
+	.B1(n_19833),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1698]),
+	.Y(n_21813), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886990 (
+	.A1(n_19828),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [339]),
+	.B1(n_19877),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [362]),
+	.Y(n_21812), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886991 (
+	.A1(n_19860),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [743]),
+	.B1(n_19861),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [766]),
+	.Y(n_21811), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886992 (
+	.A1(n_19792),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2730]),
+	.B1(n_13619),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2707]),
+	.Y(n_21810), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886993 (
+	.A1(n_19716),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [293]),
+	.B1(n_19687),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [316]),
+	.Y(n_21809), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886994 (
+	.A1(n_13794),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2077]),
+	.B1(n_19397),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2100]),
+	.Y(n_21808), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886995 (
+	.A1(n_19793),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2684]),
+	.B1(n_13914),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2661]),
+	.Y(n_21807), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886996 (
+	.A1(n_19462),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2123]),
+	.B1(n_19464),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2146]),
+	.Y(n_21806), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886997 (
+	.A1(n_13909),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2592]),
+	.B1(n_19719),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2937]),
+	.Y(n_21805), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886998 (
+	.A1(n_13941),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2638]),
+	.B1(n_13907),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2615]),
+	.Y(n_21804), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g886999 (
+	.A1(n_19460),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2031]),
+	.B1(n_19848),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2054]),
+	.Y(n_21803), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887000 (
+	.A1(n_19817),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1893]),
+	.B1(n_19826),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1916]),
+	.Y(n_21802), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887001 (
+	.A1(n_19854),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1939]),
+	.B1(n_19830),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1962]),
+	.Y(n_21801), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887002 (
+	.A1(n_19851),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [601]),
+	.B1(n_19872),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [624]),
+	.Y(n_21800), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887003 (
+	.A1(n_19853),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [247]),
+	.B1(n_19868),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [270]),
+	.Y(n_21799), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887004 (
+	.A1(n_19679),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1985]),
+	.B1(n_13772),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2008]),
+	.Y(n_21798), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887005 (
+	.A1(n_13656),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [752]),
+	.B1(n_19729),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [821]),
+	.Y(n_21797), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887006 (
+	.A1(n_13474),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1847]),
+	.B1(n_19810),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1870]),
+	.Y(n_21796), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887007 (
+	.A1(n_19674),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [201]),
+	.B1(n_19675),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [224]),
+	.Y(n_21795), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887008 (
+	.A1(n_19846),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1801]),
+	.B1(n_19803),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1824]),
+	.Y(n_21794), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887009 (
+	.A1(n_19680),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1755]),
+	.B1(n_19845),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1778]),
+	.Y(n_21793), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887010 (
+	.A1(n_19701),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2860]),
+	.B1(n_19699),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2883]),
+	.Y(n_21792), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887011 (
+	.A1(n_13660),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [890]),
+	.B1(n_13659),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1005]),
+	.Y(n_21791), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887012 (
+	.A1(n_19829),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1663]),
+	.B1(n_19833),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1686]),
+	.Y(n_21790), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887013 (
+	.A1(n_19697),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1629]),
+	.B1(n_19759),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1652]),
+	.Y(n_21789), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887014 (
+	.A1(n_19734),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1525]),
+	.B1(n_19740),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1548]),
+	.Y(n_21788), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887015 (
+	.A1(n_19808),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1571]),
+	.B1(n_19812),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1594]),
+	.Y(n_21787), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887016 (
+	.A1(n_19701),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2861]),
+	.B1(n_19702),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2838]),
+	.Y(n_21786), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887017 (
+	.A1(n_19808),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1583]),
+	.B1(n_19812),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1606]),
+	.Y(n_21785), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887018 (
+	.A1(n_19697),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1617]),
+	.B1(n_19759),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1640]),
+	.Y(n_21784), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887019 (
+	.A1(n_19668),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [155]),
+	.B1(n_19873),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [178]),
+	.Y(n_21783), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887020 (
+	.A1(n_19799),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1479]),
+	.B1(n_13798),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1502]),
+	.Y(n_21782), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887021 (
+	.A1(n_19842),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2063]),
+	.B1(n_19843),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2201]),
+	.Y(n_21781), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887022 (
+	.A1(n_19840),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1994]),
+	.B1(n_19841),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2017]),
+	.Y(n_21780), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887023 (
+	.A1(n_19891),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [697]),
+	.B1(n_19888),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [720]),
+	.Y(n_21779), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887024 (
+	.A1(n_19851),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [605]),
+	.B1(n_19872),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [628]),
+	.Y(n_21778), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887025 (
+	.A1(n_19682),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [109]),
+	.B1(n_19862),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [132]),
+	.Y(n_21777), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887026 (
+	.A1(n_19844),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2109]),
+	.B1(n_19847),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2155]),
+	.Y(n_21776), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887027 (
+	.A1(n_19895),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [651]),
+	.B1(n_19730),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [674]),
+	.Y(n_21775), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887028 (
+	.A1(n_19738),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [559]),
+	.B1(n_19737),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [582]),
+	.Y(n_21774), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887029 (
+	.A1(n_19789),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1948]),
+	.B1(n_19839),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1971]),
+	.Y(n_21773), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887030 (
+	.A1(n_13924),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [591]),
+	.B1(n_13626),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [729]),
+	.Y(n_21772), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887031 (
+	.A1(n_19756),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [421]),
+	.B1(n_19769),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [444]),
+	.Y(n_21771), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887032 (
+	.A1(n_19852),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [467]),
+	.B1(n_19820),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [490]),
+	.Y(n_21770), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887033 (
+	.A1(n_19738),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [555]),
+	.B1(n_19737),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [578]),
+	.Y(n_21769), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887034 (
+	.A1(n_13604),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [522]),
+	.B1(n_13584),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [545]),
+	.Y(n_21768), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887035 (
+	.A1(n_19671),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [513]),
+	.B1(n_19863),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [536]),
+	.Y(n_21767), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887036 (
+	.A1(n_19859),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [63]),
+	.B1(n_19681),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [86]),
+	.Y(n_21766), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887037 (
+	.A1(n_13902),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [637]),
+	.B1(n_13611),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [683]),
+	.Y(n_21765), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887038 (
+	.A1(n_19690),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [375]),
+	.B1(n_19890),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [398]),
+	.Y(n_21764), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887039 (
+	.A1(n_13593),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [476]),
+	.B1(n_13922),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [499]),
+	.Y(n_21763), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887040 (
+	.A1(n_19734),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1537]),
+	.B1(n_19740),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1560]),
+	.Y(n_21762), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887041 (
+	.A1(n_19828),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [329]),
+	.B1(n_19877),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [352]),
+	.Y(n_21761), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887042 (
+	.A1(n_19853),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [237]),
+	.B1(n_19868),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [260]),
+	.Y(n_21760), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887043 (
+	.A1(n_19854),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1937]),
+	.B1(n_19830),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1960]),
+	.Y(n_21759), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887044 (
+	.A1(n_19834),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2132]),
+	.B1(n_19678),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2178]),
+	.Y(n_21758), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887045 (
+	.A1(n_19716),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [283]),
+	.B1(n_19687),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [306]),
+	.Y(n_21757), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887046 (
+	.A1(n_19855),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [30]),
+	.B1(n_19873),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [168]),
+	.Y(n_21756), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887047 (
+	.A1(n_19831),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1879]),
+	.B1(n_19398),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1925]),
+	.Y(n_21755), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887048 (
+	.A1(n_19862),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [122]),
+	.B1(n_19668),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [145]),
+	.Y(n_21754), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887049 (
+	.A1(n_19676),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [17]),
+	.B1(n_19855),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [40]),
+	.Y(n_21753), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887050 (
+	.A1(n_19832),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2040]),
+	.B1(n_19772),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2086]),
+	.Y(n_21752), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887051 (
+	.A1(n_19684),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1856]),
+	.B1(n_19770),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1902]),
+	.Y(n_21751), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887052 (
+	.A1(n_19682),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [99]),
+	.B1(n_19681),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [76]),
+	.Y(n_21750), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887053 (
+	.A1(n_19674),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [191]),
+	.B1(n_19675),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [214]),
+	.Y(n_21749), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887054 (
+	.A1(n_19799),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1491]),
+	.B1(n_13798),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1514]),
+	.Y(n_21748), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887055 (
+	.A1(n_19676),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [7]),
+	.B1(n_19859),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [53]),
+	.Y(n_21747), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887056 (
+	.A1(n_13627),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [660]),
+	.B1(n_13631),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [706]),
+	.Y(n_21746), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887057 (
+	.A1(n_19763),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [430]),
+	.B1(n_19768),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [407]),
+	.Y(n_21745), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887058 (
+	.A1(n_13941),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2623]),
+	.B1(n_13907),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2600]),
+	.Y(n_21744), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887059 (
+	.A1(n_19721),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2905]),
+	.B1(n_19692),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2928]),
+	.Y(n_21743), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887060 (
+	.A1(n_13648),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [568]),
+	.B1(n_13639),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [614]),
+	.Y(n_21742), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887061 (
+	.A1(n_19451),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2859]),
+	.B1(n_19790),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2882]),
+	.Y(n_21741), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887062 (
+	.A1(n_19686),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [384]),
+	.B1(n_13928),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [453]),
+	.Y(n_21740), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887063 (
+	.A1(n_19766),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2813]),
+	.B1(n_19689),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2836]),
+	.Y(n_21739), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887064 (
+	.A1(n_19455),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2767]),
+	.B1(n_19453),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2790]),
+	.Y(n_21738), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887065 (
+	.A1(n_19468),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1444]),
+	.B1(n_19794),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1467]),
+	.Y(n_21737), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887066 (
+	.A1(n_19691),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1810]),
+	.B1(n_19818),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1833]),
+	.Y(n_21736), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887067 (
+	.A1(n_19454),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2675]),
+	.B1(n_19452),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2698]),
+	.Y(n_21735), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887068 (
+	.A1(n_19816),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1764]),
+	.B1(n_19757),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1787]),
+	.Y(n_21734), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887069 (
+	.A1(n_19671),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [509]),
+	.B1(n_19863),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [532]),
+	.Y(n_21733), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887070 (
+	.A1(n_13845),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2629]),
+	.B1(n_19796),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2652]),
+	.Y(n_21732), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887071 (
+	.A1(n_19753),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1718]),
+	.B1(n_19754),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1741]),
+	.Y(n_21731), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887072 (
+	.A1(n_19449),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2583]),
+	.B1(n_19456),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2606]),
+	.Y(n_21730), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887073 (
+	.A1(n_19467),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1398]),
+	.B1(n_19892),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1421]),
+	.Y(n_21729), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887074 (
+	.A1(n_19815),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1672]),
+	.B1(n_19755),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1695]),
+	.Y(n_21728), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887075 (
+	.A1(n_19458),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2537]),
+	.B1(n_19807),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2560]),
+	.Y(n_21727), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887076 (
+	.A1(n_19448),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2445]),
+	.B1(n_19709),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2468]),
+	.Y(n_21726), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887077 (
+	.A1(n_19712),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [223]),
+	.B1(n_19714),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [361]),
+	.Y(n_21725), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887078 (
+	.A1(n_19718),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [292]),
+	.B1(n_19761),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [315]),
+	.Y(n_21724), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887079 (
+	.A1(n_19446),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2399]),
+	.B1(n_19711),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2422]),
+	.Y(n_21723), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887080 (
+	.A1(n_19887),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1352]),
+	.B1(n_19889),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1375]),
+	.Y(n_21722), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887081 (
+	.A1(n_19790),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2894]),
+	.B1(n_19721),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2917]),
+	.Y(n_21721), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887082 (
+	.A1(n_19713),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [200]),
+	.B1(n_19787),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [338]),
+	.Y(n_21720), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887083 (
+	.A1(n_19875),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2261]),
+	.B1(n_19880),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2284]),
+	.Y(n_21719), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887084 (
+	.A1(n_19442),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2307]),
+	.B1(n_19672),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2330]),
+	.Y(n_21718), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887085 (
+	.A1(n_19445),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2353]),
+	.B1(n_19870),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2376]),
+	.Y(n_21717), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887086 (
+	.A1(n_19469),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1306]),
+	.B1(n_19894),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1329]),
+	.Y(n_21716), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887087 (
+	.A1(n_19865),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [799]),
+	.B1(n_19867),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [822]),
+	.Y(n_21715), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887088 (
+	.A1(n_19466),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2215]),
+	.B1(n_19883),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2238]),
+	.Y(n_21714), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887089 (
+	.A1(n_19696),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1626]),
+	.B1(n_19809),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1649]),
+	.Y(n_21713), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887090 (
+	.A1(n_19747),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1580]),
+	.B1(n_19746),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1603]),
+	.Y(n_21712), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887091 (
+	.A1(n_19451),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2871]),
+	.B1(n_19689),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2848]),
+	.Y(n_21711), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887092 (
+	.A1(n_19887),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1341]),
+	.B1(n_19889),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1364]),
+	.Y(n_21710), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887093 (
+	.A1(n_19741),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1534]),
+	.B1(n_19745),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1557]),
+	.Y(n_21709), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887094 (
+	.A1(n_19467),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1387]),
+	.B1(n_19892),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1410]),
+	.Y(n_21708), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887095 (
+	.A1(n_19744),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1488]),
+	.B1(n_19806),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1511]),
+	.Y(n_21707), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887096 (
+	.A1(n_19468),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1433]),
+	.B1(n_19794),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1456]),
+	.Y(n_21706), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887097 (
+	.A1(n_19885),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1260]),
+	.B1(n_19886),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1283]),
+	.Y(n_21705), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887098 (
+	.A1(n_19469),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1295]),
+	.B1(n_19894),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1318]),
+	.Y(n_21704), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887099 (
+	.A1(n_19852),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [463]),
+	.B1(n_19820),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [486]),
+	.Y(n_21703), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887100 (
+	.A1(n_19885),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1249]),
+	.B1(n_19886),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1272]),
+	.Y(n_21702), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887101 (
+	.A1(n_19667),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [108]),
+	.B1(n_19708),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [85]),
+	.Y(n_21701), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887102 (
+	.A1(n_19800),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1203]),
+	.B1(n_19884),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1226]),
+	.Y(n_21700), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887103 (
+	.A1(n_19800),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1214]),
+	.B1(n_19884),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1237]),
+	.Y(n_21699), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887104 (
+	.A1(n_19707),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [154]),
+	.B1(n_19694),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [131]),
+	.Y(n_21698), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887105 (
+	.A1(n_19819),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1157]),
+	.B1(n_19882),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1180]),
+	.Y(n_21697), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887106 (
+	.A1(n_19858),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1111]),
+	.B1(n_19881),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1134]),
+	.Y(n_21696), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887107 (
+	.A1(n_19710),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [16]),
+	.B1(n_19666),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [62]),
+	.Y(n_21695), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887108 (
+	.A1(n_19874),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [973]),
+	.B1(n_19876),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [996]),
+	.Y(n_21694), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887109 (
+	.A1(n_19878),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1019]),
+	.B1(n_19879),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1042]),
+	.Y(n_21693), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887110 (
+	.A1(n_19453),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2802]),
+	.B1(n_19766),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2825]),
+	.Y(n_21692), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887111 (
+	.A1(n_19819),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1168]),
+	.B1(n_19882),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1191]),
+	.Y(n_21691), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887112 (
+	.A1(n_19669),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [927]),
+	.B1(n_19670),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [950]),
+	.Y(n_21690), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887113 (
+	.A1(n_19400),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2347]),
+	.B1(n_19802),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2370]),
+	.Y(n_21689), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887114 (
+	.A1(n_19841),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2021]),
+	.B1(n_19843),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2205]),
+	.Y(n_21688), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887115 (
+	.A1(n_19866),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [881]),
+	.B1(n_19871),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [904]),
+	.Y(n_21687), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887116 (
+	.A1(n_19865),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [789]),
+	.B1(n_19867),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [812]),
+	.Y(n_21686), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887117 (
+	.A1(n_19858),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1122]),
+	.B1(n_19881),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1145]),
+	.Y(n_21685), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887118 (
+	.A1(n_19869),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [835]),
+	.B1(n_19864),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [858]),
+	.Y(n_21684), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887119 (
+	.A1(n_19840),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1998]),
+	.B1(n_19839),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1975]),
+	.Y(n_21683), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887120 (
+	.A1(n_19860),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [743]),
+	.B1(n_19861),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [766]),
+	.Y(n_21682), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887121 (
+	.A1(n_19789),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1952]),
+	.B1(n_19847),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2159]),
+	.Y(n_21681), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887122 (
+	.A1(n_19842),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2067]),
+	.B1(n_19844),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2113]),
+	.Y(n_21680), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887123 (
+	.A1(n_13907),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2605]),
+	.B1(n_19795),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2789]),
+	.Y(n_21679), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887124 (
+	.A1(n_13794),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2077]),
+	.B1(n_19397),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2100]),
+	.Y(n_21678), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887125 (
+	.A1(n_19455),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2779]),
+	.B1(n_19758),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2756]),
+	.Y(n_21677), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887126 (
+	.A1(n_19462),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2123]),
+	.B1(n_19464),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2146]),
+	.Y(n_21676), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887127 (
+	.A1(n_13604),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [526]),
+	.B1(n_13584),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [549]),
+	.Y(n_21675), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887128 (
+	.A1(n_13869),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2169]),
+	.B1(n_19396),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2192]),
+	.Y(n_21674), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887129 (
+	.A1(n_13593),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [480]),
+	.B1(n_13626),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [733]),
+	.Y(n_21673), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887130 (
+	.A1(n_19460),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2031]),
+	.B1(n_19848),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2054]),
+	.Y(n_21672), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887131 (
+	.A1(n_19756),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [417]),
+	.B1(n_19769),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [440]),
+	.Y(n_21671), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887132 (
+	.A1(n_19893),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1076]),
+	.B1(n_19827),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1099]),
+	.Y(n_21670), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887133 (
+	.A1(n_13902),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [641]),
+	.B1(n_13611),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [687]),
+	.Y(n_21669), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887134 (
+	.A1(n_13924),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [595]),
+	.B1(n_13922),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [503]),
+	.Y(n_21668), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887135 (
+	.A1(n_19679),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1985]),
+	.B1(n_13772),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2008]),
+	.Y(n_21667), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887136 (
+	.A1(n_19817),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1893]),
+	.B1(n_19826),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1916]),
+	.Y(n_21666), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887137 (
+	.A1(n_19854),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1939]),
+	.B1(n_19830),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1962]),
+	.Y(n_21665), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887138 (
+	.A1(n_19878),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1030]),
+	.B1(n_19879),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1053]),
+	.Y(n_21664), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887139 (
+	.A1(n_13474),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1847]),
+	.B1(n_19810),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1870]),
+	.Y(n_21663), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887140 (
+	.A1(n_19816),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1753]),
+	.B1(n_19691),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1799]),
+	.Y(n_21662), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887141 (
+	.A1(n_19834),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2136]),
+	.B1(n_19678),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2182]),
+	.Y(n_21661), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887142 (
+	.A1(n_19703),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1709]),
+	.B1(n_19838),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1732]),
+	.Y(n_21660), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887143 (
+	.A1(n_19832),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2044]),
+	.B1(n_19772),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2090]),
+	.Y(n_21659), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887144 (
+	.A1(n_19680),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1755]),
+	.B1(n_19845),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1778]),
+	.Y(n_21658), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887145 (
+	.A1(n_19452),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2710]),
+	.B1(n_19743),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2733]),
+	.Y(n_21657), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887146 (
+	.A1(n_19684),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1860]),
+	.B1(n_19831),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1883]),
+	.Y(n_21656), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887147 (
+	.A1(n_19846),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1801]),
+	.B1(n_19803),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1824]),
+	.Y(n_21655), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887148 (
+	.A1(n_19829),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1663]),
+	.B1(n_19833),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1686]),
+	.Y(n_21654), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887149 (
+	.A1(n_13627),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [664]),
+	.B1(n_13631),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [710]),
+	.Y(n_21653), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887150 (
+	.A1(n_19763),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [434]),
+	.B1(n_13928),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [457]),
+	.Y(n_21652), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887151 (
+	.A1(n_19734),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1525]),
+	.B1(n_19740),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1548]),
+	.Y(n_21651), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887152 (
+	.A1(n_19808),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1571]),
+	.B1(n_19812),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1594]),
+	.Y(n_21650), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887153 (
+	.A1(n_19669),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [938]),
+	.B1(n_19670),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [961]),
+	.Y(n_21649), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887154 (
+	.A1(n_19697),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1617]),
+	.B1(n_19759),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1640]),
+	.Y(n_21648), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887155 (
+	.A1(n_13648),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [572]),
+	.B1(n_13639),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [618]),
+	.Y(n_21647), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887156 (
+	.A1(n_19799),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1479]),
+	.B1(n_13798),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1502]),
+	.Y(n_21646), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887157 (
+	.A1(n_19686),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [388]),
+	.B1(n_19768),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [411]),
+	.Y(n_21645), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887158 (
+	.A1(n_19891),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [697]),
+	.B1(n_19888),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [720]),
+	.Y(n_21644), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887159 (
+	.A1(n_19690),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [371]),
+	.B1(n_19890),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [394]),
+	.Y(n_21643), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887160 (
+	.A1(n_19824),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1377]),
+	.B1(n_19825),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1423]),
+	.Y(n_21642), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887161 (
+	.A1(n_19454),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2687]),
+	.B1(n_19796),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2664]),
+	.Y(n_21641), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887162 (
+	.A1(n_19895),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [651]),
+	.B1(n_19730),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [674]),
+	.Y(n_21640), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887163 (
+	.A1(n_19821),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1331]),
+	.B1(n_19688),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1446]),
+	.Y(n_21639), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887164 (
+	.A1(n_19738),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [559]),
+	.B1(n_19737),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [582]),
+	.Y(n_21638), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887165 (
+	.A1(n_19866),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [892]),
+	.B1(n_19871),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [915]),
+	.Y(n_21637), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887166 (
+	.A1(n_19823),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1354]),
+	.B1(n_13623),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1469]),
+	.Y(n_21636), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887167 (
+	.A1(n_19756),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [421]),
+	.B1(n_19769),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [444]),
+	.Y(n_21635), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887168 (
+	.A1(n_19852),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [467]),
+	.B1(n_19820),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [490]),
+	.Y(n_21634), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887169 (
+	.A1(n_19701),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2858]),
+	.B1(n_19699),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2881]),
+	.Y(n_21633), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887170 (
+	.A1(n_19869),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [846]),
+	.B1(n_19864),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [869]),
+	.Y(n_21632), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887171 (
+	.A1(n_19752),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1308]),
+	.B1(n_19751),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1262]),
+	.Y(n_21631), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887172 (
+	.A1(n_19690),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [375]),
+	.B1(n_19890),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [398]),
+	.Y(n_21630), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887173 (
+	.A1(n_19750),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1216]),
+	.B1(n_19814),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1239]),
+	.Y(n_21629), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887174 (
+	.A1(n_19449),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2595]),
+	.B1(n_19692),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2940]),
+	.Y(n_21628), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887175 (
+	.A1(n_19828),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [329]),
+	.B1(n_19877),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [352]),
+	.Y(n_21627), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887176 (
+	.A1(n_19813),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1170]),
+	.B1(n_19748),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1193]),
+	.Y(n_21626), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887177 (
+	.A1(n_19716),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [283]),
+	.B1(n_19687),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [306]),
+	.Y(n_21625), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887178 (
+	.A1(n_19865),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [800]),
+	.B1(n_19867),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [823]),
+	.Y(n_21624), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887179 (
+	.A1(n_19853),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [237]),
+	.B1(n_19868),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [260]),
+	.Y(n_21623), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887180 (
+	.A1(n_19855),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [30]),
+	.B1(n_19873),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [168]),
+	.Y(n_21622), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887181 (
+	.A1(n_19691),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1814]),
+	.B1(n_19818),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1837]),
+	.Y(n_21621), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887182 (
+	.A1(n_19862),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [122]),
+	.B1(n_19668),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [145]),
+	.Y(n_21620), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887183 (
+	.A1(n_19753),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1722]),
+	.B1(n_19754),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1745]),
+	.Y(n_21619), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887184 (
+	.A1(n_19682),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [99]),
+	.B1(n_19681),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [76]),
+	.Y(n_21618), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887185 (
+	.A1(n_19456),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2618]),
+	.B1(n_13845),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2641]),
+	.Y(n_21617), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887186 (
+	.A1(n_19860),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [754]),
+	.B1(n_19861),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [777]),
+	.Y(n_21616), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887187 (
+	.A1(n_19674),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [191]),
+	.B1(n_19675),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [214]),
+	.Y(n_21615), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887188 (
+	.A1(n_19816),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1768]),
+	.B1(n_19757),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1791]),
+	.Y(n_21614), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887189 (
+	.A1(n_19676),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [7]),
+	.B1(n_19859),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [53]),
+	.Y(n_21613), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887190 (
+	.A1(n_19815),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1676]),
+	.B1(n_19755),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1699]),
+	.Y(n_21612), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887191 (
+	.A1(n_19691),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1795]),
+	.B1(n_19818),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1818]),
+	.Y(n_21611), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887192 (
+	.A1(n_19718),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [277]),
+	.B1(n_19761),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [300]),
+	.Y(n_21610), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887193 (
+	.A1(n_19696),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1630]),
+	.B1(n_19809),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1653]),
+	.Y(n_21609), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887194 (
+	.A1(n_19832),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2025]),
+	.B1(n_19772),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2071]),
+	.Y(n_21608), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887195 (
+	.A1(n_19747),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1584]),
+	.B1(n_19746),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1607]),
+	.Y(n_21607), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887196 (
+	.A1(n_19824),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1364]),
+	.B1(n_19825),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1410]),
+	.Y(n_21606), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887197 (
+	.A1(n_19821),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1318]),
+	.B1(n_13623),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1456]),
+	.Y(n_21605), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887198 (
+	.A1(n_19710),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [6]),
+	.B1(n_19708),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [75]),
+	.Y(n_21604), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887199 (
+	.A1(n_19751),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1249]),
+	.B1(n_19814),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1226]),
+	.Y(n_21603), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887200 (
+	.A1(n_19741),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1538]),
+	.B1(n_19745),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1561]),
+	.Y(n_21602), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887201 (
+	.A1(n_19750),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1203]),
+	.B1(n_19748),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1180]),
+	.Y(n_21601), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887202 (
+	.A1(n_13869),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2180]),
+	.B1(n_19396),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2203]),
+	.Y(n_21600), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887203 (
+	.A1(n_19760),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1387]),
+	.B1(n_19688),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1433]),
+	.Y(n_21599), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887204 (
+	.A1(n_19752),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1295]),
+	.B1(n_19823),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1341]),
+	.Y(n_21598), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887205 (
+	.A1(n_19744),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1492]),
+	.B1(n_19806),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1515]),
+	.Y(n_21597), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887206 (
+	.A1(n_19811),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1111]),
+	.B1(n_19813),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1157]),
+	.Y(n_21596), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887207 (
+	.A1(n_19693),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2468]),
+	.B1(n_19802),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2376]),
+	.Y(n_21595), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887208 (
+	.A1(n_19462),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2134]),
+	.B1(n_19464),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2157]),
+	.Y(n_21594), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887209 (
+	.A1(n_19804),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2550]),
+	.B1(n_19805),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2573]),
+	.Y(n_21593), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887210 (
+	.A1(n_19742),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2491]),
+	.B1(n_19402),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2514]),
+	.Y(n_21592), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887211 (
+	.A1(n_19742),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2504]),
+	.B1(n_19402),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2527]),
+	.Y(n_21591), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887212 (
+	.A1(n_19804),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2537]),
+	.B1(n_19805),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2560]),
+	.Y(n_21590), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887213 (
+	.A1(n_19851),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [606]),
+	.B1(n_19872),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [629]),
+	.Y(n_21589), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887214 (
+	.A1(n_19400),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2353]),
+	.B1(n_19733),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2330]),
+	.Y(n_21588), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887215 (
+	.A1(n_19739),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2458]),
+	.B1(n_19693),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2481]),
+	.Y(n_21587), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887216 (
+	.A1(n_19732),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2238]),
+	.B1(n_19403),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2422]),
+	.Y(n_21586), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887217 (
+	.A1(n_19735),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2399]),
+	.B1(n_19739),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2445]),
+	.Y(n_21585), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887218 (
+	.A1(n_19468),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1429]),
+	.B1(n_19794),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1452]),
+	.Y(n_21584), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887219 (
+	.A1(n_19828),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [341]),
+	.B1(n_19877),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [364]),
+	.Y(n_21583), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887220 (
+	.A1(n_19801),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2215]),
+	.B1(n_19401),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2261]),
+	.Y(n_21582), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887221 (
+	.A1(n_13794),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2088]),
+	.B1(n_19397),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2111]),
+	.Y(n_21581), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887222 (
+	.A1(n_19400),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2366]),
+	.B1(n_19802),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2389]),
+	.Y(n_21580), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887223 (
+	.A1(n_19840),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1985]),
+	.B1(n_19847),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2146]),
+	.Y(n_21579), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887224 (
+	.A1(n_19401),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2274]),
+	.B1(n_19736),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2297]),
+	.Y(n_21578), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887225 (
+	.A1(n_19841),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2008]),
+	.B1(n_19843),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2192]),
+	.Y(n_21577), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887226 (
+	.A1(n_19789),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1939]),
+	.B1(n_19839),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1962]),
+	.Y(n_21576), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887227 (
+	.A1(n_19460),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2042]),
+	.B1(n_19848),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2065]),
+	.Y(n_21575), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887228 (
+	.A1(n_19395),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2320]),
+	.B1(n_19733),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2343]),
+	.Y(n_21574), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887229 (
+	.A1(n_13928),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [444]),
+	.B1(n_13902),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [628]),
+	.Y(n_21573), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887230 (
+	.A1(n_13604),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [513]),
+	.B1(n_13611),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [674]),
+	.Y(n_21572), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887231 (
+	.A1(n_19801),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2228]),
+	.B1(n_19732),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2251]),
+	.Y(n_21571), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887232 (
+	.A1(n_13584),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [536]),
+	.B1(n_13626),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [720]),
+	.Y(n_21570), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887233 (
+	.A1(n_13593),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [467]),
+	.B1(n_13922),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [490]),
+	.Y(n_21569), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887234 (
+	.A1(n_19831),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1870]),
+	.B1(n_19842),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2054]),
+	.Y(n_21568), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887235 (
+	.A1(n_19770),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1893]),
+	.B1(n_19772),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2077]),
+	.Y(n_21567), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887236 (
+	.A1(n_19716),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [295]),
+	.B1(n_19687),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [318]),
+	.Y(n_21566), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887237 (
+	.A1(n_19834),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2123]),
+	.B1(n_19678),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2169]),
+	.Y(n_21565), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887238 (
+	.A1(n_13656),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [756]),
+	.B1(n_13582),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [802]),
+	.Y(n_21564), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887239 (
+	.A1(n_19684),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1847]),
+	.B1(n_19832),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2031]),
+	.Y(n_21563), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887240 (
+	.A1(n_19768),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [398]),
+	.B1(n_13924),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [582]),
+	.Y(n_21562), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887241 (
+	.A1(n_19763),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [421]),
+	.B1(n_13639),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [605]),
+	.Y(n_21561), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887242 (
+	.A1(n_19679),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1996]),
+	.B1(n_13772),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2019]),
+	.Y(n_21560), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887243 (
+	.A1(n_13627),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [651]),
+	.B1(n_13631),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [697]),
+	.Y(n_21559), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887244 (
+	.A1(n_19854),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1950]),
+	.B1(n_19830),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1973]),
+	.Y(n_21558), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887245 (
+	.A1(n_19726),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [871]),
+	.B1(n_19723),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1101]),
+	.Y(n_21557), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887246 (
+	.A1(n_19700),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2905]),
+	.B1(n_19719),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2928]),
+	.Y(n_21556), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887247 (
+	.A1(n_13918),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [963]),
+	.B1(n_13609),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1055]),
+	.Y(n_21555), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887248 (
+	.A1(n_19701),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2859]),
+	.B1(n_19699),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2882]),
+	.Y(n_21554), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887249 (
+	.A1(n_19467),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1383]),
+	.B1(n_19892),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1406]),
+	.Y(n_21553), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887250 (
+	.A1(n_19702),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2836]),
+	.B1(n_13927),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2744]),
+	.Y(n_21552), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887251 (
+	.A1(n_13660),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [894]),
+	.B1(n_13659),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1009]),
+	.Y(n_21551), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887252 (
+	.A1(n_19792),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2721]),
+	.B1(n_13619),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2698]),
+	.Y(n_21550), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887253 (
+	.A1(n_13907),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2606]),
+	.B1(n_19795),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2790]),
+	.Y(n_21549), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887254 (
+	.A1(n_19853),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [249]),
+	.B1(n_19868),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [272]),
+	.Y(n_21548), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887255 (
+	.A1(n_19793),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2675]),
+	.B1(n_13914),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2652]),
+	.Y(n_21547), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887256 (
+	.A1(n_19707),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [144]),
+	.B1(n_19694),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [121]),
+	.Y(n_21546), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887257 (
+	.A1(n_13909),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2583]),
+	.B1(n_13941),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2629]),
+	.Y(n_21545), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887258 (
+	.A1(n_19700),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2918]),
+	.B1(n_19699),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2895]),
+	.Y(n_21544), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887259 (
+	.A1(n_19757),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1778]),
+	.B1(n_19818),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1824]),
+	.Y(n_21543), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887260 (
+	.A1(n_19754),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1732]),
+	.B1(n_19809),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1640]),
+	.Y(n_21542), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887261 (
+	.A1(n_19701),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2872]),
+	.B1(n_19702),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2849]),
+	.Y(n_21541), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887262 (
+	.A1(n_19696),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1617]),
+	.B1(n_19746),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1594]),
+	.Y(n_21540), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887263 (
+	.A1(n_19674),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [203]),
+	.B1(n_19675),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [226]),
+	.Y(n_21539), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887264 (
+	.A1(n_19747),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1571]),
+	.B1(n_19745),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1548]),
+	.Y(n_21538), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887265 (
+	.A1(n_13474),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1858]),
+	.B1(n_19810),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1881]),
+	.Y(n_21537), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887266 (
+	.A1(n_19795),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2803]),
+	.B1(n_13629),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2826]),
+	.Y(n_21536), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887267 (
+	.A1(n_19816),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1755]),
+	.B1(n_19691),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1801]),
+	.Y(n_21535), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887268 (
+	.A1(n_19722),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2780]),
+	.B1(n_13927),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2757]),
+	.Y(n_21534), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887269 (
+	.A1(n_19755),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1686]),
+	.B1(n_19753),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1709]),
+	.Y(n_21533), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887270 (
+	.A1(n_19741),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1525]),
+	.B1(n_19815),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1663]),
+	.Y(n_21532), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887271 (
+	.A1(n_19744),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1479]),
+	.B1(n_19806),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1502]),
+	.Y(n_21531), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887272 (
+	.A1(n_19792),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2734]),
+	.B1(n_13619),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2711]),
+	.Y(n_21530), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887273 (
+	.A1(n_19846),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1812]),
+	.B1(n_19803),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1835]),
+	.Y(n_21529), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g887274 (
+	.A1(n_19726),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [858]),
+	.B1(n_13659),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [996]),
+	.X(n_21528), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887275 (
+	.A1(n_13941),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2642]),
+	.B1(n_13907),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2619]),
+	.Y(n_21527), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887276 (
+	.A1(n_13660),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [881]),
+	.B1(n_13609),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1042]),
+	.Y(n_21526), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887277 (
+	.A1(n_13592),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [835]),
+	.B1(n_13918),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [950]),
+	.Y(n_21525), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887278 (
+	.A1(n_19887),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1337]),
+	.B1(n_19889),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1360]),
+	.Y(n_21524), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887279 (
+	.A1(n_19703),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1720]),
+	.B1(n_19838),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1743]),
+	.Y(n_21523), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887280 (
+	.A1(n_19712),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [214]),
+	.B1(n_19718),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [283]),
+	.Y(n_21522), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887281 (
+	.A1(n_19788),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [273]),
+	.B1(n_19761),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [319]),
+	.Y(n_21521), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887282 (
+	.A1(n_19761),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [306]),
+	.B1(n_19714),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [352]),
+	.Y(n_21520), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887283 (
+	.A1(n_19713),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [191]),
+	.B1(n_19705),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [237]),
+	.Y(n_21519), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887284 (
+	.A1(n_19859),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [46]),
+	.B1(n_19681),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [69]),
+	.Y(n_21518), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887285 (
+	.A1(n_19708),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [76]),
+	.B1(n_19694),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [122]),
+	.Y(n_21517), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887286 (
+	.A1(n_19713),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [204]),
+	.B1(n_19712),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [227]),
+	.Y(n_21516), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887287 (
+	.A1(n_19668),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [157]),
+	.B1(n_19873),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [180]),
+	.Y(n_21515), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887288 (
+	.A1(n_19680),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1766]),
+	.B1(n_19845),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1789]),
+	.Y(n_21514), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887289 (
+	.A1(n_19840),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1982]),
+	.B1(n_19847),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2143]),
+	.Y(n_21513), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887290 (
+	.A1(n_19667),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [112]),
+	.B1(n_19708),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [89]),
+	.Y(n_21512), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887291 (
+	.A1(n_19751),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1249]),
+	.B1(n_19814),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1226]),
+	.Y(n_21511), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887292 (
+	.A1(n_19750),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1203]),
+	.B1(n_19748),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1180]),
+	.Y(n_21510), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887293 (
+	.A1(n_19707),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [158]),
+	.B1(n_19694),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [135]),
+	.Y(n_21509), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887294 (
+	.A1(n_19710),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [20]),
+	.B1(n_19666),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [66]),
+	.Y(n_21508), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887295 (
+	.A1(n_19760),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1387]),
+	.B1(n_19688),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1433]),
+	.Y(n_21507), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887296 (
+	.A1(n_19829),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1674]),
+	.B1(n_19833),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1697]),
+	.Y(n_21506), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887297 (
+	.A1(n_19752),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1295]),
+	.B1(n_19823),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1341]),
+	.Y(n_21505), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887298 (
+	.A1(n_19842),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2068]),
+	.B1(n_19843),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2206]),
+	.Y(n_21504), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887299 (
+	.A1(n_19811),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1111]),
+	.B1(n_19813),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1157]),
+	.Y(n_21503), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887300 (
+	.A1(n_19832),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2025]),
+	.B1(n_19772),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2071]),
+	.Y(n_21502), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887301 (
+	.A1(n_19804),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2537]),
+	.B1(n_19805),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2560]),
+	.Y(n_21501), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887302 (
+	.A1(n_19682),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [111]),
+	.B1(n_19862),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [134]),
+	.Y(n_21500), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887303 (
+	.A1(n_19844),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2112]),
+	.B1(n_19847),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2158]),
+	.Y(n_21499), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887304 (
+	.A1(n_19697),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1628]),
+	.B1(n_19759),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1651]),
+	.Y(n_21498), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887305 (
+	.A1(n_19400),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2353]),
+	.B1(n_19733),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2330]),
+	.Y(n_21497), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887306 (
+	.A1(n_19735),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2399]),
+	.B1(n_19739),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2445]),
+	.Y(n_21496), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887307 (
+	.A1(n_19842),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2066]),
+	.B1(n_19843),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2204]),
+	.Y(n_21495), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887308 (
+	.A1(n_19395),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2307]),
+	.B1(n_19736),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2284]),
+	.Y(n_21494), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887309 (
+	.A1(n_19840),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1997]),
+	.B1(n_19841),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2020]),
+	.Y(n_21493), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887310 (
+	.A1(n_19732),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2238]),
+	.B1(n_19403),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2422]),
+	.Y(n_21492), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887311 (
+	.A1(n_19789),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1951]),
+	.B1(n_19839),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1974]),
+	.Y(n_21491), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887312 (
+	.A1(n_19801),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2215]),
+	.B1(n_19401),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2261]),
+	.Y(n_21490), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887313 (
+	.A1(n_19469),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1291]),
+	.B1(n_19894),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1314]),
+	.Y(n_21489), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887314 (
+	.A1(n_19808),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1582]),
+	.B1(n_19812),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1605]),
+	.Y(n_21488), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887315 (
+	.A1(n_19712),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [213]),
+	.B1(n_19718),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [282]),
+	.Y(n_21487), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887316 (
+	.A1(n_19859),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [65]),
+	.B1(n_19681),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [88]),
+	.Y(n_21486), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887317 (
+	.A1(n_19841),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2008]),
+	.B1(n_19843),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2192]),
+	.Y(n_21485), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887318 (
+	.A1(n_13924),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [594]),
+	.B1(n_13584),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [548]),
+	.Y(n_21484), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887319 (
+	.A1(n_19398),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1916]),
+	.B1(n_19844),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2100]),
+	.Y(n_21483), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887320 (
+	.A1(n_19789),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1939]),
+	.B1(n_19839),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1962]),
+	.Y(n_21482), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887321 (
+	.A1(n_13604),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [525]),
+	.B1(n_13902),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [640]),
+	.Y(n_21481), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887322 (
+	.A1(n_19734),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1536]),
+	.B1(n_19740),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1559]),
+	.Y(n_21480), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887323 (
+	.A1(n_13584),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [536]),
+	.B1(n_13626),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [720]),
+	.Y(n_21479), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887324 (
+	.A1(n_13604),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [513]),
+	.B1(n_13611),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [674]),
+	.Y(n_21478), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887325 (
+	.A1(n_13611),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [686]),
+	.B1(n_13626),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [732]),
+	.Y(n_21477), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887326 (
+	.A1(n_13593),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [479]),
+	.B1(n_13922),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [502]),
+	.Y(n_21476), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887327 (
+	.A1(n_13928),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [444]),
+	.B1(n_13902),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [628]),
+	.Y(n_21475), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887328 (
+	.A1(n_13593),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [467]),
+	.B1(n_13922),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [490]),
+	.Y(n_21474), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887329 (
+	.A1(n_19799),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1490]),
+	.B1(n_13798),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1513]),
+	.Y(n_21473), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887330 (
+	.A1(n_19831),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1870]),
+	.B1(n_19842),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2054]),
+	.Y(n_21472), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887331 (
+	.A1(n_19831),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1882]),
+	.B1(n_19398),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1928]),
+	.Y(n_21471), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887332 (
+	.A1(n_19832),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2031]),
+	.B1(n_19772),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2077]),
+	.Y(n_21470), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887333 (
+	.A1(n_19834),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2123]),
+	.B1(n_19678),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2169]),
+	.Y(n_21469), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887334 (
+	.A1(n_19449),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2584]),
+	.B1(n_19456),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2607]),
+	.Y(n_21468), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887335 (
+	.A1(n_19684),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1847]),
+	.B1(n_19770),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1893]),
+	.Y(n_21467), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887336 (
+	.A1(n_19832),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2043]),
+	.B1(n_19772),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2089]),
+	.Y(n_21466), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887337 (
+	.A1(n_19768),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [398]),
+	.B1(n_13924),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [582]),
+	.Y(n_21465), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887338 (
+	.A1(n_13648),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [559]),
+	.B1(n_13639),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [605]),
+	.Y(n_21464), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887339 (
+	.A1(n_19834),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2135]),
+	.B1(n_19678),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2181]),
+	.Y(n_21463), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887340 (
+	.A1(n_19684),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1859]),
+	.B1(n_19770),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1905]),
+	.Y(n_21462), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887341 (
+	.A1(n_19686),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [375]),
+	.B1(n_19763),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [421]),
+	.Y(n_21461), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887342 (
+	.A1(n_19754),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1730]),
+	.B1(n_19809),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1638]),
+	.Y(n_21460), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887343 (
+	.A1(n_19676),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [19]),
+	.B1(n_19855),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [42]),
+	.Y(n_21459), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887344 (
+	.A1(n_13648),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [571]),
+	.B1(n_13639),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [617]),
+	.Y(n_21458), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887345 (
+	.A1(n_19700),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2905]),
+	.B1(n_19719),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2928]),
+	.Y(n_21457), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887346 (
+	.A1(n_19702),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2836]),
+	.B1(n_13927),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2744]),
+	.Y(n_21456), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887347 (
+	.A1(n_19763),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [433]),
+	.B1(n_13928),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [456]),
+	.Y(n_21455), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887348 (
+	.A1(n_19701),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2859]),
+	.B1(n_19699),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2882]),
+	.Y(n_21454), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887349 (
+	.A1(n_19792),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2721]),
+	.B1(n_13619),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2698]),
+	.Y(n_21453), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887350 (
+	.A1(n_19790),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2893]),
+	.B1(n_19721),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2916]),
+	.Y(n_21452), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887351 (
+	.A1(n_13627),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [663]),
+	.B1(n_13631),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [709]),
+	.Y(n_21451), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887352 (
+	.A1(n_19793),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2675]),
+	.B1(n_13914),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2652]),
+	.Y(n_21450), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887353 (
+	.A1(n_19686),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [387]),
+	.B1(n_19768),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [410]),
+	.Y(n_21449), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887354 (
+	.A1(n_13907),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2606]),
+	.B1(n_19795),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2790]),
+	.Y(n_21448), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887355 (
+	.A1(n_19885),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1245]),
+	.B1(n_19886),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1268]),
+	.Y(n_21447), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887356 (
+	.A1(n_13909),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2583]),
+	.B1(n_13941),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2629]),
+	.Y(n_21446), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887357 (
+	.A1(n_19757),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1778]),
+	.B1(n_19818),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1824]),
+	.Y(n_21445), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887358 (
+	.A1(n_19451),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2870]),
+	.B1(n_19689),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2847]),
+	.Y(n_21444), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887359 (
+	.A1(n_19824),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1376]),
+	.B1(n_19825),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1422]),
+	.Y(n_21443), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887360 (
+	.A1(n_19754),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1732]),
+	.B1(n_19809),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1640]),
+	.Y(n_21442), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887361 (
+	.A1(n_19747),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1571]),
+	.B1(n_19745),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1548]),
+	.Y(n_21441), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887362 (
+	.A1(n_13918),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [949]),
+	.B1(n_13660),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [880]),
+	.Y(n_21440), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887363 (
+	.A1(n_13909),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2581]),
+	.B1(n_13941),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2627]),
+	.Y(n_21439), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887364 (
+	.A1(n_19755),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1686]),
+	.B1(n_19753),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1709]),
+	.Y(n_21438), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887365 (
+	.A1(n_19760),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1399]),
+	.B1(n_13623),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1468]),
+	.Y(n_21437), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887366 (
+	.A1(n_19741),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1525]),
+	.B1(n_19815),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1663]),
+	.Y(n_21436), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887367 (
+	.A1(n_19891),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [709]),
+	.B1(n_19888),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [732]),
+	.Y(n_21435), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887368 (
+	.A1(n_19744),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1479]),
+	.B1(n_19806),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1502]),
+	.Y(n_21434), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887369 (
+	.A1(n_19752),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1307]),
+	.B1(n_19814),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1238]),
+	.Y(n_21433), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887370 (
+	.A1(n_19813),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1169]),
+	.B1(n_19748),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1192]),
+	.Y(n_21432), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887371 (
+	.A1(n_19455),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2778]),
+	.B1(n_19758),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2755]),
+	.Y(n_21431), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887372 (
+	.A1(n_19811),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1123]),
+	.B1(n_19751),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1261]),
+	.Y(n_21430), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g887373 (
+	.A1(n_13660),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [881]),
+	.B1(n_19729),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [812]),
+	.X(n_21429), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887374 (
+	.A1(n_19787),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [329]),
+	.B1(n_19788),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [260]),
+	.Y(n_21428), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887375 (
+	.A1(n_19816),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1767]),
+	.B1(n_19757),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1790]),
+	.Y(n_21427), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887376 (
+	.A1(n_19712),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [214]),
+	.B1(n_19705),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [237]),
+	.Y(n_21426), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887377 (
+	.A1(n_19895),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [663]),
+	.B1(n_19730),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [686]),
+	.Y(n_21425), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887378 (
+	.A1(n_19753),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1721]),
+	.B1(n_19754),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1744]),
+	.Y(n_21424), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887379 (
+	.A1(n_19452),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2709]),
+	.B1(n_19743),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2732]),
+	.Y(n_21423), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887380 (
+	.A1(n_19713),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [191]),
+	.B1(n_19718),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [283]),
+	.Y(n_21422), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887381 (
+	.A1(n_19691),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1813]),
+	.B1(n_19818),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1836]),
+	.Y(n_21421), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887382 (
+	.A1(n_19815),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1675]),
+	.B1(n_19755),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1698]),
+	.Y(n_21420), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887383 (
+	.A1(n_19667),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [99]),
+	.B1(n_19694),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [122]),
+	.Y(n_21419), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887384 (
+	.A1(n_19666),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [53]),
+	.B1(n_19708),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [76]),
+	.Y(n_21418), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887385 (
+	.A1(n_19454),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2686]),
+	.B1(n_19796),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2663]),
+	.Y(n_21417), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887386 (
+	.A1(n_19800),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1199]),
+	.B1(n_19884),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1222]),
+	.Y(n_21416), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887387 (
+	.A1(n_19747),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1583]),
+	.B1(n_19746),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1606]),
+	.Y(n_21415), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887388 (
+	.A1(n_19741),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1537]),
+	.B1(n_19745),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1560]),
+	.Y(n_21414), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887389 (
+	.A1(n_19823),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1337]),
+	.B1(n_13623),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1452]),
+	.Y(n_21413), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887390 (
+	.A1(n_19691),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1798]),
+	.B1(n_19818),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1821]),
+	.Y(n_21412), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887391 (
+	.A1(n_19887),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1340]),
+	.B1(n_19889),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1363]),
+	.Y(n_21411), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887392 (
+	.A1(n_19851),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [617]),
+	.B1(n_19872),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [640]),
+	.Y(n_21410), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887393 (
+	.A1(n_19467),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1386]),
+	.B1(n_19892),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1409]),
+	.Y(n_21409), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887394 (
+	.A1(n_19696),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1629]),
+	.B1(n_19809),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1652]),
+	.Y(n_21408), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887395 (
+	.A1(n_19449),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2594]),
+	.B1(n_19692),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2939]),
+	.Y(n_21407), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887396 (
+	.A1(n_19744),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1491]),
+	.B1(n_19806),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1514]),
+	.Y(n_21406), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887397 (
+	.A1(n_19468),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1432]),
+	.B1(n_19794),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1455]),
+	.Y(n_21405), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887398 (
+	.A1(n_19469),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1294]),
+	.B1(n_19894),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1317]),
+	.Y(n_21404), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887399 (
+	.A1(n_19885),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1248]),
+	.B1(n_19886),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1271]),
+	.Y(n_21403), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887400 (
+	.A1(n_19742),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2503]),
+	.B1(n_19402),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2526]),
+	.Y(n_21402), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887401 (
+	.A1(n_19819),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1156]),
+	.B1(n_19882),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1179]),
+	.Y(n_21401), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887402 (
+	.A1(n_19738),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [571]),
+	.B1(n_19737),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [594]),
+	.Y(n_21400), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887403 (
+	.A1(n_19739),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2457]),
+	.B1(n_19693),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2480]),
+	.Y(n_21399), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887404 (
+	.A1(n_19800),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1202]),
+	.B1(n_19884),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1225]),
+	.Y(n_21398), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887405 (
+	.A1(n_19456),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2617]),
+	.B1(n_13845),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2640]),
+	.Y(n_21397), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887406 (
+	.A1(n_19858),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1110]),
+	.B1(n_19881),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1133]),
+	.Y(n_21396), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887407 (
+	.A1(n_13909),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2593]),
+	.B1(n_19719),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2938]),
+	.Y(n_21395), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887408 (
+	.A1(n_19887),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1351]),
+	.B1(n_19889),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1374]),
+	.Y(n_21394), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887409 (
+	.A1(n_19804),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2549]),
+	.B1(n_19805),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2572]),
+	.Y(n_21393), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887410 (
+	.A1(n_19735),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2411]),
+	.B1(n_19403),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2434]),
+	.Y(n_21392), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887411 (
+	.A1(n_19874),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [972]),
+	.B1(n_19876),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [995]),
+	.Y(n_21391), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887412 (
+	.A1(n_19878),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1018]),
+	.B1(n_19879),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1041]),
+	.Y(n_21390), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887413 (
+	.A1(n_19395),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2319]),
+	.B1(n_19733),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2342]),
+	.Y(n_21389), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887414 (
+	.A1(n_19893),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1064]),
+	.B1(n_19827),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1087]),
+	.Y(n_21388), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887415 (
+	.A1(n_19669),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [926]),
+	.B1(n_19670),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [949]),
+	.Y(n_21387), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887416 (
+	.A1(n_19401),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2273]),
+	.B1(n_19736),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2296]),
+	.Y(n_21386), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887417 (
+	.A1(n_19458),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2548]),
+	.B1(n_19807),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2571]),
+	.Y(n_21385), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887418 (
+	.A1(n_19400),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2365]),
+	.B1(n_19802),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2388]),
+	.Y(n_21384), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887419 (
+	.A1(n_19865),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [788]),
+	.B1(n_19867),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [811]),
+	.Y(n_21383), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887420 (
+	.A1(n_19869),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [834]),
+	.B1(n_19864),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [857]),
+	.Y(n_21382), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887421 (
+	.A1(n_19801),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2227]),
+	.B1(n_19732),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2250]),
+	.Y(n_21381), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887422 (
+	.A1(n_19866),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [880]),
+	.B1(n_19871),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [903]),
+	.Y(n_21380), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887423 (
+	.A1(n_19457),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2502]),
+	.B1(n_19856),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2525]),
+	.Y(n_21379), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887424 (
+	.A1(n_19860),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [742]),
+	.B1(n_19861),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [765]),
+	.Y(n_21378), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887425 (
+	.A1(n_19819),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1153]),
+	.B1(n_19882),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1176]),
+	.Y(n_21377), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887426 (
+	.A1(n_13869),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2168]),
+	.B1(n_19396),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2191]),
+	.Y(n_21376), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887427 (
+	.A1(n_13794),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2076]),
+	.B1(n_19397),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2099]),
+	.Y(n_21375), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887428 (
+	.A1(n_13656),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [755]),
+	.B1(n_13582),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [801]),
+	.Y(n_21374), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887429 (
+	.A1(n_19671),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [525]),
+	.B1(n_19863),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [548]),
+	.Y(n_21373), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887430 (
+	.A1(n_19462),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2122]),
+	.B1(n_19464),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2145]),
+	.Y(n_21372), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887431 (
+	.A1(n_19448),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2456]),
+	.B1(n_19709),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2479]),
+	.Y(n_21371), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887432 (
+	.A1(n_19679),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1984]),
+	.B1(n_13772),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2007]),
+	.Y(n_21370), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887433 (
+	.A1(n_13660),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [893]),
+	.B1(n_13659),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1008]),
+	.Y(n_21369), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887434 (
+	.A1(n_19854),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1938]),
+	.B1(n_19830),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1961]),
+	.Y(n_21368), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887435 (
+	.A1(n_13918),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [962]),
+	.B1(n_13609),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1054]),
+	.Y(n_21367), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887436 (
+	.A1(n_19817),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1892]),
+	.B1(n_19826),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1915]),
+	.Y(n_21366), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887437 (
+	.A1(n_19750),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1205]),
+	.B1(n_19814),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1228]),
+	.Y(n_21365), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887438 (
+	.A1(n_19735),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2398]),
+	.B1(n_19739),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2444]),
+	.Y(n_21364), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887439 (
+	.A1(n_19446),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2410]),
+	.B1(n_19711),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2433]),
+	.Y(n_21363), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887440 (
+	.A1(n_19852),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [479]),
+	.B1(n_19820),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [502]),
+	.Y(n_21362), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887441 (
+	.A1(n_13474),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1846]),
+	.B1(n_19810),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1869]),
+	.Y(n_21361), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887442 (
+	.A1(n_13592),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [847]),
+	.B1(n_19726),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [870]),
+	.Y(n_21360), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887443 (
+	.A1(n_19846),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1800]),
+	.B1(n_19803),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1823]),
+	.Y(n_21359), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887444 (
+	.A1(n_19703),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1708]),
+	.B1(n_19838),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1731]),
+	.Y(n_21358), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887445 (
+	.A1(n_19680),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1754]),
+	.B1(n_19845),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1777]),
+	.Y(n_21357), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887446 (
+	.A1(n_19858),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1107]),
+	.B1(n_19881),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1130]),
+	.Y(n_21356), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887447 (
+	.A1(n_19700),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2917]),
+	.B1(n_19699),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2894]),
+	.Y(n_21355), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887448 (
+	.A1(n_19445),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2364]),
+	.B1(n_19870),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2387]),
+	.Y(n_21354), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887449 (
+	.A1(n_19829),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1662]),
+	.B1(n_19833),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1685]),
+	.Y(n_21353), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887450 (
+	.A1(n_19701),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2871]),
+	.B1(n_19702),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2848]),
+	.Y(n_21352), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887451 (
+	.A1(n_19795),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2802]),
+	.B1(n_13629),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2825]),
+	.Y(n_21351), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887452 (
+	.A1(n_19697),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1616]),
+	.B1(n_19759),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1639]),
+	.Y(n_21350), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887453 (
+	.A1(n_19734),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1524]),
+	.B1(n_19740),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1547]),
+	.Y(n_21349), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887454 (
+	.A1(n_19722),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2779]),
+	.B1(n_13927),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2756]),
+	.Y(n_21348), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887455 (
+	.A1(n_19808),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1570]),
+	.B1(n_19812),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1593]),
+	.Y(n_21347), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887456 (
+	.A1(n_19442),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2318]),
+	.B1(n_19672),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2341]),
+	.Y(n_21346), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887457 (
+	.A1(n_19799),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1478]),
+	.B1(n_13798),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1501]),
+	.Y(n_21345), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887458 (
+	.A1(n_19756),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [433]),
+	.B1(n_19769),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [456]),
+	.Y(n_21344), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887459 (
+	.A1(n_19792),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2733]),
+	.B1(n_13619),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2710]),
+	.Y(n_21343), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887460 (
+	.A1(n_19793),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2687]),
+	.B1(n_13914),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2664]),
+	.Y(n_21342), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887461 (
+	.A1(n_19852),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [460]),
+	.B1(n_19820),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [483]),
+	.Y(n_21341), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887462 (
+	.A1(n_19721),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2904]),
+	.B1(n_19692),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2927]),
+	.Y(n_21340), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887463 (
+	.A1(n_19679),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1984]),
+	.B1(n_13772),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2007]),
+	.Y(n_21339), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887464 (
+	.A1(n_19701),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2857]),
+	.B1(n_19699),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2880]),
+	.Y(n_21338), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887465 (
+	.A1(n_19451),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2858]),
+	.B1(n_19790),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2881]),
+	.Y(n_21337), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887466 (
+	.A1(n_19875),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2272]),
+	.B1(n_19880),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2295]),
+	.Y(n_21336), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887467 (
+	.A1(n_13909),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2595]),
+	.B1(n_19719),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2940]),
+	.Y(n_21335), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887468 (
+	.A1(n_13941),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2641]),
+	.B1(n_13907),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2618]),
+	.Y(n_21334), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887469 (
+	.A1(n_19766),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2812]),
+	.B1(n_19689),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2835]),
+	.Y(n_21333), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887470 (
+	.A1(n_19455),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2766]),
+	.B1(n_19453),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2789]),
+	.Y(n_21332), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887471 (
+	.A1(n_19466),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2226]),
+	.B1(n_19883),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2249]),
+	.Y(n_21331), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887472 (
+	.A1(n_19743),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2720]),
+	.B1(n_19758),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2743]),
+	.Y(n_21330), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887473 (
+	.A1(n_19787),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [341]),
+	.B1(n_19714),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [364]),
+	.Y(n_21329), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887474 (
+	.A1(n_13845),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2628]),
+	.B1(n_19796),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2651]),
+	.Y(n_21328), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887475 (
+	.A1(n_19788),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [272]),
+	.B1(n_19761),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [318]),
+	.Y(n_21327), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887476 (
+	.A1(n_19454),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2674]),
+	.B1(n_19452),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2697]),
+	.Y(n_21326), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887477 (
+	.A1(n_19690),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [387]),
+	.B1(n_19890),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [410]),
+	.Y(n_21325), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887478 (
+	.A1(n_19705),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [249]),
+	.B1(n_19718),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [295]),
+	.Y(n_21324), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887479 (
+	.A1(n_19449),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2582]),
+	.B1(n_19456),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2605]),
+	.Y(n_21323), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887480 (
+	.A1(n_19713),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [203]),
+	.B1(n_19712),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [226]),
+	.Y(n_21322), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887481 (
+	.A1(n_19458),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2536]),
+	.B1(n_19807),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2559]),
+	.Y(n_21321), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887482 (
+	.A1(n_19457),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2490]),
+	.B1(n_19856),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2513]),
+	.Y(n_21320), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887483 (
+	.A1(n_19707),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [157]),
+	.B1(n_19694),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [134]),
+	.Y(n_21319), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887484 (
+	.A1(n_19448),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2444]),
+	.B1(n_19709),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2467]),
+	.Y(n_21318), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887485 (
+	.A1(n_19701),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2869]),
+	.B1(n_19702),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2846]),
+	.Y(n_21317), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887486 (
+	.A1(n_19851),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [616]),
+	.B1(n_19872),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [639]),
+	.Y(n_21316), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887487 (
+	.A1(n_19667),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [111]),
+	.B1(n_19708),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [88]),
+	.Y(n_21315), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887488 (
+	.A1(n_19445),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2352]),
+	.B1(n_19870),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2375]),
+	.Y(n_21314), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887489 (
+	.A1(n_19442),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2306]),
+	.B1(n_19672),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2329]),
+	.Y(n_21313), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887490 (
+	.A1(n_19710),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [19]),
+	.B1(n_19666),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [65]),
+	.Y(n_21312), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887491 (
+	.A1(n_19875),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2260]),
+	.B1(n_19880),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2283]),
+	.Y(n_21311), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887492 (
+	.A1(n_19893),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1061]),
+	.B1(n_19827),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1084]),
+	.Y(n_21310), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887493 (
+	.A1(n_19466),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2214]),
+	.B1(n_19883),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2237]),
+	.Y(n_21309), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887494 (
+	.A1(n_19895),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [662]),
+	.B1(n_19730),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [685]),
+	.Y(n_21308), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887495 (
+	.A1(n_19891),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [696]),
+	.B1(n_19888),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [719]),
+	.Y(n_21307), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887496 (
+	.A1(n_19893),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1077]),
+	.B1(n_19827),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1100]),
+	.Y(n_21306), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887497 (
+	.A1(n_19895),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [650]),
+	.B1(n_19730),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [673]),
+	.Y(n_21305), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887498 (
+	.A1(n_19842),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2065]),
+	.B1(n_19844),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2111]),
+	.Y(n_21304), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887499 (
+	.A1(n_19851),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [604]),
+	.B1(n_19872),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [627]),
+	.Y(n_21303), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887500 (
+	.A1(n_19891),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [708]),
+	.B1(n_19888),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [731]),
+	.Y(n_21302), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887501 (
+	.A1(n_19840),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1996]),
+	.B1(n_19841),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2019]),
+	.Y(n_21301), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887502 (
+	.A1(n_19738),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [558]),
+	.B1(n_19737),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [581]),
+	.Y(n_21300), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887503 (
+	.A1(n_19839),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1973]),
+	.B1(n_19843),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2203]),
+	.Y(n_21299), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887504 (
+	.A1(n_19671),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [512]),
+	.B1(n_19863),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [535]),
+	.Y(n_21298), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887505 (
+	.A1(n_19852),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [466]),
+	.B1(n_19820),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [489]),
+	.Y(n_21297), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887506 (
+	.A1(n_19789),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1950]),
+	.B1(n_19847),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2157]),
+	.Y(n_21296), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887507 (
+	.A1(n_19756),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [420]),
+	.B1(n_19769),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [443]),
+	.Y(n_21295), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887508 (
+	.A1(n_19738),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [570]),
+	.B1(n_19737),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [593]),
+	.Y(n_21294), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887509 (
+	.A1(n_19690),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [374]),
+	.B1(n_19890),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [397]),
+	.Y(n_21293), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887510 (
+	.A1(n_13924),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [593]),
+	.B1(n_13584),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [547]),
+	.Y(n_21292), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887511 (
+	.A1(n_13604),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [524]),
+	.B1(n_13902),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [639]),
+	.Y(n_21291), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887512 (
+	.A1(n_19828),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [328]),
+	.B1(n_19877),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [351]),
+	.Y(n_21290), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887513 (
+	.A1(n_13922),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [501]),
+	.B1(n_13611),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [685]),
+	.Y(n_21289), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887514 (
+	.A1(n_19855),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [29]),
+	.B1(n_19873),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [167]),
+	.Y(n_21288), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887515 (
+	.A1(n_19853),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [236]),
+	.B1(n_19868),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [259]),
+	.Y(n_21287), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887516 (
+	.A1(n_13593),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [478]),
+	.B1(n_13626),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [731]),
+	.Y(n_21286), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887517 (
+	.A1(n_19716),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [282]),
+	.B1(n_19687),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [305]),
+	.Y(n_21285), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887518 (
+	.A1(n_19878),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1031]),
+	.B1(n_19879),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1054]),
+	.Y(n_21284), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887519 (
+	.A1(n_19671),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [524]),
+	.B1(n_19863),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [547]),
+	.Y(n_21283), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887520 (
+	.A1(n_19395),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2302]),
+	.B1(n_19733),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2325]),
+	.Y(n_21282), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887521 (
+	.A1(n_19862),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [121]),
+	.B1(n_19668),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [144]),
+	.Y(n_21281), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887522 (
+	.A1(n_19832),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2042]),
+	.B1(n_19772),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2088]),
+	.Y(n_21280), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887523 (
+	.A1(n_19674),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [190]),
+	.B1(n_19675),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [213]),
+	.Y(n_21279), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887524 (
+	.A1(n_19770),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1904]),
+	.B1(n_19398),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1927]),
+	.Y(n_21278), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887525 (
+	.A1(n_19682),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [98]),
+	.B1(n_19681),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [75]),
+	.Y(n_21277), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887526 (
+	.A1(n_19852),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [478]),
+	.B1(n_19820),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [501]),
+	.Y(n_21276), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887527 (
+	.A1(n_19834),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2134]),
+	.B1(n_19678),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2180]),
+	.Y(n_21275), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887528 (
+	.A1(n_19676),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [6]),
+	.B1(n_19859),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [52]),
+	.Y(n_21274), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887529 (
+	.A1(n_19878),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1015]),
+	.B1(n_19879),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1038]),
+	.Y(n_21273), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887530 (
+	.A1(n_19684),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1858]),
+	.B1(n_19831),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1881]),
+	.Y(n_21272), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887531 (
+	.A1(n_19456),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2620]),
+	.B1(n_13845),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2643]),
+	.Y(n_21271), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887532 (
+	.A1(n_19874),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [985]),
+	.B1(n_19876),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1008]),
+	.Y(n_21270), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887533 (
+	.A1(n_19468),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1432]),
+	.B1(n_19794),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1455]),
+	.Y(n_21269), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887534 (
+	.A1(n_13648),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [570]),
+	.B1(n_13639),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [616]),
+	.Y(n_21268), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887535 (
+	.A1(n_19467),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1386]),
+	.B1(n_19892),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1409]),
+	.Y(n_21267), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887536 (
+	.A1(n_19763),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [432]),
+	.B1(n_13928),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [455]),
+	.Y(n_21266), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887537 (
+	.A1(n_19887),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1340]),
+	.B1(n_19889),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1363]),
+	.Y(n_21265), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887538 (
+	.A1(n_19756),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [432]),
+	.B1(n_19769),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [455]),
+	.Y(n_21264), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887539 (
+	.A1(n_19469),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1294]),
+	.B1(n_19894),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1317]),
+	.Y(n_21263), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887540 (
+	.A1(n_13627),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [662]),
+	.B1(n_13631),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [708]),
+	.Y(n_21262), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887541 (
+	.A1(n_19686),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [386]),
+	.B1(n_19768),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [409]),
+	.Y(n_21261), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887542 (
+	.A1(n_19885),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1248]),
+	.B1(n_19886),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1271]),
+	.Y(n_21260), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887543 (
+	.A1(n_19819),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1156]),
+	.B1(n_19882),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1179]),
+	.Y(n_21259), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887544 (
+	.A1(n_19690),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [386]),
+	.B1(n_19890),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [409]),
+	.Y(n_21258), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887545 (
+	.A1(n_19669),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [939]),
+	.B1(n_19670),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [962]),
+	.Y(n_21257), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887546 (
+	.A1(n_19800),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1202]),
+	.B1(n_19884),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1225]),
+	.Y(n_21256), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887547 (
+	.A1(n_19824),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1375]),
+	.B1(n_19825),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1421]),
+	.Y(n_21255), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887548 (
+	.A1(n_19858),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1110]),
+	.B1(n_19881),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1133]),
+	.Y(n_21254), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887549 (
+	.A1(n_19823),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1352]),
+	.B1(n_19760),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1398]),
+	.Y(n_21253), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887550 (
+	.A1(n_19893),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1064]),
+	.B1(n_19827),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1087]),
+	.Y(n_21252), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887551 (
+	.A1(n_19878),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1018]),
+	.B1(n_19879),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1041]),
+	.Y(n_21251), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887552 (
+	.A1(n_19874),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [972]),
+	.B1(n_19876),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [995]),
+	.Y(n_21250), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887553 (
+	.A1(n_19669),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [926]),
+	.B1(n_19670),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [949]),
+	.Y(n_21249), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887554 (
+	.A1(n_19828),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [340]),
+	.B1(n_19877),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [363]),
+	.Y(n_21248), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887555 (
+	.A1(n_19874),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [969]),
+	.B1(n_19876),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [992]),
+	.Y(n_21247), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887556 (
+	.A1(n_19816),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1766]),
+	.B1(n_19757),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1789]),
+	.Y(n_21246), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887557 (
+	.A1(n_19866),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [880]),
+	.B1(n_19871),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [903]),
+	.Y(n_21245), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887558 (
+	.A1(n_19869),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [834]),
+	.B1(n_19864),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [857]),
+	.Y(n_21244), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887559 (
+	.A1(n_19753),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1720]),
+	.B1(n_19754),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1743]),
+	.Y(n_21243), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887560 (
+	.A1(n_19716),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [294]),
+	.B1(n_19687),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [317]),
+	.Y(n_21242), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887561 (
+	.A1(n_19691),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1812]),
+	.B1(n_19818),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1835]),
+	.Y(n_21241), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887562 (
+	.A1(n_19815),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1674]),
+	.B1(n_19755),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1697]),
+	.Y(n_21240), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887563 (
+	.A1(n_19860),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [742]),
+	.B1(n_19861),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [765]),
+	.Y(n_21239), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887564 (
+	.A1(n_19804),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2536]),
+	.B1(n_19805),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2559]),
+	.Y(n_21238), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887565 (
+	.A1(n_19400),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2352]),
+	.B1(n_19733),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2329]),
+	.Y(n_21237), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887566 (
+	.A1(n_19866),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [893]),
+	.B1(n_19871),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [916]),
+	.Y(n_21236), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887567 (
+	.A1(n_19752),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1306]),
+	.B1(n_19751),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1260]),
+	.Y(n_21235), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887568 (
+	.A1(n_19462),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2122]),
+	.B1(n_19464),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2145]),
+	.Y(n_21234), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887569 (
+	.A1(n_19813),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1168]),
+	.B1(n_19748),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1191]),
+	.Y(n_21233), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887570 (
+	.A1(n_13869),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2168]),
+	.B1(n_19396),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2191]),
+	.Y(n_21232), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887571 (
+	.A1(n_19853),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [248]),
+	.B1(n_19868),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [271]),
+	.Y(n_21231), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887572 (
+	.A1(n_19460),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2030]),
+	.B1(n_19848),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2053]),
+	.Y(n_21230), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887573 (
+	.A1(n_19674),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [202]),
+	.B1(n_19675),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [225]),
+	.Y(n_21229), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887574 (
+	.A1(n_19750),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1214]),
+	.B1(n_19814),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1237]),
+	.Y(n_21228), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887575 (
+	.A1(n_19817),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1892]),
+	.B1(n_19826),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1915]),
+	.Y(n_21227), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887576 (
+	.A1(n_19854),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1938]),
+	.B1(n_19830),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1961]),
+	.Y(n_21226), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887577 (
+	.A1(n_19747),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1582]),
+	.B1(n_19746),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1605]),
+	.Y(n_21225), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887578 (
+	.A1(n_19741),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1536]),
+	.B1(n_19745),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1559]),
+	.Y(n_21224), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887579 (
+	.A1(n_13474),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1846]),
+	.B1(n_19810),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1869]),
+	.Y(n_21223), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887580 (
+	.A1(n_19869),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [847]),
+	.B1(n_19864),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [870]),
+	.Y(n_21222), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887581 (
+	.A1(n_19696),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1628]),
+	.B1(n_19809),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1651]),
+	.Y(n_21221), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887582 (
+	.A1(n_19703),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1708]),
+	.B1(n_19838),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1731]),
+	.Y(n_21220), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887583 (
+	.A1(n_19680),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1754]),
+	.B1(n_19845),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1777]),
+	.Y(n_21219), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887584 (
+	.A1(n_19669),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [923]),
+	.B1(n_19670),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [946]),
+	.Y(n_21218), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887585 (
+	.A1(n_19744),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1490]),
+	.B1(n_19806),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1513]),
+	.Y(n_21217), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887586 (
+	.A1(n_19668),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [156]),
+	.B1(n_19873),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [179]),
+	.Y(n_21216), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887587 (
+	.A1(n_19829),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1662]),
+	.B1(n_19833),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1685]),
+	.Y(n_21215), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887588 (
+	.A1(n_19682),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [110]),
+	.B1(n_19862),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [133]),
+	.Y(n_21214), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887589 (
+	.A1(n_19667),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [92]),
+	.B1(n_19708),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [69]),
+	.Y(n_21213), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887590 (
+	.A1(n_19742),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2502]),
+	.B1(n_19402),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2525]),
+	.Y(n_21212), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887591 (
+	.A1(n_19734),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1524]),
+	.B1(n_19740),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1547]),
+	.Y(n_21211), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887592 (
+	.A1(n_19739),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2456]),
+	.B1(n_19693),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2479]),
+	.Y(n_21210), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887593 (
+	.A1(n_19808),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1570]),
+	.B1(n_19812),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1593]),
+	.Y(n_21209), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887594 (
+	.A1(n_19865),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [801]),
+	.B1(n_19867),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [824]),
+	.Y(n_21208), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887595 (
+	.A1(n_19804),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2548]),
+	.B1(n_19805),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2571]),
+	.Y(n_21207), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887596 (
+	.A1(n_19799),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1478]),
+	.B1(n_13798),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1501]),
+	.Y(n_21206), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887597 (
+	.A1(n_19735),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2410]),
+	.B1(n_19403),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2433]),
+	.Y(n_21205), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887598 (
+	.A1(n_19766),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2812]),
+	.B1(n_19689),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2835]),
+	.Y(n_21204), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887599 (
+	.A1(n_19859),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [64]),
+	.B1(n_19681),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [87]),
+	.Y(n_21203), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887600 (
+	.A1(n_19395),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2318]),
+	.B1(n_19733),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2341]),
+	.Y(n_21202), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887601 (
+	.A1(n_19451),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2858]),
+	.B1(n_19790),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2881]),
+	.Y(n_21201), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887602 (
+	.A1(n_19860),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [755]),
+	.B1(n_19861),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [778]),
+	.Y(n_21200), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887603 (
+	.A1(n_19721),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2904]),
+	.B1(n_19692),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2927]),
+	.Y(n_21199), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887604 (
+	.A1(n_19401),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2272]),
+	.B1(n_19736),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2295]),
+	.Y(n_21198), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887605 (
+	.A1(n_19455),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2766]),
+	.B1(n_19453),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2789]),
+	.Y(n_21197), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887606 (
+	.A1(n_19400),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2364]),
+	.B1(n_19802),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2387]),
+	.Y(n_21196), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887607 (
+	.A1(n_19801),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2226]),
+	.B1(n_19732),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2249]),
+	.Y(n_21195), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887608 (
+	.A1(n_19743),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2720]),
+	.B1(n_19758),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2743]),
+	.Y(n_21194), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887609 (
+	.A1(n_19454),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2674]),
+	.B1(n_19452),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2697]),
+	.Y(n_21193), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887610 (
+	.A1(n_19676),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [18]),
+	.B1(n_19855),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [41]),
+	.Y(n_21192), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887611 (
+	.A1(n_19449),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2582]),
+	.B1(n_19456),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2605]),
+	.Y(n_21191), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887612 (
+	.A1(n_13582),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [800]),
+	.B1(n_19729),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [823]),
+	.Y(n_21190), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887613 (
+	.A1(n_19458),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2536]),
+	.B1(n_19807),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2559]),
+	.Y(n_21189), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887614 (
+	.A1(n_19457),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2490]),
+	.B1(n_19856),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2513]),
+	.Y(n_21188), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887615 (
+	.A1(n_19446),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2398]),
+	.B1(n_19711),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2421]),
+	.Y(n_21187), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887616 (
+	.A1(n_19866),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [877]),
+	.B1(n_19871),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [900]),
+	.Y(n_21186), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887617 (
+	.A1(n_19445),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2352]),
+	.B1(n_19870),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2375]),
+	.Y(n_21185), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887618 (
+	.A1(n_19875),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2260]),
+	.B1(n_19880),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2283]),
+	.Y(n_21184), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887619 (
+	.A1(n_13660),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [892]),
+	.B1(n_13659),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1007]),
+	.Y(n_21183), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887620 (
+	.A1(n_19468),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1448]),
+	.B1(n_19794),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1471]),
+	.Y(n_21182), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887621 (
+	.A1(n_19466),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2214]),
+	.B1(n_19883),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2237]),
+	.Y(n_21181), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887622 (
+	.A1(n_13592),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [846]),
+	.B1(n_19726),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [869]),
+	.Y(n_21180), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887623 (
+	.A1(n_19851),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [604]),
+	.B1(n_19872),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [627]),
+	.Y(n_21179), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887624 (
+	.A1(n_19467),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1402]),
+	.B1(n_19892),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1425]),
+	.Y(n_21178), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887625 (
+	.A1(n_19895),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [650]),
+	.B1(n_19730),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [673]),
+	.Y(n_21177), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887626 (
+	.A1(n_19700),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2916]),
+	.B1(n_19699),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2893]),
+	.Y(n_21176), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887627 (
+	.A1(n_19891),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [696]),
+	.B1(n_19888),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [719]),
+	.Y(n_21175), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887628 (
+	.A1(n_13869),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2170]),
+	.B1(n_19396),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2193]),
+	.Y(n_21174), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887629 (
+	.A1(n_19722),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2778]),
+	.B1(n_13927),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2755]),
+	.Y(n_21173), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887630 (
+	.A1(n_19738),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [558]),
+	.B1(n_19737),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [581]),
+	.Y(n_21172), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887631 (
+	.A1(n_19701),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2870]),
+	.B1(n_19702),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2847]),
+	.Y(n_21171), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887632 (
+	.A1(n_19671),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [512]),
+	.B1(n_19863),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [535]),
+	.Y(n_21170), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887633 (
+	.A1(n_19795),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2801]),
+	.B1(n_13629),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2824]),
+	.Y(n_21169), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887634 (
+	.A1(n_19852),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [466]),
+	.B1(n_19820),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [489]),
+	.Y(n_21168), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887635 (
+	.A1(n_19887),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1356]),
+	.B1(n_19889),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1379]),
+	.Y(n_21167), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887636 (
+	.A1(n_19792),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2732]),
+	.B1(n_13619),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2709]),
+	.Y(n_21166), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887637 (
+	.A1(n_19690),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [374]),
+	.B1(n_19890),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [397]),
+	.Y(n_21165), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887638 (
+	.A1(n_13909),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2594]),
+	.B1(n_19719),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2939]),
+	.Y(n_21164), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887639 (
+	.A1(n_19828),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [328]),
+	.B1(n_19877),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [351]),
+	.Y(n_21163), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887640 (
+	.A1(n_19869),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [831]),
+	.B1(n_19864),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [854]),
+	.Y(n_21162), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887641 (
+	.A1(n_19793),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2686]),
+	.B1(n_13914),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2663]),
+	.Y(n_21161), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887642 (
+	.A1(n_19853),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [236]),
+	.B1(n_19868),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [259]),
+	.Y(n_21160), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887643 (
+	.A1(n_19469),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1310]),
+	.B1(n_19894),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1333]),
+	.Y(n_21159), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887644 (
+	.A1(n_13941),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2640]),
+	.B1(n_13907),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2617]),
+	.Y(n_21158), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887645 (
+	.A1(n_19716),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [282]),
+	.B1(n_19687),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [305]),
+	.Y(n_21157), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887646 (
+	.A1(n_19855),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [29]),
+	.B1(n_19873),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [167]),
+	.Y(n_21156), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887647 (
+	.A1(n_19790),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2892]),
+	.B1(n_19721),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2915]),
+	.Y(n_21155), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887648 (
+	.A1(n_19718),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [294]),
+	.B1(n_19761),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [317]),
+	.Y(n_21154), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887649 (
+	.A1(n_19862),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [121]),
+	.B1(n_19668),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [144]),
+	.Y(n_21153), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887650 (
+	.A1(n_19705),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [248]),
+	.B1(n_19788),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [271]),
+	.Y(n_21152), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887651 (
+	.A1(n_19674),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [190]),
+	.B1(n_19675),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [213]),
+	.Y(n_21151), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887652 (
+	.A1(n_19451),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2869]),
+	.B1(n_19689),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2846]),
+	.Y(n_21150), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887653 (
+	.A1(n_19712),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [225]),
+	.B1(n_19714),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [363]),
+	.Y(n_21149), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887654 (
+	.A1(n_19676),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [6]),
+	.B1(n_19859),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [52]),
+	.Y(n_21148), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887655 (
+	.A1(n_13660),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [875]),
+	.B1(n_13659),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [990]),
+	.Y(n_21147), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887656 (
+	.A1(n_19713),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [202]),
+	.B1(n_19787),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [340]),
+	.Y(n_21146), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887657 (
+	.A1(n_19707),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [156]),
+	.B1(n_19694),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [133]),
+	.Y(n_21145), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887658 (
+	.A1(n_19700),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2904]),
+	.B1(n_19719),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2927]),
+	.Y(n_21144), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887659 (
+	.A1(n_19701),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2858]),
+	.B1(n_19699),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2881]),
+	.Y(n_21143), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887660 (
+	.A1(n_19702),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2835]),
+	.B1(n_13927),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2743]),
+	.Y(n_21142), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887661 (
+	.A1(n_19792),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2720]),
+	.B1(n_13619),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2697]),
+	.Y(n_21141), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887662 (
+	.A1(n_19667),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [110]),
+	.B1(n_19708),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [87]),
+	.Y(n_21140), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887663 (
+	.A1(n_19800),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1218]),
+	.B1(n_19884),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1241]),
+	.Y(n_21139), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887664 (
+	.A1(n_19710),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [18]),
+	.B1(n_19666),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [64]),
+	.Y(n_21138), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887665 (
+	.A1(n_13907),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2605]),
+	.B1(n_19795),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2789]),
+	.Y(n_21137), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887666 (
+	.A1(n_19793),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2674]),
+	.B1(n_13914),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2651]),
+	.Y(n_21136), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887667 (
+	.A1(n_19722),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2766]),
+	.B1(n_13629),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2812]),
+	.Y(n_21135), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887668 (
+	.A1(n_19819),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1172]),
+	.B1(n_19882),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1195]),
+	.Y(n_21134), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887669 (
+	.A1(n_13909),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2582]),
+	.B1(n_13941),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2628]),
+	.Y(n_21133), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887670 (
+	.A1(n_19401),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2271]),
+	.B1(n_19736),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2294]),
+	.Y(n_21132), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887671 (
+	.A1(n_19754),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1731]),
+	.B1(n_19809),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1639]),
+	.Y(n_21131), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887672 (
+	.A1(n_19710),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [5]),
+	.B1(n_19666),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [51]),
+	.Y(n_21130), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887673 (
+	.A1(n_19696),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1616]),
+	.B1(n_19746),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1593]),
+	.Y(n_21129), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887674 (
+	.A1(n_19757),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1777]),
+	.B1(n_19818),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1823]),
+	.Y(n_21128), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887675 (
+	.A1(n_19453),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2800]),
+	.B1(n_19766),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2823]),
+	.Y(n_21127), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887676 (
+	.A1(n_19824),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1374]),
+	.B1(n_19825),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1420]),
+	.Y(n_21126), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887677 (
+	.A1(n_19747),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1570]),
+	.B1(n_19745),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1547]),
+	.Y(n_21125), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887678 (
+	.A1(n_19858),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1126]),
+	.B1(n_19881),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1149]),
+	.Y(n_21124), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887679 (
+	.A1(n_19823),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1351]),
+	.B1(n_19760),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1397]),
+	.Y(n_21123), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887680 (
+	.A1(n_19816),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1754]),
+	.B1(n_19691),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1800]),
+	.Y(n_21122), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887681 (
+	.A1(n_19741),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1524]),
+	.B1(n_19815),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1662]),
+	.Y(n_21121), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887682 (
+	.A1(n_19865),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [785]),
+	.B1(n_19867),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [808]),
+	.Y(n_21120), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887683 (
+	.A1(n_19755),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1685]),
+	.B1(n_19753),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1708]),
+	.Y(n_21119), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887684 (
+	.A1(n_19744),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1478]),
+	.B1(n_19806),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1501]),
+	.Y(n_21118), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887685 (
+	.A1(n_19804),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2532]),
+	.B1(n_19805),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2555]),
+	.Y(n_21117), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887686 (
+	.A1(n_19398),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1915]),
+	.B1(n_19844),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2099]),
+	.Y(n_21116), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887687 (
+	.A1(n_19455),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2777]),
+	.B1(n_19758),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2754]),
+	.Y(n_21115), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887688 (
+	.A1(n_19840),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1984]),
+	.B1(n_19847),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2145]),
+	.Y(n_21114), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887689 (
+	.A1(n_19841),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2007]),
+	.B1(n_19843),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2191]),
+	.Y(n_21113), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887690 (
+	.A1(n_19752),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1305]),
+	.B1(n_19751),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1259]),
+	.Y(n_21112), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887691 (
+	.A1(n_19789),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1938]),
+	.B1(n_19839),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1961]),
+	.Y(n_21111), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887692 (
+	.A1(n_19893),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1080]),
+	.B1(n_19827),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1103]),
+	.Y(n_21110), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887693 (
+	.A1(n_19813),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1167]),
+	.B1(n_19748),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1190]),
+	.Y(n_21109), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887694 (
+	.A1(n_13928),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [443]),
+	.B1(n_13902),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [627]),
+	.Y(n_21108), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887695 (
+	.A1(n_13604),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [512]),
+	.B1(n_13611),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [673]),
+	.Y(n_21107), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887696 (
+	.A1(n_13584),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [535]),
+	.B1(n_13626),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [719]),
+	.Y(n_21106), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887697 (
+	.A1(n_13593),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [466]),
+	.B1(n_13922),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [489]),
+	.Y(n_21105), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887698 (
+	.A1(n_19750),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1213]),
+	.B1(n_19814),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1236]),
+	.Y(n_21104), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887699 (
+	.A1(n_19824),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1363]),
+	.B1(n_19825),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1409]),
+	.Y(n_21103), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887700 (
+	.A1(n_19772),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2076]),
+	.B1(n_19678),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2168]),
+	.Y(n_21102), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887701 (
+	.A1(n_19770),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1892]),
+	.B1(n_19834),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2122]),
+	.Y(n_21101), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887702 (
+	.A1(n_19878),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1034]),
+	.B1(n_19879),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1057]),
+	.Y(n_21100), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887703 (
+	.A1(n_19742),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2501]),
+	.B1(n_19402),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2524]),
+	.Y(n_21099), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887704 (
+	.A1(n_19831),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1869]),
+	.B1(n_19842),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2053]),
+	.Y(n_21098), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887705 (
+	.A1(n_19684),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1846]),
+	.B1(n_19832),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2030]),
+	.Y(n_21097), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887706 (
+	.A1(n_19824),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1360]),
+	.B1(n_19825),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1406]),
+	.Y(n_21096), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887707 (
+	.A1(n_19768),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [397]),
+	.B1(n_13924),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [581]),
+	.Y(n_21095), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887708 (
+	.A1(n_19763),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [420]),
+	.B1(n_13639),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [604]),
+	.Y(n_21094), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887709 (
+	.A1(n_19739),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2455]),
+	.B1(n_19693),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2478]),
+	.Y(n_21093), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887710 (
+	.A1(n_13648),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [558]),
+	.B1(n_13631),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [696]),
+	.Y(n_21092), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887711 (
+	.A1(n_19804),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2547]),
+	.B1(n_19805),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2570]),
+	.Y(n_21091), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887712 (
+	.A1(n_19686),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [374]),
+	.B1(n_13627),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [650]),
+	.Y(n_21090), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887713 (
+	.A1(n_19735),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2409]),
+	.B1(n_19403),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2432]),
+	.Y(n_21089), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887714 (
+	.A1(n_19874),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [988]),
+	.B1(n_19876),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1011]),
+	.Y(n_21088), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887715 (
+	.A1(n_19821),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1317]),
+	.B1(n_13623),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1455]),
+	.Y(n_21087), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887716 (
+	.A1(n_19751),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1248]),
+	.B1(n_19814),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1225]),
+	.Y(n_21086), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887717 (
+	.A1(n_19860),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [739]),
+	.B1(n_19861),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [762]),
+	.Y(n_21085), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887718 (
+	.A1(n_19452),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2708]),
+	.B1(n_19743),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2731]),
+	.Y(n_21084), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887719 (
+	.A1(n_19395),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2317]),
+	.B1(n_19733),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2340]),
+	.Y(n_21083), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887720 (
+	.A1(n_19750),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1202]),
+	.B1(n_19748),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1179]),
+	.Y(n_21082), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887721 (
+	.A1(n_19669),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [942]),
+	.B1(n_19670),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [965]),
+	.Y(n_21081), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887722 (
+	.A1(n_19400),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2363]),
+	.B1(n_19802),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2386]),
+	.Y(n_21080), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887723 (
+	.A1(n_19760),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1386]),
+	.B1(n_19688),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1432]),
+	.Y(n_21079), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887724 (
+	.A1(n_19752),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1294]),
+	.B1(n_19823),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1340]),
+	.Y(n_21078), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887725 (
+	.A1(n_19811),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1110]),
+	.B1(n_19813),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1156]),
+	.Y(n_21077), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887726 (
+	.A1(n_19801),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2225]),
+	.B1(n_19732),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2248]),
+	.Y(n_21076), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887727 (
+	.A1(n_19454),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2685]),
+	.B1(n_19796),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2662]),
+	.Y(n_21075), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887728 (
+	.A1(n_19742),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2490]),
+	.B1(n_19402),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2513]),
+	.Y(n_21074), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887729 (
+	.A1(n_19866),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [896]),
+	.B1(n_19871),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [919]),
+	.Y(n_21073), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887730 (
+	.A1(n_19693),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2467]),
+	.B1(n_19802),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2375]),
+	.Y(n_21072), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887731 (
+	.A1(n_19700),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2915]),
+	.B1(n_19699),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2892]),
+	.Y(n_21071), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887732 (
+	.A1(n_19732),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2237]),
+	.B1(n_19403),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2421]),
+	.Y(n_21070), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887733 (
+	.A1(n_19395),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2306]),
+	.B1(n_19736),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2283]),
+	.Y(n_21069), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887734 (
+	.A1(n_19869),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [850]),
+	.B1(n_19864),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [873]),
+	.Y(n_21068), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887735 (
+	.A1(n_19722),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2777]),
+	.B1(n_13927),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2754]),
+	.Y(n_21067), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887736 (
+	.A1(n_19801),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2214]),
+	.B1(n_19401),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2260]),
+	.Y(n_21066), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887737 (
+	.A1(n_19795),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2800]),
+	.B1(n_13629),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2823]),
+	.Y(n_21065), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887738 (
+	.A1(n_19792),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2718]),
+	.B1(n_13619),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2695]),
+	.Y(n_21064), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887739 (
+	.A1(n_19792),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2731]),
+	.B1(n_13619),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2708]),
+	.Y(n_21063), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g887740 (
+	.A1(n_19726),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [857]),
+	.B1(n_19723),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1087]),
+	.X(n_21062), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887741 (
+	.A1(n_19865),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [804]),
+	.B1(n_19867),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [827]),
+	.Y(n_21061), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887742 (
+	.A1(n_19793),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2685]),
+	.B1(n_13914),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2662]),
+	.Y(n_21060), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887743 (
+	.A1(n_13592),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [834]),
+	.B1(n_13609),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1041]),
+	.Y(n_21059), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887744 (
+	.A1(n_13941),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2639]),
+	.B1(n_13907),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2616]),
+	.Y(n_21058), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887745 (
+	.A1(n_19449),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2593]),
+	.B1(n_19692),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2938]),
+	.Y(n_21057), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887746 (
+	.A1(n_19761),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [305]),
+	.B1(n_19714),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [351]),
+	.Y(n_21056), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887747 (
+	.A1(n_19787),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [328]),
+	.B1(n_19788),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [259]),
+	.Y(n_21055), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887748 (
+	.A1(n_19860),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [758]),
+	.B1(n_19861),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [781]),
+	.Y(n_21054), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887749 (
+	.A1(n_19713),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [190]),
+	.B1(n_19705),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [236]),
+	.Y(n_21053), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887750 (
+	.A1(n_13582),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [799]),
+	.B1(n_19729),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [822]),
+	.Y(n_21052), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887751 (
+	.A1(n_19808),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1564]),
+	.B1(n_19812),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1587]),
+	.Y(n_21051), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887752 (
+	.A1(n_19456),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2616]),
+	.B1(n_13845),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2639]),
+	.Y(n_21050), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887753 (
+	.A1(n_19702),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2835]),
+	.B1(n_13927),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2743]),
+	.Y(n_21049), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887754 (
+	.A1(n_13918),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [960]),
+	.B1(n_13609),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1052]),
+	.Y(n_21048), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887755 (
+	.A1(n_19700),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2904]),
+	.B1(n_19719),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2927]),
+	.Y(n_21047), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887756 (
+	.A1(n_13869),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2184]),
+	.B1(n_19396),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2207]),
+	.Y(n_21046), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887757 (
+	.A1(n_19792),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2720]),
+	.B1(n_13619),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2697]),
+	.Y(n_21045), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887758 (
+	.A1(n_13660),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [891]),
+	.B1(n_13659),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1006]),
+	.Y(n_21044), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887759 (
+	.A1(n_19793),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2674]),
+	.B1(n_13914),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2651]),
+	.Y(n_21043), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887760 (
+	.A1(n_13592),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [845]),
+	.B1(n_19726),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [868]),
+	.Y(n_21042), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887761 (
+	.A1(n_19722),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2766]),
+	.B1(n_13629),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2812]),
+	.Y(n_21041), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887762 (
+	.A1(n_13909),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2582]),
+	.B1(n_13941),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2628]),
+	.Y(n_21040), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887763 (
+	.A1(n_19790),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2878]),
+	.B1(n_19721),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2901]),
+	.Y(n_21039), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887764 (
+	.A1(n_19462),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2138]),
+	.B1(n_19464),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2161]),
+	.Y(n_21038), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887765 (
+	.A1(n_19754),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1731]),
+	.B1(n_19809),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1639]),
+	.Y(n_21037), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887766 (
+	.A1(n_19842),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2064]),
+	.B1(n_19841),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2018]),
+	.Y(n_21036), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887767 (
+	.A1(n_19696),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1616]),
+	.B1(n_19746),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1593]),
+	.Y(n_21035), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887768 (
+	.A1(n_19747),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1570]),
+	.B1(n_19745),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1547]),
+	.Y(n_21034), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887769 (
+	.A1(n_19840),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1995]),
+	.B1(n_19844),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2110]),
+	.Y(n_21033), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887770 (
+	.A1(n_19755),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1685]),
+	.B1(n_19753),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1708]),
+	.Y(n_21032), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887771 (
+	.A1(n_19741),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1524]),
+	.B1(n_19815),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1662]),
+	.Y(n_21031), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887772 (
+	.A1(n_13794),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2092]),
+	.B1(n_19397),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2115]),
+	.Y(n_21030), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887773 (
+	.A1(n_19744),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1478]),
+	.B1(n_19806),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1501]),
+	.Y(n_21029), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887774 (
+	.A1(n_19789),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1949]),
+	.B1(n_19847),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2156]),
+	.Y(n_21028), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887775 (
+	.A1(n_19852),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [469]),
+	.B1(n_19820),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [492]),
+	.Y(n_21027), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887776 (
+	.A1(n_19398),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1915]),
+	.B1(n_19844),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2099]),
+	.Y(n_21026), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887777 (
+	.A1(n_19458),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2547]),
+	.B1(n_19807),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2570]),
+	.Y(n_21025), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887778 (
+	.A1(n_19840),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1984]),
+	.B1(n_19847),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2145]),
+	.Y(n_21024), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887779 (
+	.A1(n_19460),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2046]),
+	.B1(n_19848),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2069]),
+	.Y(n_21023), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887780 (
+	.A1(n_13924),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [592]),
+	.B1(n_13584),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [546]),
+	.Y(n_21022), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887781 (
+	.A1(n_19841),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2007]),
+	.B1(n_19843),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2191]),
+	.Y(n_21021), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887782 (
+	.A1(n_13604),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [523]),
+	.B1(n_13902),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [638]),
+	.Y(n_21020), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887783 (
+	.A1(n_19789),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1938]),
+	.B1(n_19839),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1961]),
+	.Y(n_21019), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887784 (
+	.A1(n_13611),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [684]),
+	.B1(n_13626),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [730]),
+	.Y(n_21018), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887785 (
+	.A1(n_13584),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [535]),
+	.B1(n_13626),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [719]),
+	.Y(n_21017), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887786 (
+	.A1(n_13928),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [443]),
+	.B1(n_13902),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [627]),
+	.Y(n_21016), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887787 (
+	.A1(n_13593),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [477]),
+	.B1(n_13922),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [500]),
+	.Y(n_21015), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887788 (
+	.A1(n_13604),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [512]),
+	.B1(n_13611),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [673]),
+	.Y(n_21014), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887789 (
+	.A1(n_13593),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [466]),
+	.B1(n_13922),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [489]),
+	.Y(n_21013), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887790 (
+	.A1(n_19451),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2855]),
+	.B1(n_19689),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2832]),
+	.Y(n_21012), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887791 (
+	.A1(n_19831),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1869]),
+	.B1(n_19842),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2053]),
+	.Y(n_21011), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887792 (
+	.A1(n_19770),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1892]),
+	.B1(n_19772),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2076]),
+	.Y(n_21010), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887793 (
+	.A1(n_19679),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2000]),
+	.B1(n_13772),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2023]),
+	.Y(n_21009), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887794 (
+	.A1(n_19832),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2041]),
+	.B1(n_19772),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2087]),
+	.Y(n_21008), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887795 (
+	.A1(n_19684),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1846]),
+	.B1(n_19834),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2122]),
+	.Y(n_21007), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887796 (
+	.A1(n_19770),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1903]),
+	.B1(n_19398),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1926]),
+	.Y(n_21006), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887797 (
+	.A1(n_19457),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2501]),
+	.B1(n_19856),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2524]),
+	.Y(n_21005), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887798 (
+	.A1(n_13648),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [558]),
+	.B1(n_13627),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [650]),
+	.Y(n_21004), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887799 (
+	.A1(n_19763),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [420]),
+	.B1(n_13639),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [604]),
+	.Y(n_21003), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887800 (
+	.A1(n_19834),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2133]),
+	.B1(n_19678),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2179]),
+	.Y(n_21002), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887801 (
+	.A1(n_19768),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [397]),
+	.B1(n_13924),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [581]),
+	.Y(n_21001), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887802 (
+	.A1(n_19686),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [374]),
+	.B1(n_13631),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [696]),
+	.Y(n_21000), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887803 (
+	.A1(n_19854),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1954]),
+	.B1(n_19830),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1977]),
+	.Y(n_20999), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887804 (
+	.A1(n_19684),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1857]),
+	.B1(n_19831),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1880]),
+	.Y(n_20998), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887805 (
+	.A1(n_19824),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1363]),
+	.B1(n_19825),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1409]),
+	.Y(n_20997), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887806 (
+	.A1(n_13648),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [569]),
+	.B1(n_13639),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [615]),
+	.Y(n_20996), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887807 (
+	.A1(n_19751),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1248]),
+	.B1(n_19814),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1225]),
+	.Y(n_20995), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887808 (
+	.A1(n_19763),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [431]),
+	.B1(n_13928),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [454]),
+	.Y(n_20994), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887809 (
+	.A1(n_19821),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1317]),
+	.B1(n_13623),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1455]),
+	.Y(n_20993), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887810 (
+	.A1(n_19750),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1202]),
+	.B1(n_19748),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1179]),
+	.Y(n_20992), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887811 (
+	.A1(n_19760),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1386]),
+	.B1(n_19688),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1432]),
+	.Y(n_20991), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887812 (
+	.A1(n_13627),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [661]),
+	.B1(n_13631),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [707]),
+	.Y(n_20990), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887813 (
+	.A1(n_19752),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1294]),
+	.B1(n_19823),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1340]),
+	.Y(n_20989), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887814 (
+	.A1(n_19686),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [385]),
+	.B1(n_19768),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [408]),
+	.Y(n_20988), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887815 (
+	.A1(n_19811),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1110]),
+	.B1(n_19813),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1156]),
+	.Y(n_20987), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887816 (
+	.A1(n_19804),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2536]),
+	.B1(n_19805),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2559]),
+	.Y(n_20986), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887817 (
+	.A1(n_19693),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2467]),
+	.B1(n_19802),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2375]),
+	.Y(n_20985), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887818 (
+	.A1(n_13474),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1862]),
+	.B1(n_19810),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1885]),
+	.Y(n_20984), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887819 (
+	.A1(n_19816),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1765]),
+	.B1(n_19757),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1788]),
+	.Y(n_20983), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887820 (
+	.A1(n_19400),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2352]),
+	.B1(n_19733),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2329]),
+	.Y(n_20982), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887821 (
+	.A1(n_19753),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1719]),
+	.B1(n_19754),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1742]),
+	.Y(n_20981), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887822 (
+	.A1(n_19735),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2398]),
+	.B1(n_19739),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2444]),
+	.Y(n_20980), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887823 (
+	.A1(n_19395),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2306]),
+	.B1(n_19736),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2283]),
+	.Y(n_20979), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887824 (
+	.A1(n_19691),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1811]),
+	.B1(n_19818),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1834]),
+	.Y(n_20978), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887825 (
+	.A1(n_19732),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2237]),
+	.B1(n_19403),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2421]),
+	.Y(n_20977), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887826 (
+	.A1(n_19801),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2214]),
+	.B1(n_19401),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2260]),
+	.Y(n_20976), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887827 (
+	.A1(n_19815),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1673]),
+	.B1(n_19755),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1696]),
+	.Y(n_20975), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887828 (
+	.A1(n_19718),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [293]),
+	.B1(n_19761),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [316]),
+	.Y(n_20974), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887829 (
+	.A1(n_19846),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1816]),
+	.B1(n_19803),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1839]),
+	.Y(n_20973), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887830 (
+	.A1(n_19705),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [247]),
+	.B1(n_19788),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [270]),
+	.Y(n_20972), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887831 (
+	.A1(n_19453),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2786]),
+	.B1(n_19766),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2809]),
+	.Y(n_20971), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887832 (
+	.A1(n_19712),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [224]),
+	.B1(n_19714),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [362]),
+	.Y(n_20970), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887833 (
+	.A1(n_19713),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [201]),
+	.B1(n_19787),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [339]),
+	.Y(n_20969), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g887834 (
+	.A1(n_13592),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [834]),
+	.B1(n_13609),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1041]),
+	.X(n_20968), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887835 (
+	.A1(n_19680),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1770]),
+	.B1(n_19845),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1793]),
+	.Y(n_20967), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887836 (
+	.A1(n_19788),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [259]),
+	.B1(n_19714),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [351]),
+	.Y(n_20966), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887837 (
+	.A1(n_19712),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [213]),
+	.B1(n_19718),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [282]),
+	.Y(n_20965), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887838 (
+	.A1(n_19787),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [328]),
+	.B1(n_19761),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [305]),
+	.Y(n_20964), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887839 (
+	.A1(n_19713),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [190]),
+	.B1(n_19705),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [236]),
+	.Y(n_20963), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887840 (
+	.A1(n_19741),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1535]),
+	.B1(n_19745),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1558]),
+	.Y(n_20962), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887841 (
+	.A1(n_19707),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [144]),
+	.B1(n_19694),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [121]),
+	.Y(n_20961), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887842 (
+	.A1(n_19744),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1489]),
+	.B1(n_19806),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1512]),
+	.Y(n_20960), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887843 (
+	.A1(n_19710),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [6]),
+	.B1(n_19666),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [52]),
+	.Y(n_20959), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887844 (
+	.A1(n_19829),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1678]),
+	.B1(n_19833),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1701]),
+	.Y(n_20958), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887845 (
+	.A1(n_19707),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [155]),
+	.B1(n_19694),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [132]),
+	.Y(n_20957), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887846 (
+	.A1(n_13924),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [576]),
+	.B1(n_13902),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [622]),
+	.Y(n_20956), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887847 (
+	.A1(n_19468),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1431]),
+	.B1(n_19794),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1454]),
+	.Y(n_20955), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887848 (
+	.A1(n_19667),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [109]),
+	.B1(n_19708),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [86]),
+	.Y(n_20954), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887849 (
+	.A1(n_19445),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2363]),
+	.B1(n_19870),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2386]),
+	.Y(n_20953), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887850 (
+	.A1(n_19887),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1339]),
+	.B1(n_19889),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1362]),
+	.Y(n_20952), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887851 (
+	.A1(n_19469),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1293]),
+	.B1(n_19894),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1316]),
+	.Y(n_20951), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887852 (
+	.A1(n_19467),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1397]),
+	.B1(n_19892),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1420]),
+	.Y(n_20950), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887853 (
+	.A1(n_19710),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [17]),
+	.B1(n_19666),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [63]),
+	.Y(n_20949), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887854 (
+	.A1(n_19455),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2763]),
+	.B1(n_19758),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2740]),
+	.Y(n_20948), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887855 (
+	.A1(n_19885),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1247]),
+	.B1(n_19886),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1270]),
+	.Y(n_20947), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887856 (
+	.A1(n_19442),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2317]),
+	.B1(n_19672),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2340]),
+	.Y(n_20946), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887857 (
+	.A1(n_19696),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1610]),
+	.B1(n_19809),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1633]),
+	.Y(n_20945), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887858 (
+	.A1(n_19697),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1632]),
+	.B1(n_19759),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1655]),
+	.Y(n_20944), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887859 (
+	.A1(n_19819),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1155]),
+	.B1(n_19882),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1178]),
+	.Y(n_20943), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887860 (
+	.A1(n_19800),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1201]),
+	.B1(n_19884),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1224]),
+	.Y(n_20942), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887861 (
+	.A1(n_19858),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1109]),
+	.B1(n_19881),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1132]),
+	.Y(n_20941), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887862 (
+	.A1(n_19842),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2069]),
+	.B1(n_19841),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2023]),
+	.Y(n_20940), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887863 (
+	.A1(n_19808),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1586]),
+	.B1(n_19812),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1609]),
+	.Y(n_20939), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887864 (
+	.A1(n_19893),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1063]),
+	.B1(n_19827),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1086]),
+	.Y(n_20938), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887865 (
+	.A1(n_19847),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2161]),
+	.B1(n_19843),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2207]),
+	.Y(n_20937), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887866 (
+	.A1(n_19874),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [971]),
+	.B1(n_19876),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [994]),
+	.Y(n_20936), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887867 (
+	.A1(n_19789),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1954]),
+	.B1(n_19839),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1977]),
+	.Y(n_20935), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887868 (
+	.A1(n_19878),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1017]),
+	.B1(n_19879),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1040]),
+	.Y(n_20934), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887869 (
+	.A1(n_19669),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [925]),
+	.B1(n_19670),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [948]),
+	.Y(n_20933), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887870 (
+	.A1(n_19734),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1540]),
+	.B1(n_19740),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1563]),
+	.Y(n_20932), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887871 (
+	.A1(n_19865),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [787]),
+	.B1(n_19867),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [810]),
+	.Y(n_20931), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887872 (
+	.A1(n_13604),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [528]),
+	.B1(n_13584),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [551]),
+	.Y(n_20930), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887873 (
+	.A1(n_19869),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [833]),
+	.B1(n_19864),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [856]),
+	.Y(n_20929), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887874 (
+	.A1(n_19875),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2271]),
+	.B1(n_19880),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2294]),
+	.Y(n_20928), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887875 (
+	.A1(n_19866),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [879]),
+	.B1(n_19871),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [902]),
+	.Y(n_20927), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887876 (
+	.A1(n_13922),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [505]),
+	.B1(n_13611),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [689]),
+	.Y(n_20926), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887877 (
+	.A1(n_13909),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2579]),
+	.B1(n_19719),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2924]),
+	.Y(n_20925), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887878 (
+	.A1(n_19860),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [741]),
+	.B1(n_19861),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [764]),
+	.Y(n_20924), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887879 (
+	.A1(n_13593),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [482]),
+	.B1(n_13626),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [735]),
+	.Y(n_20923), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887880 (
+	.A1(n_13869),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2167]),
+	.B1(n_19396),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2190]),
+	.Y(n_20922), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887881 (
+	.A1(n_19832),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2046]),
+	.B1(n_19772),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2092]),
+	.Y(n_20921), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887882 (
+	.A1(n_19462),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2121]),
+	.B1(n_19464),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2144]),
+	.Y(n_20920), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887883 (
+	.A1(n_19770),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1908]),
+	.B1(n_19398),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1931]),
+	.Y(n_20919), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887884 (
+	.A1(n_13794),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2075]),
+	.B1(n_19397),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2098]),
+	.Y(n_20918), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887885 (
+	.A1(n_19799),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1494]),
+	.B1(n_13798),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1517]),
+	.Y(n_20917), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887886 (
+	.A1(n_19460),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2029]),
+	.B1(n_19848),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2052]),
+	.Y(n_20916), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887887 (
+	.A1(n_19684),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1862]),
+	.B1(n_19831),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1885]),
+	.Y(n_20915), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887888 (
+	.A1(n_19679),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1983]),
+	.B1(n_13772),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2006]),
+	.Y(n_20914), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887889 (
+	.A1(n_19466),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2225]),
+	.B1(n_19883),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2248]),
+	.Y(n_20913), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887890 (
+	.A1(n_19854),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1937]),
+	.B1(n_19830),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1960]),
+	.Y(n_20912), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887891 (
+	.A1(n_19790),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2897]),
+	.B1(n_19721),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2920]),
+	.Y(n_20911), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887892 (
+	.A1(n_13648),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [574]),
+	.B1(n_13639),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [620]),
+	.Y(n_20910), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887893 (
+	.A1(n_13474),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1845]),
+	.B1(n_19810),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1868]),
+	.Y(n_20909), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887894 (
+	.A1(n_19763),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [436]),
+	.B1(n_13928),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [459]),
+	.Y(n_20908), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887895 (
+	.A1(n_19452),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2694]),
+	.B1(n_19743),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2717]),
+	.Y(n_20907), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887896 (
+	.A1(n_13627),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [666]),
+	.B1(n_13631),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [712]),
+	.Y(n_20906), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887897 (
+	.A1(n_19846),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1799]),
+	.B1(n_19803),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1822]),
+	.Y(n_20905), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887898 (
+	.A1(n_19680),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1753]),
+	.B1(n_19845),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1776]),
+	.Y(n_20904), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887899 (
+	.A1(n_19451),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2874]),
+	.B1(n_19689),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2851]),
+	.Y(n_20903), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887900 (
+	.A1(n_19703),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1707]),
+	.B1(n_19838),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1730]),
+	.Y(n_20902), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887901 (
+	.A1(n_19686),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [390]),
+	.B1(n_19768),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [413]),
+	.Y(n_20901), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887902 (
+	.A1(n_19829),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1661]),
+	.B1(n_19833),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1684]),
+	.Y(n_20900), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887903 (
+	.A1(n_13918),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [948]),
+	.B1(n_13660),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [879]),
+	.Y(n_20899), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887904 (
+	.A1(n_19823),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1356]),
+	.B1(n_19760),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1402]),
+	.Y(n_20898), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887905 (
+	.A1(n_19808),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1569]),
+	.B1(n_19812),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1592]),
+	.Y(n_20897), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887906 (
+	.A1(n_19453),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2805]),
+	.B1(n_19766),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2828]),
+	.Y(n_20896), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887907 (
+	.A1(n_13869),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2179]),
+	.B1(n_19396),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2202]),
+	.Y(n_20895), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887908 (
+	.A1(n_19455),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2782]),
+	.B1(n_19758),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2759]),
+	.Y(n_20894), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887909 (
+	.A1(n_19816),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1770]),
+	.B1(n_19757),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1793]),
+	.Y(n_20893), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887910 (
+	.A1(n_19451),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2857]),
+	.B1(n_19790),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2880]),
+	.Y(n_20892), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887911 (
+	.A1(n_19766),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2811]),
+	.B1(n_19689),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2834]),
+	.Y(n_20891), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887912 (
+	.A1(n_19691),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1816]),
+	.B1(n_19818),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1839]),
+	.Y(n_20890), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887913 (
+	.A1(n_19455),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2765]),
+	.B1(n_19453),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2788]),
+	.Y(n_20889), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887914 (
+	.A1(n_19753),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1724]),
+	.B1(n_19754),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1747]),
+	.Y(n_20888), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887915 (
+	.A1(n_19815),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1678]),
+	.B1(n_19755),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1701]),
+	.Y(n_20887), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887916 (
+	.A1(n_19743),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2719]),
+	.B1(n_19758),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2742]),
+	.Y(n_20886), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887917 (
+	.A1(n_19454),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2671]),
+	.B1(n_19796),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2648]),
+	.Y(n_20885), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887918 (
+	.A1(n_19454),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2673]),
+	.B1(n_19452),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2696]),
+	.Y(n_20884), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887919 (
+	.A1(n_19452),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2713]),
+	.B1(n_19743),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2736]),
+	.Y(n_20883), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887920 (
+	.A1(n_19752),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1310]),
+	.B1(n_19814),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1241]),
+	.Y(n_20882), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887921 (
+	.A1(n_19449),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2581]),
+	.B1(n_19456),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2604]),
+	.Y(n_20881), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887922 (
+	.A1(n_19750),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1218]),
+	.B1(n_19751),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1264]),
+	.Y(n_20880), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887923 (
+	.A1(n_19462),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2133]),
+	.B1(n_19464),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2156]),
+	.Y(n_20879), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887924 (
+	.A1(n_19454),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2690]),
+	.B1(n_19796),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2667]),
+	.Y(n_20878), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887925 (
+	.A1(n_19458),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2535]),
+	.B1(n_19807),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2558]),
+	.Y(n_20877), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887926 (
+	.A1(n_19813),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1172]),
+	.B1(n_19748),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1195]),
+	.Y(n_20876), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887927 (
+	.A1(n_19457),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2489]),
+	.B1(n_19856),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2512]),
+	.Y(n_20875), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887928 (
+	.A1(n_19448),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2443]),
+	.B1(n_19709),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2466]),
+	.Y(n_20874), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887929 (
+	.A1(n_19747),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1586]),
+	.B1(n_19746),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1609]),
+	.Y(n_20873), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887930 (
+	.A1(n_19446),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2397]),
+	.B1(n_19711),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2420]),
+	.Y(n_20872), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887931 (
+	.A1(n_19741),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1540]),
+	.B1(n_19745),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1563]),
+	.Y(n_20871), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887932 (
+	.A1(n_19442),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2305]),
+	.B1(n_19672),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2328]),
+	.Y(n_20870), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887933 (
+	.A1(n_19449),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2598]),
+	.B1(n_19692),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2943]),
+	.Y(n_20869), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887934 (
+	.A1(n_19696),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1632]),
+	.B1(n_19809),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1655]),
+	.Y(n_20868), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887935 (
+	.A1(n_19875),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2259]),
+	.B1(n_19880),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2282]),
+	.Y(n_20867), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887936 (
+	.A1(n_19744),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1494]),
+	.B1(n_19806),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1517]),
+	.Y(n_20866), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887937 (
+	.A1(n_19466),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2213]),
+	.B1(n_19883),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2236]),
+	.Y(n_20865), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887938 (
+	.A1(n_13794),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2087]),
+	.B1(n_19397),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2110]),
+	.Y(n_20864), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887939 (
+	.A1(n_19851),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [603]),
+	.B1(n_19872),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [626]),
+	.Y(n_20863), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887940 (
+	.A1(n_19456),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2621]),
+	.B1(n_13845),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2644]),
+	.Y(n_20862), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887941 (
+	.A1(n_19895),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [649]),
+	.B1(n_19730),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [672]),
+	.Y(n_20861), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887942 (
+	.A1(n_19804),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2552]),
+	.B1(n_19805),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2575]),
+	.Y(n_20860), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887943 (
+	.A1(n_19742),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2506]),
+	.B1(n_19402),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2529]),
+	.Y(n_20859), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887944 (
+	.A1(n_19891),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [695]),
+	.B1(n_19888),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [718]),
+	.Y(n_20858), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887945 (
+	.A1(n_19738),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [557]),
+	.B1(n_19737),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [580]),
+	.Y(n_20857), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887946 (
+	.A1(n_19739),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2460]),
+	.B1(n_19693),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2483]),
+	.Y(n_20856), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887947 (
+	.A1(n_19449),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2579]),
+	.B1(n_19692),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2924]),
+	.Y(n_20855), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887948 (
+	.A1(n_19735),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2414]),
+	.B1(n_19403),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2437]),
+	.Y(n_20854), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887949 (
+	.A1(n_19460),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2041]),
+	.B1(n_19848),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2064]),
+	.Y(n_20853), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887950 (
+	.A1(n_19756),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [419]),
+	.B1(n_19769),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [442]),
+	.Y(n_20852), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887951 (
+	.A1(n_19458),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2552]),
+	.B1(n_19807),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2575]),
+	.Y(n_20851), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887952 (
+	.A1(n_19400),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2368]),
+	.B1(n_19802),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2391]),
+	.Y(n_20850), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887953 (
+	.A1(n_19690),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [373]),
+	.B1(n_19890),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [396]),
+	.Y(n_20849), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887954 (
+	.A1(n_19395),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2322]),
+	.B1(n_19733),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2345]),
+	.Y(n_20848), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887955 (
+	.A1(n_19828),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [327]),
+	.B1(n_19877),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [350]),
+	.Y(n_20847), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887956 (
+	.A1(n_19457),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2506]),
+	.B1(n_19856),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2529]),
+	.Y(n_20846), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887957 (
+	.A1(n_19401),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2276]),
+	.B1(n_19736),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2299]),
+	.Y(n_20845), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887958 (
+	.A1(n_19716),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [281]),
+	.B1(n_19687),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [304]),
+	.Y(n_20844), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887959 (
+	.A1(n_19801),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2230]),
+	.B1(n_19732),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2253]),
+	.Y(n_20843), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887960 (
+	.A1(n_19853),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [235]),
+	.B1(n_19868),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [258]),
+	.Y(n_20842), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887961 (
+	.A1(n_19855),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [28]),
+	.B1(n_19873),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [166]),
+	.Y(n_20841), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887962 (
+	.A1(n_19448),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2460]),
+	.B1(n_19709),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2483]),
+	.Y(n_20840), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887963 (
+	.A1(n_19674),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [189]),
+	.B1(n_19675),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [212]),
+	.Y(n_20839), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887964 (
+	.A1(n_13656),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [758]),
+	.B1(n_13582),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [804]),
+	.Y(n_20838), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887965 (
+	.A1(n_19676),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [5]),
+	.B1(n_19859),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [51]),
+	.Y(n_20837), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887966 (
+	.A1(n_19679),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1995]),
+	.B1(n_13772),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2018]),
+	.Y(n_20836), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887967 (
+	.A1(n_19795),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2785]),
+	.B1(n_13629),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2808]),
+	.Y(n_20835), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887968 (
+	.A1(n_19446),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2414]),
+	.B1(n_19711),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2437]),
+	.Y(n_20834), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887969 (
+	.A1(n_13660),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [896]),
+	.B1(n_13659),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1011]),
+	.Y(n_20833), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887970 (
+	.A1(n_19451),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2857]),
+	.B1(n_19790),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2880]),
+	.Y(n_20832), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887971 (
+	.A1(n_19456),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2602]),
+	.B1(n_13845),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2625]),
+	.Y(n_20831), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887972 (
+	.A1(n_19455),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2765]),
+	.B1(n_19453),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2788]),
+	.Y(n_20830), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887973 (
+	.A1(n_13918),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [965]),
+	.B1(n_13609),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1057]),
+	.Y(n_20829), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887974 (
+	.A1(n_13592),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [850]),
+	.B1(n_19726),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [873]),
+	.Y(n_20828), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887975 (
+	.A1(n_13845),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2627]),
+	.B1(n_19796),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2650]),
+	.Y(n_20827), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887976 (
+	.A1(n_19854),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1949]),
+	.B1(n_19830),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1972]),
+	.Y(n_20826), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887977 (
+	.A1(n_19445),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2368]),
+	.B1(n_19870),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2391]),
+	.Y(n_20825), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887978 (
+	.A1(n_19700),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2920]),
+	.B1(n_19699),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2897]),
+	.Y(n_20824), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887979 (
+	.A1(n_19743),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2719]),
+	.B1(n_19758),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2742]),
+	.Y(n_20823), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887980 (
+	.A1(n_19449),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2581]),
+	.B1(n_19456),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2604]),
+	.Y(n_20822), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887981 (
+	.A1(n_19722),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2782]),
+	.B1(n_13927),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2759]),
+	.Y(n_20821), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887982 (
+	.A1(n_19442),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2322]),
+	.B1(n_19672),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2345]),
+	.Y(n_20820), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887983 (
+	.A1(n_19701),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2874]),
+	.B1(n_19702),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2851]),
+	.Y(n_20819), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887984 (
+	.A1(n_19457),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2489]),
+	.B1(n_19856),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2512]),
+	.Y(n_20818), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887985 (
+	.A1(n_19795),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2805]),
+	.B1(n_13629),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2828]),
+	.Y(n_20817), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887986 (
+	.A1(n_19458),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2535]),
+	.B1(n_19807),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2558]),
+	.Y(n_20816), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887987 (
+	.A1(n_19792),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2736]),
+	.B1(n_13619),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2713]),
+	.Y(n_20815), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887988 (
+	.A1(n_19446),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2397]),
+	.B1(n_19711),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2420]),
+	.Y(n_20814), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887989 (
+	.A1(n_19817),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1903]),
+	.B1(n_19826),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1926]),
+	.Y(n_20813), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887990 (
+	.A1(n_13909),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2598]),
+	.B1(n_19719),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2943]),
+	.Y(n_20812), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887991 (
+	.A1(n_19875),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2259]),
+	.B1(n_19880),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2282]),
+	.Y(n_20811), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887992 (
+	.A1(n_19875),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2276]),
+	.B1(n_19880),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2299]),
+	.Y(n_20810), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887993 (
+	.A1(n_19442),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2305]),
+	.B1(n_19672),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2328]),
+	.Y(n_20809), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887994 (
+	.A1(n_19793),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2690]),
+	.B1(n_13914),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2667]),
+	.Y(n_20808), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887995 (
+	.A1(n_19445),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2351]),
+	.B1(n_19870),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2374]),
+	.Y(n_20807), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887996 (
+	.A1(n_13941),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2644]),
+	.B1(n_13907),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2621]),
+	.Y(n_20806), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887997 (
+	.A1(n_19466),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2213]),
+	.B1(n_19883),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2236]),
+	.Y(n_20805), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887998 (
+	.A1(n_19718),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [276]),
+	.B1(n_19761),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [299]),
+	.Y(n_20804), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g887999 (
+	.A1(n_19682),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [92]),
+	.B1(n_19862),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [115]),
+	.Y(n_20803), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888000 (
+	.A1(n_19712),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [229]),
+	.B1(n_19714),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [367]),
+	.Y(n_20802), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888001 (
+	.A1(n_19887),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1339]),
+	.B1(n_19889),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1362]),
+	.Y(n_20801), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888002 (
+	.A1(n_19705),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [252]),
+	.B1(n_19788),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [275]),
+	.Y(n_20800), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888003 (
+	.A1(n_19467),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1385]),
+	.B1(n_19892),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1408]),
+	.Y(n_20799), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888004 (
+	.A1(n_19718),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [298]),
+	.B1(n_19761),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [321]),
+	.Y(n_20798), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888005 (
+	.A1(n_19469),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1293]),
+	.B1(n_19894),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1316]),
+	.Y(n_20797), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888006 (
+	.A1(n_19885),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1247]),
+	.B1(n_19886),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1270]),
+	.Y(n_20796), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888007 (
+	.A1(n_13474),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1857]),
+	.B1(n_19810),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1880]),
+	.Y(n_20795), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888008 (
+	.A1(n_19707),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [160]),
+	.B1(n_19694),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [137]),
+	.Y(n_20794), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888009 (
+	.A1(n_19891),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [712]),
+	.B1(n_19888),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [735]),
+	.Y(n_20793), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888010 (
+	.A1(n_19458),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2533]),
+	.B1(n_19807),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2556]),
+	.Y(n_20792), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888011 (
+	.A1(n_19858),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1109]),
+	.B1(n_19881),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1132]),
+	.Y(n_20791), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888012 (
+	.A1(n_19895),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [666]),
+	.B1(n_19730),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [689]),
+	.Y(n_20790), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888013 (
+	.A1(n_19893),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1063]),
+	.B1(n_19827),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1086]),
+	.Y(n_20789), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888014 (
+	.A1(n_19710),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [22]),
+	.B1(n_19666),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [68]),
+	.Y(n_20788), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888015 (
+	.A1(n_19874),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [971]),
+	.B1(n_19876),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [994]),
+	.Y(n_20787), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888016 (
+	.A1(n_19878),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1017]),
+	.B1(n_19879),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1040]),
+	.Y(n_20786), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888017 (
+	.A1(n_19669),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [925]),
+	.B1(n_19670),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [948]),
+	.Y(n_20785), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888018 (
+	.A1(n_19866),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [879]),
+	.B1(n_19871),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [902]),
+	.Y(n_20784), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888019 (
+	.A1(n_19824),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1357]),
+	.B1(n_19825),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1403]),
+	.Y(n_20783), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888020 (
+	.A1(n_19851),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [620]),
+	.B1(n_19872),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [643]),
+	.Y(n_20782), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888021 (
+	.A1(n_19869),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [833]),
+	.B1(n_19864),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [856]),
+	.Y(n_20781), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888022 (
+	.A1(n_19821),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1311]),
+	.B1(n_19688),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1426]),
+	.Y(n_20780), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888023 (
+	.A1(n_19865),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [787]),
+	.B1(n_19867),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [810]),
+	.Y(n_20779), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888024 (
+	.A1(n_19860),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [741]),
+	.B1(n_19861),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [764]),
+	.Y(n_20778), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888025 (
+	.A1(n_19738),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [574]),
+	.B1(n_19737),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [597]),
+	.Y(n_20777), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888026 (
+	.A1(n_19823),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1334]),
+	.B1(n_19760),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1380]),
+	.Y(n_20776), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888027 (
+	.A1(n_13869),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2167]),
+	.B1(n_19396),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2190]),
+	.Y(n_20775), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888028 (
+	.A1(n_13794),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2075]),
+	.B1(n_19397),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2098]),
+	.Y(n_20774), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888029 (
+	.A1(n_19750),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1196]),
+	.B1(n_19814),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1219]),
+	.Y(n_20773), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888030 (
+	.A1(n_19813),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1150]),
+	.B1(n_19748),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1173]),
+	.Y(n_20772), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888031 (
+	.A1(n_19462),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2121]),
+	.B1(n_19464),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2144]),
+	.Y(n_20771), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888032 (
+	.A1(n_19460),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2029]),
+	.B1(n_19848),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2052]),
+	.Y(n_20770), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888033 (
+	.A1(n_19846),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1811]),
+	.B1(n_19803),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1834]),
+	.Y(n_20769), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888034 (
+	.A1(n_19752),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1288]),
+	.B1(n_19751),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1242]),
+	.Y(n_20768), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888035 (
+	.A1(n_19817),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1891]),
+	.B1(n_19826),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1914]),
+	.Y(n_20767), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888036 (
+	.A1(n_19457),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2487]),
+	.B1(n_19856),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2510]),
+	.Y(n_20766), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888037 (
+	.A1(n_19671),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [528]),
+	.B1(n_19863),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [551]),
+	.Y(n_20765), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888038 (
+	.A1(n_19680),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1765]),
+	.B1(n_19845),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1788]),
+	.Y(n_20764), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888039 (
+	.A1(n_19679),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1983]),
+	.B1(n_13772),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2006]),
+	.Y(n_20763), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888040 (
+	.A1(n_13474),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1845]),
+	.B1(n_19810),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1868]),
+	.Y(n_20762), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888041 (
+	.A1(n_19742),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2484]),
+	.B1(n_19402),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2507]),
+	.Y(n_20761), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888042 (
+	.A1(n_19804),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2530]),
+	.B1(n_19805),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2553]),
+	.Y(n_20760), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888043 (
+	.A1(n_19852),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [482]),
+	.B1(n_19820),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [505]),
+	.Y(n_20759), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888044 (
+	.A1(n_19703),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1707]),
+	.B1(n_19838),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1730]),
+	.Y(n_20758), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888045 (
+	.A1(n_19739),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2438]),
+	.B1(n_19693),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2461]),
+	.Y(n_20757), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888046 (
+	.A1(n_19680),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1753]),
+	.B1(n_19845),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1776]),
+	.Y(n_20756), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888047 (
+	.A1(n_19735),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2392]),
+	.B1(n_19403),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2415]),
+	.Y(n_20755), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888048 (
+	.A1(n_19846),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1799]),
+	.B1(n_19803),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1822]),
+	.Y(n_20754), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888049 (
+	.A1(n_19829),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1661]),
+	.B1(n_19833),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1684]),
+	.Y(n_20753), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888050 (
+	.A1(n_19756),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [436]),
+	.B1(n_19769),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [459]),
+	.Y(n_20752), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888051 (
+	.A1(n_19395),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2300]),
+	.B1(n_19733),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2323]),
+	.Y(n_20751), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888052 (
+	.A1(n_19734),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1523]),
+	.B1(n_19740),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1546]),
+	.Y(n_20750), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888053 (
+	.A1(n_19703),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1719]),
+	.B1(n_19838),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1742]),
+	.Y(n_20749), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888054 (
+	.A1(n_19808),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1569]),
+	.B1(n_19812),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1592]),
+	.Y(n_20748), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888055 (
+	.A1(n_19401),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2254]),
+	.B1(n_19736),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2277]),
+	.Y(n_20747), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888056 (
+	.A1(n_19799),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1477]),
+	.B1(n_13798),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1500]),
+	.Y(n_20746), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888057 (
+	.A1(n_19801),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2208]),
+	.B1(n_19732),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2231]),
+	.Y(n_20745), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888058 (
+	.A1(n_19690),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [390]),
+	.B1(n_19890),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [413]),
+	.Y(n_20744), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888059 (
+	.A1(n_19891),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [695]),
+	.B1(n_19888),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [718]),
+	.Y(n_20743), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888060 (
+	.A1(n_19851),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [603]),
+	.B1(n_19872),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [626]),
+	.Y(n_20742), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888061 (
+	.A1(n_19700),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2898]),
+	.B1(n_19699),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2875]),
+	.Y(n_20741), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888062 (
+	.A1(n_19895),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [649]),
+	.B1(n_19730),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [672]),
+	.Y(n_20740), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888063 (
+	.A1(n_19701),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2852]),
+	.B1(n_19702),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2829]),
+	.Y(n_20739), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888064 (
+	.A1(n_19738),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [557]),
+	.B1(n_19737),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [580]),
+	.Y(n_20738), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888065 (
+	.A1(n_19829),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1673]),
+	.B1(n_19833),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1696]),
+	.Y(n_20737), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888066 (
+	.A1(n_19828),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [344]),
+	.B1(n_19877),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [367]),
+	.Y(n_20736), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888067 (
+	.A1(n_19722),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2760]),
+	.B1(n_13927),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2737]),
+	.Y(n_20735), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888068 (
+	.A1(n_19852),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [465]),
+	.B1(n_19820),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [488]),
+	.Y(n_20734), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888069 (
+	.A1(n_19448),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2441]),
+	.B1(n_19709),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2464]),
+	.Y(n_20733), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888070 (
+	.A1(n_19792),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2714]),
+	.B1(n_13619),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2691]),
+	.Y(n_20732), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888071 (
+	.A1(n_19793),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2668]),
+	.B1(n_13914),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2645]),
+	.Y(n_20731), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888072 (
+	.A1(n_19828),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [327]),
+	.B1(n_19877),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [350]),
+	.Y(n_20730), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888073 (
+	.A1(n_19716),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [298]),
+	.B1(n_19687),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [321]),
+	.Y(n_20729), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888074 (
+	.A1(n_13909),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2576]),
+	.B1(n_19719),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2921]),
+	.Y(n_20728), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888075 (
+	.A1(n_19716),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [281]),
+	.B1(n_19687),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [304]),
+	.Y(n_20727), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888076 (
+	.A1(n_13941),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2622]),
+	.B1(n_13907),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2599]),
+	.Y(n_20726), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888077 (
+	.A1(n_19853),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [235]),
+	.B1(n_19868),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [258]),
+	.Y(n_20725), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888078 (
+	.A1(n_19855),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [28]),
+	.B1(n_19873),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [166]),
+	.Y(n_20724), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888079 (
+	.A1(n_19853),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [252]),
+	.B1(n_19868),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [275]),
+	.Y(n_20723), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888080 (
+	.A1(n_13582),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [782]),
+	.B1(n_19729),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [805]),
+	.Y(n_20722), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888081 (
+	.A1(n_19697),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1627]),
+	.B1(n_19759),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1650]),
+	.Y(n_20721), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888082 (
+	.A1(n_19676),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [5]),
+	.B1(n_19859),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [51]),
+	.Y(n_20720), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888083 (
+	.A1(n_19674),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [206]),
+	.B1(n_19675),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [229]),
+	.Y(n_20719), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888084 (
+	.A1(n_19468),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1443]),
+	.B1(n_19794),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1466]),
+	.Y(n_20718), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888085 (
+	.A1(n_19680),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1764]),
+	.B1(n_19845),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1787]),
+	.Y(n_20717), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888086 (
+	.A1(n_13660),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [874]),
+	.B1(n_13659),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [989]),
+	.Y(n_20716), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888087 (
+	.A1(n_19702),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2834]),
+	.B1(n_13927),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2742]),
+	.Y(n_20715), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888088 (
+	.A1(n_19701),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2857]),
+	.B1(n_19699),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2880]),
+	.Y(n_20714), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888089 (
+	.A1(n_19446),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2395]),
+	.B1(n_19711),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2418]),
+	.Y(n_20713), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888090 (
+	.A1(n_19700),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2903]),
+	.B1(n_19719),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2926]),
+	.Y(n_20712), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888091 (
+	.A1(n_13918),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [943]),
+	.B1(n_13609),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1035]),
+	.Y(n_20711), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888092 (
+	.A1(n_13907),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2604]),
+	.B1(n_19795),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2788]),
+	.Y(n_20710), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888093 (
+	.A1(n_19793),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2673]),
+	.B1(n_13914),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2650]),
+	.Y(n_20709), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888094 (
+	.A1(n_19668),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [160]),
+	.B1(n_19873),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [183]),
+	.Y(n_20708), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888095 (
+	.A1(n_13592),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [828]),
+	.B1(n_19726),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [851]),
+	.Y(n_20707), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888096 (
+	.A1(n_19808),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1581]),
+	.B1(n_19812),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1604]),
+	.Y(n_20706), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888097 (
+	.A1(n_13909),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2581]),
+	.B1(n_13941),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2627]),
+	.Y(n_20705), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888098 (
+	.A1(n_19757),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1776]),
+	.B1(n_19818),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1822]),
+	.Y(n_20704), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888099 (
+	.A1(n_19696),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1615]),
+	.B1(n_19746),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1592]),
+	.Y(n_20703), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888100 (
+	.A1(n_19841),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2001]),
+	.B1(n_19843),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2185]),
+	.Y(n_20702), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888101 (
+	.A1(n_19840),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1978]),
+	.B1(n_19839),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1955]),
+	.Y(n_20701), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888102 (
+	.A1(n_19747),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1569]),
+	.B1(n_19745),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1546]),
+	.Y(n_20700), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888103 (
+	.A1(n_19842),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2047]),
+	.B1(n_19844),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2093]),
+	.Y(n_20699), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888104 (
+	.A1(n_19682),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [114]),
+	.B1(n_19862),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [137]),
+	.Y(n_20698), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888105 (
+	.A1(n_19829),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1659]),
+	.B1(n_19833),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1682]),
+	.Y(n_20697), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888106 (
+	.A1(n_19741),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1523]),
+	.B1(n_19815),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1661]),
+	.Y(n_20696), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888107 (
+	.A1(n_19789),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1932]),
+	.B1(n_19847),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2139]),
+	.Y(n_20695), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888108 (
+	.A1(n_19816),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1753]),
+	.B1(n_19691),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1799]),
+	.Y(n_20694), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888109 (
+	.A1(n_19859),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [68]),
+	.B1(n_19681),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [91]),
+	.Y(n_20693), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888110 (
+	.A1(n_19744),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1477]),
+	.B1(n_19806),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1500]),
+	.Y(n_20692), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888111 (
+	.A1(n_13656),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [737]),
+	.B1(n_19729),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [806]),
+	.Y(n_20691), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888112 (
+	.A1(n_19841),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2006]),
+	.B1(n_19843),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2190]),
+	.Y(n_20690), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888113 (
+	.A1(n_19398),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1914]),
+	.B1(n_19844),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2098]),
+	.Y(n_20689), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888114 (
+	.A1(n_13604),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [506]),
+	.B1(n_13584),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [529]),
+	.Y(n_20688), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888115 (
+	.A1(n_19840),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1983]),
+	.B1(n_19847),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2144]),
+	.Y(n_20687), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888116 (
+	.A1(n_19789),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1937]),
+	.B1(n_19839),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1960]),
+	.Y(n_20686), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888117 (
+	.A1(n_13924),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [575]),
+	.B1(n_13626),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [713]),
+	.Y(n_20685), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888118 (
+	.A1(n_19734),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1535]),
+	.B1(n_19740),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1558]),
+	.Y(n_20684), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888119 (
+	.A1(n_13928),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [442]),
+	.B1(n_13902),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [626]),
+	.Y(n_20683), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888120 (
+	.A1(n_13593),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [460]),
+	.B1(n_13902),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [621]),
+	.Y(n_20682), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888121 (
+	.A1(n_13604),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [511]),
+	.B1(n_13611),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [672]),
+	.Y(n_20681), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888122 (
+	.A1(n_19676),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [22]),
+	.B1(n_19855),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [45]),
+	.Y(n_20680), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888123 (
+	.A1(n_13593),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [465]),
+	.B1(n_13922),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [488]),
+	.Y(n_20679), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888124 (
+	.A1(n_19834),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2116]),
+	.B1(n_19678),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2162]),
+	.Y(n_20678), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888125 (
+	.A1(n_19831),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1868]),
+	.B1(n_19842),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2052]),
+	.Y(n_20677), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888126 (
+	.A1(n_19770),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1886]),
+	.B1(n_19398),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1909]),
+	.Y(n_20676), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888127 (
+	.A1(n_19832),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2029]),
+	.B1(n_19772),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2075]),
+	.Y(n_20675), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888128 (
+	.A1(n_19684),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1845]),
+	.B1(n_19770),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1891]),
+	.Y(n_20674), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888129 (
+	.A1(n_19799),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1489]),
+	.B1(n_13798),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1512]),
+	.Y(n_20673), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888130 (
+	.A1(n_13627),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [649]),
+	.B1(n_13631),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [695]),
+	.Y(n_20672), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888131 (
+	.A1(n_19684),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1840]),
+	.B1(n_19831),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1863]),
+	.Y(n_20671), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888132 (
+	.A1(n_19768),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [396]),
+	.B1(n_13924),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [580]),
+	.Y(n_20670), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888133 (
+	.A1(n_19445),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2349]),
+	.B1(n_19870),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2372]),
+	.Y(n_20669), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888134 (
+	.A1(n_13648),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [557]),
+	.B1(n_13639),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [603]),
+	.Y(n_20668), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888135 (
+	.A1(n_19686),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [373]),
+	.B1(n_19763),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [419]),
+	.Y(n_20667), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888136 (
+	.A1(n_13627),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [644]),
+	.B1(n_13631),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [690]),
+	.Y(n_20666), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888137 (
+	.A1(n_19821),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1316]),
+	.B1(n_13623),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1454]),
+	.Y(n_20665), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888138 (
+	.A1(n_19751),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1247]),
+	.B1(n_19814),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1224]),
+	.Y(n_20664), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888139 (
+	.A1(n_19763),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [414]),
+	.B1(n_13928),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [437]),
+	.Y(n_20663), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888140 (
+	.A1(n_19824),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1362]),
+	.B1(n_19825),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1408]),
+	.Y(n_20662), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888141 (
+	.A1(n_13648),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [552]),
+	.B1(n_13639),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [598]),
+	.Y(n_20661), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888142 (
+	.A1(n_19750),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1201]),
+	.B1(n_19748),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1178]),
+	.Y(n_20660), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g888143 (
+	.A1(n_19468),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1426]),
+	.B1(n_19794),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1449]),
+	.X(n_20659), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888144 (
+	.A1(n_19686),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [368]),
+	.B1(n_19768),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [391]),
+	.Y(n_20658), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888145 (
+	.A1(n_19752),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1293]),
+	.B1(n_19823),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1339]),
+	.Y(n_20657), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888146 (
+	.A1(n_19811),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1109]),
+	.B1(n_19813),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1155]),
+	.Y(n_20656), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888147 (
+	.A1(n_19742),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2489]),
+	.B1(n_19402),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2512]),
+	.Y(n_20655), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888148 (
+	.A1(n_19816),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1748]),
+	.B1(n_19757),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1771]),
+	.Y(n_20654), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888149 (
+	.A1(n_19693),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2466]),
+	.B1(n_19802),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2374]),
+	.Y(n_20653), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888150 (
+	.A1(n_19400),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2351]),
+	.B1(n_19733),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2328]),
+	.Y(n_20652), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888151 (
+	.A1(n_19732),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2236]),
+	.B1(n_19403),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2420]),
+	.Y(n_20651), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888152 (
+	.A1(n_19395),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2305]),
+	.B1(n_19736),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2282]),
+	.Y(n_20650), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888153 (
+	.A1(n_19815),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1656]),
+	.B1(n_19755),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1679]),
+	.Y(n_20649), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888154 (
+	.A1(n_19735),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2397]),
+	.B1(n_19739),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2443]),
+	.Y(n_20648), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888155 (
+	.A1(n_19801),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2213]),
+	.B1(n_19401),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2259]),
+	.Y(n_20647), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888156 (
+	.A1(n_19442),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2303]),
+	.B1(n_19672),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2326]),
+	.Y(n_20646), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888157 (
+	.A1(n_19712),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [207]),
+	.B1(n_19714),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [345]),
+	.Y(n_20645), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888158 (
+	.A1(n_19705),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [230]),
+	.B1(n_19788),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [253]),
+	.Y(n_20644), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g888159 (
+	.A1(n_19726),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [856]),
+	.B1(n_13659),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [994]),
+	.X(n_20643), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888160 (
+	.A1(n_19713),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [184]),
+	.B1(n_19787),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [322]),
+	.Y(n_20642), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888161 (
+	.A1(n_13592),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [833]),
+	.B1(n_13609),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1040]),
+	.Y(n_20641), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888162 (
+	.A1(n_19761),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [304]),
+	.B1(n_19714),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [350]),
+	.Y(n_20640), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888163 (
+	.A1(n_19712),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [212]),
+	.B1(n_19718),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [281]),
+	.Y(n_20639), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888164 (
+	.A1(n_19787),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [327]),
+	.B1(n_19788),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [258]),
+	.Y(n_20638), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888165 (
+	.A1(n_19747),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1564]),
+	.B1(n_19746),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1587]),
+	.Y(n_20637), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888166 (
+	.A1(n_19713),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [189]),
+	.B1(n_19705),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [235]),
+	.Y(n_20636), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888167 (
+	.A1(n_19741),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1518]),
+	.B1(n_19745),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1541]),
+	.Y(n_20635), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g888168 (
+	.A1(n_19800),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1196]),
+	.B1(n_19884),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1219]),
+	.X(n_20634), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888169 (
+	.A1(n_19667),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [97]),
+	.B1(n_19694),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [120]),
+	.Y(n_20633), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888170 (
+	.A1(n_19744),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1472]),
+	.B1(n_19806),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1495]),
+	.Y(n_20632), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888171 (
+	.A1(n_19700),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2903]),
+	.B1(n_19719),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2926]),
+	.Y(n_20631), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888172 (
+	.A1(n_19702),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2834]),
+	.B1(n_13927),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2742]),
+	.Y(n_20630), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888173 (
+	.A1(n_19707),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [138]),
+	.B1(n_19694),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [115]),
+	.Y(n_20629), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888174 (
+	.A1(n_19792),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2719]),
+	.B1(n_13619),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2696]),
+	.Y(n_20628), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888175 (
+	.A1(n_19710),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [0]),
+	.B1(n_19666),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [46]),
+	.Y(n_20627), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888176 (
+	.A1(n_19753),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1702]),
+	.B1(n_19754),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1725]),
+	.Y(n_20626), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888177 (
+	.A1(n_13907),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2604]),
+	.B1(n_19795),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2788]),
+	.Y(n_20625), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888178 (
+	.A1(n_19722),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2765]),
+	.B1(n_13629),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2811]),
+	.Y(n_20624), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888179 (
+	.A1(n_19793),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2673]),
+	.B1(n_13914),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2650]),
+	.Y(n_20623), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g888180 (
+	.A1(n_19858),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1104]),
+	.B1(n_19881),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1127]),
+	.X(n_20622), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g888181 (
+	.A1(n_19887),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1334]),
+	.B1(n_19889),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1357]),
+	.X(n_20621), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888182 (
+	.A1(n_19875),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2257]),
+	.B1(n_19880),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2280]),
+	.Y(n_20620), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888183 (
+	.A1(n_19696),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1615]),
+	.B1(n_19746),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1592]),
+	.Y(n_20619), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888184 (
+	.A1(n_19757),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1776]),
+	.B1(n_19818),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1822]),
+	.Y(n_20618), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888185 (
+	.A1(n_19747),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1569]),
+	.B1(n_19745),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1546]),
+	.Y(n_20617), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888186 (
+	.A1(n_19839),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1976]),
+	.B1(n_19844),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2114]),
+	.Y(n_20616), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888187 (
+	.A1(n_19469),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1305]),
+	.B1(n_19894),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1328]),
+	.Y(n_20615), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888188 (
+	.A1(n_19755),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1684]),
+	.B1(n_19753),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1707]),
+	.Y(n_20614), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888189 (
+	.A1(n_19741),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1523]),
+	.B1(n_19815),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1661]),
+	.Y(n_20613), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888190 (
+	.A1(n_19840),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1999]),
+	.B1(n_19841),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2022]),
+	.Y(n_20612), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888191 (
+	.A1(n_19789),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1953]),
+	.B1(n_19847),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2160]),
+	.Y(n_20611), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888192 (
+	.A1(n_19744),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1477]),
+	.B1(n_19806),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1500]),
+	.Y(n_20610), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888193 (
+	.A1(n_19893),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1058]),
+	.B1(n_19827),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1081]),
+	.Y(n_20609), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888194 (
+	.A1(n_19841),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2006]),
+	.B1(n_19843),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2190]),
+	.Y(n_20608), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888195 (
+	.A1(n_19398),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1914]),
+	.B1(n_19844),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2098]),
+	.Y(n_20607), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888196 (
+	.A1(n_13584),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [550]),
+	.B1(n_13611),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [688]),
+	.Y(n_20606), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888197 (
+	.A1(n_19840),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1983]),
+	.B1(n_19847),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2144]),
+	.Y(n_20605), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888198 (
+	.A1(n_19789),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1937]),
+	.B1(n_19839),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1960]),
+	.Y(n_20604), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888199 (
+	.A1(n_13924),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [596]),
+	.B1(n_13626),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [734]),
+	.Y(n_20603), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888200 (
+	.A1(n_13604),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [527]),
+	.B1(n_13902),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [642]),
+	.Y(n_20602), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888201 (
+	.A1(n_13584),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [534]),
+	.B1(n_13626),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [718]),
+	.Y(n_20601), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888202 (
+	.A1(n_13928),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [442]),
+	.B1(n_13902),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [626]),
+	.Y(n_20600), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888203 (
+	.A1(n_19878),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1012]),
+	.B1(n_19879),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1035]),
+	.Y(n_20599), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888204 (
+	.A1(n_13604),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [511]),
+	.B1(n_13611),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [672]),
+	.Y(n_20598), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888205 (
+	.A1(n_13593),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [465]),
+	.B1(n_13922),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [488]),
+	.Y(n_20597), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888206 (
+	.A1(n_13593),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [481]),
+	.B1(n_13922),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [504]),
+	.Y(n_20596), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888207 (
+	.A1(n_19834),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2121]),
+	.B1(n_19678),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2167]),
+	.Y(n_20595), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888208 (
+	.A1(n_19834),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2137]),
+	.B1(n_19678),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2183]),
+	.Y(n_20594), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888209 (
+	.A1(n_19770),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1907]),
+	.B1(n_19398),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1930]),
+	.Y(n_20593), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888210 (
+	.A1(n_19684),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1845]),
+	.B1(n_19832),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2029]),
+	.Y(n_20592), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888211 (
+	.A1(n_19874),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [966]),
+	.B1(n_19876),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [989]),
+	.Y(n_20591), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888212 (
+	.A1(n_19832),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2045]),
+	.B1(n_19772),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2091]),
+	.Y(n_20590), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888213 (
+	.A1(n_19768),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [396]),
+	.B1(n_13924),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [580]),
+	.Y(n_20589), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888214 (
+	.A1(n_19885),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1259]),
+	.B1(n_19886),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1282]),
+	.Y(n_20588), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888215 (
+	.A1(n_19763),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [419]),
+	.B1(n_13639),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [603]),
+	.Y(n_20587), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888216 (
+	.A1(n_19684),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1861]),
+	.B1(n_19831),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1884]),
+	.Y(n_20586), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888217 (
+	.A1(n_19686),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [373]),
+	.B1(n_13648),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [557]),
+	.Y(n_20585), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888218 (
+	.A1(n_19466),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2211]),
+	.B1(n_19883),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2234]),
+	.Y(n_20584), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888219 (
+	.A1(n_19669),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [920]),
+	.B1(n_19670),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [943]),
+	.Y(n_20583), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888220 (
+	.A1(n_13627),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [665]),
+	.B1(n_13631),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [711]),
+	.Y(n_20582), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888221 (
+	.A1(n_19824),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1362]),
+	.B1(n_19825),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1408]),
+	.Y(n_20581), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888222 (
+	.A1(n_19751),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1247]),
+	.B1(n_19814),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1224]),
+	.Y(n_20580), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888223 (
+	.A1(n_19763),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [435]),
+	.B1(n_13928),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [458]),
+	.Y(n_20579), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888224 (
+	.A1(n_19800),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1213]),
+	.B1(n_19884),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1236]),
+	.Y(n_20578), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888225 (
+	.A1(n_19760),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1385]),
+	.B1(n_19688),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1431]),
+	.Y(n_20577), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888226 (
+	.A1(n_13648),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [573]),
+	.B1(n_13639),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [619]),
+	.Y(n_20576), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888227 (
+	.A1(n_19811),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1109]),
+	.B1(n_19813),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1155]),
+	.Y(n_20575), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888228 (
+	.A1(n_19865),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [782]),
+	.B1(n_19867),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [805]),
+	.Y(n_20574), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888229 (
+	.A1(n_19693),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2466]),
+	.B1(n_19802),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2374]),
+	.Y(n_20573), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888230 (
+	.A1(n_19742),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2489]),
+	.B1(n_19402),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2512]),
+	.Y(n_20572), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888231 (
+	.A1(n_19400),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2351]),
+	.B1(n_19733),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2328]),
+	.Y(n_20571), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888232 (
+	.A1(n_19760),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1401]),
+	.B1(n_19825),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1424]),
+	.Y(n_20570), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888233 (
+	.A1(n_19735),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2397]),
+	.B1(n_19739),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2443]),
+	.Y(n_20569), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888234 (
+	.A1(n_19395),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2305]),
+	.B1(n_19736),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2282]),
+	.Y(n_20568), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888235 (
+	.A1(n_19801),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2213]),
+	.B1(n_19401),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2259]),
+	.Y(n_20567), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888236 (
+	.A1(n_19869),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [828]),
+	.B1(n_19864),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [851]),
+	.Y(n_20566), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888237 (
+	.A1(n_19753),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1723]),
+	.B1(n_19754),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1746]),
+	.Y(n_20565), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888238 (
+	.A1(n_19815),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1677]),
+	.B1(n_19755),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1700]),
+	.Y(n_20564), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g888239 (
+	.A1(n_19729),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [810]),
+	.B1(n_13659),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [994]),
+	.X(n_20563), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888240 (
+	.A1(n_19860),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [736]),
+	.B1(n_19861),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [759]),
+	.Y(n_20562), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888241 (
+	.A1(n_19761),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [304]),
+	.B1(n_19714),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [350]),
+	.Y(n_20561), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888242 (
+	.A1(n_19752),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1309]),
+	.B1(n_19751),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1263]),
+	.Y(n_20560), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888243 (
+	.A1(n_19712),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [212]),
+	.B1(n_19718),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [281]),
+	.Y(n_20559), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888244 (
+	.A1(n_19787),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [327]),
+	.B1(n_19788),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [258]),
+	.Y(n_20558), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888245 (
+	.A1(n_19813),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1171]),
+	.B1(n_19748),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1194]),
+	.Y(n_20557), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888246 (
+	.A1(n_19713),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [189]),
+	.B1(n_19705),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [235]),
+	.Y(n_20556), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888247 (
+	.A1(n_19708),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [74]),
+	.B1(n_19694),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [120]),
+	.Y(n_20555), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888248 (
+	.A1(n_19811),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1125]),
+	.B1(n_19750),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1217]),
+	.Y(n_20554), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888249 (
+	.A1(n_19710),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [5]),
+	.B1(n_19666),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [51]),
+	.Y(n_20553), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888250 (
+	.A1(n_19790),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2875]),
+	.B1(n_19721),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2898]),
+	.Y(n_20552), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888251 (
+	.A1(n_19804),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2535]),
+	.B1(n_19805),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2558]),
+	.Y(n_20551), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888252 (
+	.A1(n_19696),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1631]),
+	.B1(n_19809),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1654]),
+	.Y(n_20550), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888253 (
+	.A1(n_19741),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1539]),
+	.B1(n_19745),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1562]),
+	.Y(n_20549), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888254 (
+	.A1(n_19846),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1797]),
+	.B1(n_19803),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1820]),
+	.Y(n_20548), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888255 (
+	.A1(n_19747),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1585]),
+	.B1(n_19746),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1608]),
+	.Y(n_20547), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888256 (
+	.A1(n_19691),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1794]),
+	.B1(n_19818),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1817]),
+	.Y(n_20546), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888257 (
+	.A1(n_19744),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1493]),
+	.B1(n_19806),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1516]),
+	.Y(n_20545), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888258 (
+	.A1(n_19451),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2852]),
+	.B1(n_19689),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2829]),
+	.Y(n_20544), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888259 (
+	.A1(n_19804),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2551]),
+	.B1(n_19805),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2574]),
+	.Y(n_20543), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888260 (
+	.A1(n_13794),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2076]),
+	.B1(n_19397),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2099]),
+	.Y(n_20542), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888261 (
+	.A1(n_19455),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2760]),
+	.B1(n_19758),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2737]),
+	.Y(n_20541), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888262 (
+	.A1(n_19893),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1075]),
+	.B1(n_19827),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1098]),
+	.Y(n_20540), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888263 (
+	.A1(n_19742),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2486]),
+	.B1(n_19402),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2509]),
+	.Y(n_20539), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888264 (
+	.A1(n_19722),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2765]),
+	.B1(n_13629),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2811]),
+	.Y(n_20538), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888265 (
+	.A1(n_19454),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2668]),
+	.B1(n_19796),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2645]),
+	.Y(n_20537), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888266 (
+	.A1(n_19874),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [983]),
+	.B1(n_19876),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1006]),
+	.Y(n_20536), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888267 (
+	.A1(n_19456),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2599]),
+	.B1(n_13845),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2622]),
+	.Y(n_20535), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888268 (
+	.A1(n_13592),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [849]),
+	.B1(n_19726),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [872]),
+	.Y(n_20534), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888269 (
+	.A1(n_19701),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2873]),
+	.B1(n_19702),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2850]),
+	.Y(n_20533), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888270 (
+	.A1(n_19703),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1705]),
+	.B1(n_19838),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1728]),
+	.Y(n_20532), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888271 (
+	.A1(n_19885),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1264]),
+	.B1(n_19886),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1287]),
+	.Y(n_20531), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888272 (
+	.A1(n_19792),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2735]),
+	.B1(n_13619),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2712]),
+	.Y(n_20530), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888273 (
+	.A1(n_13648),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [554]),
+	.B1(n_13639),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [600]),
+	.Y(n_20529), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888274 (
+	.A1(n_19716),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [276]),
+	.B1(n_19687),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [299]),
+	.Y(n_20528), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888275 (
+	.A1(n_13941),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2643]),
+	.B1(n_13907),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2620]),
+	.Y(n_20527), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888276 (
+	.A1(n_19682),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [98]),
+	.B1(n_19681),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [75]),
+	.Y(n_20526), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888277 (
+	.A1(n_19792),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2719]),
+	.B1(n_13619),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2696]),
+	.Y(n_20525), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888278 (
+	.A1(n_19866),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [891]),
+	.B1(n_19871),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [914]),
+	.Y(n_20524), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888279 (
+	.A1(n_19713),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [205]),
+	.B1(n_19787),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [343]),
+	.Y(n_20523), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888280 (
+	.A1(n_19712),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [211]),
+	.B1(n_19714),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [349]),
+	.Y(n_20522), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888281 (
+	.A1(n_19667),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [113]),
+	.B1(n_19708),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [90]),
+	.Y(n_20521), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888282 (
+	.A1(n_19668),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [138]),
+	.B1(n_19873),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [161]),
+	.Y(n_20520), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888283 (
+	.A1(n_19761),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [306]),
+	.B1(n_19714),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [352]),
+	.Y(n_20519), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888284 (
+	.A1(n_19821),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1314]),
+	.B1(n_19688),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1429]),
+	.Y(n_20518), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888285 (
+	.A1(n_19752),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1291]),
+	.B1(n_19751),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1245]),
+	.Y(n_20517), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888286 (
+	.A1(n_19676),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [0]),
+	.B1(n_19855),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [23]),
+	.Y(n_20516), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888287 (
+	.A1(n_19851),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [598]),
+	.B1(n_19872),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [621]),
+	.Y(n_20515), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888288 (
+	.A1(n_19710),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [21]),
+	.B1(n_19666),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [67]),
+	.Y(n_20514), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888289 (
+	.A1(n_13924),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [578]),
+	.B1(n_13902),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [624]),
+	.Y(n_20513), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888290 (
+	.A1(n_19701),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2855]),
+	.B1(n_19702),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2832]),
+	.Y(n_20512), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888291 (
+	.A1(n_19816),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1755]),
+	.B1(n_19691),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1801]),
+	.Y(n_20511), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888292 (
+	.A1(n_19453),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2801]),
+	.B1(n_19766),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2824]),
+	.Y(n_20510), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888293 (
+	.A1(n_13593),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [463]),
+	.B1(n_13604),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [509]),
+	.Y(n_20509), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888294 (
+	.A1(n_19891),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [707]),
+	.B1(n_19888),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [730]),
+	.Y(n_20508), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888295 (
+	.A1(n_19460),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2024]),
+	.B1(n_19848),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2047]),
+	.Y(n_20507), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888296 (
+	.A1(n_19684),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1843]),
+	.B1(n_19831),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1866]),
+	.Y(n_20506), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888297 (
+	.A1(n_19821),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1330]),
+	.B1(n_19688),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1445]),
+	.Y(n_20505), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888298 (
+	.A1(n_19808),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1567]),
+	.B1(n_19812),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1590]),
+	.Y(n_20504), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888299 (
+	.A1(n_13627),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [647]),
+	.B1(n_13631),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [693]),
+	.Y(n_20503), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888300 (
+	.A1(n_19763),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [417]),
+	.B1(n_13928),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [440]),
+	.Y(n_20502), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888301 (
+	.A1(n_19895),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [661]),
+	.B1(n_19730),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [684]),
+	.Y(n_20501), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888302 (
+	.A1(n_19816),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1750]),
+	.B1(n_19757),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1773]),
+	.Y(n_20500), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888303 (
+	.A1(n_19753),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1704]),
+	.B1(n_19754),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1727]),
+	.Y(n_20499), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888304 (
+	.A1(n_19869),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [845]),
+	.B1(n_19864),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [868]),
+	.Y(n_20498), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888305 (
+	.A1(n_13648),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [555]),
+	.B1(n_13639),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [601]),
+	.Y(n_20497), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888306 (
+	.A1(n_19686),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [371]),
+	.B1(n_19768),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [394]),
+	.Y(n_20496), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888307 (
+	.A1(n_19815),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1658]),
+	.B1(n_19755),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1681]),
+	.Y(n_20495), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888308 (
+	.A1(n_19854),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1932]),
+	.B1(n_19830),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1955]),
+	.Y(n_20494), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888309 (
+	.A1(n_19750),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1198]),
+	.B1(n_19814),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1221]),
+	.Y(n_20493), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888310 (
+	.A1(n_19813),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1152]),
+	.B1(n_19751),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1244]),
+	.Y(n_20492), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888311 (
+	.A1(n_19816),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1751]),
+	.B1(n_19757),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1774]),
+	.Y(n_20491), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888312 (
+	.A1(n_19760),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1385]),
+	.B1(n_19688),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1431]),
+	.Y(n_20490), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888313 (
+	.A1(n_19741),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1520]),
+	.B1(n_19745),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1543]),
+	.Y(n_20489), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888314 (
+	.A1(n_19753),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1705]),
+	.B1(n_19754),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1728]),
+	.Y(n_20488), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888315 (
+	.A1(n_19696),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1612]),
+	.B1(n_19809),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1635]),
+	.Y(n_20487), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888316 (
+	.A1(n_19744),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1474]),
+	.B1(n_19806),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1497]),
+	.Y(n_20486), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888317 (
+	.A1(n_19815),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1659]),
+	.B1(n_19755),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1682]),
+	.Y(n_20485), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888318 (
+	.A1(n_19841),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2003]),
+	.B1(n_19843),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2187]),
+	.Y(n_20484), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888319 (
+	.A1(n_19696),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1613]),
+	.B1(n_19809),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1636]),
+	.Y(n_20483), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888320 (
+	.A1(n_19817),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1886]),
+	.B1(n_19826),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1909]),
+	.Y(n_20482), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888321 (
+	.A1(n_19747),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1567]),
+	.B1(n_19746),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1590]),
+	.Y(n_20481), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888322 (
+	.A1(n_19789),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1934]),
+	.B1(n_19840),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1980]),
+	.Y(n_20480), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888323 (
+	.A1(n_19851),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [615]),
+	.B1(n_19872),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [638]),
+	.Y(n_20479), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888324 (
+	.A1(n_19741),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1521]),
+	.B1(n_19745),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1544]),
+	.Y(n_20478), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888325 (
+	.A1(n_13924),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [577]),
+	.B1(n_13626),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [715]),
+	.Y(n_20477), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888326 (
+	.A1(n_13604),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [508]),
+	.B1(n_13584),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [531]),
+	.Y(n_20476), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888327 (
+	.A1(n_19744),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1475]),
+	.B1(n_19806),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1498]),
+	.Y(n_20475), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888328 (
+	.A1(n_13593),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [462]),
+	.B1(n_13922),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [485]),
+	.Y(n_20474), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888329 (
+	.A1(n_19832),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2026]),
+	.B1(n_19772),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2072]),
+	.Y(n_20473), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888330 (
+	.A1(n_19700),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2901]),
+	.B1(n_19699),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2878]),
+	.Y(n_20472), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888331 (
+	.A1(n_19684),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1842]),
+	.B1(n_19770),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1888]),
+	.Y(n_20471), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888332 (
+	.A1(n_19734),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1521]),
+	.B1(n_19740),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1544]),
+	.Y(n_20470), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888333 (
+	.A1(n_13627),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [646]),
+	.B1(n_13631),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [692]),
+	.Y(n_20469), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888334 (
+	.A1(n_19763),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [416]),
+	.B1(n_13928),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [439]),
+	.Y(n_20468), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888335 (
+	.A1(n_19795),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2786]),
+	.B1(n_13629),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2809]),
+	.Y(n_20467), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888336 (
+	.A1(n_19458),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2530]),
+	.B1(n_19807),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2553]),
+	.Y(n_20466), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888337 (
+	.A1(n_19686),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [370]),
+	.B1(n_19768),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [393]),
+	.Y(n_20465), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888338 (
+	.A1(n_19722),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2763]),
+	.B1(n_13927),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2740]),
+	.Y(n_20464), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888339 (
+	.A1(n_19742),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2486]),
+	.B1(n_19402),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2509]),
+	.Y(n_20463), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888340 (
+	.A1(n_19739),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2440]),
+	.B1(n_19693),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2463]),
+	.Y(n_20462), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888341 (
+	.A1(n_19457),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2484]),
+	.B1(n_19856),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2507]),
+	.Y(n_20461), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888342 (
+	.A1(n_19735),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2394]),
+	.B1(n_19403),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2417]),
+	.Y(n_20460), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888343 (
+	.A1(n_19793),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2671]),
+	.B1(n_13914),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2648]),
+	.Y(n_20459), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888344 (
+	.A1(n_19401),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2256]),
+	.B1(n_19736),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2279]),
+	.Y(n_20458), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888345 (
+	.A1(n_19792),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2717]),
+	.B1(n_13619),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2694]),
+	.Y(n_20457), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888346 (
+	.A1(n_19400),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2348]),
+	.B1(n_19802),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2371]),
+	.Y(n_20456), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888347 (
+	.A1(n_19801),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2210]),
+	.B1(n_19732),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2233]),
+	.Y(n_20455), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888348 (
+	.A1(n_13941),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2625]),
+	.B1(n_13907),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2602]),
+	.Y(n_20454), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888349 (
+	.A1(n_19448),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2438]),
+	.B1(n_19709),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2461]),
+	.Y(n_20453), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888350 (
+	.A1(n_13656),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [739]),
+	.B1(n_13582),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [785]),
+	.Y(n_20452), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888351 (
+	.A1(n_13592),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [830]),
+	.B1(n_19726),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [853]),
+	.Y(n_20451), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888352 (
+	.A1(n_19690),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [373]),
+	.B1(n_19890),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [396]),
+	.Y(n_20450), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888353 (
+	.A1(n_19446),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2392]),
+	.B1(n_19711),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2415]),
+	.Y(n_20449), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888354 (
+	.A1(n_13474),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1840]),
+	.B1(n_19810),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1863]),
+	.Y(n_20448), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888355 (
+	.A1(n_19799),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1475]),
+	.B1(n_13798),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1498]),
+	.Y(n_20447), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888356 (
+	.A1(n_19722),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2762]),
+	.B1(n_13927),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2739]),
+	.Y(n_20446), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888358 (
+	.A1(n_19795),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2785]),
+	.B1(n_13629),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2808]),
+	.Y(n_20444), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888359 (
+	.A1(n_19792),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2716]),
+	.B1(n_13619),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2693]),
+	.Y(n_20443), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888360 (
+	.A1(n_13909),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2578]),
+	.B1(n_19719),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2923]),
+	.Y(n_20442), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888361 (
+	.A1(n_19852),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [477]),
+	.B1(n_19820),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [500]),
+	.Y(n_20441), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888362 (
+	.A1(n_19445),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2346]),
+	.B1(n_19870),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2369]),
+	.Y(n_20440), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888363 (
+	.A1(n_19445),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2354]),
+	.B1(n_19870),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2377]),
+	.Y(n_20439), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888364 (
+	.A1(n_13941),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2624]),
+	.B1(n_13907),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2601]),
+	.Y(n_20438), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888366 (
+	.A1(n_19718),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [278]),
+	.B1(n_19761),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [301]),
+	.Y(n_20436), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888367 (
+	.A1(n_19442),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2300]),
+	.B1(n_19672),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2323]),
+	.Y(n_20435), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888368 (
+	.A1(n_19705),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [232]),
+	.B1(n_19788),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [255]),
+	.Y(n_20434), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888369 (
+	.A1(n_19804),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2533]),
+	.B1(n_19805),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2556]),
+	.Y(n_20433), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888370 (
+	.A1(n_19739),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2441]),
+	.B1(n_19693),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2464]),
+	.Y(n_20432), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888371 (
+	.A1(n_19713),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [186]),
+	.B1(n_19787),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [324]),
+	.Y(n_20431), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888372 (
+	.A1(n_19707),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [140]),
+	.B1(n_19694),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [117]),
+	.Y(n_20430), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888373 (
+	.A1(n_19742),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2487]),
+	.B1(n_19402),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2510]),
+	.Y(n_20429), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888374 (
+	.A1(n_19667),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [94]),
+	.B1(n_19708),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [71]),
+	.Y(n_20428), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888375 (
+	.A1(n_19710),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2]),
+	.B1(n_19666),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [48]),
+	.Y(n_20427), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888376 (
+	.A1(n_19735),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2395]),
+	.B1(n_19403),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2418]),
+	.Y(n_20426), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888377 (
+	.A1(n_19756),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [431]),
+	.B1(n_19769),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [454]),
+	.Y(n_20425), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888378 (
+	.A1(n_19400),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2349]),
+	.B1(n_19802),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2372]),
+	.Y(n_20424), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888379 (
+	.A1(n_19395),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2303]),
+	.B1(n_19733),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2326]),
+	.Y(n_20423), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888380 (
+	.A1(n_19760),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1382]),
+	.B1(n_19825),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1405]),
+	.Y(n_20422), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888381 (
+	.A1(n_19466),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2208]),
+	.B1(n_19883),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2231]),
+	.Y(n_20421), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888382 (
+	.A1(n_19750),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1198]),
+	.B1(n_19814),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1221]),
+	.Y(n_20420), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888383 (
+	.A1(n_19801),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2211]),
+	.B1(n_19732),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2234]),
+	.Y(n_20419), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888384 (
+	.A1(n_19811),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1106]),
+	.B1(n_19748),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1175]),
+	.Y(n_20418), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888385 (
+	.A1(n_19712),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [210]),
+	.B1(n_19714),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [348]),
+	.Y(n_20417), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888386 (
+	.A1(n_19739),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2440]),
+	.B1(n_19693),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2463]),
+	.Y(n_20416), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888387 (
+	.A1(n_19690),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [385]),
+	.B1(n_19890),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [408]),
+	.Y(n_20415), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888388 (
+	.A1(n_19705),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [233]),
+	.B1(n_19788),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [256]),
+	.Y(n_20414), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888389 (
+	.A1(n_19735),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2394]),
+	.B1(n_19403),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2417]),
+	.Y(n_20413), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888390 (
+	.A1(n_19718),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [279]),
+	.B1(n_19761),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [302]),
+	.Y(n_20412), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888391 (
+	.A1(n_19401),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2256]),
+	.B1(n_19736),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2279]),
+	.Y(n_20411), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888392 (
+	.A1(n_19713),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [187]),
+	.B1(n_19787),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [325]),
+	.Y(n_20410), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888393 (
+	.A1(n_19801),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2210]),
+	.B1(n_19732),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2233]),
+	.Y(n_20409), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888394 (
+	.A1(n_19891),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [690]),
+	.B1(n_19888),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [713]),
+	.Y(n_20408), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888395 (
+	.A1(n_19700),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2900]),
+	.B1(n_19699),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2877]),
+	.Y(n_20407), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888396 (
+	.A1(n_19701),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2854]),
+	.B1(n_19702),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2831]),
+	.Y(n_20406), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888397 (
+	.A1(n_19667),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [95]),
+	.B1(n_19708),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [72]),
+	.Y(n_20405), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888398 (
+	.A1(n_19722),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2762]),
+	.B1(n_13927),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2739]),
+	.Y(n_20404), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888399 (
+	.A1(n_19707),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [141]),
+	.B1(n_19694),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [118]),
+	.Y(n_20403), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888400 (
+	.A1(n_13909),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2578]),
+	.B1(n_19719),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2923]),
+	.Y(n_20402), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888401 (
+	.A1(n_19710),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [3]),
+	.B1(n_19666),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [49]),
+	.Y(n_20401), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888402 (
+	.A1(n_19793),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2670]),
+	.B1(n_13914),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2647]),
+	.Y(n_20400), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888403 (
+	.A1(n_19792),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2716]),
+	.B1(n_13619),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2693]),
+	.Y(n_20399), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888404 (
+	.A1(n_13941),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2624]),
+	.B1(n_13907),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2601]),
+	.Y(n_20398), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888405 (
+	.A1(n_19875),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2254]),
+	.B1(n_19880),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2277]),
+	.Y(n_20397), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888406 (
+	.A1(n_19828),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [339]),
+	.B1(n_19877),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [362]),
+	.Y(n_20396), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888407 (
+	.A1(n_13656),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [738]),
+	.B1(n_13582),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [784]),
+	.Y(n_20395), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888408 (
+	.A1(n_19842),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2051]),
+	.B1(n_19844),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2097]),
+	.Y(n_20394), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888409 (
+	.A1(n_19738),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [552]),
+	.B1(n_19737),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [575]),
+	.Y(n_20393), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888410 (
+	.A1(n_19841),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2005]),
+	.B1(n_19843),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2189]),
+	.Y(n_20392), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888411 (
+	.A1(n_13660),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [876]),
+	.B1(n_13659),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [991]),
+	.Y(n_20391), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888412 (
+	.A1(n_19789),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1936]),
+	.B1(n_19839),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1959]),
+	.Y(n_20390), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888413 (
+	.A1(n_19716),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [279]),
+	.B1(n_19687),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [302]),
+	.Y(n_20389), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888414 (
+	.A1(n_13611),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [671]),
+	.B1(n_13626),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [717]),
+	.Y(n_20388), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888415 (
+	.A1(n_13604),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [510]),
+	.B1(n_13902),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [625]),
+	.Y(n_20387), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888416 (
+	.A1(n_19847),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2141]),
+	.B1(n_19843),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2187]),
+	.Y(n_20386), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888417 (
+	.A1(n_19789),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1934]),
+	.B1(n_19839),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1957]),
+	.Y(n_20385), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888418 (
+	.A1(n_19716),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [293]),
+	.B1(n_19687),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [316]),
+	.Y(n_20384), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888419 (
+	.A1(n_13611),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [669]),
+	.B1(n_13626),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [715]),
+	.Y(n_20383), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888420 (
+	.A1(n_13924),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [579]),
+	.B1(n_13584),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [533]),
+	.Y(n_20382), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888421 (
+	.A1(n_13924),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [577]),
+	.B1(n_13902),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [623]),
+	.Y(n_20381), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888422 (
+	.A1(n_13593),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [464]),
+	.B1(n_13922),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [487]),
+	.Y(n_20380), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888423 (
+	.A1(n_13604),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [508]),
+	.B1(n_13584),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [531]),
+	.Y(n_20379), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888424 (
+	.A1(n_13593),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [462]),
+	.B1(n_13922),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [485]),
+	.Y(n_20378), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888425 (
+	.A1(n_19832),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2026]),
+	.B1(n_19772),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2072]),
+	.Y(n_20377), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888426 (
+	.A1(n_19770),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1888]),
+	.B1(n_19398),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1911]),
+	.Y(n_20376), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888427 (
+	.A1(n_19834),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2120]),
+	.B1(n_19678),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2166]),
+	.Y(n_20375), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888428 (
+	.A1(n_19770),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1890]),
+	.B1(n_19398),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1913]),
+	.Y(n_20374), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888429 (
+	.A1(n_19834),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2118]),
+	.B1(n_19678),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2164]),
+	.Y(n_20373), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888430 (
+	.A1(n_19684),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1842]),
+	.B1(n_19831),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1865]),
+	.Y(n_20372), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888431 (
+	.A1(n_13648),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [554]),
+	.B1(n_13639),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [600]),
+	.Y(n_20371), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888432 (
+	.A1(n_19756),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [420]),
+	.B1(n_19769),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [443]),
+	.Y(n_20370), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888433 (
+	.A1(n_19763),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [416]),
+	.B1(n_13928),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [439]),
+	.Y(n_20369), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888434 (
+	.A1(n_19832),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2028]),
+	.B1(n_19772),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2074]),
+	.Y(n_20368), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888435 (
+	.A1(n_13627),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [646]),
+	.B1(n_13631),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [692]),
+	.Y(n_20367), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888436 (
+	.A1(n_19686),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [370]),
+	.B1(n_19768),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [393]),
+	.Y(n_20366), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888437 (
+	.A1(n_19684),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1844]),
+	.B1(n_19831),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1867]),
+	.Y(n_20365), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888438 (
+	.A1(n_19756),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [414]),
+	.B1(n_19769),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [437]),
+	.Y(n_20364), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888439 (
+	.A1(n_13627),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [648]),
+	.B1(n_13631),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [694]),
+	.Y(n_20363), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888440 (
+	.A1(n_19753),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1704]),
+	.B1(n_19754),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1727]),
+	.Y(n_20362), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888441 (
+	.A1(n_19763),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [418]),
+	.B1(n_13928),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [441]),
+	.Y(n_20361), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888442 (
+	.A1(n_19691),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1796]),
+	.B1(n_19818),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1819]),
+	.Y(n_20360), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888443 (
+	.A1(n_19815),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1658]),
+	.B1(n_19755),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1681]),
+	.Y(n_20359), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888444 (
+	.A1(n_19690),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [368]),
+	.B1(n_19890),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [391]),
+	.Y(n_20358), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888445 (
+	.A1(n_13648),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [556]),
+	.B1(n_13639),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [602]),
+	.Y(n_20357), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888446 (
+	.A1(n_19718),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [278]),
+	.B1(n_19761),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [301]),
+	.Y(n_20356), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888447 (
+	.A1(n_19705),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [232]),
+	.B1(n_19788),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [255]),
+	.Y(n_20355), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888448 (
+	.A1(n_19713),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [186]),
+	.B1(n_19787),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [324]),
+	.Y(n_20354), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888449 (
+	.A1(n_19741),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1520]),
+	.B1(n_19745),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1543]),
+	.Y(n_20353), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888450 (
+	.A1(n_19674),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [201]),
+	.B1(n_19675),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [224]),
+	.Y(n_20352), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888451 (
+	.A1(n_19744),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1474]),
+	.B1(n_19806),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1497]),
+	.Y(n_20351), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888452 (
+	.A1(n_19846),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1794]),
+	.B1(n_19803),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1817]),
+	.Y(n_20350), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888453 (
+	.A1(n_19823),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1338]),
+	.B1(n_19824),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1361]),
+	.Y(n_20349), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888454 (
+	.A1(n_19710),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2]),
+	.B1(n_19666),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [48]),
+	.Y(n_20348), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888455 (
+	.A1(n_19680),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1748]),
+	.B1(n_19845),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1771]),
+	.Y(n_20347), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888456 (
+	.A1(n_19839),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1956]),
+	.B1(n_19841),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2002]),
+	.Y(n_20346), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888457 (
+	.A1(n_19816),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1752]),
+	.B1(n_19757),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1775]),
+	.Y(n_20345), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888458 (
+	.A1(n_19823),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1335]),
+	.B1(n_19824),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1358]),
+	.Y(n_20344), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888459 (
+	.A1(n_19753),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1706]),
+	.B1(n_19754),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1729]),
+	.Y(n_20343), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888460 (
+	.A1(n_19691),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1795]),
+	.B1(n_19818),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1818]),
+	.Y(n_20342), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888461 (
+	.A1(n_19815),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1660]),
+	.B1(n_19755),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1683]),
+	.Y(n_20341), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888462 (
+	.A1(n_19816),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1749]),
+	.B1(n_19757),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1772]),
+	.Y(n_20340), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888463 (
+	.A1(n_19815),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1657]),
+	.B1(n_19755),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1680]),
+	.Y(n_20339), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888464 (
+	.A1(n_19668),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [155]),
+	.B1(n_19873),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [178]),
+	.Y(n_20338), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888465 (
+	.A1(n_19752),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1289]),
+	.B1(n_19748),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1174]),
+	.Y(n_20337), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888466 (
+	.A1(n_19813),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1151]),
+	.B1(n_19751),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1243]),
+	.Y(n_20336), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888467 (
+	.A1(n_19813),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1154]),
+	.B1(n_19748),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1177]),
+	.Y(n_20335), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888468 (
+	.A1(n_19829),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1656]),
+	.B1(n_19833),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1679]),
+	.Y(n_20334), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888469 (
+	.A1(n_19811),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1105]),
+	.B1(n_19750),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1197]),
+	.Y(n_20333), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888470 (
+	.A1(n_19752),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1292]),
+	.B1(n_19751),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1246]),
+	.Y(n_20332), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888471 (
+	.A1(n_19834),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2118]),
+	.B1(n_19678),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2164]),
+	.Y(n_20331), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888472 (
+	.A1(n_19747),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1565]),
+	.B1(n_19746),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1588]),
+	.Y(n_20330), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888473 (
+	.A1(n_19741),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1519]),
+	.B1(n_19745),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1542]),
+	.Y(n_20329), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888474 (
+	.A1(n_19696),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1611]),
+	.B1(n_19809),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1634]),
+	.Y(n_20328), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888475 (
+	.A1(n_19744),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1473]),
+	.B1(n_19806),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1496]),
+	.Y(n_20327), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888476 (
+	.A1(n_19842),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2048]),
+	.B1(n_19841),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2002]),
+	.Y(n_20326), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888477 (
+	.A1(n_19747),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1568]),
+	.B1(n_19746),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1591]),
+	.Y(n_20325), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888478 (
+	.A1(n_19840),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1979]),
+	.B1(n_19844),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2094]),
+	.Y(n_20324), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888479 (
+	.A1(n_19674),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [187]),
+	.B1(n_19675),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [210]),
+	.Y(n_20323), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888480 (
+	.A1(n_19682),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [109]),
+	.B1(n_19862),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [132]),
+	.Y(n_20322), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888481 (
+	.A1(n_19744),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1476]),
+	.B1(n_19806),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1499]),
+	.Y(n_20321), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888482 (
+	.A1(n_19789),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1933]),
+	.B1(n_19839),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1956]),
+	.Y(n_20320), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888483 (
+	.A1(n_13902),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [622]),
+	.B1(n_13611),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [668]),
+	.Y(n_20319), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888484 (
+	.A1(n_19697),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1610]),
+	.B1(n_19759),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1633]),
+	.Y(n_20318), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888485 (
+	.A1(n_13924),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [576]),
+	.B1(n_13626),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [714]),
+	.Y(n_20317), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888486 (
+	.A1(n_19741),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1522]),
+	.B1(n_19745),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1545]),
+	.Y(n_20316), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888487 (
+	.A1(n_13604),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [507]),
+	.B1(n_13922),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [484]),
+	.Y(n_20315), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888488 (
+	.A1(n_13593),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [461]),
+	.B1(n_13584),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [530]),
+	.Y(n_20314), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888489 (
+	.A1(n_19700),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2902]),
+	.B1(n_19699),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2879]),
+	.Y(n_20313), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888490 (
+	.A1(n_19701),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2856]),
+	.B1(n_19702),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2833]),
+	.Y(n_20312), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888491 (
+	.A1(n_19770),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1887]),
+	.B1(n_19398),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1910]),
+	.Y(n_20311), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888492 (
+	.A1(n_19834),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2117]),
+	.B1(n_19678),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2163]),
+	.Y(n_20310), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888493 (
+	.A1(n_19684),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1841]),
+	.B1(n_19831),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1864]),
+	.Y(n_20309), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888494 (
+	.A1(n_19865),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [788]),
+	.B1(n_19867),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [811]),
+	.Y(n_20308), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888495 (
+	.A1(n_19795),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2787]),
+	.B1(n_13629),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2810]),
+	.Y(n_20307), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888496 (
+	.A1(n_13627),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [645]),
+	.B1(n_13631),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [691]),
+	.Y(n_20306), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888497 (
+	.A1(n_13648),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [553]),
+	.B1(n_13639),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [599]),
+	.Y(n_20305), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888498 (
+	.A1(n_19859),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [63]),
+	.B1(n_19681),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [86]),
+	.Y(n_20304), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888499 (
+	.A1(n_19734),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1518]),
+	.B1(n_19740),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1541]),
+	.Y(n_20303), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888500 (
+	.A1(n_19686),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [369]),
+	.B1(n_13928),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [438]),
+	.Y(n_20302), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888501 (
+	.A1(n_13909),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2580]),
+	.B1(n_19719),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2925]),
+	.Y(n_20301), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888502 (
+	.A1(n_19804),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2531]),
+	.B1(n_19805),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2554]),
+	.Y(n_20300), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888503 (
+	.A1(n_19742),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2485]),
+	.B1(n_19402),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2508]),
+	.Y(n_20299), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888504 (
+	.A1(n_19793),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2672]),
+	.B1(n_13914),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2649]),
+	.Y(n_20298), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888505 (
+	.A1(n_19739),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2439]),
+	.B1(n_19693),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2462]),
+	.Y(n_20297), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888506 (
+	.A1(n_19735),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2393]),
+	.B1(n_19403),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2416]),
+	.Y(n_20296), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888507 (
+	.A1(n_19799),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1472]),
+	.B1(n_13798),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1495]),
+	.Y(n_20295), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888508 (
+	.A1(n_19790),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2896]),
+	.B1(n_19721),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2919]),
+	.Y(n_20294), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888509 (
+	.A1(n_19400),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2347]),
+	.B1(n_19802),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2370]),
+	.Y(n_20293), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888510 (
+	.A1(n_19395),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2301]),
+	.B1(n_19733),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2324]),
+	.Y(n_20292), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888511 (
+	.A1(n_13941),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2626]),
+	.B1(n_13907),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2603]),
+	.Y(n_20291), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888512 (
+	.A1(n_19401),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2255]),
+	.B1(n_19736),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2278]),
+	.Y(n_20290), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888513 (
+	.A1(n_19801),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2209]),
+	.B1(n_19732),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2232]),
+	.Y(n_20289), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888514 (
+	.A1(n_19722),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2761]),
+	.B1(n_13927),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2738]),
+	.Y(n_20288), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888515 (
+	.A1(n_13660),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [878]),
+	.B1(n_13659),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [993]),
+	.Y(n_20287), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888516 (
+	.A1(n_19795),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2784]),
+	.B1(n_13629),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2807]),
+	.Y(n_20286), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888517 (
+	.A1(n_19792),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2715]),
+	.B1(n_13619),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2692]),
+	.Y(n_20285), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888518 (
+	.A1(n_19793),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2669]),
+	.B1(n_13914),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2646]),
+	.Y(n_20284), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888519 (
+	.A1(n_13909),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2577]),
+	.B1(n_19719),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2922]),
+	.Y(n_20283), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888520 (
+	.A1(n_19804),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2534]),
+	.B1(n_19805),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2557]),
+	.Y(n_20282), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888521 (
+	.A1(n_19712),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [208]),
+	.B1(n_19714),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [346]),
+	.Y(n_20281), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888522 (
+	.A1(n_19705),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [231]),
+	.B1(n_19788),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [254]),
+	.Y(n_20280), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888523 (
+	.A1(n_19742),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2488]),
+	.B1(n_19402),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2511]),
+	.Y(n_20279), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888524 (
+	.A1(n_19718),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [277]),
+	.B1(n_19761),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [300]),
+	.Y(n_20278), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888525 (
+	.A1(n_19713),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [185]),
+	.B1(n_19787),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [323]),
+	.Y(n_20277), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888526 (
+	.A1(n_19739),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2442]),
+	.B1(n_19693),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2465]),
+	.Y(n_20276), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888527 (
+	.A1(n_19801),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2212]),
+	.B1(n_19732),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2235]),
+	.Y(n_20275), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888528 (
+	.A1(n_19696),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1617]),
+	.B1(n_19746),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1594]),
+	.Y(n_20274), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888529 (
+	.A1(n_19667),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [93]),
+	.B1(n_19708),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [70]),
+	.Y(n_20273), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888530 (
+	.A1(n_19682),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [95]),
+	.B1(n_19862),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [118]),
+	.Y(n_20272), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888531 (
+	.A1(n_19707),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [139]),
+	.B1(n_19694),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [116]),
+	.Y(n_20271), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888532 (
+	.A1(n_19710),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1]),
+	.B1(n_19666),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [47]),
+	.Y(n_20270), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888533 (
+	.A1(n_19453),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2804]),
+	.B1(n_19766),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2827]),
+	.Y(n_20269), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888534 (
+	.A1(n_19823),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1335]),
+	.B1(n_19824),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1358]),
+	.Y(n_20268), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888535 (
+	.A1(n_19455),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2781]),
+	.B1(n_19758),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2758]),
+	.Y(n_20267), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888536 (
+	.A1(n_19401),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2258]),
+	.B1(n_19736),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2281]),
+	.Y(n_20266), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888537 (
+	.A1(n_19752),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1289]),
+	.B1(n_19751),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1243]),
+	.Y(n_20265), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888538 (
+	.A1(n_19750),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1197]),
+	.B1(n_19814),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1220]),
+	.Y(n_20264), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888539 (
+	.A1(n_19705),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [234]),
+	.B1(n_19788),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [257]),
+	.Y(n_20263), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888540 (
+	.A1(n_19804),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2531]),
+	.B1(n_19805),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2554]),
+	.Y(n_20262), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888541 (
+	.A1(n_19742),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2485]),
+	.B1(n_19402),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2508]),
+	.Y(n_20261), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888542 (
+	.A1(n_19735),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2393]),
+	.B1(n_19403),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2416]),
+	.Y(n_20260), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888543 (
+	.A1(n_19713),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [188]),
+	.B1(n_19787),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [326]),
+	.Y(n_20259), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888544 (
+	.A1(n_19718),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [280]),
+	.B1(n_19761),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [303]),
+	.Y(n_20258), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888545 (
+	.A1(n_19395),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2301]),
+	.B1(n_19733),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2324]),
+	.Y(n_20257), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888546 (
+	.A1(n_19401),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2255]),
+	.B1(n_19736),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2278]),
+	.Y(n_20256), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888547 (
+	.A1(n_19801),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2209]),
+	.B1(n_19732),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2232]),
+	.Y(n_20255), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888548 (
+	.A1(n_19454),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2689]),
+	.B1(n_19796),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2666]),
+	.Y(n_20254), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888549 (
+	.A1(n_19832),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2024]),
+	.B1(n_19772),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2070]),
+	.Y(n_20253), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888550 (
+	.A1(n_19700),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2899]),
+	.B1(n_19699),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2876]),
+	.Y(n_20252), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888551 (
+	.A1(n_19701),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2853]),
+	.B1(n_19702),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2830]),
+	.Y(n_20251), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888552 (
+	.A1(n_19707),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [142]),
+	.B1(n_19694),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [119]),
+	.Y(n_20250), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888553 (
+	.A1(n_19722),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2761]),
+	.B1(n_13927),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2738]),
+	.Y(n_20249), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888554 (
+	.A1(n_19710),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [4]),
+	.B1(n_19666),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [50]),
+	.Y(n_20248), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888555 (
+	.A1(n_19859),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [49]),
+	.B1(n_19681),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [72]),
+	.Y(n_20247), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888556 (
+	.A1(n_19449),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2597]),
+	.B1(n_19692),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2942]),
+	.Y(n_20246), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888557 (
+	.A1(n_19668),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [158]),
+	.B1(n_19873),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [181]),
+	.Y(n_20245), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888558 (
+	.A1(n_19716),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [298]),
+	.B1(n_19868),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [275]),
+	.Y(n_20244), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888559 (
+	.A1(n_13941),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2623]),
+	.B1(n_13907),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2600]),
+	.Y(n_20243), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888560 (
+	.A1(n_13656),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [737]),
+	.B1(n_19729),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [806]),
+	.Y(n_20242), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888561 (
+	.A1(n_13794),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2086]),
+	.B1(n_19397),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2109]),
+	.Y(n_20241), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888562 (
+	.A1(n_13592),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [829]),
+	.B1(n_19726),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [852]),
+	.Y(n_20240), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888563 (
+	.A1(n_19462),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2132]),
+	.B1(n_19464),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2155]),
+	.Y(n_20239), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888564 (
+	.A1(n_19675),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [229]),
+	.B1(n_19853),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [252]),
+	.Y(n_20238), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888565 (
+	.A1(n_13869),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2178]),
+	.B1(n_19396),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2201]),
+	.Y(n_20237), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888566 (
+	.A1(n_19844),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2094]),
+	.B1(n_19847),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2140]),
+	.Y(n_20236), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888567 (
+	.A1(n_19842),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2048]),
+	.B1(n_19843),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2186]),
+	.Y(n_20235), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888568 (
+	.A1(n_19458),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2551]),
+	.B1(n_19807),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2574]),
+	.Y(n_20234), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888569 (
+	.A1(n_19789),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1933]),
+	.B1(n_19840),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1979]),
+	.Y(n_20233), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888570 (
+	.A1(n_19676),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [3]),
+	.B1(n_19855),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [26]),
+	.Y(n_20232), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888571 (
+	.A1(n_19854),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1948]),
+	.B1(n_19830),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1971]),
+	.Y(n_20231), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888572 (
+	.A1(n_13604),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [507]),
+	.B1(n_13584),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [530]),
+	.Y(n_20230), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888573 (
+	.A1(n_19817),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1902]),
+	.B1(n_19826),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1925]),
+	.Y(n_20229), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888574 (
+	.A1(n_19679),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1994]),
+	.B1(n_13772),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2017]),
+	.Y(n_20228), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888575 (
+	.A1(n_13611),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [668]),
+	.B1(n_13626),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [714]),
+	.Y(n_20227), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888576 (
+	.A1(n_13593),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [461]),
+	.B1(n_13922),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [484]),
+	.Y(n_20226), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888577 (
+	.A1(n_13474),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1856]),
+	.B1(n_19810),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1879]),
+	.Y(n_20225), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888578 (
+	.A1(n_19770),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1887]),
+	.B1(n_19398),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1910]),
+	.Y(n_20224), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888579 (
+	.A1(n_19457),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2505]),
+	.B1(n_19856),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2528]),
+	.Y(n_20223), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888580 (
+	.A1(n_19703),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1718]),
+	.B1(n_19838),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1741]),
+	.Y(n_20222), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888581 (
+	.A1(n_19684),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1841]),
+	.B1(n_19831),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1864]),
+	.Y(n_20221), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888582 (
+	.A1(n_13648),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [553]),
+	.B1(n_13639),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [599]),
+	.Y(n_20220), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888583 (
+	.A1(n_19763),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [415]),
+	.B1(n_13928),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [438]),
+	.Y(n_20219), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888584 (
+	.A1(n_19448),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2459]),
+	.B1(n_19709),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2482]),
+	.Y(n_20218), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888585 (
+	.A1(n_19846),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1810]),
+	.B1(n_19803),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1833]),
+	.Y(n_20217), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888586 (
+	.A1(n_19829),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1672]),
+	.B1(n_19833),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1695]),
+	.Y(n_20216), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888587 (
+	.A1(n_19686),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [369]),
+	.B1(n_19768),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [392]),
+	.Y(n_20215), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888588 (
+	.A1(n_19446),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2413]),
+	.B1(n_19711),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2436]),
+	.Y(n_20214), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888589 (
+	.A1(n_19753),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1703]),
+	.B1(n_19754),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1726]),
+	.Y(n_20213), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888590 (
+	.A1(n_19452),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2713]),
+	.B1(n_19743),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2736]),
+	.Y(n_20212), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888591 (
+	.A1(n_19734),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1534]),
+	.B1(n_19740),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1557]),
+	.Y(n_20211), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888592 (
+	.A1(n_19815),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1657]),
+	.B1(n_19755),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1680]),
+	.Y(n_20210), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888593 (
+	.A1(n_19712),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [208]),
+	.B1(n_19714),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [346]),
+	.Y(n_20209), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888594 (
+	.A1(n_19705),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [231]),
+	.B1(n_19788),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [254]),
+	.Y(n_20208), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888595 (
+	.A1(n_19808),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1580]),
+	.B1(n_19812),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1603]),
+	.Y(n_20207), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888596 (
+	.A1(n_19697),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1626]),
+	.B1(n_19759),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1649]),
+	.Y(n_20206), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888597 (
+	.A1(n_19454),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2690]),
+	.B1(n_19796),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2667]),
+	.Y(n_20205), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888598 (
+	.A1(n_19713),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [185]),
+	.B1(n_19787),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [323]),
+	.Y(n_20204), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888599 (
+	.A1(n_19799),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1488]),
+	.B1(n_13798),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1511]),
+	.Y(n_20203), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888600 (
+	.A1(n_19747),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1565]),
+	.B1(n_19746),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1588]),
+	.Y(n_20202), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888601 (
+	.A1(n_19741),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1519]),
+	.B1(n_19745),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1542]),
+	.Y(n_20201), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888602 (
+	.A1(n_19445),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2367]),
+	.B1(n_19870),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2390]),
+	.Y(n_20200), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888603 (
+	.A1(n_19468),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1442]),
+	.B1(n_19794),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1465]),
+	.Y(n_20199), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888604 (
+	.A1(n_19744),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1473]),
+	.B1(n_19806),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1496]),
+	.Y(n_20198), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888605 (
+	.A1(n_19887),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1350]),
+	.B1(n_19889),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1373]),
+	.Y(n_20197), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888606 (
+	.A1(n_19442),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2321]),
+	.B1(n_19672),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2344]),
+	.Y(n_20196), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888607 (
+	.A1(n_19467),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1396]),
+	.B1(n_19892),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1419]),
+	.Y(n_20195), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888608 (
+	.A1(n_19667),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [93]),
+	.B1(n_19708),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [70]),
+	.Y(n_20194), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888609 (
+	.A1(n_19710),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1]),
+	.B1(n_19666),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [47]),
+	.Y(n_20193), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888610 (
+	.A1(n_19442),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2306]),
+	.B1(n_19672),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2329]),
+	.Y(n_20192), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888611 (
+	.A1(n_19469),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1304]),
+	.B1(n_19894),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1327]),
+	.Y(n_20191), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888612 (
+	.A1(n_19449),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2598]),
+	.B1(n_19807),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2575]),
+	.Y(n_20190), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888613 (
+	.A1(n_19819),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1166]),
+	.B1(n_19882),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1189]),
+	.Y(n_20189), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888614 (
+	.A1(n_19858),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1120]),
+	.B1(n_19881),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1143]),
+	.Y(n_20188), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888615 (
+	.A1(n_19834),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2121]),
+	.B1(n_19678),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2167]),
+	.Y(n_20187), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888616 (
+	.A1(n_19466),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2229]),
+	.B1(n_19883),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2252]),
+	.Y(n_20186), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888617 (
+	.A1(n_19893),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1074]),
+	.B1(n_19827),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1097]),
+	.Y(n_20185), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888618 (
+	.A1(n_19874),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [982]),
+	.B1(n_19876),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1005]),
+	.Y(n_20184), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888619 (
+	.A1(n_19878),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1028]),
+	.B1(n_19879),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1051]),
+	.Y(n_20183), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888620 (
+	.A1(n_19456),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2621]),
+	.B1(n_13845),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2644]),
+	.Y(n_20182), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888621 (
+	.A1(n_19669),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [936]),
+	.B1(n_19670),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [959]),
+	.Y(n_20181), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888622 (
+	.A1(n_19468),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1447]),
+	.B1(n_19794),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1470]),
+	.Y(n_20180), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888623 (
+	.A1(n_19866),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [890]),
+	.B1(n_19871),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [913]),
+	.Y(n_20179), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888624 (
+	.A1(n_19865),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [798]),
+	.B1(n_19867),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [821]),
+	.Y(n_20178), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888625 (
+	.A1(n_19869),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [844]),
+	.B1(n_19864),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [867]),
+	.Y(n_20177), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888626 (
+	.A1(n_19790),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2879]),
+	.B1(n_19721),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2902]),
+	.Y(n_20176), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888627 (
+	.A1(n_19860),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [752]),
+	.B1(n_19861),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [775]),
+	.Y(n_20175), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888628 (
+	.A1(n_19467),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1401]),
+	.B1(n_19892),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1424]),
+	.Y(n_20174), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888629 (
+	.A1(n_19790),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2891]),
+	.B1(n_19721),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2914]),
+	.Y(n_20173), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888630 (
+	.A1(n_19451),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2868]),
+	.B1(n_19689),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2845]),
+	.Y(n_20172), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888631 (
+	.A1(n_19887),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1355]),
+	.B1(n_19889),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1378]),
+	.Y(n_20171), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888632 (
+	.A1(n_19453),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2799]),
+	.B1(n_19766),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2822]),
+	.Y(n_20170), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888633 (
+	.A1(n_19455),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2776]),
+	.B1(n_19758),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2753]),
+	.Y(n_20169), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888634 (
+	.A1(n_19469),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1309]),
+	.B1(n_19894),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1332]),
+	.Y(n_20168), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888635 (
+	.A1(n_19790),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2897]),
+	.B1(n_19721),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2920]),
+	.Y(n_20167), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888636 (
+	.A1(n_19452),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2707]),
+	.B1(n_19743),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2730]),
+	.Y(n_20166), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888637 (
+	.A1(n_19454),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2684]),
+	.B1(n_19796),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2661]),
+	.Y(n_20165), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888638 (
+	.A1(n_19449),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2592]),
+	.B1(n_19692),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2937]),
+	.Y(n_20164), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888639 (
+	.A1(n_19456),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2615]),
+	.B1(n_13845),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2638]),
+	.Y(n_20163), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888640 (
+	.A1(n_19451),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2856]),
+	.B1(n_19689),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2833]),
+	.Y(n_20162), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888641 (
+	.A1(n_19885),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1263]),
+	.B1(n_19886),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1286]),
+	.Y(n_20161), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888642 (
+	.A1(n_19451),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2874]),
+	.B1(n_19689),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2851]),
+	.Y(n_20160), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888643 (
+	.A1(n_19458),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2546]),
+	.B1(n_19807),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2569]),
+	.Y(n_20159), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888644 (
+	.A1(n_19800),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1217]),
+	.B1(n_19884),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1240]),
+	.Y(n_20158), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888645 (
+	.A1(n_19457),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2500]),
+	.B1(n_19856),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2523]),
+	.Y(n_20157), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888646 (
+	.A1(n_19448),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2454]),
+	.B1(n_19709),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2477]),
+	.Y(n_20156), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888647 (
+	.A1(n_19446),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2408]),
+	.B1(n_19711),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2431]),
+	.Y(n_20155), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888648 (
+	.A1(n_19445),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2362]),
+	.B1(n_19870),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2385]),
+	.Y(n_20154), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888649 (
+	.A1(n_19442),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2316]),
+	.B1(n_19672),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2339]),
+	.Y(n_20153), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888650 (
+	.A1(n_19819),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1171]),
+	.B1(n_19882),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1194]),
+	.Y(n_20152), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888651 (
+	.A1(n_19453),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2805]),
+	.B1(n_19766),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2828]),
+	.Y(n_20151), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888652 (
+	.A1(n_19875),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2270]),
+	.B1(n_19880),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2293]),
+	.Y(n_20150), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888653 (
+	.A1(n_19858),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1125]),
+	.B1(n_19881),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1148]),
+	.Y(n_20149), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888654 (
+	.A1(n_19466),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2224]),
+	.B1(n_19883),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2247]),
+	.Y(n_20148), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888655 (
+	.A1(n_19891),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [706]),
+	.B1(n_19888),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [729]),
+	.Y(n_20147), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888656 (
+	.A1(n_19895),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [660]),
+	.B1(n_19730),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [683]),
+	.Y(n_20146), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888657 (
+	.A1(n_19851),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [614]),
+	.B1(n_19872),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [637]),
+	.Y(n_20145), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888658 (
+	.A1(n_19455),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2782]),
+	.B1(n_19758),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2759]),
+	.Y(n_20144), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888659 (
+	.A1(n_19738),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [568]),
+	.B1(n_19737),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [591]),
+	.Y(n_20143), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888660 (
+	.A1(n_19453),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2787]),
+	.B1(n_19766),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2810]),
+	.Y(n_20142), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888661 (
+	.A1(n_19893),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1079]),
+	.B1(n_19827),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1102]),
+	.Y(n_20141), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888662 (
+	.A1(n_19671),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [522]),
+	.B1(n_19863),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [545]),
+	.Y(n_20140), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888663 (
+	.A1(n_19756),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [430]),
+	.B1(n_19769),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [453]),
+	.Y(n_20139), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888664 (
+	.A1(n_19878),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1033]),
+	.B1(n_19879),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1056]),
+	.Y(n_20138), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888665 (
+	.A1(n_19852),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [476]),
+	.B1(n_19820),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [499]),
+	.Y(n_20137), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888666 (
+	.A1(n_19690),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [384]),
+	.B1(n_19890),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [407]),
+	.Y(n_20136), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888667 (
+	.A1(n_19828),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [338]),
+	.B1(n_19877),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [361]),
+	.Y(n_20135), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888668 (
+	.A1(n_19862),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [137]),
+	.B1(n_19668),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [160]),
+	.Y(n_20134), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888669 (
+	.A1(n_19716),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [292]),
+	.B1(n_19687),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [315]),
+	.Y(n_20133), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888670 (
+	.A1(n_19874),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [987]),
+	.B1(n_19876),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1010]),
+	.Y(n_20132), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888671 (
+	.A1(n_19853),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [246]),
+	.B1(n_19868),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [269]),
+	.Y(n_20131), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888672 (
+	.A1(n_19669),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [941]),
+	.B1(n_19670),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [964]),
+	.Y(n_20130), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888673 (
+	.A1(n_19674),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [200]),
+	.B1(n_19675),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [223]),
+	.Y(n_20129), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888674 (
+	.A1(n_19668),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [154]),
+	.B1(n_19873),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [177]),
+	.Y(n_20128), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888675 (
+	.A1(n_19455),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2764]),
+	.B1(n_19758),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2741]),
+	.Y(n_20127), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888676 (
+	.A1(n_19859),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [62]),
+	.B1(n_19681),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [85]),
+	.Y(n_20126), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888677 (
+	.A1(n_19682),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [108]),
+	.B1(n_19862),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [131]),
+	.Y(n_20125), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888678 (
+	.A1(n_19676),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [16]),
+	.B1(n_19855),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [39]),
+	.Y(n_20124), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888679 (
+	.A1(n_19866),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [895]),
+	.B1(n_19871),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [918]),
+	.Y(n_20123), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888680 (
+	.A1(n_19674),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [206]),
+	.B1(n_19873),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [183]),
+	.Y(n_20122), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888681 (
+	.A1(n_19869),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [849]),
+	.B1(n_19864),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [872]),
+	.Y(n_20121), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888682 (
+	.A1(n_19468),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1441]),
+	.B1(n_19794),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1464]),
+	.Y(n_20120), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888683 (
+	.A1(n_19467),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1395]),
+	.B1(n_19892),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1418]),
+	.Y(n_20119), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888684 (
+	.A1(n_19887),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1349]),
+	.B1(n_19889),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1372]),
+	.Y(n_20118), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888685 (
+	.A1(n_19682),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [114]),
+	.B1(n_19681),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [91]),
+	.Y(n_20117), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888686 (
+	.A1(n_19865),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [803]),
+	.B1(n_19867),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [826]),
+	.Y(n_20116), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888687 (
+	.A1(n_19469),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1303]),
+	.B1(n_19894),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1326]),
+	.Y(n_20115), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888688 (
+	.A1(n_19860),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [757]),
+	.B1(n_19861),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [780]),
+	.Y(n_20114), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888689 (
+	.A1(n_19885),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1257]),
+	.B1(n_19886),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1280]),
+	.Y(n_20113), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888690 (
+	.A1(n_19800),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1211]),
+	.B1(n_19884),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1234]),
+	.Y(n_20112), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888691 (
+	.A1(n_19819),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1165]),
+	.B1(n_19882),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1188]),
+	.Y(n_20111), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888692 (
+	.A1(n_19855),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [45]),
+	.B1(n_19859),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [68]),
+	.Y(n_20110), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888693 (
+	.A1(n_19452),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2695]),
+	.B1(n_19743),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2718]),
+	.Y(n_20109), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888694 (
+	.A1(n_19858),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1119]),
+	.B1(n_19881),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1142]),
+	.Y(n_20108), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888695 (
+	.A1(n_13869),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2183]),
+	.B1(n_19396),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2206]),
+	.Y(n_20107), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888696 (
+	.A1(n_19893),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1073]),
+	.B1(n_19827),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1096]),
+	.Y(n_20106), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888697 (
+	.A1(n_19878),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1027]),
+	.B1(n_19879),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1050]),
+	.Y(n_20105), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888698 (
+	.A1(n_19462),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2137]),
+	.B1(n_19464),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2160]),
+	.Y(n_20104), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888699 (
+	.A1(n_19874),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [981]),
+	.B1(n_19876),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1004]),
+	.Y(n_20103), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888700 (
+	.A1(n_19669),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [935]),
+	.B1(n_19670),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [958]),
+	.Y(n_20102), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888701 (
+	.A1(n_13794),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2091]),
+	.B1(n_19397),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2114]),
+	.Y(n_20101), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888702 (
+	.A1(n_19845),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1793]),
+	.B1(n_19846),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1816]),
+	.Y(n_20100), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888703 (
+	.A1(n_19866),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [889]),
+	.B1(n_19871),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [912]),
+	.Y(n_20099), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888704 (
+	.A1(n_19460),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2045]),
+	.B1(n_19848),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2068]),
+	.Y(n_20098), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888705 (
+	.A1(n_19869),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [843]),
+	.B1(n_19864),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [866]),
+	.Y(n_20097), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888706 (
+	.A1(n_19865),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [797]),
+	.B1(n_19867),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [820]),
+	.Y(n_20096), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888707 (
+	.A1(n_19454),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2672]),
+	.B1(n_19796),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2649]),
+	.Y(n_20095), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888708 (
+	.A1(n_19860),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [751]),
+	.B1(n_19861),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [774]),
+	.Y(n_20094), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888709 (
+	.A1(n_19680),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1770]),
+	.B1(n_19838),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1747]),
+	.Y(n_20093), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888710 (
+	.A1(n_19448),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2444]),
+	.B1(n_19709),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2467]),
+	.Y(n_20092), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888711 (
+	.A1(n_19679),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1999]),
+	.B1(n_13772),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2022]),
+	.Y(n_20091), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888712 (
+	.A1(n_19854),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1953]),
+	.B1(n_19830),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1976]),
+	.Y(n_20090), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888713 (
+	.A1(n_13869),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2177]),
+	.B1(n_19396),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2200]),
+	.Y(n_20089), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888714 (
+	.A1(n_19462),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2131]),
+	.B1(n_19464),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2154]),
+	.Y(n_20088), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888715 (
+	.A1(n_13794),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2085]),
+	.B1(n_19397),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2108]),
+	.Y(n_20087), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888716 (
+	.A1(n_19817),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1907]),
+	.B1(n_19826),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1930]),
+	.Y(n_20086), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888717 (
+	.A1(n_19460),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2039]),
+	.B1(n_19848),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2062]),
+	.Y(n_20085), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888718 (
+	.A1(n_19833),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1701]),
+	.B1(n_19703),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1724]),
+	.Y(n_20084), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888719 (
+	.A1(n_19679),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1993]),
+	.B1(n_13772),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2016]),
+	.Y(n_20083), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888720 (
+	.A1(n_13474),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1861]),
+	.B1(n_19810),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1884]),
+	.Y(n_20082), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888721 (
+	.A1(n_19854),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1947]),
+	.B1(n_19830),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1970]),
+	.Y(n_20081), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888722 (
+	.A1(n_19449),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2580]),
+	.B1(n_19692),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2925]),
+	.Y(n_20080), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888723 (
+	.A1(n_19817),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1901]),
+	.B1(n_19826),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1924]),
+	.Y(n_20079), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888724 (
+	.A1(n_13474),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1855]),
+	.B1(n_19810),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1878]),
+	.Y(n_20078), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888725 (
+	.A1(n_19829),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1678]),
+	.B1(n_19759),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1655]),
+	.Y(n_20077), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888726 (
+	.A1(n_19846),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1815]),
+	.B1(n_19803),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1838]),
+	.Y(n_20076), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888727 (
+	.A1(n_19680),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1769]),
+	.B1(n_19845),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1792]),
+	.Y(n_20075), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888728 (
+	.A1(n_19846),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1809]),
+	.B1(n_19803),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1832]),
+	.Y(n_20074), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888729 (
+	.A1(n_19680),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1763]),
+	.B1(n_19845),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1786]),
+	.Y(n_20073), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888730 (
+	.A1(n_19722),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2767]),
+	.B1(n_13629),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2813]),
+	.Y(n_20072), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888731 (
+	.A1(n_19703),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1717]),
+	.B1(n_19838),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1740]),
+	.Y(n_20071), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888732 (
+	.A1(n_19456),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2603]),
+	.B1(n_13845),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2626]),
+	.Y(n_20070), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888733 (
+	.A1(n_19829),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1671]),
+	.B1(n_19833),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1694]),
+	.Y(n_20069), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888734 (
+	.A1(n_19703),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1723]),
+	.B1(n_19838),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1746]),
+	.Y(n_20068), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888735 (
+	.A1(n_19812),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1609]),
+	.B1(n_19697),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1632]),
+	.Y(n_20067), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888736 (
+	.A1(n_19829),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1677]),
+	.B1(n_19833),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1700]),
+	.Y(n_20066), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888737 (
+	.A1(n_19697),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1625]),
+	.B1(n_19759),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1648]),
+	.Y(n_20065), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888738 (
+	.A1(n_19808),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1579]),
+	.B1(n_19812),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1602]),
+	.Y(n_20064), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888739 (
+	.A1(n_19808),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1586]),
+	.B1(n_19740),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1563]),
+	.Y(n_20063), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888740 (
+	.A1(n_19734),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1533]),
+	.B1(n_19740),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1556]),
+	.Y(n_20062), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888741 (
+	.A1(n_19697),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1631]),
+	.B1(n_19759),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1654]),
+	.Y(n_20061), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888742 (
+	.A1(n_19799),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1487]),
+	.B1(n_13798),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1510]),
+	.Y(n_20060), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888743 (
+	.A1(n_19707),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [159]),
+	.B1(n_19694),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [136]),
+	.Y(n_20059), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888744 (
+	.A1(n_19671),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [506]),
+	.B1(n_19863),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [529]),
+	.Y(n_20058), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888745 (
+	.A1(n_13584),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [534]),
+	.B1(n_13626),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [718]),
+	.Y(n_20057), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888746 (
+	.A1(n_19808),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1585]),
+	.B1(n_19812),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1608]),
+	.Y(n_20056), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888747 (
+	.A1(n_19790),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2890]),
+	.B1(n_19721),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2913]),
+	.Y(n_20055), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888748 (
+	.A1(n_19451),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2867]),
+	.B1(n_19689),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2844]),
+	.Y(n_20054), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888749 (
+	.A1(n_19453),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2798]),
+	.B1(n_19766),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2821]),
+	.Y(n_20053), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888750 (
+	.A1(n_19734),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1539]),
+	.B1(n_19740),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1562]),
+	.Y(n_20052), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888751 (
+	.A1(n_19455),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2775]),
+	.B1(n_19758),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2752]),
+	.Y(n_20051), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888752 (
+	.A1(n_19799),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1494]),
+	.B1(n_19794),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1471]),
+	.Y(n_20050), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888753 (
+	.A1(n_19799),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1493]),
+	.B1(n_13798),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1516]),
+	.Y(n_20049), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888754 (
+	.A1(n_19452),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2706]),
+	.B1(n_19743),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2729]),
+	.Y(n_20048), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888755 (
+	.A1(n_19449),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2591]),
+	.B1(n_19692),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2936]),
+	.Y(n_20047), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888756 (
+	.A1(n_19865),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [791]),
+	.B1(n_19867),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [814]),
+	.Y(n_20046), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888757 (
+	.A1(n_13798),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1517]),
+	.B1(n_19734),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1540]),
+	.Y(n_20045), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888758 (
+	.A1(n_19454),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2683]),
+	.B1(n_19796),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2660]),
+	.Y(n_20044), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888759 (
+	.A1(n_19456),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2614]),
+	.B1(n_13845),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2637]),
+	.Y(n_20043), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888760 (
+	.A1(n_19458),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2534]),
+	.B1(n_19807),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2557]),
+	.Y(n_20042), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888761 (
+	.A1(n_19891),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [711]),
+	.B1(n_19888),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [734]),
+	.Y(n_20041), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888762 (
+	.A1(n_19895),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [665]),
+	.B1(n_19730),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [688]),
+	.Y(n_20040), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888763 (
+	.A1(n_19458),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2545]),
+	.B1(n_19807),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2568]),
+	.Y(n_20039), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888764 (
+	.A1(n_19448),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2453]),
+	.B1(n_19709),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2476]),
+	.Y(n_20038), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888765 (
+	.A1(n_19457),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2499]),
+	.B1(n_19856),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2522]),
+	.Y(n_20037), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888766 (
+	.A1(n_19730),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [689]),
+	.B1(n_19891),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [712]),
+	.Y(n_20036), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888767 (
+	.A1(n_19446),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2407]),
+	.B1(n_19711),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2430]),
+	.Y(n_20035), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888768 (
+	.A1(n_19851),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [619]),
+	.B1(n_19872),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [642]),
+	.Y(n_20034), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888769 (
+	.A1(n_19674),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [184]),
+	.B1(n_19675),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [207]),
+	.Y(n_20033), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888770 (
+	.A1(n_19738),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [573]),
+	.B1(n_19737),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [596]),
+	.Y(n_20032), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888771 (
+	.A1(n_19875),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2269]),
+	.B1(n_19880),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2292]),
+	.Y(n_20031), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888772 (
+	.A1(n_19442),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2315]),
+	.B1(n_19672),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2338]),
+	.Y(n_20030), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888773 (
+	.A1(n_19445),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2361]),
+	.B1(n_19870),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2384]),
+	.Y(n_20029), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888774 (
+	.A1(n_19457),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2488]),
+	.B1(n_19856),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2511]),
+	.Y(n_20028), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888775 (
+	.A1(n_19895),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [666]),
+	.B1(n_19872),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [643]),
+	.Y(n_20027), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888776 (
+	.A1(n_19466),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2223]),
+	.B1(n_19883),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2246]),
+	.Y(n_20026), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888777 (
+	.A1(n_19671),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [527]),
+	.B1(n_19863),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [550]),
+	.Y(n_20025), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888778 (
+	.A1(n_19852),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [481]),
+	.B1(n_19820),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [504]),
+	.Y(n_20024), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888779 (
+	.A1(n_19891),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [705]),
+	.B1(n_19888),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [728]),
+	.Y(n_20023), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888780 (
+	.A1(n_19851),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [613]),
+	.B1(n_19872),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [636]),
+	.Y(n_20022), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888781 (
+	.A1(n_19895),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [659]),
+	.B1(n_19730),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [682]),
+	.Y(n_20021), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888782 (
+	.A1(n_19756),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [435]),
+	.B1(n_19769),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [458]),
+	.Y(n_20020), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888783 (
+	.A1(n_19738),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [567]),
+	.B1(n_19737),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [590]),
+	.Y(n_20019), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888784 (
+	.A1(n_19737),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [597]),
+	.B1(n_19851),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [620]),
+	.Y(n_20018), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888785 (
+	.A1(n_19690),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [389]),
+	.B1(n_19890),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [412]),
+	.Y(n_20017), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888786 (
+	.A1(n_19671),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [521]),
+	.B1(n_19863),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [544]),
+	.Y(n_20016), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888787 (
+	.A1(n_19852),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [475]),
+	.B1(n_19820),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [498]),
+	.Y(n_20015), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888788 (
+	.A1(n_19756),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [429]),
+	.B1(n_19769),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [452]),
+	.Y(n_20014), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888789 (
+	.A1(n_19448),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2442]),
+	.B1(n_19709),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2465]),
+	.Y(n_20013), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888790 (
+	.A1(n_19738),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [574]),
+	.B1(n_19863),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [551]),
+	.Y(n_20012), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888791 (
+	.A1(n_19828),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [343]),
+	.B1(n_19877),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [366]),
+	.Y(n_20011), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888792 (
+	.A1(n_19690),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [383]),
+	.B1(n_19890),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [406]),
+	.Y(n_20010), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888793 (
+	.A1(n_19716),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [297]),
+	.B1(n_19687),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [320]),
+	.Y(n_20009), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888794 (
+	.A1(n_19828),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [337]),
+	.B1(n_19877),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [360]),
+	.Y(n_20008), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888795 (
+	.A1(n_19716),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [291]),
+	.B1(n_19687),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [314]),
+	.Y(n_20007), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888796 (
+	.A1(n_19853),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [245]),
+	.B1(n_19868),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [268]),
+	.Y(n_20006), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888797 (
+	.A1(n_13845),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2628]),
+	.B1(n_19796),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2651]),
+	.Y(n_20005), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888798 (
+	.A1(n_19853),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [251]),
+	.B1(n_19868),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [274]),
+	.Y(n_20004), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888799 (
+	.A1(n_19674),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [199]),
+	.B1(n_19675),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [222]),
+	.Y(n_20003), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888800 (
+	.A1(n_19446),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2396]),
+	.B1(n_19711),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2419]),
+	.Y(n_20002), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888801 (
+	.A1(n_19820),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [505]),
+	.B1(n_19671),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [528]),
+	.Y(n_20001), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888802 (
+	.A1(n_19668),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [153]),
+	.B1(n_19873),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [176]),
+	.Y(n_20000), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888803 (
+	.A1(n_19674),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [205]),
+	.B1(n_19675),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [228]),
+	.Y(n_19999), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888804 (
+	.A1(n_19682),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [107]),
+	.B1(n_19862),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [130]),
+	.Y(n_19998), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888805 (
+	.A1(n_19859),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [61]),
+	.B1(n_19681),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [84]),
+	.Y(n_19997), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888806 (
+	.A1(n_19852),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [482]),
+	.B1(n_19769),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [459]),
+	.Y(n_19996), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888807 (
+	.A1(n_19676),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [15]),
+	.B1(n_19855),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [38]),
+	.Y(n_19995), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g888808 (
+	.A1(n_19668),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [159]),
+	.B1(n_19873),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [182]),
+	.Y(n_19994), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g888811 (
+	.A(n_19825),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1410]),
+	.Y(n_19976), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g888815 (
+	.A(n_19726),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [857]),
+	.Y(n_19972), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g888820 (
+	.A(n_19726),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [856]),
+	.Y(n_19967), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g888826 (
+	.A(n_19825),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1411]),
+	.Y(n_19961), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g888827 (
+	.A(n_19750),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1201]),
+	.Y(n_19960), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g888828 (
+	.A(n_13660),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [882]),
+	.Y(n_19959), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g888829 (
+	.A(n_19814),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1227]),
+	.Y(n_19958), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g888834 (
+	.A(n_13659),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [996]),
+	.Y(n_19953), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g888835 (
+	.A(n_19904),
+	.B(n_19779),
+	.Y(n_19993), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g888836 (
+	.A(n_19907),
+	.B(n_19898),
+	.Y(n_19992), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g888837 (
+	.A(n_19908),
+	.B(n_19900),
+	.Y(n_19991), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g888838 (
+	.A(n_19908),
+	.B(n_19781),
+	.Y(n_19990), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g888839 (
+	.A(n_19907),
+	.B(n_19779),
+	.Y(n_19989), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g888840 (
+	.A(n_19781),
+	.B(n_19898),
+	.Y(n_19988), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g888841 (
+	.A(n_19781),
+	.B(n_19779),
+	.Y(n_19987), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g888842 (
+	.A(n_42002),
+	.B(n_19906),
+	.Y(n_19986), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g888843 (
+	.A(n_19785),
+	.B(n_19590),
+	.Y(n_19985), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g888844 (
+	.A(n_19584),
+	.B(n_19905),
+	.Y(n_19984), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g888845 (
+	.A(n_19584),
+	.B(n_19909),
+	.Y(n_19983), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g888846 (
+	.A(n_19584),
+	.B(n_19902),
+	.Y(n_19982), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g888847 (
+	.A(n_19903),
+	.B(n_19584),
+	.Y(n_19981), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g888848 (
+	.A(n_19785),
+	.B(n_42002),
+	.Y(n_19980), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g888849 (
+	.A(n_42003),
+	.B(n_19902),
+	.Y(n_19979), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g888850 (
+	.A(n_19906),
+	.B(n_19590),
+	.Y(n_19978), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g888851 (
+	.A(n_19784),
+	.B(n_19590),
+	.Y(n_19977), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g888852 (
+	.A(n_19941),
+	.Y(n_19940), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g888853 (
+	.A(n_19934),
+	.Y(n_19933), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g888856 (
+	.A(n_13963),
+	.Y(n_33827), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g888857 (
+	.A(n_19918),
+	.Y(n_33822), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g888858 (
+	.A(n_19917),
+	.Y(n_33829), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g888903 (
+	.A(n_33823),
+	.Y(n_13919), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g888904 (
+	.A(n_19916),
+	.Y(n_33830), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g888905 (
+	.A(n_19915),
+	.Y(n_33826), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g888906 (
+	.A(n_19914),
+	.Y(n_33828), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g888907 (
+	.A(n_13663),
+	.Y(n_33831), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g888908 (
+	.A(n_19912),
+	.Y(n_33824), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g888909 (
+	.A(n_19911),
+	.Y(n_33825), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g888910 (
+	.A(n_19774),
+	.B(n_19776),
+	.Y(n_19952), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g888911 (
+	.A(n_19778),
+	.B(n_19775),
+	.Y(n_19951), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g888912 (
+	.A(n_19777),
+	.B(n_19899),
+	.Y(n_19950), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g888913 (
+	.A(n_19587),
+	.B(n_19589),
+	.C(n_19780),
+	.Y(n_19949), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g888914 (
+	.A(n_19586),
+	.B(n_19588),
+	.C(n_19780),
+	.Y(n_19948), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g888915 (
+	.A(n_19774),
+	.B(n_19896),
+	.Y(n_19947), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g888916 (
+	.A(n_19777),
+	.B(n_19896),
+	.Y(n_19946), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g888917 (
+	.A(n_19778),
+	.B(n_19899),
+	.Y(n_19945), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g888918 (
+	.A(n_19910),
+	.B(n_19781),
+	.Y(n_19944), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g888919 (
+	.A(n_19773),
+	.B(n_19896),
+	.Y(n_19943), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g888920 (
+	.A(n_19900),
+	.B(n_19898),
+	.Y(n_19942), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g888921 (
+	.A(n_19904),
+	.B(n_19898),
+	.Y(n_19941), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g888922 (
+	.A(n_19589),
+	.B(n_19586),
+	.C(n_19780),
+	.Y(n_19939), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g888923 (
+	.A(n_19587),
+	.B(n_19588),
+	.C(n_19780),
+	.Y(n_19938), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g888924 (
+	.A(n_19900),
+	.B(n_19779),
+	.Y(n_19937), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g888925 (
+	.A(n_19778),
+	.B(n_19776),
+	.Y(n_19936), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g888926 (
+	.A(n_19773),
+	.B(n_19776),
+	.Y(n_19935), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g888927 (
+	.A(n_19910),
+	.B(n_19900),
+	.Y(n_19934), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g888928 (
+	.A(n_19774),
+	.B(n_19899),
+	.Y(n_19932), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g888929 (
+	.A(n_19777),
+	.B(n_19776),
+	.Y(n_19931), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g888930 (
+	.A(n_19777),
+	.B(n_19775),
+	.Y(n_19930), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g888931 (
+	.A(n_19773),
+	.B(n_19899),
+	.Y(n_19929), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g888932 (
+	.A(n_19773),
+	.B(n_19775),
+	.Y(n_19928), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g888933 (
+	.A(n_19778),
+	.B(n_19896),
+	.Y(n_19927), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g888934 (
+	.A(n_19774),
+	.B(n_19775),
+	.Y(n_19926), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g888935 (
+	.A(n_42003),
+	.B(n_19905),
+	.Y(n_19925), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g888936 (
+	.A(n_19786),
+	.B(n_19590),
+	.Y(n_19924), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g888937 (
+	.A(n_42003),
+	.B(n_19909),
+	.Y(n_19923), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g888938 (
+	.A(n_19903),
+	.B(n_42003),
+	.Y(n_19922), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g888939 (
+	.A(n_19784),
+	.B(n_42002),
+	.Y(n_19921), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g888940 (
+	.A(n_19786),
+	.B(n_42002),
+	.Y(n_19920), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 g888941 (
+	.A(n_19897),
+	.B(n_19411),
+	.X(n_13963), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g888942 (
+	.A(n_19901),
+	.B(n_19413),
+	.X(n_19918), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 g888943 (
+	.A(n_19897),
+	.B(n_19419),
+	.X(n_19917), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g888944 (
+	.A(n_19783),
+	.B(n_19413),
+	.Y(n_33823), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 g888945 (
+	.A(n_19897),
+	.B(n_19547),
+	.X(n_19916), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g888946 (
+	.A(n_19783),
+	.B(n_19412),
+	.X(n_19915), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 g888947 (
+	.A(n_19897),
+	.B(n_19550),
+	.X(n_19914), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g888948 (
+	.A(n_19901),
+	.B(n_19412),
+	.X(n_13663), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2b_4 g888949 (
+	.A_N(n_19782),
+	.B(n_19551),
+	.X(n_19912), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g888950 (
+	.A(n_19901),
+	.B(n_19551),
+	.X(n_19911), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g888951 (
+	.A(n_19895),
+	.Y(n_33451), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g889040 (
+	.A(n_19894),
+	.Y(n_33378), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g889041 (
+	.A(n_19893),
+	.Y(n_33367), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g889042 (
+	.A(n_19892),
+	.Y(n_33382), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g889043 (
+	.A(n_19891),
+	.Y(n_33448), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g889044 (
+	.A(n_19890),
+	.Y(n_33344), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g889089 (
+	.A(n_19889),
+	.Y(n_33380), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g889090 (
+	.A(n_19888),
+	.Y(n_33447), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g889091 (
+	.A(n_19887),
+	.Y(n_33379), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g889130 (
+	.A(n_19886),
+	.Y(n_33376), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_2 g889131 (
+	.A(n_33375),
+	.Y(n_19885), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g889132 (
+	.A(n_19884),
+	.Y(n_33374), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g889133 (
+	.A(n_19883),
+	.Y(n_33390), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g889134 (
+	.A(n_19882),
+	.Y(n_33372), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g889135 (
+	.A(n_19881),
+	.Y(n_33370), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g889136 (
+	.A(n_19880),
+	.Y(n_33396), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g889137 (
+	.A(n_19879),
+	.Y(n_33366), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g889138 (
+	.A(n_19878),
+	.Y(n_33365), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g889139 (
+	.A(n_19877),
+	.Y(n_33342), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g889140 (
+	.A(n_19876),
+	.Y(n_33364), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g889141 (
+	.A(n_19875),
+	.Y(n_33393), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g889142 (
+	.A(n_19874),
+	.Y(n_33363), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g889143 (
+	.A(n_19873),
+	.Y(n_33334), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g889144 (
+	.A(n_19872),
+	.Y(n_33453), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g889145 (
+	.A(n_19871),
+	.Y(n_33360), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g889146 (
+	.A(n_19870),
+	.Y(n_33404), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g889147 (
+	.A(n_19869),
+	.Y(n_33357), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g889148 (
+	.A(n_19868),
+	.Y(n_33338), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g889149 (
+	.A(n_19867),
+	.Y(n_33356), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g889150 (
+	.A(n_19866),
+	.Y(n_33359), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g889151 (
+	.A(n_19865),
+	.Y(n_33355), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g889152 (
+	.A(n_19864),
+	.Y(n_33358), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g889153 (
+	.A(n_19863),
+	.Y(n_33350), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g889199 (
+	.A(n_19862),
+	.Y(n_35891), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g889241 (
+	.A(n_19462),
+	.Y(n_33385), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g889242 (
+	.A(n_19397),
+	.Y(n_33391), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g889243 (
+	.A(n_19861),
+	.Y(n_33354), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g889244 (
+	.A(n_19860),
+	.Y(n_33353), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g889245 (
+	.A(n_19859),
+	.Y(n_35888), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g889281 (
+	.A(n_19460),
+	.Y(n_33395), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_2 g889288 (
+	.A(n_33369),
+	.Y(n_19858), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g889289 (
+	.A(n_13772),
+	.Y(n_33399), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g889290 (
+	.A(n_19856),
+	.Y(n_33414), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g889291 (
+	.A(n_19396),
+	.Y(n_33388), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g889292 (
+	.A(n_19855),
+	.Y(n_33333), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g889293 (
+	.A(n_19854),
+	.Y(n_33403), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g889294 (
+	.A(n_19853),
+	.Y(n_33337), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g889340 (
+	.A(n_19852),
+	.Y(n_33347), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g889341 (
+	.A(n_19851),
+	.Y(n_33454), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g889342 (
+	.A(n_13474),
+	.Y(n_33410), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g889343 (
+	.A(n_13794),
+	.Y(n_33392), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g889344 (
+	.A(n_19848),
+	.Y(n_33394), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g889388 (
+	.A(n_33479),
+	.Y(n_19847), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_2 g889389 (
+	.A(n_33417),
+	.Y(n_19846), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g889390 (
+	.A(n_19845),
+	.Y(n_33419), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g889391 (
+	.A(n_33476),
+	.Y(n_19844), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g889392 (
+	.A(n_33482),
+	.Y(n_19843), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g889393 (
+	.A(n_33475),
+	.Y(n_19842), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g889394 (
+	.A(n_19841),
+	.Y(n_33474), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 g889395 (
+	.A(n_33471),
+	.Y(n_19840), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g889396 (
+	.A(n_19839),
+	.Y(n_33468), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g889397 (
+	.A(n_19838),
+	.Y(n_33423), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g889441 (
+	.A(n_33463),
+	.Y(n_13611), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 g889442 (
+	.A(n_33473),
+	.Y(n_13593), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g889443 (
+	.A(n_13845),
+	.Y(n_33428), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g889444 (
+	.A(n_19834),
+	.Y(n_33455), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g889445 (
+	.A(n_19833),
+	.Y(n_33426), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g889446 (
+	.A(n_19832),
+	.Y(n_33457), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g889447 (
+	.A(n_33460),
+	.Y(n_19831), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g889448 (
+	.A(n_19830),
+	.Y(n_33402), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g889449 (
+	.A(n_19398),
+	.Y(n_33464), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_2 g889450 (
+	.A(n_33427),
+	.Y(n_19829), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g889451 (
+	.A(n_19828),
+	.Y(n_33341), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g889452 (
+	.A(n_19827),
+	.Y(n_33368), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g889453 (
+	.A(n_19826),
+	.Y(n_33406), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g889454 (
+	.A(n_19825),
+	.Y(n_33532), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g889455 (
+	.A(n_19824),
+	.Y(n_33535), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_2 g889456 (
+	.A(n_33536),
+	.Y(n_19823), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g889457 (
+	.A(n_33527),
+	.Y(n_13623), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g889458 (
+	.A(n_33528),
+	.Y(n_19821), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g889459 (
+	.A(n_19820),
+	.Y(n_33348), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_2 g889460 (
+	.A(n_33371),
+	.Y(n_19819), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g889461 (
+	.A(n_19818),
+	.Y(n_33494), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g889462 (
+	.A(n_19817),
+	.Y(n_33407), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g889463 (
+	.A(n_33498),
+	.Y(n_19816), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g889464 (
+	.A(n_33505),
+	.Y(n_19815), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g889465 (
+	.A(n_19814),
+	.Y(n_33543), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g889466 (
+	.A(n_33548),
+	.Y(n_19813), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g889467 (
+	.A(n_19812),
+	.Y(n_33434), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g889468 (
+	.A(n_33550),
+	.Y(n_19811), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g889469 (
+	.A(n_19810),
+	.Y(n_33409), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g889470 (
+	.A(n_19809),
+	.Y(n_33509), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g889471 (
+	.A(n_19808),
+	.Y(n_33435), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g889472 (
+	.A(n_19807),
+	.Y(n_33418), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g889473 (
+	.A(n_19806),
+	.Y(n_33519), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g889474 (
+	.A(n_19805),
+	.Y(n_33531), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g889475 (
+	.A(n_19804),
+	.Y(n_33530), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g889476 (
+	.A(n_19803),
+	.Y(n_33416), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g889477 (
+	.A(n_19802),
+	.Y(n_33518), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g889478 (
+	.A(n_19801),
+	.Y(n_33503), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_2 g889479 (
+	.A(n_33373),
+	.Y(n_19800), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g889480 (
+	.A(n_13656),
+	.Y(n_33496), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g889481 (
+	.A(n_19799),
+	.Y(n_33442), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 g889482 (
+	.A(n_33490),
+	.Y(n_13659), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 g889483 (
+	.A(n_33489),
+	.Y(n_13660), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g889484 (
+	.A(n_19796),
+	.Y(n_33429), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g889485 (
+	.A(n_19795),
+	.Y(n_33553), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g889486 (
+	.A(n_19794),
+	.Y(n_33384), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g889487 (
+	.A(n_19793),
+	.Y(n_33545), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g889488 (
+	.A(n_19792),
+	.Y(n_33549), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g889489 (
+	.A(n_13907),
+	.Y(n_33538), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g889490 (
+	.A(n_19790),
+	.Y(n_33449), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g889491 (
+	.A(n_19789),
+	.Y(n_33467), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g889492 (
+	.A(n_19788),
+	.Y(n_33563), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g889493 (
+	.A(n_33559),
+	.Y(n_19787), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g889494 (
+	.A(n_19589),
+	.B(n_19605),
+	.Y(n_19910), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g889495 (
+	.A(n_19609),
+	.B(n_19617),
+	.Y(n_19909), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g889496 (
+	.A(n_19588),
+	.B(n_19605),
+	.Y(n_19908), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g889497 (
+	.A(n_19603),
+	.B(n_19587),
+	.Y(n_19907), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g889498 (
+	.A(n_19612),
+	.B(n_19611),
+	.Y(n_19906), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g889499 (
+	.A(n_19618),
+	.B(n_19609),
+	.Y(n_19905), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g889500 (
+	.A(n_19586),
+	.B(n_19603),
+	.Y(n_19904), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g889501 (
+	.A(n_19617),
+	.B(n_19608),
+	.Y(n_19903), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g889502 (
+	.A(n_19618),
+	.B(n_19608),
+	.Y(n_19902), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g889503 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_p1_f [5]),
+	.B(n_19661),
+	.Y(n_19901), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g889504 (
+	.A(n_19587),
+	.B(n_19602),
+	.Y(n_19900), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g889505 (
+	.A(n_19610),
+	.B(n_19606),
+	.Y(n_19899), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g889506 (
+	.A(n_19588),
+	.B(n_19604),
+	.Y(n_19898), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g889507 (
+	.A(n_19548),
+	.B(n_42911),
+	.Y(n_19897), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g889508 (
+	.A(n_19610),
+	.B(n_19607),
+	.Y(n_19896), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g889509 (
+	.A(n_19599),
+	.B(n_19629),
+	.X(n_19895), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_4 g889510 (
+	.A(n_19622),
+	.B(n_19645),
+	.Y(n_19469), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g889511 (
+	.A(n_19646),
+	.B(n_19621),
+	.Y(n_33377), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_4 g889512 (
+	.A(n_19624),
+	.B(n_19645),
+	.Y(n_19468), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g889513 (
+	.A(n_19646),
+	.B(n_19623),
+	.Y(n_33383), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g889514 (
+	.A(n_19646),
+	.B(n_19632),
+	.X(n_19894), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g889515 (
+	.A(n_19647),
+	.B(n_19623),
+	.X(n_19893), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g889516 (
+	.A(n_19646),
+	.B(n_19634),
+	.X(n_19892), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g889517 (
+	.A(n_19599),
+	.B(n_19623),
+	.X(n_19891), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g889518 (
+	.A(n_19641),
+	.B(n_19632),
+	.X(n_19890), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_4 g889519 (
+	.A(n_19630),
+	.B(n_19645),
+	.Y(n_19467), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g889520 (
+	.A(n_19646),
+	.B(n_19629),
+	.Y(n_33381), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g889521 (
+	.A(n_19646),
+	.B(n_19627),
+	.X(n_19889), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g889522 (
+	.A(n_19599),
+	.B(n_19620),
+	.X(n_19888), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g889523 (
+	.A(n_19646),
+	.B(n_19625),
+	.X(n_19887), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_4 g889524 (
+	.A(n_19622),
+	.B(n_19654),
+	.Y(n_19466), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g889525 (
+	.A(n_19653),
+	.B(n_19621),
+	.Y(n_33389), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g889526 (
+	.A(n_19656),
+	.B(n_19620),
+	.X(n_19886), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g889527 (
+	.A(n_19624),
+	.B(n_19657),
+	.X(n_33375), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g889528 (
+	.A(n_19656),
+	.B(n_19634),
+	.X(n_19884), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2b_4 g889529 (
+	.A_N(n_19654),
+	.B(n_19632),
+	.X(n_19883), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g889530 (
+	.A(n_19656),
+	.B(n_19627),
+	.X(n_19882), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g889531 (
+	.A(n_19656),
+	.B(n_19632),
+	.X(n_19881), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2b_4 g889532 (
+	.A_N(n_19654),
+	.B(n_19627),
+	.X(n_19880), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g889533 (
+	.A(n_19647),
+	.B(n_19634),
+	.X(n_19879), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g889534 (
+	.A(n_19647),
+	.B(n_19629),
+	.X(n_19878), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g889535 (
+	.A(n_19601),
+	.B(n_19620),
+	.X(n_19877), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g889536 (
+	.A(n_19647),
+	.B(n_19627),
+	.X(n_19876), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g889537 (
+	.A(n_19653),
+	.B(n_19625),
+	.X(n_19875), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g889538 (
+	.A(n_19647),
+	.B(n_19625),
+	.X(n_19874), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g889539 (
+	.A(n_19600),
+	.B(n_19620),
+	.X(n_19873), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g889540 (
+	.A(n_19599),
+	.B(n_19627),
+	.X(n_19872), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g889541 (
+	.A(n_19655),
+	.B(n_19620),
+	.X(n_19871), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g889542 (
+	.A(n_19653),
+	.B(n_19620),
+	.X(n_19870), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g889543 (
+	.A(n_19655),
+	.B(n_19629),
+	.X(n_19869), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g889544 (
+	.A(n_19601),
+	.B(n_19627),
+	.X(n_19868), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g889545 (
+	.A(n_19655),
+	.B(n_19627),
+	.X(n_19867), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g889546 (
+	.A(n_19655),
+	.B(n_19623),
+	.X(n_19866), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g889547 (
+	.A(n_19655),
+	.B(n_19625),
+	.X(n_19865), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g889548 (
+	.A(n_19655),
+	.B(n_19634),
+	.X(n_19864), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g889549 (
+	.A(n_19641),
+	.B(n_19620),
+	.X(n_19863), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_4 g889550 (
+	.A(n_19633),
+	.B(n_19651),
+	.Y(n_19464), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g889551 (
+	.A(n_19652),
+	.B(n_19634),
+	.Y(n_33386), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g889552 (
+	.A(n_19600),
+	.B(n_19634),
+	.X(n_19862), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g889553 (
+	.A(n_19630),
+	.B(n_19651),
+	.Y(n_19462), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g889555 (
+	.A(n_19655),
+	.B(n_19632),
+	.X(n_19861), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g889556 (
+	.A(n_19655),
+	.B(n_19621),
+	.X(n_19860), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g889557 (
+	.A(n_19600),
+	.B(n_19625),
+	.X(n_19859), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g889558 (
+	.A(n_19622),
+	.B(n_19651),
+	.Y(n_19460), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g889559 (
+	.A(n_19622),
+	.B(n_19657),
+	.X(n_33369), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g889560 (
+	.A(n_19648),
+	.B(n_19620),
+	.X(n_13772), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2b_4 g889561 (
+	.A_N(n_19595),
+	.B(n_19634),
+	.X(n_19856), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g889563 (
+	.A(n_19600),
+	.B(n_19632),
+	.X(n_19855), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g889564 (
+	.A(n_19648),
+	.B(n_19629),
+	.X(n_19854), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g889565 (
+	.A(n_19601),
+	.B(n_19625),
+	.X(n_19853), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_4 g889566 (
+	.A(n_19624),
+	.B(n_19595),
+	.Y(n_19458), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g889567 (
+	.A(n_19594),
+	.B(n_19623),
+	.Y(n_33415), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g889568 (
+	.A(n_19641),
+	.B(n_19629),
+	.X(n_19852), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g889569 (
+	.A(n_19599),
+	.B(n_19625),
+	.X(n_19851), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g889570 (
+	.A(n_19648),
+	.B(n_19621),
+	.X(n_13474), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g889571 (
+	.A(n_19652),
+	.B(n_19625),
+	.X(n_13794), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_4 g889572 (
+	.A(n_19631),
+	.B(n_19651),
+	.Y(n_19848), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_4 g889573 (
+	.A(n_19630),
+	.B(n_19595),
+	.Y(n_19457), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g889574 (
+	.A(n_19594),
+	.B(n_19629),
+	.Y(n_33413), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g889575 (
+	.A(n_19432),
+	.B(n_19628),
+	.X(n_33479), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g889576 (
+	.A(n_19624),
+	.B(n_19593),
+	.X(n_33417), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g889577 (
+	.A(n_19592),
+	.B(n_19634),
+	.X(n_19845), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g889578 (
+	.A(n_19649),
+	.B(n_19628),
+	.X(n_33476), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g889579 (
+	.A(n_19438),
+	.B(n_19628),
+	.X(n_33482), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g889580 (
+	.A(n_19436),
+	.B(n_19628),
+	.X(n_33475), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_4 g889581 (
+	.A(n_19628),
+	.B(n_19440),
+	.Y(n_19841), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g889582 (
+	.A(n_19430),
+	.B(n_19628),
+	.X(n_33471), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_4 g889583 (
+	.A(n_19642),
+	.B(n_19628),
+	.Y(n_19839), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g889584 (
+	.A(n_19592),
+	.B(n_19627),
+	.X(n_19838), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_4 g889585 (
+	.A(n_19631),
+	.B(n_19583),
+	.Y(n_19456), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g889586 (
+	.A(n_19582),
+	.B(n_19632),
+	.Y(n_33425), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g889587 (
+	.A(n_19432),
+	.B(n_19579),
+	.X(n_33463), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g889588 (
+	.A(n_19424),
+	.B(n_19579),
+	.X(n_33473), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g889589 (
+	.A(n_19582),
+	.B(n_19625),
+	.X(n_13845), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_4 g889590 (
+	.A(n_19628),
+	.B(n_19433),
+	.Y(n_19834), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g889591 (
+	.A(n_19592),
+	.B(n_19632),
+	.X(n_19833), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_4 g889592 (
+	.A(n_19628),
+	.B(n_19434),
+	.Y(n_19832), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g889593 (
+	.A(n_19659),
+	.B(n_19628),
+	.X(n_33460), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g889594 (
+	.A(n_19648),
+	.B(n_19634),
+	.X(n_19830), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g889596 (
+	.A(n_19622),
+	.B(n_19593),
+	.X(n_33427), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g889597 (
+	.A(n_19601),
+	.B(n_19623),
+	.X(n_19828), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g889598 (
+	.A(n_19647),
+	.B(n_19620),
+	.X(n_19827), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g889599 (
+	.A(n_19648),
+	.B(n_19627),
+	.X(n_19826), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_2 g889600 (
+	.A(n_19432),
+	.B(n_19423),
+	.Y(n_19825), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 g889601 (
+	.A(n_19422),
+	.B(n_19650),
+	.X(n_19824), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g889602 (
+	.A(n_19658),
+	.B(n_19423),
+	.X(n_33536), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g889603 (
+	.A(n_19662),
+	.B(n_19619),
+	.X(n_33527), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g889604 (
+	.A(n_19661),
+	.B(n_19662),
+	.X(n_33528), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g889605 (
+	.A(n_19641),
+	.B(n_19634),
+	.X(n_19820), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g889606 (
+	.A(n_19626),
+	.B(n_19657),
+	.X(n_33371), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_4 g889607 (
+	.A(n_19438),
+	.B(n_19428),
+	.Y(n_19818), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g889608 (
+	.A(n_19648),
+	.B(n_19625),
+	.X(n_19817), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g889609 (
+	.A(n_19433),
+	.B(n_19428),
+	.X(n_33498), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g889610 (
+	.A(n_19434),
+	.B(n_19428),
+	.X(n_33505), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 g889611 (
+	.A(n_19422),
+	.B(n_19643),
+	.X(n_19814), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g889612 (
+	.A(n_19431),
+	.B(n_19423),
+	.X(n_33548), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g889613 (
+	.A(n_19644),
+	.B(n_19634),
+	.X(n_19812), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g889614 (
+	.A(n_19429),
+	.B(n_19423),
+	.X(n_33550), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g889615 (
+	.A(n_19648),
+	.B(n_19632),
+	.X(n_19810), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g889616 (
+	.A(n_19635),
+	.B(n_19660),
+	.X(n_19809), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g889617 (
+	.A(n_19644),
+	.B(n_19629),
+	.X(n_19808), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2b_4 g889618 (
+	.A_N(n_19595),
+	.B(n_19620),
+	.X(n_19807), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g889619 (
+	.A(n_19635),
+	.B(n_19439),
+	.X(n_19806), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g889620 (
+	.A(n_19638),
+	.B(n_19437),
+	.X(n_19805), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_4 g889621 (
+	.A(n_19435),
+	.B(n_19637),
+	.Y(n_19804), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g889622 (
+	.A(n_19592),
+	.B(n_19620),
+	.X(n_19803), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g889623 (
+	.A(n_19638),
+	.B(n_19660),
+	.X(n_19802), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_8 g889624 (
+	.A(n_19429),
+	.B(n_19637),
+	.Y(n_19801), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g889625 (
+	.A(n_19630),
+	.B(n_19657),
+	.X(n_33373), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g889627 (
+	.A(n_19644),
+	.B(n_19621),
+	.X(n_19799), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g889628 (
+	.A(n_19649),
+	.B(n_42911),
+	.X(n_33490), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g889629 (
+	.A(n_19430),
+	.B(n_42911),
+	.X(n_33489), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g889630 (
+	.A(n_19582),
+	.B(n_19627),
+	.X(n_19796), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_4 g889631 (
+	.A(n_19436),
+	.B(n_19421),
+	.Y(n_19795), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g889632 (
+	.A(n_19646),
+	.B(n_19620),
+	.X(n_19794), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_4 g889633 (
+	.A(n_19424),
+	.B(n_19421),
+	.Y(n_19793), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_4 g889634 (
+	.A(n_19430),
+	.B(n_19421),
+	.Y(n_19792), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_2 g889635 (
+	.A(n_19659),
+	.B(n_19421),
+	.Y(n_13907), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g889636 (
+	.A(n_19596),
+	.B(n_19634),
+	.X(n_19790), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_4 g889637 (
+	.A(n_19424),
+	.B(n_19628),
+	.Y(n_19789), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 g889638 (
+	.A(n_19639),
+	.B(n_19650),
+	.X(n_19788), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g889639 (
+	.A(n_19435),
+	.B(n_19640),
+	.X(n_33559), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g889640 (
+	.A(n_19782),
+	.Y(n_19783), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g889641 (
+	.A(n_19772),
+	.Y(n_33456), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g889642 (
+	.A(n_13626),
+	.Y(n_33462), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g889643 (
+	.A(n_19770),
+	.Y(n_33461), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g889644 (
+	.A(n_19769),
+	.Y(n_33346), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g889645 (
+	.A(n_33480),
+	.Y(n_19768), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g889646 (
+	.A(n_33484),
+	.Y(n_13631), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g889647 (
+	.A(n_19766),
+	.Y(n_33444), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g889648 (
+	.A(n_13639),
+	.Y(n_33487), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g889649 (
+	.A(n_33488),
+	.Y(n_13648), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g889650 (
+	.A(n_19763),
+	.Y(n_33478), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g889651 (
+	.A(n_13928),
+	.Y(n_33477), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g889652 (
+	.A(n_19761),
+	.Y(n_33561), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_2 g889653 (
+	.A(n_33533),
+	.Y(n_19760), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g889654 (
+	.A(n_19759),
+	.Y(n_33431), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g889655 (
+	.A(n_19758),
+	.Y(n_33437), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g889656 (
+	.A(n_19757),
+	.Y(n_33497), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g889657 (
+	.A(n_19756),
+	.Y(n_33345), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g889658 (
+	.A(n_19755),
+	.Y(n_33504), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g889659 (
+	.A(n_19754),
+	.Y(n_33501), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g889660 (
+	.A(n_33502),
+	.Y(n_19753), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_2 g889661 (
+	.A(n_33540),
+	.Y(n_19752), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g889662 (
+	.A(n_19751),
+	.Y(n_33541), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g889708 (
+	.A(n_19750),
+	.Y(n_33544), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g889709 (
+	.A(n_13924),
+	.Y(n_33466), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g889710 (
+	.A(n_33547),
+	.Y(n_19748), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g889711 (
+	.A(n_19747),
+	.Y(n_33513), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g889712 (
+	.A(n_19746),
+	.Y(n_33512), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g889713 (
+	.A(n_19745),
+	.Y(n_33516), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g889714 (
+	.A(n_19744),
+	.Y(n_33520), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g889715 (
+	.A(n_19743),
+	.Y(n_33436), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g889716 (
+	.A(n_19742),
+	.Y(n_33525), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g889717 (
+	.A(n_19741),
+	.Y(n_33517), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g889718 (
+	.A(n_19740),
+	.Y(n_33438), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g889719 (
+	.A(n_19739),
+	.Y(n_33523), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g889720 (
+	.A(n_33351),
+	.Y(n_19738), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g889721 (
+	.A(n_19737),
+	.Y(n_33352), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g889722 (
+	.A(n_19736),
+	.Y(n_33508), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g889723 (
+	.A(n_19735),
+	.Y(n_33521), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g889724 (
+	.A(n_19734),
+	.Y(n_33439), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g889725 (
+	.A(n_19733),
+	.Y(n_33514), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g889726 (
+	.A(n_19732),
+	.Y(n_33506), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g889727 (
+	.A(n_13584),
+	.Y(n_33469), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g889728 (
+	.A(n_19401),
+	.Y(n_33507), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g889729 (
+	.A(n_19400),
+	.Y(n_33515), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g889771 (
+	.A(n_19730),
+	.Y(n_33450), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g889772 (
+	.A(n_19729),
+	.Y(n_33500), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g889773 (
+	.A(n_13582),
+	.Y(n_33499), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g889774 (
+	.A(n_13592),
+	.Y(n_33483), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g889775 (
+	.A(n_19726),
+	.Y(n_33486), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g889776 (
+	.A(n_13609),
+	.Y(n_33493), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g889777 (
+	.A(n_13927),
+	.Y(n_33551), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g889778 (
+	.A(n_19723),
+	.Y(n_33492), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g889779 (
+	.A(n_33552),
+	.Y(n_19722), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g889823 (
+	.A(n_19721),
+	.Y(n_33452), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g889824 (
+	.A(n_33554),
+	.Y(n_13629), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g889825 (
+	.A(n_19719),
+	.Y(n_33534), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g889826 (
+	.A(n_33562),
+	.Y(n_19718), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g889827 (
+	.A(n_13914),
+	.Y(n_33542), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g889828 (
+	.A(n_19716),
+	.Y(n_33339), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g889829 (
+	.A(n_13941),
+	.Y(n_33539), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g889830 (
+	.A(n_19714),
+	.Y(n_33558), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g889831 (
+	.A(n_33566),
+	.Y(n_19713), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g889832 (
+	.A(n_19712),
+	.Y(n_33565), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g889833 (
+	.A(n_19711),
+	.Y(n_33408), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g889880 (
+	.A(n_35836),
+	.Y(n_19710), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g889881 (
+	.A(n_19709),
+	.Y(n_33412), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g889920 (
+	.A(n_19451),
+	.Y(n_33446), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_2 g889926 (
+	.A(n_35838),
+	.Y(n_19708), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g889927 (
+	.A(n_19707),
+	.Y(n_35841), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g889928 (
+	.A(n_13909),
+	.Y(n_33537), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g889929 (
+	.A(n_33564),
+	.Y(n_19705), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g889930 (
+	.A(n_13619),
+	.Y(n_33546), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g889931 (
+	.A(n_19703),
+	.Y(n_33424), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g889932 (
+	.A(n_19702),
+	.Y(n_33555), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g889933 (
+	.A(n_33556),
+	.Y(n_19701), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g889934 (
+	.A(n_33560),
+	.Y(n_19700), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g889935 (
+	.A(n_19699),
+	.Y(n_33557), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_2 g889936 (
+	.A(n_33491),
+	.Y(n_13918), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g889937 (
+	.A(n_19697),
+	.Y(n_33432), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g889938 (
+	.A(n_19696),
+	.Y(n_33510), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g889939 (
+	.A(n_13798),
+	.Y(n_33441), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g889940 (
+	.A(n_19694),
+	.Y(n_35840), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g889941 (
+	.A(n_19395),
+	.Y(n_33511), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g889942 (
+	.A(n_19403),
+	.Y(n_33522), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g889943 (
+	.A(n_19693),
+	.Y(n_33524), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g889944 (
+	.A(n_19402),
+	.Y(n_33529), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g889945 (
+	.A(n_19692),
+	.Y(n_33421), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g889946 (
+	.A(n_33495),
+	.Y(n_19691), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g889947 (
+	.A(n_19690),
+	.Y(n_33343), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g889948 (
+	.A(n_19689),
+	.Y(n_33445), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g889994 (
+	.A(n_33526),
+	.Y(n_19688), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g889995 (
+	.A(n_19687),
+	.Y(n_33340), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g889996 (
+	.A(n_33481),
+	.Y(n_19686), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g889997 (
+	.A(n_33485),
+	.Y(n_13627), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g889998 (
+	.A(n_19684),
+	.Y(n_33459), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g889999 (
+	.A(n_13604),
+	.Y(n_33470), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g890000 (
+	.A(n_19682),
+	.Y(n_35890), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g890001 (
+	.A(n_19681),
+	.Y(n_35889), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_2 g890002 (
+	.A(n_33420),
+	.Y(n_19680), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g890003 (
+	.A(n_19679),
+	.Y(n_33400), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g890049 (
+	.A(n_19678),
+	.Y(n_33458), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g890086 (
+	.A(n_13869),
+	.Y(n_33387), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g890093 (
+	.A(n_19676),
+	.Y(n_35887), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g890137 (
+	.A(n_19675),
+	.Y(n_33336), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g890138 (
+	.A(n_19674),
+	.Y(n_33335), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g890139 (
+	.A(n_13902),
+	.Y(n_33465), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g890140 (
+	.A(n_19672),
+	.Y(n_33398), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g890141 (
+	.A(n_19671),
+	.Y(n_33349), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g890142 (
+	.A(n_19670),
+	.Y(n_33362), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g890143 (
+	.A(n_19669),
+	.Y(n_33361), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g890220 (
+	.A(n_13922),
+	.Y(n_33472), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g890266 (
+	.A(n_19668),
+	.Y(n_35892), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g890267 (
+	.A(n_19667),
+	.Y(n_35839), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g890268 (
+	.A(n_19666),
+	.Y(n_35837), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g890269 (
+	.A1(n_19541),
+	.A2(brqrv_top_brqrv_exu_i0_br_index_r[2]),
+	.B1(n_19558),
+	.C1(n_19561),
+	.Y(n_19665), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g890270 (
+	.A1(n_19542),
+	.A2(brqrv_top_brqrv_exu_i0_br_index_r[3]),
+	.B1(n_19544),
+	.B2(brqrv_top_brqrv_exu_i0_br_index_r[6]),
+	.C1(n_19559),
+	.Y(n_19664), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g890271 (
+	.A1(brqrv_top_brqrv_exu_i0_br_index_r[3]),
+	.A2(n_19537),
+	.B1(n_19562),
+	.C1(n_19573),
+	.Y(n_19663), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g890272 (
+	.A_N(n_19612),
+	.B(n_19611),
+	.Y(n_19786), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g890273 (
+	.A_N(n_19611),
+	.B(n_19612),
+	.Y(n_19785), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g890274 (
+	.A(n_19611),
+	.B(n_19612),
+	.X(n_19784), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g890275 (
+	.A(n_19555),
+	.B(n_19411),
+	.C(n_19534),
+	.Y(n_19782), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g890276 (
+	.A(n_19586),
+	.B(n_19602),
+	.Y(n_19781), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g890277 (
+	.A(n_19603),
+	.B(n_19605),
+	.Y(n_19780), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g890278 (
+	.A(n_19604),
+	.B(n_19589),
+	.Y(n_19779), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g890279 (
+	.A(n_19616),
+	.B(n_19614),
+	.Y(n_19778), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g890280 (
+	.A(n_19616),
+	.B(n_19613),
+	.Y(n_19777), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g890281 (
+	.A(n_19607),
+	.B(n_19610),
+	.X(n_19776), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g890282 (
+	.A_N(n_19610),
+	.B(n_19607),
+	.Y(n_19775), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g890283 (
+	.A(n_19615),
+	.B(n_19613),
+	.Y(n_19774), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g890284 (
+	.A(n_19615),
+	.B(n_19614),
+	.Y(n_19773), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_4 g890285 (
+	.A(n_19628),
+	.B(n_19658),
+	.Y(n_19772), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_4 g890286 (
+	.A(n_19579),
+	.B(n_19438),
+	.Y(n_13626), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_2 g890287 (
+	.A(n_19628),
+	.B(n_19431),
+	.Y(n_19770), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g890288 (
+	.A(n_19641),
+	.B(n_19627),
+	.X(n_19769), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g890289 (
+	.A(n_19659),
+	.B(n_19579),
+	.X(n_33480), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g890290 (
+	.A(n_19579),
+	.B(n_19435),
+	.X(n_33484), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g890291 (
+	.A(n_19596),
+	.B(n_19625),
+	.X(n_19766), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_4 g890292 (
+	.A(n_19579),
+	.B(n_19658),
+	.Y(n_13639), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g890293 (
+	.A(n_19579),
+	.B(n_19434),
+	.X(n_33488), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_2 g890294 (
+	.A(n_19579),
+	.B(n_19431),
+	.Y(n_19763), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_4 g890295 (
+	.A(n_19426),
+	.B(n_19579),
+	.Y(n_13928), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_8 g890296 (
+	.A(n_19432),
+	.B(n_19640),
+	.Y(n_19761), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g890297 (
+	.A(n_19433),
+	.B(n_19423),
+	.X(n_33533), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g890298 (
+	.A(n_19644),
+	.B(n_19620),
+	.X(n_19759), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2b_4 g890299 (
+	.A_N(n_19583),
+	.B(n_19620),
+	.X(n_19758), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_4 g890300 (
+	.A(n_19432),
+	.B(n_19428),
+	.Y(n_19757), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g890301 (
+	.A(n_19641),
+	.B(n_19625),
+	.X(n_19756), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_4 g890302 (
+	.A(n_19436),
+	.B(n_19428),
+	.Y(n_19755), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_4 g890303 (
+	.A(n_19649),
+	.B(n_19428),
+	.Y(n_19754), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g890304 (
+	.A(n_19658),
+	.B(n_19428),
+	.X(n_33502), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g890305 (
+	.A(n_19434),
+	.B(n_19423),
+	.X(n_33540), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_4 g890306 (
+	.A(n_19430),
+	.B(n_19423),
+	.Y(n_19751), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_4 g890307 (
+	.A(n_19622),
+	.B(n_19597),
+	.Y(n_19455), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g890308 (
+	.A(n_19596),
+	.B(n_19621),
+	.Y(n_33440), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_4 g890309 (
+	.A(n_19424),
+	.B(n_19423),
+	.Y(n_19750), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_4 g890310 (
+	.A(n_19579),
+	.B(n_19436),
+	.Y(n_13924), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g890311 (
+	.A(n_19426),
+	.B(n_19423),
+	.X(n_33547), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_8 g890312 (
+	.A(n_19424),
+	.B(n_19428),
+	.Y(n_19747), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 g890313 (
+	.A(n_19635),
+	.B(n_19643),
+	.X(n_19746), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g890314 (
+	.A(n_19635),
+	.B(n_19425),
+	.X(n_19745), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_8 g890315 (
+	.A(n_19429),
+	.B(n_19428),
+	.Y(n_19744), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2b_4 g890316 (
+	.A_N(n_19583),
+	.B(n_19623),
+	.X(n_19743), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_8 g890317 (
+	.A(n_19433),
+	.B(n_19637),
+	.Y(n_19742), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_8 g890318 (
+	.A(n_19431),
+	.B(n_19428),
+	.Y(n_19741), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g890319 (
+	.A(n_19644),
+	.B(n_19627),
+	.X(n_19740), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_4 g890320 (
+	.A(n_19658),
+	.B(n_19637),
+	.Y(n_19739), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g890321 (
+	.A(n_19622),
+	.B(n_19598),
+	.X(n_33351), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g890322 (
+	.A(n_19599),
+	.B(n_19632),
+	.X(n_19737), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g890323 (
+	.A(n_19638),
+	.B(n_19425),
+	.X(n_19736), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_4 g890324 (
+	.A(n_19434),
+	.B(n_19637),
+	.Y(n_19735), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g890325 (
+	.A(n_19644),
+	.B(n_19625),
+	.X(n_19734), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 g890326 (
+	.A(n_19638),
+	.B(n_19643),
+	.X(n_19733), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g890327 (
+	.A(n_19638),
+	.B(n_19439),
+	.X(n_19732), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_4 g890328 (
+	.A(n_19579),
+	.B(n_19440),
+	.Y(n_13584), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_4 g890331 (
+	.A(n_19630),
+	.B(n_19583),
+	.Y(n_19454), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g890332 (
+	.A(n_19582),
+	.B(n_19629),
+	.Y(n_33430), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g890333 (
+	.A(n_19599),
+	.B(n_19634),
+	.X(n_19730), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_4 g890334 (
+	.A(n_19426),
+	.B(n_42911),
+	.Y(n_19729), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_4 g890335 (
+	.A(n_19431),
+	.B(n_42911),
+	.Y(n_13582), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_4 g890336 (
+	.A(n_19424),
+	.B(n_42911),
+	.Y(n_13592), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_4 g890337 (
+	.A(n_19642),
+	.B(n_42911),
+	.Y(n_19726), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_4 g890338 (
+	.A(n_19432),
+	.B(n_42911),
+	.Y(n_13609), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_4 g890339 (
+	.A(n_19440),
+	.B(n_19421),
+	.Y(n_13927), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_2 g890340 (
+	.A(n_19441),
+	.B(n_19619),
+	.Y(n_19723), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g890341 (
+	.A(n_19434),
+	.B(n_19421),
+	.X(n_33552), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_4 g890342 (
+	.A(n_19631),
+	.B(n_19597),
+	.Y(n_19453), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g890343 (
+	.A(n_19596),
+	.B(n_19632),
+	.Y(n_33443), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g890344 (
+	.A(n_19596),
+	.B(n_19623),
+	.X(n_19721), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g890345 (
+	.A(n_19658),
+	.B(n_19421),
+	.X(n_33554), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_4 g890346 (
+	.A(n_19438),
+	.B(n_19421),
+	.Y(n_19719), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g890347 (
+	.A(n_19433),
+	.B(n_19640),
+	.X(n_33562), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_4 g890348 (
+	.A(n_19426),
+	.B(n_19421),
+	.Y(n_13914), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g890349 (
+	.A(n_19601),
+	.B(n_19629),
+	.X(n_19716), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_4 g890350 (
+	.A(n_19431),
+	.B(n_19421),
+	.Y(n_13941), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g890351 (
+	.A(n_19639),
+	.B(n_19437),
+	.X(n_19714), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g890352 (
+	.A(n_19434),
+	.B(n_19640),
+	.X(n_33566), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_8 g890353 (
+	.A(n_19436),
+	.B(n_19640),
+	.Y(n_19712), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g890354 (
+	.A(n_19594),
+	.B(n_19632),
+	.X(n_19711), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_4 g890355 (
+	.A(n_19633),
+	.B(n_19583),
+	.Y(n_19452), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g890356 (
+	.A(n_19582),
+	.B(n_19634),
+	.Y(n_33433), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g890357 (
+	.A(n_19429),
+	.B(n_19640),
+	.X(n_35836), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g890358 (
+	.A(n_19594),
+	.B(n_19627),
+	.X(n_19709), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_2 g890359 (
+	.A(n_19630),
+	.B(n_19597),
+	.Y(n_19451), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g890360 (
+	.A(n_19426),
+	.B(n_19640),
+	.X(n_35838), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_8 g890361 (
+	.A(n_19430),
+	.B(n_19640),
+	.Y(n_19707), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_4 g890362 (
+	.A(n_19429),
+	.B(n_19421),
+	.Y(n_13909), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g890363 (
+	.A(n_19658),
+	.B(n_19640),
+	.X(n_33564), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_4 g890364 (
+	.A(n_19642),
+	.B(n_19421),
+	.Y(n_13619), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g890365 (
+	.A(n_19592),
+	.B(n_19625),
+	.X(n_19703), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_4 g890366 (
+	.A(n_19649),
+	.B(n_19421),
+	.Y(n_19702), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g890367 (
+	.A(n_19433),
+	.B(n_19421),
+	.X(n_33556), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g890368 (
+	.A(n_19435),
+	.B(n_19421),
+	.X(n_33560), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_2 g890369 (
+	.A(n_19432),
+	.B(n_19421),
+	.Y(n_19699), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g890370 (
+	.A(n_19661),
+	.B(n_19441),
+	.X(n_33491), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g890371 (
+	.A(n_19644),
+	.B(n_19623),
+	.X(n_19697), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_4 g890372 (
+	.A(n_19430),
+	.B(n_19428),
+	.Y(n_19696), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g890373 (
+	.A(n_19644),
+	.B(n_19632),
+	.X(n_13798), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 g890374 (
+	.A(n_19639),
+	.B(n_19643),
+	.X(n_19694), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 g890377 (
+	.A(n_19638),
+	.B(n_19650),
+	.X(n_19693), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g890379 (
+	.A(n_19596),
+	.B(n_19620),
+	.X(n_19692), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g890380 (
+	.A(n_19435),
+	.B(n_19428),
+	.X(n_33495), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g890381 (
+	.A(n_19641),
+	.B(n_19621),
+	.X(n_19690), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g890382 (
+	.A(n_19596),
+	.B(n_19627),
+	.X(n_19689), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_4 g890383 (
+	.A(n_19622),
+	.B(n_19583),
+	.Y(n_19449), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g890384 (
+	.A(n_19582),
+	.B(n_19621),
+	.Y(n_33422), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g890385 (
+	.A(n_19435),
+	.B(n_19423),
+	.X(n_33526), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g890386 (
+	.A(n_19601),
+	.B(n_19634),
+	.X(n_19687), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g890387 (
+	.A(n_19579),
+	.B(n_19429),
+	.X(n_33481), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g890388 (
+	.A(n_19579),
+	.B(n_19433),
+	.X(n_33485), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_4 g890389 (
+	.A(n_19628),
+	.B(n_19429),
+	.Y(n_19684), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_4 g890390 (
+	.A(n_19430),
+	.B(n_19579),
+	.Y(n_13604), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g890391 (
+	.A(n_19600),
+	.B(n_19629),
+	.X(n_19682), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g890392 (
+	.A(n_19600),
+	.B(n_19627),
+	.X(n_19681), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g890393 (
+	.A(n_19630),
+	.B(n_19593),
+	.X(n_33420), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g890394 (
+	.A(n_19648),
+	.B(n_19623),
+	.X(n_19679), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_4 g890395 (
+	.A(n_19626),
+	.B(n_19595),
+	.Y(n_19448), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g890396 (
+	.A(n_19594),
+	.B(n_19625),
+	.Y(n_33411), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_4 g890397 (
+	.A(n_19628),
+	.B(n_19435),
+	.Y(n_19678), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g890398 (
+	.A(n_19624),
+	.B(n_19651),
+	.Y(n_13869), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g890399 (
+	.A(n_19600),
+	.B(n_19621),
+	.X(n_19676), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_4 g890400 (
+	.A(n_19622),
+	.B(n_19595),
+	.Y(n_19446), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g890401 (
+	.A(n_19594),
+	.B(n_19621),
+	.Y(n_33405), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g890402 (
+	.A(n_19601),
+	.B(n_19632),
+	.X(n_19675), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g890403 (
+	.A(n_19601),
+	.B(n_19621),
+	.X(n_19674), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_4 g890404 (
+	.A(n_19649),
+	.B(n_19579),
+	.Y(n_13902), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g890405 (
+	.A(n_19653),
+	.B(n_19634),
+	.X(n_19672), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g890406 (
+	.A(n_19641),
+	.B(n_19623),
+	.X(n_19671), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g890407 (
+	.A(n_19647),
+	.B(n_19632),
+	.X(n_19670), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g890408 (
+	.A(n_19647),
+	.B(n_19621),
+	.X(n_19669), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_4 g890409 (
+	.A(n_19624),
+	.B(n_19654),
+	.Y(n_19445), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g890410 (
+	.A(n_19653),
+	.B(n_19623),
+	.Y(n_33401), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g890411 (
+	.A(n_19642),
+	.B(n_19579),
+	.Y(n_13922), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_4 g890412 (
+	.A(n_19630),
+	.B(n_19654),
+	.Y(n_19442), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g890413 (
+	.A(n_19653),
+	.B(n_19629),
+	.Y(n_33397), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g890414 (
+	.A(n_19600),
+	.B(n_19623),
+	.X(n_19668), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_8 g890415 (
+	.A(n_19424),
+	.B(n_19640),
+	.Y(n_19667), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_8 g890416 (
+	.A(n_19431),
+	.B(n_19640),
+	.Y(n_19666), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g890420 (
+	.A(n_19440),
+	.Y(n_19660), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g890423 (
+	.A(n_19659),
+	.Y(n_19439), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g890428 (
+	.A(n_19438),
+	.Y(n_19437), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g890448 (
+	.A(n_19657),
+	.Y(n_19656), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g890449 (
+	.A(n_19654),
+	.Y(n_19653), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g890450 (
+	.A(n_19652),
+	.Y(n_19651), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_2 g890457 (
+	.A(n_19650),
+	.Y(n_19649), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g890474 (
+	.A(n_19646),
+	.Y(n_19645), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g890481 (
+	.A(n_19643),
+	.Y(n_19642), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g890482 (
+	.A(n_19640),
+	.Y(n_19639), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_6 g890483 (
+	.A(n_19638),
+	.Y(n_19637), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g890497 (
+	.A(n_19634),
+	.Y(n_19633), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g890498 (
+	.A(n_19632),
+	.Y(n_19631), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g890499 (
+	.A(n_19630),
+	.Y(n_19629), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g890513 (
+	.A(n_19626),
+	.Y(n_19625), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g890514 (
+	.A(n_19624),
+	.Y(n_19623), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g890515 (
+	.A(n_19622),
+	.Y(n_19621), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g890517 (
+	.A(n_19413),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_p1_f [5]),
+	.Y(n_19662), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g890518 (
+	.A(n_19412),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_p1_f [5]),
+	.Y(n_19441), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g890519 (
+	.A(n_19555),
+	.B(n_19547),
+	.Y(n_19661), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g890520 (
+	.A(n_19552),
+	.B(n_19411),
+	.Y(n_19440), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g890521 (
+	.A(n_19552),
+	.B(n_19547),
+	.Y(n_19659), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g890522 (
+	.A(n_19420),
+	.B(n_19411),
+	.Y(n_19438), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g890523 (
+	.A(n_19420),
+	.B(n_19547),
+	.Y(n_19436), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g890524 (
+	.A(n_19549),
+	.B(n_19419),
+	.Y(n_19658), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g890525 (
+	.A(n_19549),
+	.B(n_19411),
+	.Y(n_19435), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g890526 (
+	.A(n_19549),
+	.B(n_19547),
+	.Y(n_19434), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g890527 (
+	.A(n_19549),
+	.B(n_19550),
+	.Y(n_19433), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g890528 (
+	.A(n_19566),
+	.B(n_19417),
+	.Y(n_19657), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_0 g890529 (
+	.A(n_19566),
+	.B(n_19564),
+	.X(n_19655), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g890530 (
+	.A(n_19554),
+	.B(n_19564),
+	.Y(n_19654), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g890531 (
+	.A(n_19563),
+	.B(n_19416),
+	.X(n_19652), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g890532 (
+	.A(n_19420),
+	.B(n_19550),
+	.Y(n_19432), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_0 g890533 (
+	.A(n_19420),
+	.B(n_19419),
+	.X(n_19650), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g890534 (
+	.A(n_19414),
+	.B(n_19419),
+	.Y(n_19431), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_0 g890535 (
+	.A(n_19563),
+	.B(n_19554),
+	.X(n_19648), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g890536 (
+	.A(n_19414),
+	.B(n_19411),
+	.Y(n_19430), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_0 g890537 (
+	.A(n_19565),
+	.B(n_19564),
+	.X(n_19647), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g890538 (
+	.A(n_19565),
+	.B(n_19417),
+	.X(n_19646), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_0 g890539 (
+	.A(n_19554),
+	.B(n_19553),
+	.X(n_19644), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g890540 (
+	.A(n_19414),
+	.B(n_19547),
+	.Y(n_19429), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_0 g890541 (
+	.A(n_19552),
+	.B(n_19550),
+	.X(n_19643), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_0 g890542 (
+	.A(n_19566),
+	.B(n_19563),
+	.X(n_19641), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g890543 (
+	.A(n_19551),
+	.B(n_19533),
+	.Y(n_19640), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_0 g890544 (
+	.A(n_19412),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_p1_f [8]),
+	.X(n_19638), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_4 g890545 (
+	.A(n_19551),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_p1_f [8]),
+	.Y(n_19428), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g890546 (
+	.A(n_19551),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_p1_f [8]),
+	.X(n_19635), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g890547 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_f [4]),
+	.B(n_19537),
+	.C(n_43159),
+	.X(n_19634), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g890548 (
+	.A(n_19557),
+	.B(n_19540),
+	.X(n_19632), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g890549 (
+	.A(n_19568),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_f [4]),
+	.Y(n_19630), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g890550 (
+	.A(n_19415),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_p1_f [8]),
+	.Y(n_19628), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_0 g890551 (
+	.A(n_19556),
+	.B(n_19540),
+	.X(n_19627), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g890552 (
+	.A(n_19567),
+	.B(n_19540),
+	.Y(n_19626), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g890553 (
+	.A(n_19567),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_f [4]),
+	.Y(n_19624), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g890554 (
+	.A(n_19568),
+	.B(n_19540),
+	.Y(n_19622), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g890555 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_f [4]),
+	.B(n_43159),
+	.C(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_f [3]),
+	.X(n_19620), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g890556 (
+	.A(n_19617),
+	.Y(n_19618), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g890557 (
+	.A(n_19616),
+	.Y(n_19615), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g890558 (
+	.A(n_19613),
+	.Y(n_19614), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g890559 (
+	.A(n_19608),
+	.Y(n_19609), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g890560 (
+	.A(n_19606),
+	.Y(n_19607), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g890561 (
+	.A(n_19605),
+	.Y(n_19604), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g890562 (
+	.A(n_19603),
+	.Y(n_19602), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g890563 (
+	.A(n_19598),
+	.Y(n_19599), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g890564 (
+	.A(n_19597),
+	.Y(n_19596), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g890565 (
+	.A(n_19595),
+	.Y(n_19594), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g890566 (
+	.A(n_19593),
+	.Y(n_19592), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g890567 (
+	.A(n_42002),
+	.Y(n_19590), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g890568 (
+	.A(n_19589),
+	.Y(n_19588), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g890569 (
+	.A(n_19587),
+	.Y(n_19586), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g890570 (
+	.A(n_42003),
+	.Y(n_19584), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g890575 (
+	.A(n_19426),
+	.Y(n_19425), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g890577 (
+	.A(n_19583),
+	.Y(n_19582), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g890594 (
+	.A(n_19423),
+	.Y(n_19422), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g890596 (
+	.A1_N(brqrv_top_brqrv_exu_i0_br_index_r[7]),
+	.A2_N(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_p1_f [7]),
+	.B1(brqrv_top_brqrv_exu_i0_br_index_r[7]),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_p1_f [7]),
+	.Y(n_19578), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g890597 (
+	.A1_N(brqrv_top_brqrv_exu_i0_br_index_r[5]),
+	.A2_N(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_p1_f [5]),
+	.B1(brqrv_top_brqrv_exu_i0_br_index_r[5]),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_p1_f [5]),
+	.Y(n_19577), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g890598 (
+	.A1_N(brqrv_top_brqrv_exu_i0_br_index_r[8]),
+	.A2_N(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_p1_f [8]),
+	.B1(brqrv_top_brqrv_exu_i0_br_index_r[8]),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_p1_f [8]),
+	.Y(n_19576), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g890599 (
+	.A(brqrv_top_brqrv_exu_i0_br_index_r[6]),
+	.B(n_43157),
+	.Y(n_19575), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g890600 (
+	.A(brqrv_top_brqrv_exu_i0_br_index_r[4]),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_f [4]),
+	.Y(n_19574), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g890601 (
+	.A1_N(brqrv_top_brqrv_exu_i0_br_index_r[8]),
+	.A2_N(n_43158),
+	.B1(brqrv_top_brqrv_exu_i0_br_index_r[8]),
+	.B2(n_43158),
+	.Y(n_19573), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g890602 (
+	.A(brqrv_top_brqrv_exu_i0_br_index_r[7]),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_f [7]),
+	.X(n_19572), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g890603 (
+	.A(brqrv_top_brqrv_exu_i0_br_index_r[2]),
+	.B(n_43159),
+	.X(n_19571), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g890604 (
+	.A1(n_19538),
+	.A2(brqrv_top_brqrv_exu_i0_br_index_r[5]),
+	.B1(n_33300),
+	.Y(n_19570), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g890605 (
+	.A1(n_19536),
+	.A2(brqrv_top_brqrv_exu_i0_br_index_r[4]),
+	.B1(n_33300),
+	.Y(n_19569), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g890606 (
+	.A(n_19555),
+	.B(n_19411),
+	.Y(n_19619), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g890607 (
+	.A(brqrv_top_brqrv_ifu_ifu_bp_fghr_f[0]),
+	.B(n_43159),
+	.X(n_19617), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g890608 (
+	.A1_N(brqrv_top_brqrv_ifu_ifu_bp_fghr_f[2]),
+	.A2_N(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_p1_f [4]),
+	.B1(brqrv_top_brqrv_ifu_ifu_bp_fghr_f[2]),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_p1_f [4]),
+	.Y(n_19616), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g890609 (
+	.A1_N(brqrv_top_brqrv_ifu_ifu_bp_fghr_f[1]),
+	.A2_N(n_19542),
+	.B1(brqrv_top_brqrv_ifu_ifu_bp_fghr_f[1]),
+	.B2(n_19542),
+	.Y(n_19613), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g890610 (
+	.A1_N(brqrv_top_brqrv_ifu_ifu_bp_fghr_f[4]),
+	.A2_N(n_19544),
+	.B1(brqrv_top_brqrv_ifu_ifu_bp_fghr_f[4]),
+	.B2(n_19544),
+	.Y(n_19612), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g890611 (
+	.A(brqrv_top_brqrv_ifu_ifu_bp_fghr_f[5]),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_p1_f [7]),
+	.X(n_19611), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g890612 (
+	.A1_N(brqrv_top_brqrv_ifu_ifu_bp_fghr_f[3]),
+	.A2_N(n_19534),
+	.B1(brqrv_top_brqrv_ifu_ifu_bp_fghr_f[3]),
+	.B2(n_19534),
+	.Y(n_19610), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g890613 (
+	.A1_N(brqrv_top_brqrv_ifu_ifu_bp_fghr_f[1]),
+	.A2_N(n_19537),
+	.B1(brqrv_top_brqrv_ifu_ifu_bp_fghr_f[1]),
+	.B2(n_19537),
+	.Y(n_19608), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g890614 (
+	.A1_N(brqrv_top_brqrv_ifu_ifu_bp_fghr_f[0]),
+	.A2_N(n_19541),
+	.B1(brqrv_top_brqrv_ifu_ifu_bp_fghr_f[0]),
+	.B2(n_19541),
+	.Y(n_19606), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g890615 (
+	.A(brqrv_top_brqrv_ifu_ifu_bp_fghr_f[6]),
+	.B(n_43158),
+	.X(n_19605), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g890616 (
+	.A(brqrv_top_brqrv_ifu_ifu_bp_fghr_f[4]),
+	.B(n_43157),
+	.Y(n_19603), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_0 g890617 (
+	.A(n_19565),
+	.B(n_19553),
+	.X(n_19601), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_0 g890618 (
+	.A(n_19566),
+	.B(n_19553),
+	.X(n_19600), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g890619 (
+	.A(n_19563),
+	.B(n_19565),
+	.Y(n_19598), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g890620 (
+	.A(n_19416),
+	.B(n_19417),
+	.Y(n_19597), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g890621 (
+	.A(n_19564),
+	.B(n_19416),
+	.Y(n_19595), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g890622 (
+	.A(n_19553),
+	.B(n_19416),
+	.Y(n_19593), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g890624 (
+	.A(brqrv_top_brqrv_ifu_ifu_bp_fghr_f[5]),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_f [7]),
+	.X(n_19589), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g890625 (
+	.A1_N(brqrv_top_brqrv_ifu_ifu_bp_fghr_f[3]),
+	.A2_N(n_19538),
+	.B1(brqrv_top_brqrv_ifu_ifu_bp_fghr_f[3]),
+	.B2(n_19538),
+	.Y(n_19587), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g890627 (
+	.A(n_19552),
+	.B(n_19419),
+	.Y(n_19426), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g890628 (
+	.A(n_19554),
+	.B(n_19417),
+	.Y(n_19583), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g890629 (
+	.A(n_19414),
+	.B(n_19550),
+	.Y(n_19424), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g890632 (
+	.A(n_19413),
+	.B(n_19533),
+	.Y(n_19423), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g890633 (
+	.A(n_19415),
+	.B(n_19533),
+	.Y(n_19579), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g890638 (
+	.A(n_19537),
+	.B(brqrv_top_brqrv_exu_i0_br_index_r[3]),
+	.Y(n_19562), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g890639 (
+	.A(brqrv_top_brqrv_exu_i0_br_index_r[4]),
+	.B(n_19536),
+	.Y(n_19561), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g890641 (
+	.A(brqrv_top_brqrv_exu_i0_br_index_r[3]),
+	.B(n_19542),
+	.Y(n_19559), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g890642 (
+	.A(brqrv_top_brqrv_exu_i0_br_index_r[2]),
+	.B(n_19541),
+	.Y(n_19558), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g890643 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_f [3]),
+	.B(n_43159),
+	.Y(n_19568), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g890644 (
+	.A(n_43159),
+	.B(n_19537),
+	.Y(n_19567), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g890645 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_f [3]),
+	.B(n_19535),
+	.Y(n_19557), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g890646 (
+	.A(n_19537),
+	.B(n_19535),
+	.Y(n_19556), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g890648 (
+	.A(n_43158),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_f [5]),
+	.Y(n_19566), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g890649 (
+	.A(n_43158),
+	.B(n_19538),
+	.Y(n_19565), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_0 g890650 (
+	.A(n_19545),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_f [7]),
+	.X(n_19564), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g890652 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_f [7]),
+	.B(n_19545),
+	.Y(n_19563), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g890660 (
+	.A(n_19548),
+	.Y(n_19549), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g890669 (
+	.A(n_19541),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_p1_f [8]),
+	.Y(n_19555), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_0 g890670 (
+	.A(n_19538),
+	.B(n_43158),
+	.X(n_19554), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g890672 (
+	.A(n_43157),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_f [7]),
+	.Y(n_19553), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g890673 (
+	.A(n_19541),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_p1_f [5]),
+	.Y(n_19552), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g890674 (
+	.A(n_19541),
+	.B(n_19534),
+	.Y(n_19420), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_0 g890675 (
+	.A(n_19544),
+	.B(n_42004),
+	.X(n_19551), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_0 g890676 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_p1_f [4]),
+	.B(n_19542),
+	.X(n_19550), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g890677 (
+	.A(n_19542),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_p1_f [4]),
+	.Y(n_19419), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g890678 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_p1_f [5]),
+	.B(n_19541),
+	.Y(n_19548), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g890681 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_p1_f [3]),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_p1_f [4]),
+	.Y(n_19547), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g890683 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_fetch_rd_tag_p1_f [5]),
+	.Y(n_19546), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g890684 (
+	.A(n_43157),
+	.Y(n_19545), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g890685 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_p1_f [6]),
+	.Y(n_19544), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g890686 (
+	.A(n_42004),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_p1_f [7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g890687 (
+	.A(n_19542),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_p1_f [3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g890688 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_p1_f [2]),
+	.Y(n_19541), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g890689 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_f [4]),
+	.Y(n_19540), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g890690 (
+	.A1_N(n_42474),
+	.A2_N(n_19517),
+	.B1(n_42474),
+	.B2(n_19517),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_fetch_rd_tag_p1_f [0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g890691 (
+	.A1_N(n_36931),
+	.A2_N(n_19510),
+	.B1(n_36931),
+	.B2(n_19510),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_fetch_rd_tag_p1_f [5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g890692 (
+	.A1_N(n_36916),
+	.A2_N(n_19515),
+	.B1(n_36916),
+	.B2(n_19515),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_fetch_rd_tag_p1_f [1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g890693 (
+	.A(n_43160),
+	.B(n_19528),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_f [7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor3_1 g890697 (
+	.A(n_36920),
+	.B(n_42475),
+	.C(n_42473),
+	.X(n_19542), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor3_1 g890698 (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[2]),
+	.B(n_42474),
+	.C(n_36916),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_p1_f [2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2o_1 g890699 (
+	.A1_N(n_19479),
+	.A2_N(n_42005),
+	.B1(n_19479),
+	.B2(n_42005),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_f [4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g890701 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_f [5]),
+	.Y(n_19538), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g890702 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_f [3]),
+	.Y(n_19537), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g890703 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_p1_f [4]),
+	.Y(n_19536), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g890704 (
+	.A(n_43159),
+	.Y(n_19535), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g890705 (
+	.A(n_19534),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_p1_f [5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g890706 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_p1_f [8]),
+	.Y(n_19533), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g890707 (
+	.A1_N(n_42473),
+	.A2_N(n_19516),
+	.B1(n_42473),
+	.B2(n_19516),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_fetch_rd_tag_p1_f [2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g890708 (
+	.A1_N(n_36928),
+	.A2_N(n_19511),
+	.B1(n_36928),
+	.B2(n_19511),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_fetch_rd_tag_p1_f [4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g890709 (
+	.A(n_36925),
+	.B(n_19512),
+	.Y(n_19539), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g890710 (
+	.A(n_19525),
+	.B(n_19523),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_f [5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g890711 (
+	.A(n_43161),
+	.B(n_19521),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_f [3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g890712 (
+	.A(n_19527),
+	.B(n_19532),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_p1_f [4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor3_1 g890715 (
+	.A(n_43575),
+	.B(n_36925),
+	.C(n_36924),
+	.X(n_19534), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g890717 (
+	.A(n_19500),
+	.B(n_19496),
+	.C(n_43571),
+	.Y(n_19532), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g890718 (
+	.A(n_19494),
+	.B(n_19492),
+	.C(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[15]),
+	.Y(n_19531), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g890720 (
+	.A(n_19388),
+	.B(n_19493),
+	.C(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[13]),
+	.Y(n_19529), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g890721 (
+	.A(n_19503),
+	.B(n_19501),
+	.C(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[7]),
+	.Y(n_19528), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g890722 (
+	.A(n_19507),
+	.B(n_19483),
+	.Y(n_19527), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g890724 (
+	.A(n_19505),
+	.B(n_19485),
+	.Y(n_19525), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g890726 (
+	.A(n_19394),
+	.B(n_19393),
+	.C(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[5]),
+	.Y(n_19523), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g890728 (
+	.A(n_19391),
+	.B(n_19502),
+	.C(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[10]),
+	.Y(n_19521), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g890730 (
+	.A(n_19389),
+	.B(n_19495),
+	.C(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[2]),
+	.Y(n_19520), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g890733 (
+	.A1_N(n_43574),
+	.A2_N(n_36930),
+	.B1(n_43574),
+	.B2(n_36930),
+	.Y(n_19517), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g890734 (
+	.A1_N(n_43571),
+	.A2_N(n_36907),
+	.B1(n_43571),
+	.B2(n_36907),
+	.Y(n_19516), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g890736 (
+	.A(n_43576),
+	.B(n_36908),
+	.X(n_19512), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g890737 (
+	.A(n_42475),
+	.B(n_43572),
+	.Y(n_19515), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g890739 (
+	.A(n_19494),
+	.B(n_19492),
+	.Y(n_19509), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g890740 (
+	.A(n_36924),
+	.B(n_36909),
+	.Y(n_19511), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g890742 (
+	.A(n_36927),
+	.B(n_36910),
+	.Y(n_19510), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g890743 (
+	.A(n_19500),
+	.B(n_19496),
+	.Y(n_19507), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g890744 (
+	.A(n_19389),
+	.B(n_19495),
+	.Y(n_19506), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g890745 (
+	.A(n_19394),
+	.B(n_19393),
+	.Y(n_19505), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g890746 (
+	.A(n_19388),
+	.B(n_19493),
+	.Y(n_19504), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g890747 (
+	.A(n_19498),
+	.Y(n_19499), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g890748 (
+	.A_N(brqrv_top_brqrv_dec_dec_i0_instr_d[20]),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[21]),
+	.Y(n_34797), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g890749 (
+	.A(n_19487),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[14]),
+	.Y(n_19503), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g890752 (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[17]),
+	.B(n_19473),
+	.Y(n_19502), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g890753 (
+	.A(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_22 ),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[21]),
+	.Y(n_19501), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g890755 (
+	.A(n_19484),
+	.B(n_36923),
+	.Y(n_19500), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g890756 (
+	.A_N(n_35573),
+	.B(brqrv_top_brqrv_exu_i0_br_way_r),
+	.Y(n_33300), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g890757 (
+	.A(n_19480),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_req_f),
+	.Y(n_19498), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g890758 (
+	.A(n_43576),
+	.B(n_19475),
+	.Y(n_19496), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g890759 (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[16]),
+	.B(n_19477),
+	.Y(n_19495), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g890760 (
+	.A(n_1728),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[8]),
+	.Y(n_19494), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g890761 (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[20]),
+	.B(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_28 ),
+	.Y(n_19493), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g890762 (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[22]),
+	.B(n_19491),
+	.Y(n_19492), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g890767 (
+	.A_N(brqrv_top_brqrv_dec_dec_i0_instr_d[21]),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[20]),
+	.Y(n_34798), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g890781 (
+	.A(n_43576),
+	.Y(n_19484), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g890783 (
+	.A(n_43571),
+	.Y(n_19483), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g890785 (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[1]),
+	.Y(n_13744), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g890786 (
+	.A(n_36410),
+	.Y(n_19480), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g890788 (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[11]),
+	.Y(n_19479), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g890794 (
+	.A(n_36923),
+	.Y(n_19475), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_4 g890797 (
+	.A(n_19413),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_p1_f [8]),
+	.Y(n_19421), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g689308 (
+	.A(n_19499),
+	.B(n_28441),
+	.C(n_28407),
+	.X(n_19418), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_0 g890798 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_f [7]),
+	.B(n_43157),
+	.X(n_19417), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_0 g890799 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_f [5]),
+	.B(n_43158),
+	.X(n_19416), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_0 g890800 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_p1_f [6]),
+	.B(n_42004),
+	.X(n_19415), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_0 g890801 (
+	.A(n_19534),
+	.B(n_19541),
+	.X(n_19414), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_0 g890802 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_p1_f [6]),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_p1_f [7]),
+	.X(n_19413), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_0 g890803 (
+	.A(n_19544),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_p1_f [7]),
+	.X(n_19412), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_0 g890804 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_p1_f [4]),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_p1_f [3]),
+	.X(n_19411), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g890805 (
+	.A_N(n_28452),
+	.B(n_17058),
+	.Y(n_19410), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g890806 (
+	.A_N(n_28443),
+	.B(n_19499),
+	.C(n_28419),
+	.Y(n_33848), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g890808 (
+	.A_N(n_28407),
+	.B(n_19499),
+	.C(n_28441),
+	.Y(n_19408), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g890809 (
+	.A(n_19933),
+	.B_N(n_19982),
+	.Y(n_19407), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g890810 (
+	.A(n_19933),
+	.B_N(n_19981),
+	.Y(n_19406), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g890811 (
+	.A(n_19940),
+	.B_N(n_19925),
+	.Y(n_19405), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g890812 (
+	.A(n_19940),
+	.B_N(n_19923),
+	.Y(n_19404), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2b_4 g890813 (
+	.A_N(n_19436),
+	.B(n_19638),
+	.X(n_19403), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2b_4 g890814 (
+	.A_N(n_19432),
+	.B(n_19638),
+	.X(n_19402), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2b_4 g890815 (
+	.A_N(n_19431),
+	.B(n_19638),
+	.X(n_19401), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2b_4 g890816 (
+	.A_N(n_19430),
+	.B(n_19638),
+	.X(n_19400), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_4 g890817 (
+	.A(n_42911),
+	.B(n_19429),
+	.Y(n_13656), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2b_1 g890818 (
+	.A_N(n_19628),
+	.B(n_19425),
+	.X(n_19398), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2b_4 g890819 (
+	.A_N(n_19651),
+	.B(n_19627),
+	.X(n_19397), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2b_4 g890820 (
+	.A_N(n_19651),
+	.B(n_19620),
+	.X(n_19396), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2b_4 g890821 (
+	.A_N(n_19424),
+	.B(n_19638),
+	.X(n_19395), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g890822 (
+	.A_N(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[19]),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[12]),
+	.Y(n_19394), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor3_1 g890823 (
+	.A(n_42476),
+	.B(n_36928),
+	.C(n_36927),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_p1_f [6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor3_2 g890824 (
+	.A(n_43577),
+	.B(n_43574),
+	.C(n_43572),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_p1_f [8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g890825 (
+	.A_N(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[12]),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[19]),
+	.Y(n_19393), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g890827 (
+	.A_N(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[17]),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[3]),
+	.Y(n_19391), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g890829 (
+	.A_N(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[16]),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[9]),
+	.Y(n_19389), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g890830 (
+	.A_N(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[20]),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[6]),
+	.Y(n_19388), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g663516 (
+	.A1(n_35324),
+	.A2(n_19387),
+	.B1(n_18810),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[284]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g663517 (
+	.A1(n_35336),
+	.A2(n_19387),
+	.B1(n_18812),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[476]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g663518 (
+	.A1(n_35333),
+	.A2(n_19387),
+	.B1(n_18794),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[444]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g663519 (
+	.A1(n_35327),
+	.A2(n_19387),
+	.B1(n_18800),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[348]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g663520 (
+	.A1(n_34511),
+	.A2(n_19387),
+	.B1(n_18789),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[60]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g663521 (
+	.A1(n_34508),
+	.A2(n_19387),
+	.B1(n_18806),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[92]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g663522 (
+	.A1(n_34496),
+	.A2(n_19387),
+	.B1(n_18818),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[252]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663523 (
+	.A1(n_34484),
+	.A2(n_19387),
+	.B1(n_17393),
+	.B2(n_34482),
+	.C1(n_18695),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[412]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663524 (
+	.A1(n_34502),
+	.A2(n_19387),
+	.B1(n_17393),
+	.B2(n_34500),
+	.C1(n_18770),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[156]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g663525 (
+	.A1(n_34450),
+	.A2(n_19387),
+	.B1(n_18780),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[668]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g663526 (
+	.A1(n_35339),
+	.A2(n_19387),
+	.B1(n_18785),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[508]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g663527 (
+	.A1(n_34499),
+	.A2(n_19387),
+	.B1(n_18802),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[188]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g663528 (
+	.A1(n_34493),
+	.A2(n_19387),
+	.B1(n_18814),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[220]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g663529 (
+	.A1(n_34487),
+	.A2(n_19387),
+	.B1(n_18792),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[924]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g663530 (
+	.A1(n_34505),
+	.A2(n_19387),
+	.B1(n_18831),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[124]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663531 (
+	.A1(n_34471),
+	.A2(n_19387),
+	.B1(n_17393),
+	.B2(n_34469),
+	.C1(n_18767),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[892]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663532 (
+	.A1(n_35330),
+	.A2(n_19387),
+	.B1(n_17393),
+	.B2(n_35328),
+	.C1(n_18747),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[380]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663533 (
+	.A1(n_35341),
+	.A2(n_19387),
+	.B1(n_17393),
+	.B2(n_34441),
+	.C1(n_18686),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[540]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663534 (
+	.A1(n_34468),
+	.A2(n_19387),
+	.B1(n_17393),
+	.B2(n_34466),
+	.C1(n_18680),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[796]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663535 (
+	.A1(n_34453),
+	.A2(n_19387),
+	.B1(n_17393),
+	.B2(n_34451),
+	.C1(n_18692),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[700]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663536 (
+	.A1(n_34456),
+	.A2(n_19387),
+	.B1(n_17393),
+	.B2(n_34454),
+	.C1(n_18689),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[732]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663537 (
+	.A1(n_34474),
+	.A2(n_19387),
+	.B1(n_17393),
+	.B2(n_34472),
+	.C1(n_18701),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[956]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663538 (
+	.A1(n_35343),
+	.A2(n_19387),
+	.B1(n_17393),
+	.B2(n_34478),
+	.C1(n_18773),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[988]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663539 (
+	.A1(n_34459),
+	.A2(n_19387),
+	.B1(n_17393),
+	.B2(n_34457),
+	.C1(n_18683),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[764]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663540 (
+	.A1(n_34462),
+	.A2(n_19387),
+	.B1(n_17393),
+	.B2(n_34460),
+	.C1(n_18742),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[828]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663541 (
+	.A1(n_34465),
+	.A2(n_19387),
+	.B1(n_17393),
+	.B2(n_34463),
+	.C1(n_18735),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[860]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663542 (
+	.A1(n_34444),
+	.A2(n_19387),
+	.B1(n_17393),
+	.B2(n_34442),
+	.C1(n_18728),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[572]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663543 (
+	.A1(n_34447),
+	.A2(n_19387),
+	.B1(n_17393),
+	.B2(n_34445),
+	.C1(n_18721),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[604]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663544 (
+	.A1(n_34477),
+	.A2(n_19387),
+	.B1(n_17393),
+	.B2(n_34475),
+	.C1(n_18753),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[1020]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663545 (
+	.A1(n_34490),
+	.A2(n_19387),
+	.B1(n_17393),
+	.B2(n_34488),
+	.C1(n_18763),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[636]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663546 (
+	.A1(n_34481),
+	.A2(n_19387),
+	.B1(n_17393),
+	.B2(n_34479),
+	.C1(n_18677),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[316]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g663547 (
+	.A1(n_34487),
+	.A2(n_19385),
+	.B1(n_18791),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[923]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g663548 (
+	.A1(n_35327),
+	.A2(n_19385),
+	.B1(n_18799),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[347]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g663549 (
+	.A1(n_34499),
+	.A2(n_19385),
+	.B1(n_18824),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[187]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g663550 (
+	.A1(n_35330),
+	.A2(n_19385),
+	.B1(n_18832),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[379]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g663551 (
+	.A1(n_35324),
+	.A2(n_19385),
+	.B1(n_18809),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[283]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g663552 (
+	.A1(n_34493),
+	.A2(n_19385),
+	.B1(n_18828),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[219]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g663553 (
+	.A1(n_35336),
+	.A2(n_19385),
+	.B1(n_18826),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[475]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g663554 (
+	.A1(n_34505),
+	.A2(n_19385),
+	.B1(n_18830),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[123]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g663555 (
+	.A1(n_35333),
+	.A2(n_19385),
+	.B1(n_18822),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[443]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g663556 (
+	.A1(n_34508),
+	.A2(n_19385),
+	.B1(n_18805),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[91]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g663557 (
+	.A1(n_34511),
+	.A2(n_19385),
+	.B1(n_18788),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[59]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g663558 (
+	.A1(n_34481),
+	.A2(n_19385),
+	.B1(n_18796),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[315]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g663559 (
+	.A1(n_35339),
+	.A2(n_19385),
+	.B1(n_18784),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[507]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g663560 (
+	.A1(n_34450),
+	.A2(n_19385),
+	.B1(n_18779),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[667]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663561 (
+	.A1(n_34484),
+	.A2(n_19385),
+	.B1(n_18041),
+	.B2(n_34482),
+	.C1(n_18694),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[411]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g663562 (
+	.A1(n_34496),
+	.A2(n_19385),
+	.B1(n_18817),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[251]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663563 (
+	.A1(n_35343),
+	.A2(n_19385),
+	.B1(n_18041),
+	.B2(n_34478),
+	.C1(n_18774),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[987]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663564 (
+	.A1(n_34459),
+	.A2(n_19385),
+	.B1(n_18041),
+	.B2(n_34457),
+	.C1(n_18682),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[763]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663565 (
+	.A1(n_35341),
+	.A2(n_19385),
+	.B1(n_18041),
+	.B2(n_34441),
+	.C1(n_18685),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[539]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663566 (
+	.A1(n_34468),
+	.A2(n_19385),
+	.B1(n_18041),
+	.B2(n_34466),
+	.C1(n_18679),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[795]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663567 (
+	.A1(n_34453),
+	.A2(n_19385),
+	.B1(n_18041),
+	.B2(n_34451),
+	.C1(n_18691),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[699]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663568 (
+	.A1(n_34456),
+	.A2(n_19385),
+	.B1(n_18041),
+	.B2(n_34454),
+	.C1(n_18688),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[731]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663569 (
+	.A1(n_34502),
+	.A2(n_19385),
+	.B1(n_18041),
+	.B2(n_34500),
+	.C1(n_18769),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[155]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663570 (
+	.A1(n_34474),
+	.A2(n_19385),
+	.B1(n_18041),
+	.B2(n_34472),
+	.C1(n_18700),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[955]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663571 (
+	.A1(n_34462),
+	.A2(n_19385),
+	.B1(n_18041),
+	.B2(n_34460),
+	.C1(n_18741),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[827]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663572 (
+	.A1(n_34465),
+	.A2(n_19385),
+	.B1(n_18041),
+	.B2(n_34463),
+	.C1(n_18734),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[859]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663573 (
+	.A1(n_34444),
+	.A2(n_19385),
+	.B1(n_18041),
+	.B2(n_34442),
+	.C1(n_18727),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[571]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663574 (
+	.A1(n_34447),
+	.A2(n_19385),
+	.B1(n_18041),
+	.B2(n_34445),
+	.C1(n_18720),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[603]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663575 (
+	.A1(n_34471),
+	.A2(n_19385),
+	.B1(n_18041),
+	.B2(n_34469),
+	.C1(n_18766),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[891]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663576 (
+	.A1(n_34490),
+	.A2(n_19385),
+	.B1(n_18041),
+	.B2(n_34488),
+	.C1(n_18762),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[635]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663577 (
+	.A1(n_34477),
+	.A2(n_19385),
+	.B1(n_18041),
+	.B2(n_34475),
+	.C1(n_18752),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[1019]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g663578 (
+	.A(n_18223),
+	.B(n_19386),
+	.Y(n_19387), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g663579 (
+	.A(n_43023),
+	.B_N(n_34354),
+	.Y(n_19386), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g663580 (
+	.A1(n_43023),
+	.A2(n_19384),
+	.B1(n_18216),
+	.X(n_19385), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g663581 (
+	.A(n_34379),
+	.B(n_34380),
+	.X(n_34354), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g663582 (
+	.A1(n_34450),
+	.A2(n_19383),
+	.B1(n_18778),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[666]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g663583 (
+	.A1(n_35333),
+	.A2(n_19383),
+	.B1(n_18821),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[442]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g663584 (
+	.A1(n_35327),
+	.A2(n_19383),
+	.B1(n_18798),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[346]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g663585 (
+	.A1(n_34508),
+	.A2(n_19383),
+	.B1(n_18804),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[90]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g663586 (
+	.A1(n_34511),
+	.A2(n_19383),
+	.B1(n_18787),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[58]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g663587 (
+	.A1(n_34496),
+	.A2(n_19383),
+	.B1(n_18816),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[250]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g663588 (
+	.A1(n_35324),
+	.A2(n_19383),
+	.B1(n_18808),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[282]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g663589 (
+	.A1(n_35336),
+	.A2(n_19383),
+	.B1(n_18825),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[474]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663590 (
+	.A1(n_34484),
+	.A2(n_19383),
+	.B1(n_18038),
+	.B2(n_34482),
+	.C1(n_18693),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[410]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g663591 (
+	.A1(n_35339),
+	.A2(n_19383),
+	.B1(n_18783),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[506]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g663592 (
+	.A1(n_34487),
+	.A2(n_19383),
+	.B1(n_18790),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[922]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g663593 (
+	.A1(n_34499),
+	.A2(n_19383),
+	.B1(n_18823),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[186]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g663594 (
+	.A1(n_34493),
+	.A2(n_19383),
+	.B1(n_18827),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[218]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g663595 (
+	.A1(n_34505),
+	.A2(n_19383),
+	.B1(n_18829),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[122]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g663596 (
+	.A1(n_34481),
+	.A2(n_19383),
+	.B1(n_18795),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[314]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663597 (
+	.A1(n_34490),
+	.A2(n_19383),
+	.B1(n_18038),
+	.B2(n_34488),
+	.C1(n_18760),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[634]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663598 (
+	.A1(n_35341),
+	.A2(n_19383),
+	.B1(n_18038),
+	.B2(n_34441),
+	.C1(n_18684),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[538]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663599 (
+	.A1(n_34468),
+	.A2(n_19383),
+	.B1(n_18038),
+	.B2(n_34466),
+	.C1(n_18678),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[794]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663600 (
+	.A1(n_34453),
+	.A2(n_19383),
+	.B1(n_18038),
+	.B2(n_34451),
+	.C1(n_18690),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[698]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663601 (
+	.A1(n_34456),
+	.A2(n_19383),
+	.B1(n_18038),
+	.B2(n_34454),
+	.C1(n_18687),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[730]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663602 (
+	.A1(n_34502),
+	.A2(n_19383),
+	.B1(n_18038),
+	.B2(n_34500),
+	.C1(n_18768),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[154]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663603 (
+	.A1(n_34474),
+	.A2(n_19383),
+	.B1(n_18038),
+	.B2(n_34472),
+	.C1(n_18699),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[954]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663604 (
+	.A1(n_35343),
+	.A2(n_19383),
+	.B1(n_18038),
+	.B2(n_34478),
+	.C1(n_18775),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[986]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663605 (
+	.A1(n_34459),
+	.A2(n_19383),
+	.B1(n_18038),
+	.B2(n_34457),
+	.C1(n_18681),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[762]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663606 (
+	.A1(n_34462),
+	.A2(n_19383),
+	.B1(n_18038),
+	.B2(n_34460),
+	.C1(n_18740),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[826]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663607 (
+	.A1(n_34465),
+	.A2(n_19383),
+	.B1(n_18038),
+	.B2(n_34463),
+	.C1(n_18733),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[858]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663608 (
+	.A1(n_34444),
+	.A2(n_19383),
+	.B1(n_18038),
+	.B2(n_34442),
+	.C1(n_18726),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[570]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663609 (
+	.A1(n_34447),
+	.A2(n_19383),
+	.B1(n_18038),
+	.B2(n_34445),
+	.C1(n_18719),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[602]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663610 (
+	.A1(n_34477),
+	.A2(n_19383),
+	.B1(n_18038),
+	.B2(n_34475),
+	.C1(n_18751),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[1018]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663611 (
+	.A1(n_34471),
+	.A2(n_19383),
+	.B1(n_18038),
+	.B2(n_34469),
+	.C1(n_18765),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[890]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663612 (
+	.A1(n_35330),
+	.A2(n_19383),
+	.B1(n_18038),
+	.B2(n_35328),
+	.C1(n_18746),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[378]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g663613 (
+	.A(n_19384),
+	.Y(n_34355), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g663614 (
+	.A1(n_18115),
+	.A2(n_19381),
+	.B1(n_34380),
+	.Y(n_19384), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g663615 (
+	.A(n_18217),
+	.B(n_19382),
+	.Y(n_19383), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663616 (
+	.A(n_19381),
+	.B(n_18115),
+	.Y(n_34380), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g663617 (
+	.A(n_43023),
+	.B_N(n_34377),
+	.Y(n_19382), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663618 (
+	.A1(n_34481),
+	.A2(n_19379),
+	.B1(n_18549),
+	.B2(n_34480),
+	.C1(n_18407),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[311]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g663619 (
+	.A1(n_35333),
+	.A2(n_19379),
+	.B1(n_18851),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[439]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g663620 (
+	.A1(n_34496),
+	.A2(n_19379),
+	.B1(n_18843),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[247]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g663621 (
+	.A1(n_34493),
+	.A2(n_19379),
+	.B1(n_18888),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[215]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g663622 (
+	.A1(n_35336),
+	.A2(n_19379),
+	.B1(n_18854),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[471]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g663623 (
+	.A1(n_35324),
+	.A2(n_19379),
+	.B1(n_18861),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[279]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g663624 (
+	.A1(n_34499),
+	.A2(n_19379),
+	.B1(n_18835),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[183]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663625 (
+	.A1(n_35327),
+	.A2(n_19379),
+	.B1(n_18549),
+	.B2(n_35326),
+	.C1(n_18396),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[343]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663626 (
+	.A1(n_34502),
+	.A2(n_19379),
+	.B1(n_18040),
+	.B2(n_34500),
+	.C1(n_18650),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[151]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g663627 (
+	.A1(n_34450),
+	.A2(n_19379),
+	.B1(n_18838),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[663]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663628 (
+	.A1(n_35330),
+	.A2(n_19379),
+	.B1(n_18549),
+	.B2(n_35329),
+	.C1(n_18383),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[375]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663629 (
+	.A1(n_34508),
+	.A2(n_19379),
+	.B1(n_18549),
+	.B2(n_34507),
+	.C1(n_18326),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[87]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663630 (
+	.A1(n_34511),
+	.A2(n_19379),
+	.B1(n_18549),
+	.B2(n_34510),
+	.C1(n_18320),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[55]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663631 (
+	.A1(n_35339),
+	.A2(n_19379),
+	.B1(n_18549),
+	.B2(n_35338),
+	.C1(n_18317),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[503]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663632 (
+	.A1(n_34505),
+	.A2(n_19379),
+	.B1(n_18549),
+	.B2(n_34504),
+	.C1(n_18356),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[119]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663633 (
+	.A1(n_34484),
+	.A2(n_19379),
+	.B1(n_18040),
+	.B2(n_34482),
+	.C1(n_18644),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[407]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663634 (
+	.A1(n_35343),
+	.A2(n_19379),
+	.B1(n_18549),
+	.B2(n_35342),
+	.C1(n_18366),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[983]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663635 (
+	.A1(n_34456),
+	.A2(n_19379),
+	.B1(n_18549),
+	.B2(n_34455),
+	.C1(n_18329),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[727]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663636 (
+	.A1(n_34459),
+	.A2(n_19379),
+	.B1(n_18549),
+	.B2(n_34458),
+	.C1(n_18374),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[759]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663637 (
+	.A1(n_35341),
+	.A2(n_19379),
+	.B1(n_18549),
+	.B2(n_35340),
+	.C1(n_18360),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[535]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663638 (
+	.A1(n_34468),
+	.A2(n_19379),
+	.B1(n_18549),
+	.B2(n_34467),
+	.C1(n_18361),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[791]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663639 (
+	.A1(n_34477),
+	.A2(n_19379),
+	.B1(n_18549),
+	.B2(n_34476),
+	.C1(n_18400),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[1015]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663640 (
+	.A1(n_34474),
+	.A2(n_19379),
+	.B1(n_18549),
+	.B2(n_34473),
+	.C1(n_18371),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[951]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663641 (
+	.A1(n_34453),
+	.A2(n_19379),
+	.B1(n_18549),
+	.B2(n_34452),
+	.C1(n_18331),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[695]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663642 (
+	.A1(n_34462),
+	.A2(n_19379),
+	.B1(n_18549),
+	.B2(n_34461),
+	.C1(n_18393),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[823]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663643 (
+	.A1(n_34465),
+	.A2(n_19379),
+	.B1(n_18549),
+	.B2(n_34464),
+	.C1(n_18390),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[855]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663644 (
+	.A1(n_34444),
+	.A2(n_19379),
+	.B1(n_18549),
+	.B2(n_34443),
+	.C1(n_18384),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[567]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663645 (
+	.A1(n_34447),
+	.A2(n_19379),
+	.B1(n_18549),
+	.B2(n_34446),
+	.C1(n_18387),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[599]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663646 (
+	.A1(n_34471),
+	.A2(n_19379),
+	.B1(n_18549),
+	.B2(n_34470),
+	.C1(n_18405),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[887]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663647 (
+	.A1(n_34490),
+	.A2(n_19379),
+	.B1(n_18549),
+	.B2(n_34489),
+	.C1(n_18403),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[631]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663648 (
+	.A1(n_34487),
+	.A2(n_19379),
+	.B1(n_18549),
+	.B2(n_34486),
+	.C1(n_18323),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[919]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g663649 (
+	.A1(n_19380),
+	.A2(n_18114),
+	.B1(n_19381),
+	.Y(n_34377), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g663650 (
+	.A(n_18114),
+	.B(n_19380),
+	.Y(n_19381), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 g663651 (
+	.A(n_35304),
+	.B(n_35301),
+	.X(n_19380), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g663652 (
+	.A(n_18221),
+	.B(n_19378),
+	.Y(n_19379), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g663653 (
+	.A(n_43023),
+	.B(n_19377),
+	.Y(n_19378), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g663654 (
+	.A(n_34381),
+	.B(n_34382),
+	.X(n_35301), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g663655 (
+	.A(n_19377),
+	.Y(n_34356), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663656 (
+	.A1(n_35327),
+	.A2(n_19376),
+	.B1(n_18551),
+	.B2(n_35326),
+	.C1(n_18353),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[341]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g663657 (
+	.A1(n_35333),
+	.A2(n_19376),
+	.B1(n_18850),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[437]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g663658 (
+	.A1(n_34496),
+	.A2(n_19376),
+	.B1(n_18844),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[245]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g663659 (
+	.A1(n_34493),
+	.A2(n_19376),
+	.B1(n_18889),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[213]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g663660 (
+	.A1(n_35336),
+	.A2(n_19376),
+	.B1(n_18853),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[469]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g663661 (
+	.A1(n_35324),
+	.A2(n_19376),
+	.B1(n_18860),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[277]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g663662 (
+	.A1(n_34499),
+	.A2(n_19376),
+	.B1(n_18834),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[181]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663663 (
+	.A1(n_34484),
+	.A2(n_19376),
+	.B1(n_18042),
+	.B2(n_34482),
+	.C1(n_18643),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[405]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663664 (
+	.A1(n_34502),
+	.A2(n_19376),
+	.B1(n_18042),
+	.B2(n_34500),
+	.C1(n_18649),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[149]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g663665 (
+	.A1(n_34450),
+	.A2(n_19376),
+	.B1(n_18837),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[661]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663666 (
+	.A1(n_35330),
+	.A2(n_19376),
+	.B1(n_18551),
+	.B2(n_35329),
+	.C1(n_18382),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[373]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663667 (
+	.A1(n_34508),
+	.A2(n_19376),
+	.B1(n_18551),
+	.B2(n_34507),
+	.C1(n_18325),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[85]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663668 (
+	.A1(n_34511),
+	.A2(n_19376),
+	.B1(n_18551),
+	.B2(n_34510),
+	.C1(n_18319),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[53]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663669 (
+	.A1(n_35339),
+	.A2(n_19376),
+	.B1(n_18551),
+	.B2(n_35338),
+	.C1(n_18316),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[501]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663670 (
+	.A1(n_34505),
+	.A2(n_19376),
+	.B1(n_18551),
+	.B2(n_34504),
+	.C1(n_18355),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[117]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663671 (
+	.A1(n_34490),
+	.A2(n_19376),
+	.B1(n_18551),
+	.B2(n_34489),
+	.C1(n_18402),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[629]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663672 (
+	.A1(n_34487),
+	.A2(n_19376),
+	.B1(n_18551),
+	.B2(n_34486),
+	.C1(n_18322),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[917]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663673 (
+	.A1(n_34453),
+	.A2(n_19376),
+	.B1(n_18551),
+	.B2(n_34452),
+	.C1(n_18330),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[693]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663674 (
+	.A1(n_34456),
+	.A2(n_19376),
+	.B1(n_18551),
+	.B2(n_34455),
+	.C1(n_18328),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[725]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663675 (
+	.A1(n_34459),
+	.A2(n_19376),
+	.B1(n_18551),
+	.B2(n_34458),
+	.C1(n_18372),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[757]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663676 (
+	.A1(n_35341),
+	.A2(n_19376),
+	.B1(n_18551),
+	.B2(n_35340),
+	.C1(n_18357),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[533]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663677 (
+	.A1(n_34468),
+	.A2(n_19376),
+	.B1(n_18551),
+	.B2(n_34467),
+	.C1(n_18358),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[789]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663678 (
+	.A1(n_34477),
+	.A2(n_19376),
+	.B1(n_18551),
+	.B2(n_34476),
+	.C1(n_18399),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[1013]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663679 (
+	.A1(n_34474),
+	.A2(n_19376),
+	.B1(n_18551),
+	.B2(n_34473),
+	.C1(n_18370),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[949]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663680 (
+	.A1(n_35343),
+	.A2(n_19376),
+	.B1(n_18551),
+	.B2(n_35342),
+	.C1(n_18367),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[981]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663681 (
+	.A1(n_34462),
+	.A2(n_19376),
+	.B1(n_18551),
+	.B2(n_34461),
+	.C1(n_18392),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[821]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663682 (
+	.A1(n_34465),
+	.A2(n_19376),
+	.B1(n_18551),
+	.B2(n_34464),
+	.C1(n_18395),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[853]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663683 (
+	.A1(n_34444),
+	.A2(n_19376),
+	.B1(n_18551),
+	.B2(n_34443),
+	.C1(n_18394),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[565]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663684 (
+	.A1(n_34447),
+	.A2(n_19376),
+	.B1(n_18551),
+	.B2(n_34446),
+	.C1(n_18386),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[597]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663685 (
+	.A1(n_34471),
+	.A2(n_19376),
+	.B1(n_18551),
+	.B2(n_34470),
+	.C1(n_18406),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[885]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663686 (
+	.A1(n_34481),
+	.A2(n_19376),
+	.B1(n_18551),
+	.B2(n_34480),
+	.C1(n_18411),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[309]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g663687 (
+	.A1(n_18113),
+	.A2(n_19375),
+	.B1(n_34382),
+	.Y(n_19377), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663688 (
+	.A(n_19375),
+	.B(n_18113),
+	.Y(n_34382), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g663689 (
+	.A1(n_43023),
+	.A2(n_19374),
+	.B1(n_18218),
+	.X(n_19376), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g663690 (
+	.A1(n_34453),
+	.A2(n_19373),
+	.B1(n_18858),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[692]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663691 (
+	.A1(n_34484),
+	.A2(n_19373),
+	.B1(n_18039),
+	.B2(n_34482),
+	.C1(n_18642),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[404]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g663692 (
+	.A1(n_34493),
+	.A2(n_19373),
+	.B1(n_18836),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[212]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g663693 (
+	.A1(n_35336),
+	.A2(n_19373),
+	.B1(n_18852),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[468]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g663694 (
+	.A1(n_35333),
+	.A2(n_19373),
+	.B1(n_18849),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[436]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g663695 (
+	.A1(n_34496),
+	.A2(n_19373),
+	.B1(n_18842),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[244]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g663696 (
+	.A1(n_34499),
+	.A2(n_19373),
+	.B1(n_18833),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[180]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663697 (
+	.A1(n_34502),
+	.A2(n_19373),
+	.B1(n_18039),
+	.B2(n_34500),
+	.C1(n_18648),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[148]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g663698 (
+	.A1(n_34450),
+	.A2(n_19373),
+	.B1(n_18839),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[660]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g663699 (
+	.A1(n_35324),
+	.A2(n_19373),
+	.B1(n_18859),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[276]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663700 (
+	.A1(n_34508),
+	.A2(n_19373),
+	.B1(n_18550),
+	.B2(n_34507),
+	.C1(n_18324),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[84]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663701 (
+	.A1(n_34511),
+	.A2(n_19373),
+	.B1(n_18550),
+	.B2(n_34510),
+	.C1(n_18318),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[52]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663702 (
+	.A1(n_35327),
+	.A2(n_19373),
+	.B1(n_18550),
+	.B2(n_35326),
+	.C1(n_18397),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[340]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663703 (
+	.A1(n_35339),
+	.A2(n_19373),
+	.B1(n_18550),
+	.B2(n_35338),
+	.C1(n_18315),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[500]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663704 (
+	.A1(n_34487),
+	.A2(n_19373),
+	.B1(n_18550),
+	.B2(n_34486),
+	.C1(n_18321),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[916]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g663705 (
+	.A(n_35302),
+	.B(n_35303),
+	.Y(n_19375), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663706 (
+	.A1(n_34490),
+	.A2(n_19373),
+	.B1(n_18550),
+	.B2(n_34489),
+	.C1(n_18401),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[628]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663707 (
+	.A1(n_34481),
+	.A2(n_19373),
+	.B1(n_18550),
+	.B2(n_34480),
+	.C1(n_18412),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[308]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663708 (
+	.A1(n_34505),
+	.A2(n_19373),
+	.B1(n_18550),
+	.B2(n_34504),
+	.C1(n_18354),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[116]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663709 (
+	.A1(n_35341),
+	.A2(n_19373),
+	.B1(n_18550),
+	.B2(n_35340),
+	.C1(n_18359),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[532]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663710 (
+	.A1(n_34468),
+	.A2(n_19373),
+	.B1(n_18550),
+	.B2(n_34467),
+	.C1(n_18362),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[788]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663711 (
+	.A1(n_35330),
+	.A2(n_19373),
+	.B1(n_18550),
+	.B2(n_35329),
+	.C1(n_18381),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[372]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663712 (
+	.A1(n_34474),
+	.A2(n_19373),
+	.B1(n_18550),
+	.B2(n_34473),
+	.C1(n_18369),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[948]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663713 (
+	.A1(n_35343),
+	.A2(n_19373),
+	.B1(n_18550),
+	.B2(n_35342),
+	.C1(n_18368),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[980]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663714 (
+	.A1(n_34459),
+	.A2(n_19373),
+	.B1(n_18550),
+	.B2(n_34458),
+	.C1(n_18373),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[756]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663715 (
+	.A1(n_34462),
+	.A2(n_19373),
+	.B1(n_18550),
+	.B2(n_34461),
+	.C1(n_18391),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[820]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663716 (
+	.A1(n_34465),
+	.A2(n_19373),
+	.B1(n_18550),
+	.B2(n_34464),
+	.C1(n_18389),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[852]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663717 (
+	.A1(n_34444),
+	.A2(n_19373),
+	.B1(n_18550),
+	.B2(n_34443),
+	.C1(n_18388),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[564]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663718 (
+	.A1(n_34447),
+	.A2(n_19373),
+	.B1(n_18550),
+	.B2(n_34446),
+	.C1(n_18385),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[596]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663719 (
+	.A1(n_34477),
+	.A2(n_19373),
+	.B1(n_18550),
+	.B2(n_34476),
+	.C1(n_18398),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[1012]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663720 (
+	.A1(n_34471),
+	.A2(n_19373),
+	.B1(n_18550),
+	.B2(n_34470),
+	.C1(n_18404),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[884]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663721 (
+	.A1(n_34456),
+	.A2(n_19373),
+	.B1(n_18550),
+	.B2(n_34455),
+	.C1(n_18327),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[724]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g663722 (
+	.A(n_19374),
+	.Y(n_35306), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g663723 (
+	.A1(n_18112),
+	.A2(n_19366),
+	.B1(n_35303),
+	.Y(n_19374), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g663724 (
+	.A(n_18219),
+	.B(n_19372),
+	.Y(n_19373), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663725 (
+	.A1(n_35330),
+	.A2(n_19369),
+	.B1(n_18545),
+	.B2(n_35329),
+	.C1(n_18313),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[371]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g663726 (
+	.A(n_43023),
+	.B_N(n_34357),
+	.Y(n_19372), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g663727 (
+	.A1(n_35333),
+	.A2(n_19369),
+	.B1(n_18883),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[435]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g663728 (
+	.A1(n_34450),
+	.A2(n_19369),
+	.B1(n_18877),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[659]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663729 (
+	.A1(n_34484),
+	.A2(n_19369),
+	.B1(n_18181),
+	.B2(n_34482),
+	.C1(n_18641),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[403]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663730 (
+	.A1(n_34508),
+	.A2(n_19369),
+	.B1(n_18545),
+	.B2(n_34507),
+	.C1(n_18305),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[83]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663731 (
+	.A1(n_34487),
+	.A2(n_19369),
+	.B1(n_18545),
+	.B2(n_34486),
+	.C1(n_18295),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[915]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663732 (
+	.A1(n_34511),
+	.A2(n_19369),
+	.B1(n_18545),
+	.B2(n_34510),
+	.C1(n_18299),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[51]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g663733 (
+	.A1(n_34453),
+	.A2(n_19369),
+	.B1(n_18868),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[691]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g663734 (
+	.A1(n_34456),
+	.A2(n_19369),
+	.B1(n_18865),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[723]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g663735 (
+	.A1(n_34496),
+	.A2(n_19369),
+	.B1(n_18857),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[243]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g663736 (
+	.A1(n_34493),
+	.A2(n_19369),
+	.B1(n_18874),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[211]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g663737 (
+	.A1(n_35336),
+	.A2(n_19369),
+	.B1(n_18886),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[467]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g663738 (
+	.A1(n_35324),
+	.A2(n_19369),
+	.B1(n_18880),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[275]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g663739 (
+	.A1(n_34499),
+	.A2(n_19369),
+	.B1(n_18871),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[179]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663740 (
+	.A(n_19366),
+	.B(n_18112),
+	.Y(n_35303), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663741 (
+	.A1(n_35327),
+	.A2(n_19369),
+	.B1(n_18545),
+	.B2(n_35326),
+	.C1(n_18278),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[339]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663742 (
+	.A1(n_34481),
+	.A2(n_19369),
+	.B1(n_18545),
+	.B2(n_34480),
+	.C1(n_18283),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[307]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663743 (
+	.A1(n_34505),
+	.A2(n_19369),
+	.B1(n_18545),
+	.B2(n_34504),
+	.C1(n_18286),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[115]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663744 (
+	.A1(n_18545),
+	.A2(n_35338),
+	.B1(n_18181),
+	.B2(n_35337),
+	.C1(n_19371),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[499]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663745 (
+	.A1(n_18545),
+	.A2(n_34501),
+	.B1(n_18181),
+	.B2(n_34500),
+	.C1(n_19370),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[147]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663746 (
+	.A1(n_34459),
+	.A2(n_19369),
+	.B1(n_18545),
+	.B2(n_34458),
+	.C1(n_18311),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[755]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663747 (
+	.A1(n_35341),
+	.A2(n_19369),
+	.B1(n_18545),
+	.B2(n_35340),
+	.C1(n_18292),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[531]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663748 (
+	.A1(n_34468),
+	.A2(n_19369),
+	.B1(n_18545),
+	.B2(n_34467),
+	.C1(n_18288),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[787]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663749 (
+	.A1(n_34477),
+	.A2(n_19369),
+	.B1(n_18545),
+	.B2(n_34476),
+	.C1(n_18365),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[1011]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663750 (
+	.A1(n_34474),
+	.A2(n_19369),
+	.B1(n_18545),
+	.B2(n_34473),
+	.C1(n_18308),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[947]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663751 (
+	.A1(n_35343),
+	.A2(n_19369),
+	.B1(n_18545),
+	.B2(n_35342),
+	.C1(n_18302),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[979]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663752 (
+	.A1(n_34462),
+	.A2(n_19369),
+	.B1(n_18545),
+	.B2(n_34461),
+	.C1(n_18342),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[819]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663753 (
+	.A1(n_34465),
+	.A2(n_19369),
+	.B1(n_18545),
+	.B2(n_34464),
+	.C1(n_18339),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[851]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663754 (
+	.A1(n_34444),
+	.A2(n_19369),
+	.B1(n_18545),
+	.B2(n_34443),
+	.C1(n_18414),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[563]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663755 (
+	.A1(n_34447),
+	.A2(n_19369),
+	.B1(n_18545),
+	.B2(n_34446),
+	.C1(n_18335),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[595]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663756 (
+	.A1(n_34471),
+	.A2(n_19369),
+	.B1(n_18545),
+	.B2(n_34470),
+	.C1(n_18377),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[883]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663757 (
+	.A1(n_34490),
+	.A2(n_19369),
+	.B1(n_18545),
+	.B2(n_34489),
+	.C1(n_18376),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[627]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663758 (
+	.A(n_19368),
+	.B(n_18193),
+	.Y(n_19371), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663759 (
+	.A(n_19368),
+	.B(n_18143),
+	.Y(n_19370), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g663760 (
+	.A1(n_19362),
+	.A2(n_18106),
+	.B1(n_19366),
+	.Y(n_34357), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g663761 (
+	.A(n_19369),
+	.Y(n_19368), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g663762 (
+	.A(n_18220),
+	.B(n_19367),
+	.Y(n_19369), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g663763 (
+	.A(n_43023),
+	.B(n_19364),
+	.Y(n_19367), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g663764 (
+	.A(n_18106),
+	.B(n_19362),
+	.Y(n_19366), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g663766 (
+	.A1(n_34456),
+	.A2(n_19361),
+	.B1(n_18864),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[721]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g663767 (
+	.A1(n_34450),
+	.A2(n_19361),
+	.B1(n_18876),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[657]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663768 (
+	.A1(n_34484),
+	.A2(n_19361),
+	.B1(n_17876),
+	.B2(n_34482),
+	.C1(n_18640),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[401]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663769 (
+	.A1(n_34508),
+	.A2(n_19361),
+	.B1(n_18541),
+	.B2(n_34507),
+	.C1(n_18304),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[81]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663770 (
+	.A1(n_34487),
+	.A2(n_19361),
+	.B1(n_18541),
+	.B2(n_34486),
+	.C1(n_18294),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[913]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663771 (
+	.A1(n_34511),
+	.A2(n_19361),
+	.B1(n_18541),
+	.B2(n_34510),
+	.C1(n_18298),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[49]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g663772 (
+	.A1(n_34453),
+	.A2(n_19361),
+	.B1(n_18867),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[689]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g663773 (
+	.A1(n_35333),
+	.A2(n_19361),
+	.B1(n_18882),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[433]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g663774 (
+	.A1(n_34496),
+	.A2(n_19361),
+	.B1(n_18856),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[241]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g663775 (
+	.A1(n_34493),
+	.A2(n_19361),
+	.B1(n_18873),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[209]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g663776 (
+	.A1(n_35336),
+	.A2(n_19361),
+	.B1(n_18885),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[465]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g663777 (
+	.A1(n_35324),
+	.A2(n_19361),
+	.B1(n_18879),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[273]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g663778 (
+	.A1(n_34499),
+	.A2(n_19361),
+	.B1(n_18869),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[177]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663779 (
+	.A1(n_35330),
+	.A2(n_19361),
+	.B1(n_18541),
+	.B2(n_35329),
+	.C1(n_18312),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[369]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663780 (
+	.A1(n_35327),
+	.A2(n_19361),
+	.B1(n_18541),
+	.B2(n_35326),
+	.C1(n_18282),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[337]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663781 (
+	.A1(n_34490),
+	.A2(n_19361),
+	.B1(n_18541),
+	.B2(n_34489),
+	.C1(n_18375),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[625]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663782 (
+	.A1(n_34505),
+	.A2(n_19361),
+	.B1(n_18541),
+	.B2(n_34504),
+	.C1(n_18285),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[113]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663783 (
+	.A1(n_18541),
+	.A2(n_35338),
+	.B1(n_17876),
+	.B2(n_35337),
+	.C1(n_19365),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[497]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663784 (
+	.A1(n_18541),
+	.A2(n_34501),
+	.B1(n_17876),
+	.B2(n_34500),
+	.C1(n_19363),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[145]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663785 (
+	.A1(n_34459),
+	.A2(n_19361),
+	.B1(n_18541),
+	.B2(n_34458),
+	.C1(n_18310),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[753]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663786 (
+	.A1(n_35341),
+	.A2(n_19361),
+	.B1(n_18541),
+	.B2(n_35340),
+	.C1(n_18291),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[529]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663787 (
+	.A1(n_34468),
+	.A2(n_19361),
+	.B1(n_18541),
+	.B2(n_34467),
+	.C1(n_18287),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[785]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663788 (
+	.A1(n_34477),
+	.A2(n_19361),
+	.B1(n_18541),
+	.B2(n_34476),
+	.C1(n_18364),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[1009]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663789 (
+	.A1(n_34474),
+	.A2(n_19361),
+	.B1(n_18541),
+	.B2(n_34473),
+	.C1(n_18307),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[945]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663790 (
+	.A1(n_35343),
+	.A2(n_19361),
+	.B1(n_18541),
+	.B2(n_35342),
+	.C1(n_18301),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[977]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663791 (
+	.A1(n_34462),
+	.A2(n_19361),
+	.B1(n_18541),
+	.B2(n_34461),
+	.C1(n_18341),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[817]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663792 (
+	.A1(n_34465),
+	.A2(n_19361),
+	.B1(n_18541),
+	.B2(n_34464),
+	.C1(n_18336),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[849]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663793 (
+	.A1(n_34444),
+	.A2(n_19361),
+	.B1(n_18541),
+	.B2(n_34443),
+	.C1(n_18337),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[561]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663794 (
+	.A1(n_34447),
+	.A2(n_19361),
+	.B1(n_18541),
+	.B2(n_34446),
+	.C1(n_18334),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[593]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663795 (
+	.A1(n_34471),
+	.A2(n_19361),
+	.B1(n_18541),
+	.B2(n_34470),
+	.C1(n_18378),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[881]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663796 (
+	.A1(n_34481),
+	.A2(n_19361),
+	.B1(n_18541),
+	.B2(n_34480),
+	.C1(n_18280),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[305]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663797 (
+	.A(n_19360),
+	.B(n_18193),
+	.Y(n_19365), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663798 (
+	.A(n_19360),
+	.B(n_18143),
+	.Y(n_19363), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g663799 (
+	.A1(n_18117),
+	.A2(n_19359),
+	.B1(n_19362),
+	.Y(n_19364), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663800 (
+	.A(n_19359),
+	.B(n_18117),
+	.Y(n_19362), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g663801 (
+	.A(n_19361),
+	.Y(n_19360), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g663802 (
+	.A(n_19358),
+	.B(n_18215),
+	.Y(n_19361), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g663803 (
+	.A1(n_34499),
+	.A2(n_19356),
+	.B1(n_18870),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[176]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g663804 (
+	.A(n_43023),
+	.B(n_19357),
+	.Y(n_19358), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g663805 (
+	.A1(n_19356),
+	.A2(n_35336),
+	.B1(n_18884),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[464]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g663806 (
+	.A1(n_19356),
+	.A2(n_35333),
+	.B1(n_18881),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[432]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663807 (
+	.A1(n_34508),
+	.A2(n_19356),
+	.B1(n_18542),
+	.B2(n_34507),
+	.C1(n_18303),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[80]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663808 (
+	.A1(n_34511),
+	.A2(n_19356),
+	.B1(n_18542),
+	.B2(n_34510),
+	.C1(n_18297),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[48]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g663809 (
+	.A1(n_34450),
+	.A2(n_19356),
+	.B1(n_18875),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[656]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g663810 (
+	.A1(n_19356),
+	.A2(n_35324),
+	.B1(n_18878),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[272]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663811 (
+	.A1(n_19356),
+	.A2(n_34484),
+	.B1(n_17875),
+	.B2(n_34482),
+	.C1(n_18639),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[400]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663812 (
+	.A1(n_19356),
+	.A2(n_35339),
+	.B1(n_18542),
+	.B2(n_35338),
+	.C1(n_18296),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[496]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g663813 (
+	.A1(n_34493),
+	.A2(n_19356),
+	.B1(n_18872),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[208]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663814 (
+	.A1(n_19356),
+	.A2(n_34487),
+	.B1(n_18542),
+	.B2(n_34486),
+	.C1(n_18293),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[912]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g663815 (
+	.A1(n_34453),
+	.A2(n_19356),
+	.B1(n_18866),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[688]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g663816 (
+	.A1(n_34456),
+	.A2(n_19356),
+	.B1(n_18863),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[720]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g663817 (
+	.A1(n_34496),
+	.A2(n_19356),
+	.B1(n_18855),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[240]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g663818 (
+	.A(n_34383),
+	.B(n_34384),
+	.Y(n_19359), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663819 (
+	.A1(n_19356),
+	.A2(n_35327),
+	.B1(n_18542),
+	.B2(n_35326),
+	.C1(n_18281),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[336]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663820 (
+	.A1(n_34502),
+	.A2(n_19356),
+	.B1(n_17875),
+	.B2(n_34500),
+	.C1(n_18647),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[144]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663821 (
+	.A1(n_19356),
+	.A2(n_34481),
+	.B1(n_18542),
+	.B2(n_34480),
+	.C1(n_18279),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[304]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663822 (
+	.A1(n_34505),
+	.A2(n_19356),
+	.B1(n_18542),
+	.B2(n_34504),
+	.C1(n_18284),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[112]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663823 (
+	.A1(n_35341),
+	.A2(n_19356),
+	.B1(n_18542),
+	.B2(n_35340),
+	.C1(n_18290),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[528]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663824 (
+	.A1(n_34468),
+	.A2(n_19356),
+	.B1(n_18542),
+	.B2(n_34467),
+	.C1(n_18289),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[784]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663825 (
+	.A1(n_19356),
+	.A2(n_35330),
+	.B1(n_18542),
+	.B2(n_35329),
+	.C1(n_18314),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[368]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663826 (
+	.A1(n_19356),
+	.A2(n_34474),
+	.B1(n_18542),
+	.B2(n_34473),
+	.C1(n_18306),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[944]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663827 (
+	.A1(n_19356),
+	.A2(n_35343),
+	.B1(n_18542),
+	.B2(n_35342),
+	.C1(n_18300),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[976]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663828 (
+	.A1(n_34459),
+	.A2(n_19356),
+	.B1(n_18542),
+	.B2(n_34458),
+	.C1(n_18309),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[752]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663829 (
+	.A1(n_34462),
+	.A2(n_19356),
+	.B1(n_18542),
+	.B2(n_34461),
+	.C1(n_18340),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[816]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663830 (
+	.A1(n_34465),
+	.A2(n_19356),
+	.B1(n_18542),
+	.B2(n_34464),
+	.C1(n_18338),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[848]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663831 (
+	.A1(n_34444),
+	.A2(n_19356),
+	.B1(n_18542),
+	.B2(n_34443),
+	.C1(n_18332),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[560]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663832 (
+	.A1(n_34447),
+	.A2(n_19356),
+	.B1(n_18542),
+	.B2(n_34446),
+	.C1(n_18333),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[592]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663833 (
+	.A1(n_19356),
+	.A2(n_34477),
+	.B1(n_18542),
+	.B2(n_34476),
+	.C1(n_18363),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[1008]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663834 (
+	.A1(n_34471),
+	.A2(n_19356),
+	.B1(n_18542),
+	.B2(n_34470),
+	.C1(n_18379),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[880]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663835 (
+	.A1(n_34490),
+	.A2(n_19356),
+	.B1(n_18542),
+	.B2(n_34489),
+	.C1(n_18380),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[624]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g663836 (
+	.A(n_19357),
+	.Y(n_34392), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g663837 (
+	.A1(n_18110),
+	.A2(n_19353),
+	.B1(n_34384),
+	.Y(n_19357), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g663838 (
+	.A(n_19355),
+	.B(n_18213),
+	.Y(n_19356), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663839 (
+	.A1(n_34499),
+	.A2(n_19354),
+	.B1(n_18180),
+	.B2(n_34497),
+	.C1(n_18651),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[175]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g663840 (
+	.A(n_43023),
+	.B_N(n_34359),
+	.Y(n_19355), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g663841 (
+	.A1(n_35341),
+	.A2(n_19354),
+	.B1(n_18846),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[527]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g663842 (
+	.A1(n_34468),
+	.A2(n_19354),
+	.B1(n_18845),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[783]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663843 (
+	.A1(n_34508),
+	.A2(n_19354),
+	.B1(n_18180),
+	.B2(n_34506),
+	.C1(n_18663),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[79]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g663844 (
+	.A1(n_34487),
+	.A2(n_19354),
+	.B1(n_18862),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[911]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663845 (
+	.A1(n_34511),
+	.A2(n_19354),
+	.B1(n_18180),
+	.B2(n_34509),
+	.C1(n_18660),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[47]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g663846 (
+	.A1(n_34453),
+	.A2(n_19354),
+	.B1(n_18841),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[687]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g663847 (
+	.A1(n_34456),
+	.A2(n_19354),
+	.B1(n_18840),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[719]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g663848 (
+	.A1(n_34496),
+	.A2(n_19354),
+	.B1(n_18847),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[239]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g663849 (
+	.A1(n_34474),
+	.A2(n_19354),
+	.B1(n_18887),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[943]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663850 (
+	.A1(n_34493),
+	.A2(n_19354),
+	.B1(n_18180),
+	.B2(n_34491),
+	.C1(n_18652),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[207]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663851 (
+	.A1(n_19354),
+	.A2(n_35336),
+	.B1(n_18180),
+	.B2(n_35334),
+	.C1(n_18629),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[463]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663852 (
+	.A1(n_19354),
+	.A2(n_35324),
+	.B1(n_18180),
+	.B2(n_35322),
+	.C1(n_18638),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[271]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g663853 (
+	.A1(n_34477),
+	.A2(n_19354),
+	.B1(n_18848),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[1007]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663854 (
+	.A(n_19353),
+	.B(n_18110),
+	.Y(n_34384), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663855 (
+	.A1(n_19354),
+	.A2(n_35330),
+	.B1(n_18180),
+	.B2(n_35328),
+	.C1(n_18745),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[367]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663856 (
+	.A1(n_34459),
+	.A2(n_19354),
+	.B1(n_18180),
+	.B2(n_34457),
+	.C1(n_18665),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[751]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663857 (
+	.A1(n_19354),
+	.A2(n_35327),
+	.B1(n_18180),
+	.B2(n_35325),
+	.C1(n_18676),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[335]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663858 (
+	.A1(n_19354),
+	.A2(n_34481),
+	.B1(n_18180),
+	.B2(n_34479),
+	.C1(n_18674),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[303]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663859 (
+	.A1(n_34505),
+	.A2(n_19354),
+	.B1(n_18180),
+	.B2(n_34503),
+	.C1(n_18704),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[111]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663860 (
+	.A1(n_19354),
+	.A2(n_35339),
+	.B1(n_18180),
+	.B2(n_35337),
+	.C1(n_18657),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[495]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663861 (
+	.A1(n_35343),
+	.A2(n_19354),
+	.B1(n_18180),
+	.B2(n_34478),
+	.C1(n_18776),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[975]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663862 (
+	.A1(n_19354),
+	.A2(n_35333),
+	.B1(n_18180),
+	.B2(n_35331),
+	.C1(n_18628),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[431]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663863 (
+	.A1(n_34450),
+	.A2(n_19354),
+	.B1(n_18180),
+	.B2(n_34448),
+	.C1(n_18630),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[655]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663864 (
+	.A1(n_19354),
+	.A2(n_34484),
+	.B1(n_18180),
+	.B2(n_34482),
+	.C1(n_18631),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[399]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663865 (
+	.A1(n_34462),
+	.A2(n_19354),
+	.B1(n_18180),
+	.B2(n_34460),
+	.C1(n_18739),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[815]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663866 (
+	.A1(n_34465),
+	.A2(n_19354),
+	.B1(n_18180),
+	.B2(n_34463),
+	.C1(n_18732),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[847]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663867 (
+	.A1(n_34444),
+	.A2(n_19354),
+	.B1(n_18180),
+	.B2(n_34442),
+	.C1(n_18725),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[559]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663868 (
+	.A1(n_34447),
+	.A2(n_19354),
+	.B1(n_18180),
+	.B2(n_34445),
+	.C1(n_18718),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[591]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663869 (
+	.A1(n_34502),
+	.A2(n_19354),
+	.B1(n_18180),
+	.B2(n_34500),
+	.C1(n_18696),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[143]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663870 (
+	.A1(n_34471),
+	.A2(n_19354),
+	.B1(n_18180),
+	.B2(n_34469),
+	.C1(n_18764),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[879]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663871 (
+	.A1(n_34490),
+	.A2(n_19354),
+	.B1(n_18180),
+	.B2(n_34488),
+	.C1(n_18759),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[623]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g663872 (
+	.A1(n_19351),
+	.A2(n_18109),
+	.B1(n_19353),
+	.Y(n_34359), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g663873 (
+	.A1(n_19352),
+	.A2(n_43023),
+	.B1(n_18214),
+	.X(n_19354), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g663874 (
+	.A(n_18109),
+	.B(n_19351),
+	.Y(n_19353), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g663875 (
+	.A(n_19352),
+	.Y(n_34376), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g663876 (
+	.A1(n_34505),
+	.A2(n_19349),
+	.B1(n_18786),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[107]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g663877 (
+	.A1(n_19349),
+	.A2(n_35327),
+	.B1(n_18797),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[331]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g663878 (
+	.A1(n_34496),
+	.A2(n_19349),
+	.B1(n_18815),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[235]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663879 (
+	.A1(n_19349),
+	.A2(n_34484),
+	.B1(n_32259),
+	.B2(n_34482),
+	.C1(n_18714),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[395]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g663880 (
+	.A1(n_34499),
+	.A2(n_19349),
+	.B1(n_18801),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[171]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g663881 (
+	.A1(n_19349),
+	.A2(n_35336),
+	.B1(n_18811),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[459]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g663882 (
+	.A1(n_19349),
+	.A2(n_35333),
+	.B1(n_18793),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[427]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g663883 (
+	.A1(n_19349),
+	.A2(n_35330),
+	.B1(n_18820),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[363]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g663884 (
+	.A1(n_34508),
+	.A2(n_19349),
+	.B1(n_18803),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[75]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g663885 (
+	.A1(n_34511),
+	.A2(n_19349),
+	.B1(n_18782),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[43]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g663886 (
+	.A1(n_19349),
+	.A2(n_35324),
+	.B1(n_18807),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[267]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g663887 (
+	.A1(n_34493),
+	.A2(n_19349),
+	.B1(n_18813),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[203]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663888 (
+	.A1(n_34502),
+	.A2(n_19349),
+	.B1(n_32259),
+	.B2(n_34500),
+	.C1(n_18771),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[139]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g663889 (
+	.A1(n_34450),
+	.A2(n_19349),
+	.B1(n_18819),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[651]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g663890 (
+	.A1(n_19349),
+	.A2(n_35339),
+	.B1(n_18781),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[491]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g663891 (
+	.A1(n_18108),
+	.A2(n_19350),
+	.B1(n_19351),
+	.Y(n_19352), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663892 (
+	.A1(n_34490),
+	.A2(n_19349),
+	.B1(n_32259),
+	.B2(n_34488),
+	.C1(n_18758),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[619]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663893 (
+	.A1(n_19349),
+	.A2(n_34481),
+	.B1(n_32259),
+	.B2(n_34479),
+	.C1(n_18706),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[299]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663894 (
+	.A1(n_35341),
+	.A2(n_19349),
+	.B1(n_32259),
+	.B2(n_34441),
+	.C1(n_18710),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[523]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663895 (
+	.A1(n_19349),
+	.A2(n_34468),
+	.B1(n_32259),
+	.B2(n_34466),
+	.C1(n_18708),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[779]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663896 (
+	.A1(n_34453),
+	.A2(n_19349),
+	.B1(n_32259),
+	.B2(n_34451),
+	.C1(n_18712),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[683]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663897 (
+	.A1(n_34456),
+	.A2(n_19349),
+	.B1(n_32259),
+	.B2(n_34454),
+	.C1(n_18711),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[715]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663898 (
+	.A1(n_19349),
+	.A2(n_34474),
+	.B1(n_32259),
+	.B2(n_34472),
+	.C1(n_18713),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[939]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663899 (
+	.A1(n_19349),
+	.A2(n_35343),
+	.B1(n_32259),
+	.B2(n_34478),
+	.C1(n_18707),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[971]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663900 (
+	.A1(n_19349),
+	.A2(n_34477),
+	.B1(n_32259),
+	.B2(n_34475),
+	.C1(n_18750),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[1003]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663901 (
+	.A1(n_34459),
+	.A2(n_19349),
+	.B1(n_32259),
+	.B2(n_34457),
+	.C1(n_18709),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[747]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663902 (
+	.A1(n_19349),
+	.A2(n_34462),
+	.B1(n_32259),
+	.B2(n_34460),
+	.C1(n_18738),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[811]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663903 (
+	.A1(n_19349),
+	.A2(n_34465),
+	.B1(n_32259),
+	.B2(n_34463),
+	.C1(n_18731),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[843]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663904 (
+	.A1(n_34444),
+	.A2(n_19349),
+	.B1(n_32259),
+	.B2(n_34442),
+	.C1(n_18724),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[555]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663905 (
+	.A1(n_34447),
+	.A2(n_19349),
+	.B1(n_32259),
+	.B2(n_34445),
+	.C1(n_18717),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[587]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663906 (
+	.A1(n_19349),
+	.A2(n_34471),
+	.B1(n_32259),
+	.B2(n_34469),
+	.C1(n_18761),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[875]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663907 (
+	.A1(n_19349),
+	.A2(n_34487),
+	.B1(n_32259),
+	.B2(n_34485),
+	.C1(n_18705),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[907]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663908 (
+	.A(n_19350),
+	.B(n_18108),
+	.Y(n_19351), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g663909 (
+	.A(n_34393),
+	.B(n_34394),
+	.Y(n_19350), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211a_2 g663910 (
+	.A1(n_19348),
+	.A2(n_43023),
+	.B1(n_17915),
+	.C1(n_18191),
+	.X(n_19349), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g663911 (
+	.A(n_34395),
+	.B(n_34396),
+	.X(n_34394), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g663912 (
+	.A(n_34397),
+	.B(n_34398),
+	.X(n_34396), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g663914 (
+	.A(n_19327),
+	.B(n_18901),
+	.C(n_18131),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_data_in[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g663915 (
+	.A(n_19330),
+	.B(n_18902),
+	.C(n_18124),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_data_in[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g663916 (
+	.A(n_19329),
+	.B(n_18901),
+	.C(n_18123),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_data_in[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g663917 (
+	.A(n_19328),
+	.B(n_18902),
+	.C(n_18119),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_data_in[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g663918 (
+	.A(n_19316),
+	.B(n_18908),
+	.C(n_18120),
+	.Y(brqrv_top_brqrv_dma_ctrl_n_1429), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g663919 (
+	.A(n_19318),
+	.B(n_18908),
+	.C(n_18127),
+	.Y(brqrv_top_brqrv_dma_ctrl_n_1431), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g663920 (
+	.A(n_19317),
+	.B(n_18907),
+	.C(n_18126),
+	.Y(brqrv_top_brqrv_dma_ctrl_n_1430), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g663921 (
+	.A1(n_18107),
+	.A2(n_19347),
+	.B1(n_34398),
+	.Y(n_19348), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g663922 (
+	.A(n_19291),
+	.B(n_18903),
+	.C(n_18130),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[28]_1562 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g663923 (
+	.A(n_19306),
+	.B(n_18906),
+	.C(n_18128),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[31]_1499 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g663924 (
+	.A(n_19305),
+	.B(n_18905),
+	.C(n_18129),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[30]_1498 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g663925 (
+	.A(n_19304),
+	.B(n_18906),
+	.C(n_18121),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[29]_1497 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g663926 (
+	.A(n_19303),
+	.B(n_18905),
+	.C(n_18133),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[28]_1496 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g663927 (
+	.A(n_19294),
+	.B(n_18904),
+	.C(n_18122),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[31]_1565 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g663928 (
+	.A(n_19293),
+	.B(n_18903),
+	.C(n_18125),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[30]_1564 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g663929 (
+	.A(n_19292),
+	.B(n_18904),
+	.C(n_18138),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[29]_1563 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g663930 (
+	.A(n_19315),
+	.B(n_18907),
+	.C(n_18132),
+	.Y(brqrv_top_brqrv_dma_ctrl_n_1428), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g663931 (
+	.A1(n_35369),
+	.A2(n_18470),
+	.B1(n_19192),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[45]_1645 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g663932 (
+	.A1(n_34623),
+	.A2(n_17439),
+	.B1(n_19076),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[20]_1488 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g663933 (
+	.A1(n_34625),
+	.A2(n_8318),
+	.B1(n_19166),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_data_in[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g663934 (
+	.A1(n_34627),
+	.A2(n_17439),
+	.B1(n_19095),
+	.Y(brqrv_top_brqrv_dma_ctrl_n_1420), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g663935 (
+	.A1(n_34627),
+	.A2(n_1983),
+	.B1(n_19091),
+	.Y(brqrv_top_brqrv_dma_ctrl_n_1416), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g663936 (
+	.A1(n_34625),
+	.A2(n_18493),
+	.B1(n_19165),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_data_in[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g663937 (
+	.A1(n_34625),
+	.A2(n_17391),
+	.B1(n_19106),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_data_in[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g663938 (
+	.A1(n_34625),
+	.A2(n_17390),
+	.B1(n_19105),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_data_in[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g663939 (
+	.A1(n_35371),
+	.A2(n_8318),
+	.B1(n_19158),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[11]_1545 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g663940 (
+	.A1(n_35371),
+	.A2(n_17439),
+	.B1(n_19059),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[20]_1554 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g663941 (
+	.A1(n_35371),
+	.A2(n_1983),
+	.B1(n_19055),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[16]_1550 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g663942 (
+	.A1(n_34623),
+	.A2(n_17507),
+	.B1(n_19083),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[27]_1495 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g663943 (
+	.A1(n_34623),
+	.A2(FE_DBTN13_n_36183),
+	.B1(n_19082),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[26]_1494 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g663944 (
+	.A1(n_34623),
+	.A2(n_17378),
+	.B1(n_19081),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[25]_1493 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g663945 (
+	.A1(n_34623),
+	.A2(n_17374),
+	.B1(n_19080),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[24]_1492 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g663946 (
+	.A1(n_34623),
+	.A2(n_31338),
+	.B1(n_19079),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[23]_1491 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g663947 (
+	.A1(n_34623),
+	.A2(n_17429),
+	.B1(n_19078),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[22]_1490 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g663948 (
+	.A1(n_34623),
+	.A2(n_17503),
+	.B1(n_19075),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[19]_1487 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g663949 (
+	.A1(n_34623),
+	.A2(n_17376),
+	.B1(n_19074),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[18]_1486 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g663950 (
+	.A1(n_34623),
+	.A2(n_2027),
+	.B1(n_19073),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[17]_1485 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g663951 (
+	.A1(n_34623),
+	.A2(n_17431),
+	.B1(n_19140),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[15]_1483 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g663952 (
+	.A1(n_34623),
+	.A2(n_17504),
+	.B1(n_19137),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[12]_1480 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g663953 (
+	.A1(n_35371),
+	.A2(n_18493),
+	.B1(n_19157),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[10]_1544 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g663954 (
+	.A1(n_35371),
+	.A2(n_17391),
+	.B1(n_19051),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[3]_1537 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g663955 (
+	.A1(n_35371),
+	.A2(n_17390),
+	.B1(n_19050),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[2]_1536 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g663956 (
+	.A1(n_34627),
+	.A2(n_8318),
+	.B1(n_19144),
+	.Y(brqrv_top_brqrv_dma_ctrl_n_1411), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g663957 (
+	.A1(n_35369),
+	.A2(n_17507),
+	.B1(n_19132),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[27]_1627 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g663958 (
+	.A1(n_35369),
+	.A2(n_31338),
+	.B1(n_19131),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[23]_1623 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g663959 (
+	.A1(n_35369),
+	.A2(n_17503),
+	.B1(n_19129),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[19]_1619 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g663960 (
+	.A1(n_35369),
+	.A2(n_17431),
+	.B1(n_19154),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[15]_1615 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g663961 (
+	.A1(n_35369),
+	.A2(n_17504),
+	.B1(n_19151),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[12]_1612 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g663962 (
+	.A1(n_34625),
+	.A2(n_17439),
+	.B1(n_19114),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_data_in[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g663963 (
+	.A1(n_34625),
+	.A2(n_1983),
+	.B1(n_19110),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_data_in[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g663964 (
+	.A1(n_34627),
+	.A2(n_18493),
+	.B1(n_19143),
+	.Y(brqrv_top_brqrv_dma_ctrl_n_1410), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g663965 (
+	.A1(n_34627),
+	.A2(n_17391),
+	.B1(n_19087),
+	.Y(brqrv_top_brqrv_dma_ctrl_n_1403), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g663966 (
+	.A1(n_34627),
+	.A2(n_17390),
+	.B1(n_19086),
+	.Y(brqrv_top_brqrv_dma_ctrl_n_1402), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g663967 (
+	.A1(n_34627),
+	.A2(n_17507),
+	.B1(n_19102),
+	.Y(brqrv_top_brqrv_dma_ctrl_n_1427), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g663968 (
+	.A1(n_34627),
+	.A2(FE_DBTN13_n_36183),
+	.B1(n_19101),
+	.Y(brqrv_top_brqrv_dma_ctrl_n_1426), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g663969 (
+	.A1(n_34627),
+	.A2(n_17378),
+	.B1(n_19100),
+	.Y(brqrv_top_brqrv_dma_ctrl_n_1425), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g663970 (
+	.A1(n_34627),
+	.A2(n_17374),
+	.B1(n_19099),
+	.Y(brqrv_top_brqrv_dma_ctrl_n_1424), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g663971 (
+	.A1(n_34627),
+	.A2(n_31338),
+	.B1(n_19098),
+	.Y(brqrv_top_brqrv_dma_ctrl_n_1423), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g663972 (
+	.A1(n_34627),
+	.A2(n_17429),
+	.B1(n_19097),
+	.Y(brqrv_top_brqrv_dma_ctrl_n_1422), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g663973 (
+	.A1(n_34627),
+	.A2(n_17503),
+	.B1(n_19094),
+	.Y(brqrv_top_brqrv_dma_ctrl_n_1419), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g663974 (
+	.A1(n_34627),
+	.A2(n_17376),
+	.B1(n_19093),
+	.Y(brqrv_top_brqrv_dma_ctrl_n_1418), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g663975 (
+	.A1(n_34627),
+	.A2(n_2027),
+	.B1(n_19092),
+	.Y(brqrv_top_brqrv_dma_ctrl_n_1417), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g663976 (
+	.A1(n_34627),
+	.A2(n_17431),
+	.B1(n_19148),
+	.Y(brqrv_top_brqrv_dma_ctrl_n_1415), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g663977 (
+	.A1(n_34627),
+	.A2(n_17504),
+	.B1(n_19145),
+	.Y(brqrv_top_brqrv_dma_ctrl_n_1412), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g663978 (
+	.A1(n_35369),
+	.A2(n_17391),
+	.B1(n_19125),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[3]_1603 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g663979 (
+	.A1(n_35369),
+	.A2(n_17390),
+	.B1(n_19124),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[2]_1602 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g663980 (
+	.A1(n_34623),
+	.A2(n_8318),
+	.B1(n_19136),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[11]_1479 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g663981 (
+	.A1(n_35371),
+	.A2(n_17507),
+	.B1(n_19066),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[27]_1561 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g663982 (
+	.A1(n_35371),
+	.A2(FE_DBTN13_n_36183),
+	.B1(n_19065),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[26]_1560 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g663983 (
+	.A1(n_35371),
+	.A2(n_17378),
+	.B1(n_19064),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[25]_1559 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g663984 (
+	.A1(n_35371),
+	.A2(n_17374),
+	.B1(n_19063),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[24]_1558 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g663985 (
+	.A1(n_35371),
+	.A2(n_31338),
+	.B1(n_19062),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[23]_1557 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g663986 (
+	.A1(n_35371),
+	.A2(n_17429),
+	.B1(n_19061),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[22]_1556 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g663987 (
+	.A1(n_35371),
+	.A2(n_17503),
+	.B1(n_19058),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[19]_1553 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g663988 (
+	.A1(n_35371),
+	.A2(n_17376),
+	.B1(n_19057),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[18]_1552 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g663989 (
+	.A1(n_35371),
+	.A2(n_2027),
+	.B1(n_19056),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[17]_1551 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g663990 (
+	.A1(n_35371),
+	.A2(n_17431),
+	.B1(n_19162),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[15]_1549 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g663991 (
+	.A1(n_35371),
+	.A2(n_17504),
+	.B1(n_19159),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[12]_1546 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g663992 (
+	.A1(n_34623),
+	.A2(n_18493),
+	.B1(n_19135),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[10]_1478 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g663993 (
+	.A1(n_34623),
+	.A2(n_17391),
+	.B1(n_19069),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[3]_1471 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g663994 (
+	.A1(n_34623),
+	.A2(n_17390),
+	.B1(n_19068),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[2]_1470 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g663995 (
+	.A1(n_34625),
+	.A2(n_17507),
+	.B1(n_19121),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_data_in[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g663996 (
+	.A1(n_34625),
+	.A2(FE_DBTN13_n_36183),
+	.B1(n_19120),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_data_in[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g663997 (
+	.A1(n_34625),
+	.A2(n_17378),
+	.B1(n_19119),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_data_in[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g663998 (
+	.A1(n_34625),
+	.A2(n_17374),
+	.B1(n_19118),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_data_in[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g663999 (
+	.A1(n_34625),
+	.A2(n_31338),
+	.B1(n_19117),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_data_in[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664000 (
+	.A1(n_34625),
+	.A2(n_17429),
+	.B1(n_19116),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_data_in[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g664001 (
+	.A1(n_34625),
+	.A2(n_17503),
+	.B1(n_19113),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_data_in[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664002 (
+	.A1(n_34625),
+	.A2(n_17376),
+	.B1(n_19112),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_data_in[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664003 (
+	.A1(n_34625),
+	.A2(n_2027),
+	.B1(n_19111),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_data_in[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g664004 (
+	.A1(n_34625),
+	.A2(n_17431),
+	.B1(n_19170),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_data_in[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g664005 (
+	.A1(n_34625),
+	.A2(n_17504),
+	.B1(n_19167),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_data_in[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664006 (
+	.A1(n_34623),
+	.A2(n_17408),
+	.B1(n_19077),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[21]_1489 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664007 (
+	.A1(n_35369),
+	.A2(n_17408),
+	.B1(n_19130),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[21]_1621 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664008 (
+	.A1(n_34627),
+	.A2(n_17408),
+	.B1(n_19096),
+	.Y(brqrv_top_brqrv_dma_ctrl_n_1421), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664009 (
+	.A1(n_35371),
+	.A2(n_17408),
+	.B1(n_19060),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[21]_1555 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g664010 (
+	.A1(n_35369),
+	.A2(n_17387),
+	.B1(n_19153),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[14]_1614 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g664011 (
+	.A1(n_35369),
+	.A2(n_17383),
+	.B1(n_19152),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[13]_1613 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g664012 (
+	.A1(n_35369),
+	.A2(n_1783),
+	.B1(n_19150),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[9]_1609 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g664013 (
+	.A1(n_35369),
+	.A2(n_8324),
+	.B1(n_19149),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[8]_1608 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g664014 (
+	.A1(n_35369),
+	.A2(n_18234),
+	.B1(n_19128),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[6]_1606 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g664015 (
+	.A1(n_35369),
+	.A2(n_17389),
+	.B1(n_19127),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[5]_1605 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g664016 (
+	.A1(n_35369),
+	.A2(n_18235),
+	.B1(n_19126),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[4]_1604 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g664017 (
+	.A1(n_35369),
+	.A2(n_17381),
+	.B1(n_19123),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[1]_1601 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g664018 (
+	.A1(n_35369),
+	.A2(FE_DBTN15_n_36150),
+	.B1(n_19122),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[0]_1600 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664019 (
+	.A1(n_34625),
+	.A2(n_17408),
+	.B1(n_19115),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_data_in[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g664020 (
+	.A1(n_34625),
+	.A2(n_17387),
+	.B1(n_19169),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_data_in[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g664021 (
+	.A1(n_34625),
+	.A2(n_17383),
+	.B1(n_19168),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_data_in[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g664022 (
+	.A1(n_34625),
+	.A2(n_1783),
+	.B1(n_19164),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_data_in[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g664023 (
+	.A1(n_34625),
+	.A2(n_8324),
+	.B1(n_19163),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_data_in[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g664024 (
+	.A1(n_34625),
+	.A2(n_18234),
+	.B1(n_19109),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_data_in[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g664025 (
+	.A1(n_34625),
+	.A2(n_17389),
+	.B1(n_19108),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_data_in[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g664026 (
+	.A1(n_34625),
+	.A2(n_18235),
+	.B1(n_19107),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_data_in[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g664027 (
+	.A1(n_34625),
+	.A2(n_17381),
+	.B1(n_19104),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_data_in[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g664028 (
+	.A1(n_34625),
+	.A2(FE_DBTN15_n_36150),
+	.B1(n_19103),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_data_in[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g664029 (
+	.A1(n_34627),
+	.A2(n_17387),
+	.B1(n_19147),
+	.Y(brqrv_top_brqrv_dma_ctrl_n_1414), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g664030 (
+	.A1(n_34627),
+	.A2(n_17383),
+	.B1(n_19146),
+	.Y(brqrv_top_brqrv_dma_ctrl_n_1413), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g664031 (
+	.A1(n_34627),
+	.A2(n_1783),
+	.B1(n_19142),
+	.Y(brqrv_top_brqrv_dma_ctrl_n_1409), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g664032 (
+	.A1(n_34627),
+	.A2(n_8324),
+	.B1(n_19141),
+	.Y(brqrv_top_brqrv_dma_ctrl_n_1408), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g664033 (
+	.A1(n_34627),
+	.A2(n_18234),
+	.B1(n_19090),
+	.Y(brqrv_top_brqrv_dma_ctrl_n_1406), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g664034 (
+	.A1(n_34627),
+	.A2(n_18235),
+	.B1(n_19088),
+	.Y(brqrv_top_brqrv_dma_ctrl_n_1404), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g664035 (
+	.A1(n_34627),
+	.A2(n_17389),
+	.B1(n_19089),
+	.Y(brqrv_top_brqrv_dma_ctrl_n_1405), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g664036 (
+	.A1(n_34627),
+	.A2(n_17381),
+	.B1(n_19085),
+	.Y(brqrv_top_brqrv_dma_ctrl_n_1401), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g664037 (
+	.A1(n_34627),
+	.A2(FE_DBTN15_n_36150),
+	.B1(n_19084),
+	.Y(brqrv_top_brqrv_dma_ctrl_n_1400), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g664038 (
+	.A1(n_34623),
+	.A2(n_17387),
+	.B1(n_19139),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[14]_1482 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g664039 (
+	.A1(n_34623),
+	.A2(n_17383),
+	.B1(n_19138),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[13]_1481 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g664040 (
+	.A1(n_34623),
+	.A2(n_1783),
+	.B1(n_19134),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[9]_1477 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g664041 (
+	.A1(n_34623),
+	.A2(n_8324),
+	.B1(n_19133),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[8]_1476 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g664042 (
+	.A1(n_34623),
+	.A2(n_18234),
+	.B1(n_19072),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[6]_1474 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g664043 (
+	.A1(n_34623),
+	.A2(n_17389),
+	.B1(n_19071),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[5]_1473 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g664044 (
+	.A1(n_34623),
+	.A2(n_18235),
+	.B1(n_19070),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[4]_1472 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g664045 (
+	.A1(n_34623),
+	.A2(n_17381),
+	.B1(n_19067),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[1]_1469 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g664046 (
+	.A1(n_35371),
+	.A2(n_17387),
+	.B1(n_19161),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[14]_1548 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g664047 (
+	.A1(n_35371),
+	.A2(n_17383),
+	.B1(n_19160),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[13]_1547 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g664048 (
+	.A1(n_35371),
+	.A2(n_1783),
+	.B1(n_19156),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[9]_1543 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g664049 (
+	.A1(n_35371),
+	.A2(n_8324),
+	.B1(n_19155),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[8]_1542 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g664050 (
+	.A1(n_35371),
+	.A2(n_18234),
+	.B1(n_19054),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[6]_1540 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g664051 (
+	.A1(n_35371),
+	.A2(n_17389),
+	.B1(n_19053),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[5]_1539 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g664052 (
+	.A1(n_35371),
+	.A2(n_18235),
+	.B1(n_19052),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[4]_1538 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g664053 (
+	.A1(n_35371),
+	.A2(n_17381),
+	.B1(n_19049),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[1]_1535 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g664054 (
+	.A1(n_35371),
+	.A2(FE_DBTN15_n_36150),
+	.B1(n_19048),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[0]_1534 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664055 (
+	.A1(n_35369),
+	.A2(n_18585),
+	.B1(n_19225),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[62]_1662 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664056 (
+	.A1(n_35369),
+	.A2(n_18588),
+	.B1(n_19224),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[61]_1661 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664057 (
+	.A1(n_35369),
+	.A2(n_18587),
+	.B1(n_19223),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[60]_1660 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664058 (
+	.A1(n_35369),
+	.A2(n_18584),
+	.B1(n_19222),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[59]_1659 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664059 (
+	.A1(n_35369),
+	.A2(n_18583),
+	.B1(n_19221),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[58]_1658 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664060 (
+	.A1(n_35369),
+	.A2(n_18590),
+	.B1(n_19220),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[57]_1657 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664061 (
+	.A1(n_35369),
+	.A2(n_18589),
+	.B1(n_19219),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[56]_1656 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664062 (
+	.A1(n_35369),
+	.A2(n_18346),
+	.B1(n_19218),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[55]_1655 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664063 (
+	.A1(n_35369),
+	.A2(n_18347),
+	.B1(n_19217),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[54]_1654 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664064 (
+	.A1(n_35369),
+	.A2(n_18345),
+	.B1(n_19216),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[53]_1653 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664065 (
+	.A1(n_35369),
+	.A2(n_18348),
+	.B1(n_19215),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[52]_1652 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664066 (
+	.A1(n_35369),
+	.A2(n_18349),
+	.B1(n_19214),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[51]_1651 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664067 (
+	.A1(n_35369),
+	.A2(n_18350),
+	.B1(n_19213),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[50]_1650 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664068 (
+	.A1(n_35369),
+	.A2(n_18343),
+	.B1(n_19212),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[49]_1649 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664069 (
+	.A1(n_35369),
+	.A2(n_17741),
+	.B1(n_19211),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[48]_1648 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g664070 (
+	.A1(n_35369),
+	.A2(n_17742),
+	.B1(n_19194),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[47]_1647 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664071 (
+	.A1(n_35369),
+	.A2(n_18469),
+	.B1(n_19193),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[46]_1646 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664072 (
+	.A(n_19347),
+	.B(n_18107),
+	.Y(n_34398), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664073 (
+	.A1(n_35369),
+	.A2(n_18586),
+	.B1(n_19226),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[63]_1663 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664074 (
+	.A1(n_35369),
+	.A2(n_18524),
+	.B1(n_19190),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[43]_1643 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664075 (
+	.A1(n_35369),
+	.A2(n_18525),
+	.B1(n_19189),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[42]_1642 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664076 (
+	.A1(n_35369),
+	.A2(n_18471),
+	.B1(n_19188),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[41]_1641 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664077 (
+	.A1(n_35369),
+	.A2(n_18466),
+	.B1(n_19187),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[40]_1640 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664078 (
+	.A1(n_35369),
+	.A2(n_17881),
+	.B1(n_19198),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[39]_1639 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664079 (
+	.A1(n_35369),
+	.A2(n_18468),
+	.B1(n_19345),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[38]_1638 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664080 (
+	.A1(n_35369),
+	.A2(n_18472),
+	.B1(n_19344),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[37]_1637 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664081 (
+	.A1(n_35369),
+	.A2(n_18467),
+	.B1(n_19343),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[36]_1636 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664082 (
+	.A1(n_35369),
+	.A2(n_18504),
+	.B1(n_19342),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[35]_1635 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664083 (
+	.A1(n_35369),
+	.A2(n_18505),
+	.B1(n_19341),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[34]_1634 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664084 (
+	.A1(n_35369),
+	.A2(n_18236),
+	.B1(n_19340),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[33]_1633 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664085 (
+	.A1(n_35369),
+	.A2(n_18344),
+	.B1(n_19339),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[32]_1632 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664086 (
+	.A1(n_34625),
+	.A2(n_18586),
+	.B1(n_19290),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_data_in[63]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664087 (
+	.A1(n_34625),
+	.A2(n_18585),
+	.B1(n_19289),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_data_in[62]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664088 (
+	.A1(n_34625),
+	.A2(n_18588),
+	.B1(n_19288),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_data_in[61]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664089 (
+	.A1(n_34625),
+	.A2(n_18587),
+	.B1(n_19287),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_data_in[60]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664090 (
+	.A1(n_34625),
+	.A2(n_18584),
+	.B1(n_19286),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_data_in[59]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664091 (
+	.A1(n_34625),
+	.A2(n_18583),
+	.B1(n_19285),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_data_in[58]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664092 (
+	.A1(n_34625),
+	.A2(n_18590),
+	.B1(n_19284),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_data_in[57]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664093 (
+	.A1(n_34625),
+	.A2(n_18589),
+	.B1(n_19283),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_data_in[56]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664094 (
+	.A1(n_34625),
+	.A2(n_18346),
+	.B1(n_19282),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_data_in[55]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664095 (
+	.A1(n_34625),
+	.A2(n_18347),
+	.B1(n_19281),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_data_in[54]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664096 (
+	.A1(n_34625),
+	.A2(n_18345),
+	.B1(n_19280),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_data_in[53]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664097 (
+	.A1(n_34625),
+	.A2(n_18348),
+	.B1(n_19279),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_data_in[52]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664098 (
+	.A1(n_34625),
+	.A2(n_18349),
+	.B1(n_19278),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_data_in[51]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664099 (
+	.A1(n_34625),
+	.A2(n_18350),
+	.B1(n_19277),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_data_in[50]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664100 (
+	.A1(n_34625),
+	.A2(n_18343),
+	.B1(n_19276),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_data_in[49]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664101 (
+	.A1(n_34625),
+	.A2(n_17741),
+	.B1(n_19275),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_data_in[48]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g664102 (
+	.A1(n_34625),
+	.A2(n_17742),
+	.B1(n_19210),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_data_in[47]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664103 (
+	.A1(n_34625),
+	.A2(n_18469),
+	.B1(n_19209),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_data_in[46]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664104 (
+	.A1(n_34625),
+	.A2(n_18470),
+	.B1(n_19208),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_data_in[45]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664105 (
+	.A1(n_34625),
+	.A2(n_17743),
+	.B1(n_19207),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_data_in[44]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664106 (
+	.A1(n_34625),
+	.A2(n_18524),
+	.B1(n_19206),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_data_in[43]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664107 (
+	.A1(n_34625),
+	.A2(n_18525),
+	.B1(n_19205),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_data_in[42]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664108 (
+	.A1(n_34625),
+	.A2(n_18471),
+	.B1(n_19204),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_data_in[41]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664109 (
+	.A1(n_34625),
+	.A2(n_18466),
+	.B1(n_19203),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_data_in[40]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664110 (
+	.A1(n_34625),
+	.A2(n_17881),
+	.B1(n_19338),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_data_in[39]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664111 (
+	.A1(n_34625),
+	.A2(n_18468),
+	.B1(n_19337),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_data_in[38]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664112 (
+	.A1(n_34625),
+	.A2(n_18472),
+	.B1(n_19336),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_data_in[37]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664113 (
+	.A1(n_34625),
+	.A2(n_18467),
+	.B1(n_19335),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_data_in[36]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664114 (
+	.A1(n_34625),
+	.A2(n_18504),
+	.B1(n_19334),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_data_in[35]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664115 (
+	.A1(n_34625),
+	.A2(n_18505),
+	.B1(n_19333),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_data_in[34]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664116 (
+	.A1(n_34625),
+	.A2(n_18344),
+	.B1(n_19331),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_data_in[32]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664117 (
+	.A1(n_34625),
+	.A2(n_18236),
+	.B1(n_19332),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_data_in[33]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664118 (
+	.A1(n_34627),
+	.A2(n_18586),
+	.B1(n_19242),
+	.Y(brqrv_top_brqrv_dma_ctrl_n_1463), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664119 (
+	.A1(n_34627),
+	.A2(n_18585),
+	.B1(n_19241),
+	.Y(brqrv_top_brqrv_dma_ctrl_n_1462), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664120 (
+	.A1(n_34627),
+	.A2(n_18588),
+	.B1(n_19240),
+	.Y(brqrv_top_brqrv_dma_ctrl_n_1461), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664121 (
+	.A1(n_34627),
+	.A2(n_18587),
+	.B1(n_19239),
+	.Y(brqrv_top_brqrv_dma_ctrl_n_1460), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664122 (
+	.A1(n_34627),
+	.A2(n_18584),
+	.B1(n_19238),
+	.Y(brqrv_top_brqrv_dma_ctrl_n_1459), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664123 (
+	.A1(n_34627),
+	.A2(n_18583),
+	.B1(n_19237),
+	.Y(brqrv_top_brqrv_dma_ctrl_n_1458), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664124 (
+	.A1(n_34627),
+	.A2(n_18590),
+	.B1(n_19236),
+	.Y(brqrv_top_brqrv_dma_ctrl_n_1457), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664125 (
+	.A1(n_34627),
+	.A2(n_18589),
+	.B1(n_19235),
+	.Y(brqrv_top_brqrv_dma_ctrl_n_1456), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664126 (
+	.A1(n_34627),
+	.A2(n_18346),
+	.B1(n_19234),
+	.Y(brqrv_top_brqrv_dma_ctrl_n_1455), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664127 (
+	.A1(n_34627),
+	.A2(n_18347),
+	.B1(n_19233),
+	.Y(brqrv_top_brqrv_dma_ctrl_n_1454), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664128 (
+	.A1(n_34627),
+	.A2(n_18345),
+	.B1(n_19232),
+	.Y(brqrv_top_brqrv_dma_ctrl_n_1453), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664129 (
+	.A1(n_34627),
+	.A2(n_18348),
+	.B1(n_19231),
+	.Y(brqrv_top_brqrv_dma_ctrl_n_1452), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664130 (
+	.A1(n_34627),
+	.A2(n_18349),
+	.B1(n_19230),
+	.Y(brqrv_top_brqrv_dma_ctrl_n_1451), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664131 (
+	.A1(n_34627),
+	.A2(n_18350),
+	.B1(n_19229),
+	.Y(brqrv_top_brqrv_dma_ctrl_n_1450), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664132 (
+	.A1(n_34627),
+	.A2(n_18343),
+	.B1(n_19228),
+	.Y(brqrv_top_brqrv_dma_ctrl_n_1449), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664133 (
+	.A1(n_34627),
+	.A2(n_17741),
+	.B1(n_19227),
+	.Y(brqrv_top_brqrv_dma_ctrl_n_1448), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g664134 (
+	.A1(n_34627),
+	.A2(n_17742),
+	.B1(n_19178),
+	.Y(brqrv_top_brqrv_dma_ctrl_n_1447), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664135 (
+	.A1(n_34627),
+	.A2(n_18469),
+	.B1(n_19177),
+	.Y(brqrv_top_brqrv_dma_ctrl_n_1446), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664136 (
+	.A1(n_34627),
+	.A2(n_18470),
+	.B1(n_19176),
+	.Y(brqrv_top_brqrv_dma_ctrl_n_1445), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664137 (
+	.A1(n_34627),
+	.A2(n_17743),
+	.B1(n_19175),
+	.Y(brqrv_top_brqrv_dma_ctrl_n_1444), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664138 (
+	.A1(n_34627),
+	.A2(n_18524),
+	.B1(n_19174),
+	.Y(brqrv_top_brqrv_dma_ctrl_n_1443), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664139 (
+	.A1(n_34627),
+	.A2(n_18525),
+	.B1(n_19173),
+	.Y(brqrv_top_brqrv_dma_ctrl_n_1442), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664140 (
+	.A1(n_34627),
+	.A2(n_18471),
+	.B1(n_19172),
+	.Y(brqrv_top_brqrv_dma_ctrl_n_1441), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664141 (
+	.A1(n_34627),
+	.A2(n_18466),
+	.B1(n_19171),
+	.Y(brqrv_top_brqrv_dma_ctrl_n_1440), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664142 (
+	.A1(n_34627),
+	.A2(n_17881),
+	.B1(n_19326),
+	.Y(brqrv_top_brqrv_dma_ctrl_n_1439), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664143 (
+	.A1(n_34627),
+	.A2(n_18468),
+	.B1(n_19325),
+	.Y(brqrv_top_brqrv_dma_ctrl_n_1438), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664144 (
+	.A1(n_34627),
+	.A2(n_18472),
+	.B1(n_19324),
+	.Y(brqrv_top_brqrv_dma_ctrl_n_1437), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664145 (
+	.A1(n_34627),
+	.A2(n_18467),
+	.B1(n_19323),
+	.Y(brqrv_top_brqrv_dma_ctrl_n_1436), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664146 (
+	.A1(n_34627),
+	.A2(n_18504),
+	.B1(n_19322),
+	.Y(brqrv_top_brqrv_dma_ctrl_n_1435), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664147 (
+	.A1(n_34627),
+	.A2(n_18505),
+	.B1(n_19321),
+	.Y(brqrv_top_brqrv_dma_ctrl_n_1434), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664148 (
+	.A1(n_34627),
+	.A2(n_18236),
+	.B1(n_19320),
+	.Y(brqrv_top_brqrv_dma_ctrl_n_1433), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664149 (
+	.A1(n_34627),
+	.A2(n_18344),
+	.B1(n_19319),
+	.Y(brqrv_top_brqrv_dma_ctrl_n_1432), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664150 (
+	.A1(n_34623),
+	.A2(n_18586),
+	.B1(n_19274),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[63]_1531 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664151 (
+	.A1(n_34623),
+	.A2(n_18585),
+	.B1(n_19273),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[62]_1530 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664152 (
+	.A1(n_34623),
+	.A2(n_18588),
+	.B1(n_19272),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[61]_1529 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664153 (
+	.A1(n_34623),
+	.A2(n_18587),
+	.B1(n_19271),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[60]_1528 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664154 (
+	.A1(n_34623),
+	.A2(n_18584),
+	.B1(n_19270),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[59]_1527 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664155 (
+	.A1(n_34623),
+	.A2(n_18583),
+	.B1(n_19269),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[58]_1526 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664156 (
+	.A1(n_34623),
+	.A2(n_18590),
+	.B1(n_19268),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[57]_1525 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664157 (
+	.A1(n_34623),
+	.A2(n_18589),
+	.B1(n_19267),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[56]_1524 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664158 (
+	.A1(n_34623),
+	.A2(n_18346),
+	.B1(n_19266),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[55]_1523 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664159 (
+	.A1(n_34623),
+	.A2(n_18347),
+	.B1(n_19265),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[54]_1522 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664160 (
+	.A1(n_34623),
+	.A2(n_18345),
+	.B1(n_19264),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[53]_1521 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664161 (
+	.A1(n_34623),
+	.A2(n_18348),
+	.B1(n_19263),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[52]_1520 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664162 (
+	.A1(n_34623),
+	.A2(n_18349),
+	.B1(n_19262),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[51]_1519 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664163 (
+	.A1(n_34623),
+	.A2(n_18350),
+	.B1(n_19261),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[50]_1518 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664164 (
+	.A1(n_34623),
+	.A2(n_18343),
+	.B1(n_19260),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[49]_1517 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664165 (
+	.A1(n_34623),
+	.A2(n_17741),
+	.B1(n_19259),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[48]_1516 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g664166 (
+	.A1(n_34623),
+	.A2(n_17742),
+	.B1(n_19186),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[47]_1515 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664167 (
+	.A1(n_34623),
+	.A2(n_18469),
+	.B1(n_19185),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[46]_1514 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664168 (
+	.A1(n_34623),
+	.A2(n_18470),
+	.B1(n_19184),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[45]_1513 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664169 (
+	.A1(n_34623),
+	.A2(n_17743),
+	.B1(n_19183),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[44]_1512 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664170 (
+	.A1(n_34623),
+	.A2(n_18524),
+	.B1(n_19182),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[43]_1511 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664171 (
+	.A1(n_34623),
+	.A2(n_18525),
+	.B1(n_19181),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[42]_1510 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664172 (
+	.A1(n_34623),
+	.A2(n_18471),
+	.B1(n_19180),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[41]_1509 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664173 (
+	.A1(n_34623),
+	.A2(n_18466),
+	.B1(n_19179),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[40]_1508 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664174 (
+	.A1(n_34623),
+	.A2(n_17881),
+	.B1(n_19314),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[39]_1507 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664175 (
+	.A1(n_34623),
+	.A2(n_18468),
+	.B1(n_19313),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[38]_1506 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664176 (
+	.A1(n_34623),
+	.A2(n_18472),
+	.B1(n_19312),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[37]_1505 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664177 (
+	.A1(n_34623),
+	.A2(n_18467),
+	.B1(n_19311),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[36]_1504 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664178 (
+	.A1(n_34623),
+	.A2(n_18504),
+	.B1(n_19310),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[35]_1503 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664179 (
+	.A1(n_34623),
+	.A2(n_18505),
+	.B1(n_19309),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[34]_1502 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664180 (
+	.A1(n_34623),
+	.A2(n_18236),
+	.B1(n_19308),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[33]_1501 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664181 (
+	.A1(n_34623),
+	.A2(n_18344),
+	.B1(n_19307),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[32]_1500 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664182 (
+	.A1(n_35371),
+	.A2(n_18586),
+	.B1(n_19258),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[63]_1597 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664183 (
+	.A1(n_35371),
+	.A2(n_18585),
+	.B1(n_19257),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[62]_1596 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664184 (
+	.A1(n_35371),
+	.A2(n_18588),
+	.B1(n_19256),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[61]_1595 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664185 (
+	.A1(n_35371),
+	.A2(n_18587),
+	.B1(n_19255),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[60]_1594 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664186 (
+	.A1(n_35371),
+	.A2(n_18584),
+	.B1(n_19254),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[59]_1593 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664187 (
+	.A1(n_35371),
+	.A2(n_18583),
+	.B1(n_19253),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[58]_1592 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664188 (
+	.A1(n_35371),
+	.A2(n_18590),
+	.B1(n_19252),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[57]_1591 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664189 (
+	.A1(n_35371),
+	.A2(n_18589),
+	.B1(n_19251),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[56]_1590 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664190 (
+	.A1(n_35371),
+	.A2(n_18346),
+	.B1(n_19250),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[55]_1589 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664191 (
+	.A1(n_35371),
+	.A2(n_18347),
+	.B1(n_19249),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[54]_1588 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664192 (
+	.A1(n_35371),
+	.A2(n_18345),
+	.B1(n_19248),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[53]_1587 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664193 (
+	.A1(n_35371),
+	.A2(n_18348),
+	.B1(n_19247),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[52]_1586 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664194 (
+	.A1(n_35371),
+	.A2(n_18349),
+	.B1(n_19246),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[51]_1585 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664195 (
+	.A1(n_35371),
+	.A2(n_18350),
+	.B1(n_19245),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[50]_1584 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664196 (
+	.A1(n_35371),
+	.A2(n_18343),
+	.B1(n_19244),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[49]_1583 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664197 (
+	.A1(n_35371),
+	.A2(n_17741),
+	.B1(n_19243),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[48]_1582 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g664198 (
+	.A1(n_35371),
+	.A2(n_17742),
+	.B1(n_19202),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[47]_1581 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664199 (
+	.A1(n_35371),
+	.A2(n_18469),
+	.B1(n_19201),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[46]_1580 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664200 (
+	.A1(n_35371),
+	.A2(n_18470),
+	.B1(n_19200),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[45]_1579 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664201 (
+	.A1(n_35371),
+	.A2(n_17743),
+	.B1(n_19199),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[44]_1578 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664202 (
+	.A1(n_35371),
+	.A2(n_18524),
+	.B1(n_19346),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[43]_1577 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664203 (
+	.A1(n_35371),
+	.A2(n_18525),
+	.B1(n_19197),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[42]_1576 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664204 (
+	.A1(n_35371),
+	.A2(n_18471),
+	.B1(n_19196),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[41]_1575 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664205 (
+	.A1(n_35371),
+	.A2(n_18466),
+	.B1(n_19195),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[40]_1574 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664206 (
+	.A1(n_35371),
+	.A2(n_17881),
+	.B1(n_19302),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[39]_1573 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664207 (
+	.A1(n_35371),
+	.A2(n_18468),
+	.B1(n_19301),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[38]_1572 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664208 (
+	.A1(n_35371),
+	.A2(n_18472),
+	.B1(n_19300),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[37]_1571 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664209 (
+	.A1(n_35371),
+	.A2(n_18467),
+	.B1(n_19299),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[36]_1570 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664210 (
+	.A1(n_35371),
+	.A2(n_18504),
+	.B1(n_19298),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[35]_1569 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664211 (
+	.A1(n_35371),
+	.A2(n_18505),
+	.B1(n_19297),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[34]_1568 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664212 (
+	.A1(n_35371),
+	.A2(n_18236),
+	.B1(n_19296),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[33]_1567 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664213 (
+	.A1(n_35371),
+	.A2(n_18344),
+	.B1(n_19295),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[32]_1566 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664214 (
+	.A1(n_35369),
+	.A2(n_17743),
+	.B1(n_19191),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[44]_1644 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664215 (
+	.A1(n_17352),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[43]),
+	.B1(n_18933),
+	.Y(n_19346), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664216 (
+	.A1(n_1542),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[38]),
+	.B1(n_19047),
+	.Y(n_19345), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664217 (
+	.A1(n_1542),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[37]),
+	.B1(n_19046),
+	.Y(n_19344), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664218 (
+	.A1(n_1542),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[36]),
+	.B1(n_19045),
+	.Y(n_19343), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664219 (
+	.A1(n_1542),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[35]),
+	.B1(n_19044),
+	.Y(n_19342), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664220 (
+	.A1(n_1542),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[34]),
+	.B1(n_19043),
+	.Y(n_19341), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664221 (
+	.A1(n_1542),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[33]),
+	.B1(n_19042),
+	.Y(n_19340), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664222 (
+	.A1(n_1542),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[32]),
+	.B1(n_19041),
+	.Y(n_19339), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g664223 (
+	.A1(n_18140),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[39]),
+	.B1_N(n_34951),
+	.Y(n_19338), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664224 (
+	.A1(n_18140),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[38]),
+	.B1(n_19040),
+	.Y(n_19337), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664225 (
+	.A1(n_18140),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[37]),
+	.B1(n_19039),
+	.Y(n_19336), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664226 (
+	.A1(n_18140),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[36]),
+	.B1(n_19038),
+	.Y(n_19335), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664227 (
+	.A1(n_18140),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[35]),
+	.B1(n_19037),
+	.Y(n_19334), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664228 (
+	.A1(n_18140),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[34]),
+	.B1(n_19036),
+	.Y(n_19333), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664229 (
+	.A1(n_18140),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[33]),
+	.B1(n_19035),
+	.Y(n_19332), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664230 (
+	.A1(n_18140),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[32]),
+	.B1(n_19034),
+	.Y(n_19331), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664231 (
+	.A1(n_18140),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[31]),
+	.B1(n_19008),
+	.Y(n_19330), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664232 (
+	.A1(n_18140),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[30]),
+	.B1(n_19007),
+	.Y(n_19329), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664233 (
+	.A1(n_18140),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[29]),
+	.B1(n_19006),
+	.Y(n_19328), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664234 (
+	.A1(n_18140),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[28]),
+	.B1(n_19005),
+	.Y(n_19327), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g664235 (
+	.A1(n_18139),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[39]),
+	.B1_N(n_34942),
+	.Y(n_19326), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664236 (
+	.A1(n_18139),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[38]),
+	.B1(n_19033),
+	.Y(n_19325), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664237 (
+	.A1(n_18139),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[37]),
+	.B1(n_19032),
+	.Y(n_19324), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664238 (
+	.A1(n_18139),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[36]),
+	.B1(n_19031),
+	.Y(n_19323), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664239 (
+	.A1(n_18139),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[35]),
+	.B1(n_19030),
+	.Y(n_19322), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664240 (
+	.A1(n_18139),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[34]),
+	.B1(n_19029),
+	.Y(n_19321), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664241 (
+	.A1(n_18139),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[33]),
+	.B1(n_19028),
+	.Y(n_19320), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664242 (
+	.A1(n_18139),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[32]),
+	.B1(n_19027),
+	.Y(n_19319), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664243 (
+	.A1(n_18139),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[31]),
+	.B1(n_18992),
+	.Y(n_19318), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664244 (
+	.A1(n_18139),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[30]),
+	.B1(n_18991),
+	.Y(n_19317), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664245 (
+	.A1(n_18139),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[29]),
+	.B1(n_18990),
+	.Y(n_19316), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664246 (
+	.A1(n_18139),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[28]),
+	.B1(n_18989),
+	.Y(n_19315), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g664247 (
+	.A1(n_18141),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[39]),
+	.B1_N(n_34940),
+	.Y(n_19314), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664248 (
+	.A1(n_18141),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[38]),
+	.B1(n_19026),
+	.Y(n_19313), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664249 (
+	.A1(n_18141),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[37]),
+	.B1(n_19025),
+	.Y(n_19312), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664250 (
+	.A1(n_18141),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[36]),
+	.B1(n_19024),
+	.Y(n_19311), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664251 (
+	.A1(n_18141),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[35]),
+	.B1(n_19023),
+	.Y(n_19310), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664252 (
+	.A1(n_18141),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[34]),
+	.B1(n_19022),
+	.Y(n_19309), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664253 (
+	.A1(n_18141),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[33]),
+	.B1(n_19021),
+	.Y(n_19308), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g664254 (
+	.A1(n_18141),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[32]),
+	.B1_N(n_34939),
+	.Y(n_19307), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664255 (
+	.A1(n_18141),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[31]),
+	.B1(n_18975),
+	.Y(n_19306), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664256 (
+	.A1(n_18141),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[30]),
+	.B1(n_18974),
+	.Y(n_19305), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664257 (
+	.A1(n_18141),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[29]),
+	.B1(n_18973),
+	.Y(n_19304), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664258 (
+	.A1(n_18141),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[28]),
+	.B1(n_18972),
+	.Y(n_19303), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g664259 (
+	.A1(n_17352),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[39]),
+	.B1_N(n_34938),
+	.Y(n_19302), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664260 (
+	.A1(n_17352),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[38]),
+	.B1(n_19020),
+	.Y(n_19301), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664261 (
+	.A1(n_17352),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[37]),
+	.B1(n_19019),
+	.Y(n_19300), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664262 (
+	.A1(n_17352),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[36]),
+	.B1(n_19018),
+	.Y(n_19299), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664263 (
+	.A1(n_17352),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[35]),
+	.B1(n_19017),
+	.Y(n_19298), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664264 (
+	.A1(n_17352),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[34]),
+	.B1(n_19016),
+	.Y(n_19297), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664265 (
+	.A1(n_17352),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[33]),
+	.B1(n_19015),
+	.Y(n_19296), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664266 (
+	.A1(n_17352),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[32]),
+	.B1(n_19014),
+	.Y(n_19295), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664267 (
+	.A1(n_17352),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[31]),
+	.B1(n_18961),
+	.Y(n_19294), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664268 (
+	.A1(n_17352),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[30]),
+	.B1(n_18960),
+	.Y(n_19293), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664269 (
+	.A1(n_17352),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[29]),
+	.B1(n_18959),
+	.Y(n_19292), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664270 (
+	.A1(n_17352),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[28]),
+	.B1(n_18958),
+	.Y(n_19291), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664271 (
+	.A1(n_18140),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[63]),
+	.B1(n_19008),
+	.Y(n_19290), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664272 (
+	.A1(n_18140),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[62]),
+	.B1(n_19007),
+	.Y(n_19289), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664273 (
+	.A1(n_18140),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[61]),
+	.B1(n_19006),
+	.Y(n_19288), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664274 (
+	.A1(n_18140),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[60]),
+	.B1(n_19005),
+	.Y(n_19287), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664275 (
+	.A1(n_18140),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[59]),
+	.B1(n_19004),
+	.Y(n_19286), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664276 (
+	.A1(n_18140),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[58]),
+	.B1(n_19003),
+	.Y(n_19285), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664277 (
+	.A1(n_18140),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[57]),
+	.B1(n_19002),
+	.Y(n_19284), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664278 (
+	.A1(n_18140),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[56]),
+	.B1(n_19001),
+	.Y(n_19283), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664279 (
+	.A1(n_18140),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[55]),
+	.B1(n_19000),
+	.Y(n_19282), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664280 (
+	.A1(n_18140),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[54]),
+	.B1(n_18999),
+	.Y(n_19281), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664281 (
+	.A1(n_18140),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[53]),
+	.B1(n_18998),
+	.Y(n_19280), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664282 (
+	.A1(n_18140),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[52]),
+	.B1(n_18997),
+	.Y(n_19279), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664283 (
+	.A1(n_18140),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[51]),
+	.B1(n_18996),
+	.Y(n_19278), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664284 (
+	.A1(n_18140),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[50]),
+	.B1(n_18995),
+	.Y(n_19277), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664285 (
+	.A1(n_18140),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[49]),
+	.B1(n_18994),
+	.Y(n_19276), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664286 (
+	.A1(n_18140),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[48]),
+	.B1(n_18993),
+	.Y(n_19275), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664287 (
+	.A1(n_18141),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[63]),
+	.B1(n_18975),
+	.Y(n_19274), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664288 (
+	.A1(n_18141),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[62]),
+	.B1(n_18974),
+	.Y(n_19273), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664289 (
+	.A1(n_18141),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[61]),
+	.B1(n_18973),
+	.Y(n_19272), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664290 (
+	.A1(n_18141),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[60]),
+	.B1(n_18972),
+	.Y(n_19271), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664291 (
+	.A1(n_18141),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[59]),
+	.B1(n_18971),
+	.Y(n_19270), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664292 (
+	.A1(n_18141),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[58]),
+	.B1(n_18970),
+	.Y(n_19269), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664293 (
+	.A1(n_18141),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[57]),
+	.B1(n_18969),
+	.Y(n_19268), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664294 (
+	.A1(n_18141),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[56]),
+	.B1(n_18968),
+	.Y(n_19267), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664295 (
+	.A1(n_18141),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[55]),
+	.B1(n_18967),
+	.Y(n_19266), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664296 (
+	.A1(n_18141),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[54]),
+	.B1(n_18966),
+	.Y(n_19265), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664297 (
+	.A1(n_18141),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[53]),
+	.B1(n_18965),
+	.Y(n_19264), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664298 (
+	.A1(n_18141),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[52]),
+	.B1(n_18976),
+	.Y(n_19263), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664299 (
+	.A1(n_18141),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[51]),
+	.B1(n_18964),
+	.Y(n_19262), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664300 (
+	.A1(n_18141),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[50]),
+	.B1(n_18963),
+	.Y(n_19261), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664301 (
+	.A1(n_18141),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[49]),
+	.B1(n_18962),
+	.Y(n_19260), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g664302 (
+	.A1(n_18141),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[48]),
+	.B1_N(n_34941),
+	.Y(n_19259), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664303 (
+	.A1(n_17352),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[63]),
+	.B1(n_18961),
+	.Y(n_19258), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664304 (
+	.A1(n_17352),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[62]),
+	.B1(n_18960),
+	.Y(n_19257), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664305 (
+	.A1(n_17352),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[61]),
+	.B1(n_18959),
+	.Y(n_19256), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664306 (
+	.A1(n_17352),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[60]),
+	.B1(n_18958),
+	.Y(n_19255), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664307 (
+	.A1(n_17352),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[59]),
+	.B1(n_18957),
+	.Y(n_19254), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664308 (
+	.A1(n_17352),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[58]),
+	.B1(n_19012),
+	.Y(n_19253), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664309 (
+	.A1(n_17352),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[57]),
+	.B1(n_18956),
+	.Y(n_19252), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664310 (
+	.A1(n_17352),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[56]),
+	.B1(n_18955),
+	.Y(n_19251), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664311 (
+	.A1(n_17352),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[55]),
+	.B1(n_18954),
+	.Y(n_19250), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664312 (
+	.A1(n_17352),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[54]),
+	.B1(n_18953),
+	.Y(n_19249), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664313 (
+	.A1(n_17352),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[53]),
+	.B1(n_18952),
+	.Y(n_19248), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664314 (
+	.A1(n_17352),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[52]),
+	.B1(n_18951),
+	.Y(n_19247), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664315 (
+	.A1(n_17352),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[51]),
+	.B1(n_18950),
+	.Y(n_19246), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664316 (
+	.A1(n_17352),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[50]),
+	.B1(n_18949),
+	.Y(n_19245), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664317 (
+	.A1(n_17352),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[49]),
+	.B1(n_18948),
+	.Y(n_19244), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664318 (
+	.A1(n_17352),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[48]),
+	.B1(n_18986),
+	.Y(n_19243), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664319 (
+	.A1(n_18139),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[63]),
+	.B1(n_18992),
+	.Y(n_19242), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664320 (
+	.A1(n_18139),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[62]),
+	.B1(n_18991),
+	.Y(n_19241), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664321 (
+	.A1(n_18139),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[61]),
+	.B1(n_18990),
+	.Y(n_19240), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664322 (
+	.A1(n_18139),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[60]),
+	.B1(n_18989),
+	.Y(n_19239), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664323 (
+	.A1(n_18139),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[59]),
+	.B1(n_18988),
+	.Y(n_19238), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664324 (
+	.A1(n_18139),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[58]),
+	.B1(n_18987),
+	.Y(n_19237), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664325 (
+	.A1(n_18139),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[57]),
+	.B1(n_18947),
+	.Y(n_19236), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664326 (
+	.A1(n_18139),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[56]),
+	.B1(n_18985),
+	.Y(n_19235), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664327 (
+	.A1(n_18139),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[55]),
+	.B1(n_18984),
+	.Y(n_19234), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664328 (
+	.A1(n_18139),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[54]),
+	.B1(n_18983),
+	.Y(n_19233), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664329 (
+	.A1(n_18139),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[53]),
+	.B1(n_18982),
+	.Y(n_19232), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664330 (
+	.A1(n_18139),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[52]),
+	.B1(n_18981),
+	.Y(n_19231), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664331 (
+	.A1(n_18139),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[51]),
+	.B1(n_18980),
+	.Y(n_19230), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664332 (
+	.A1(n_18139),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[50]),
+	.B1(n_18979),
+	.Y(n_19229), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664333 (
+	.A1(n_18139),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[49]),
+	.B1(n_18978),
+	.Y(n_19228), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664334 (
+	.A1(n_18139),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[48]),
+	.B1(n_18977),
+	.Y(n_19227), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g664335 (
+	.A1(n_1542),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[63]),
+	.B1_N(n_34937),
+	.Y(n_19226), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g664336 (
+	.A1(n_1542),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[62]),
+	.B1_N(n_34936),
+	.Y(n_19225), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g664337 (
+	.A1(n_1542),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[61]),
+	.B1_N(n_34932),
+	.Y(n_19224), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g664338 (
+	.A1(n_1542),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[60]),
+	.B1_N(n_34931),
+	.Y(n_19223), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664339 (
+	.A1(n_1542),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[59]),
+	.B1(n_19013),
+	.Y(n_19222), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g664340 (
+	.A1(n_1542),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[58]),
+	.B1_N(n_34935),
+	.Y(n_19221), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g664341 (
+	.A1(n_1542),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[57]),
+	.B1_N(n_34934),
+	.Y(n_19220), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g664342 (
+	.A1(n_1542),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[56]),
+	.B1_N(n_34933),
+	.Y(n_19219), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664343 (
+	.A1(n_1542),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[55]),
+	.B1(n_19011),
+	.Y(n_19218), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g664344 (
+	.A1(n_1542),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[54]),
+	.B1_N(n_34948),
+	.Y(n_19217), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664345 (
+	.A1(n_1542),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[53]),
+	.B1(n_19010),
+	.Y(n_19216), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g664346 (
+	.A1(n_1542),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[52]),
+	.B1_N(n_34947),
+	.Y(n_19215), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664347 (
+	.A1(n_1542),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[51]),
+	.B1(n_19009),
+	.Y(n_19214), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g664348 (
+	.A1(n_1542),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[50]),
+	.B1_N(n_34946),
+	.Y(n_19213), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g664349 (
+	.A1(n_1542),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[49]),
+	.B1_N(n_34945),
+	.Y(n_19212), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g664350 (
+	.A1(n_1542),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[48]),
+	.B1_N(n_34944),
+	.Y(n_19211), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664351 (
+	.A1(n_18140),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[47]),
+	.B1(n_18945),
+	.Y(n_19210), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664352 (
+	.A1(n_18140),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[46]),
+	.B1(n_18944),
+	.Y(n_19209), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664353 (
+	.A1(n_18140),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[45]),
+	.B1(n_18943),
+	.Y(n_19208), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664354 (
+	.A1(n_18140),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[44]),
+	.B1(n_18942),
+	.Y(n_19207), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664355 (
+	.A1(n_18140),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[43]),
+	.B1(n_18941),
+	.Y(n_19206), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664356 (
+	.A1(n_18140),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[42]),
+	.B1(n_18940),
+	.Y(n_19205), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664357 (
+	.A1(n_18140),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[41]),
+	.B1(n_18939),
+	.Y(n_19204), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664358 (
+	.A1(n_18140),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[40]),
+	.B1(n_18938),
+	.Y(n_19203), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664359 (
+	.A1(n_17352),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[47]),
+	.B1(n_18937),
+	.Y(n_19202), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664360 (
+	.A1(n_17352),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[46]),
+	.B1(n_18936),
+	.Y(n_19201), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664361 (
+	.A1(n_17352),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[45]),
+	.B1(n_18935),
+	.Y(n_19200), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664362 (
+	.A1(n_17352),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[44]),
+	.B1(n_18934),
+	.Y(n_19199), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g664363 (
+	.A1(n_1542),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[39]),
+	.B1_N(n_34943),
+	.Y(n_19198), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664364 (
+	.A(n_34399),
+	.B(n_34400),
+	.Y(n_19347), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664365 (
+	.A1(n_17352),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[42]),
+	.B1(n_18946),
+	.Y(n_19197), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664366 (
+	.A1(n_17352),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[41]),
+	.B1(n_18932),
+	.Y(n_19196), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664367 (
+	.A1(n_17352),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[40]),
+	.B1(n_18931),
+	.Y(n_19195), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664368 (
+	.A1(n_1542),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[47]),
+	.B1(n_18930),
+	.Y(n_19194), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664369 (
+	.A1(n_1542),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[46]),
+	.B1(n_18929),
+	.Y(n_19193), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664370 (
+	.A1(n_1542),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[45]),
+	.B1(n_18928),
+	.Y(n_19192), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664371 (
+	.A1(n_1542),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[44]),
+	.B1(n_18927),
+	.Y(n_19191), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g664372 (
+	.A1(n_1542),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[43]),
+	.B1_N(n_34950),
+	.Y(n_19190), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g664373 (
+	.A1(n_1542),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[42]),
+	.B1_N(n_34949),
+	.Y(n_19189), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664374 (
+	.A1(n_1542),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[41]),
+	.B1(n_18926),
+	.Y(n_19188), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664375 (
+	.A1(n_1542),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[40]),
+	.B1(n_18925),
+	.Y(n_19187), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664376 (
+	.A1(n_18141),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[47]),
+	.B1(n_18916),
+	.Y(n_19186), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664377 (
+	.A1(n_18141),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[46]),
+	.B1(n_18915),
+	.Y(n_19185), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664378 (
+	.A1(n_18141),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[45]),
+	.B1(n_18914),
+	.Y(n_19184), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664379 (
+	.A1(n_18141),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[44]),
+	.B1(n_18913),
+	.Y(n_19183), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664380 (
+	.A1(n_18141),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[43]),
+	.B1(n_18912),
+	.Y(n_19182), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664381 (
+	.A1(n_18141),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[42]),
+	.B1(n_18911),
+	.Y(n_19181), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664382 (
+	.A1(n_18141),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[41]),
+	.B1(n_18910),
+	.Y(n_19180), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664383 (
+	.A1(n_18141),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[40]),
+	.B1(n_18909),
+	.Y(n_19179), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664384 (
+	.A1(n_18139),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[47]),
+	.B1(n_18924),
+	.Y(n_19178), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664385 (
+	.A1(n_18139),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[46]),
+	.B1(n_18923),
+	.Y(n_19177), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664386 (
+	.A1(n_18139),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[45]),
+	.B1(n_18922),
+	.Y(n_19176), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664387 (
+	.A1(n_18139),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[44]),
+	.B1(n_18921),
+	.Y(n_19175), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664388 (
+	.A1(n_18139),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[43]),
+	.B1(n_18920),
+	.Y(n_19174), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664389 (
+	.A1(n_18139),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[42]),
+	.B1(n_18919),
+	.Y(n_19173), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664390 (
+	.A1(n_18139),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[41]),
+	.B1(n_18918),
+	.Y(n_19172), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664391 (
+	.A1(n_18139),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[40]),
+	.B1(n_18917),
+	.Y(n_19171), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g664392 (
+	.A1(n_18890),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_addr[47]),
+	.B1(n_18140),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[15]),
+	.C1(n_18945),
+	.Y(n_19170), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g664393 (
+	.A1(n_18890),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_addr[46]),
+	.B1(n_18140),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[14]),
+	.C1(n_18944),
+	.Y(n_19169), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g664394 (
+	.A1(n_18890),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_addr[45]),
+	.B1(n_18140),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[13]),
+	.C1(n_18943),
+	.Y(n_19168), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g664395 (
+	.A1(n_18890),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_addr[44]),
+	.B1(n_18140),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[12]),
+	.C1(n_18942),
+	.Y(n_19167), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g664396 (
+	.A1(n_18890),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_addr[43]),
+	.B1(n_18140),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[11]),
+	.C1(n_18941),
+	.Y(n_19166), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g664397 (
+	.A1(n_18890),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_addr[42]),
+	.B1(n_18140),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[10]),
+	.C1(n_18940),
+	.Y(n_19165), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g664398 (
+	.A1(n_18890),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_addr[41]),
+	.B1(n_18140),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[9]),
+	.C1(n_18939),
+	.Y(n_19164), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g664399 (
+	.A1(n_18890),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_addr[40]),
+	.B1(n_18140),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[8]),
+	.C1(n_18938),
+	.Y(n_19163), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g664400 (
+	.A1(n_18892),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_addr[111]),
+	.B1(n_17352),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[15]),
+	.C1(n_18937),
+	.Y(n_19162), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g664401 (
+	.A1(n_18892),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_addr[110]),
+	.B1(n_17352),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[14]),
+	.C1(n_18936),
+	.Y(n_19161), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g664402 (
+	.A1(n_18892),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_addr[109]),
+	.B1(n_17352),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[13]),
+	.C1(n_18935),
+	.Y(n_19160), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g664403 (
+	.A1(n_18892),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_addr[108]),
+	.B1(n_17352),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[12]),
+	.C1(n_18934),
+	.Y(n_19159), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g664404 (
+	.A1(n_18892),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_addr[107]),
+	.B1(n_17352),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[11]),
+	.C1(n_18933),
+	.Y(n_19158), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g664405 (
+	.A1(n_18892),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_addr[106]),
+	.B1(n_17352),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[10]),
+	.C1(n_18946),
+	.Y(n_19157), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g664406 (
+	.A1(n_18892),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_addr[105]),
+	.B1(n_17352),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[9]),
+	.C1(n_18932),
+	.Y(n_19156), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g664407 (
+	.A1(n_18892),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_addr[104]),
+	.B1(n_17352),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[8]),
+	.C1(n_18931),
+	.Y(n_19155), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g664408 (
+	.A1(n_1842),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_addr[143]),
+	.B1(n_1542),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[15]),
+	.C1(n_18930),
+	.Y(n_19154), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g664409 (
+	.A1(n_1842),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_addr[142]),
+	.B1(n_1542),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[14]),
+	.C1(n_18929),
+	.Y(n_19153), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g664410 (
+	.A1(n_1842),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_addr[141]),
+	.B1(n_1542),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[13]),
+	.C1(n_18928),
+	.Y(n_19152), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g664411 (
+	.A1(n_1842),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_addr[140]),
+	.B1(n_1542),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[12]),
+	.C1(n_18927),
+	.Y(n_19151), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g664412 (
+	.A1(n_1842),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_addr[137]),
+	.B1(n_1542),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[9]),
+	.C1(n_18926),
+	.Y(n_19150), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g664413 (
+	.A1(n_1842),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_addr[136]),
+	.B1(n_1542),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[8]),
+	.C1(n_18925),
+	.Y(n_19149), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g664414 (
+	.A1(n_18891),
+	.A2(brqrv_top_brqrv_dma_ctrl_n_653),
+	.B1(n_18139),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[15]),
+	.C1(n_18924),
+	.Y(n_19148), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g664415 (
+	.A1(n_18891),
+	.A2(brqrv_top_brqrv_dma_ctrl_n_648),
+	.B1(n_18139),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[14]),
+	.C1(n_18923),
+	.Y(n_19147), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g664416 (
+	.A1(n_18891),
+	.A2(brqrv_top_brqrv_dma_ctrl_n_643),
+	.B1(n_18139),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[13]),
+	.C1(n_18922),
+	.Y(n_19146), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g664417 (
+	.A1(n_18891),
+	.A2(brqrv_top_brqrv_dma_ctrl_n_638),
+	.B1(n_18139),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[12]),
+	.C1(n_18921),
+	.Y(n_19145), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g664418 (
+	.A1(n_18891),
+	.A2(brqrv_top_brqrv_dma_ctrl_n_633),
+	.B1(n_18139),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[11]),
+	.C1(n_18920),
+	.Y(n_19144), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g664419 (
+	.A1(n_18891),
+	.A2(brqrv_top_brqrv_dma_ctrl_n_628),
+	.B1(n_18139),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[10]),
+	.C1(n_18919),
+	.Y(n_19143), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g664420 (
+	.A1(n_18891),
+	.A2(brqrv_top_brqrv_dma_ctrl_n_623),
+	.B1(n_18139),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[9]),
+	.C1(n_18918),
+	.Y(n_19142), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g664421 (
+	.A1(n_18891),
+	.A2(brqrv_top_brqrv_dma_ctrl_n_618),
+	.B1(n_18139),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[8]),
+	.C1(n_18917),
+	.Y(n_19141), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g664422 (
+	.A1(n_1903),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_addr[79]),
+	.B1(n_18141),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[15]),
+	.C1(n_18916),
+	.Y(n_19140), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g664423 (
+	.A1(n_1903),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_addr[78]),
+	.B1(n_18141),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[14]),
+	.C1(n_18915),
+	.Y(n_19139), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g664424 (
+	.A1(n_1903),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_addr[77]),
+	.B1(n_18141),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[13]),
+	.C1(n_18914),
+	.Y(n_19138), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g664425 (
+	.A1(n_1903),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_addr[76]),
+	.B1(n_18141),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[12]),
+	.C1(n_18913),
+	.Y(n_19137), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g664426 (
+	.A1(n_1903),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_addr[75]),
+	.B1(n_18141),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[11]),
+	.C1(n_18912),
+	.Y(n_19136), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g664427 (
+	.A1(n_1903),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_addr[74]),
+	.B1(n_18141),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[10]),
+	.C1(n_18911),
+	.Y(n_19135), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g664428 (
+	.A1(n_1903),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_addr[73]),
+	.B1(n_18141),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[9]),
+	.C1(n_18910),
+	.Y(n_19134), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g664429 (
+	.A1(n_1903),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_addr[72]),
+	.B1(n_18141),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[8]),
+	.C1(n_18909),
+	.Y(n_19133), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g664430 (
+	.A1(n_1842),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_addr[155]),
+	.B1(n_1542),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[27]),
+	.C1(n_19013),
+	.Y(n_19132), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g664431 (
+	.A1(n_1842),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_addr[151]),
+	.B1(n_1542),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[23]),
+	.C1(n_19011),
+	.Y(n_19131), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g664432 (
+	.A1(n_1842),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_addr[149]),
+	.B1(n_1542),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[21]),
+	.C1(n_19010),
+	.Y(n_19130), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g664433 (
+	.A1(n_1842),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_addr[147]),
+	.B1(n_1542),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[19]),
+	.C1(n_19009),
+	.Y(n_19129), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g664434 (
+	.A1(n_1842),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_addr[134]),
+	.B1(n_1542),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[6]),
+	.C1(n_19047),
+	.Y(n_19128), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g664435 (
+	.A1(n_1842),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_addr[133]),
+	.B1(n_1542),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[5]),
+	.C1(n_19046),
+	.Y(n_19127), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g664436 (
+	.A1(n_1842),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_addr[132]),
+	.B1(n_1542),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[4]),
+	.C1(n_19045),
+	.Y(n_19126), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g664437 (
+	.A1(n_1842),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_addr[131]),
+	.B1(n_1542),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[3]),
+	.C1(n_19044),
+	.Y(n_19125), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g664438 (
+	.A1(n_1842),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_addr[130]),
+	.B1(n_1542),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[2]),
+	.C1(n_19043),
+	.Y(n_19124), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g664439 (
+	.A1(n_1842),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_addr[129]),
+	.B1(n_1542),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[1]),
+	.C1(n_19042),
+	.Y(n_19123), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g664440 (
+	.A1(n_1842),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_addr[128]),
+	.B1(n_1542),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[0]),
+	.C1(n_19041),
+	.Y(n_19122), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g664441 (
+	.A1(n_18890),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_addr[59]),
+	.B1(n_18140),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[27]),
+	.C1(n_19004),
+	.Y(n_19121), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g664442 (
+	.A1(n_18890),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_addr[58]),
+	.B1(n_18140),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[26]),
+	.C1(n_19003),
+	.Y(n_19120), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g664443 (
+	.A1(n_18890),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_addr[57]),
+	.B1(n_18140),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[25]),
+	.C1(n_19002),
+	.Y(n_19119), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g664444 (
+	.A1(n_18890),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_addr[56]),
+	.B1(n_18140),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[24]),
+	.C1(n_19001),
+	.Y(n_19118), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g664445 (
+	.A1(n_18890),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_addr[55]),
+	.B1(n_18140),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[23]),
+	.C1(n_19000),
+	.Y(n_19117), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g664446 (
+	.A1(n_18890),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_addr[54]),
+	.B1(n_18140),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[22]),
+	.C1(n_18999),
+	.Y(n_19116), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g664447 (
+	.A1(n_18890),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_addr[53]),
+	.B1(n_18140),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[21]),
+	.C1(n_18998),
+	.Y(n_19115), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g664448 (
+	.A1(n_18890),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_addr[52]),
+	.B1(n_18140),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[20]),
+	.C1(n_18997),
+	.Y(n_19114), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g664449 (
+	.A1(n_18890),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_addr[51]),
+	.B1(n_18140),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[19]),
+	.C1(n_18996),
+	.Y(n_19113), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g664450 (
+	.A1(n_18890),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_addr[50]),
+	.B1(n_18140),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[18]),
+	.C1(n_18995),
+	.Y(n_19112), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g664451 (
+	.A1(n_18890),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_addr[49]),
+	.B1(n_18140),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[17]),
+	.C1(n_18994),
+	.Y(n_19111), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g664452 (
+	.A1(n_18890),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_addr[48]),
+	.B1(n_18140),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[16]),
+	.C1(n_18993),
+	.Y(n_19110), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g664453 (
+	.A1(n_18890),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_addr[38]),
+	.B1(n_18140),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[6]),
+	.C1(n_19040),
+	.Y(n_19109), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g664454 (
+	.A1(n_18890),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_addr[37]),
+	.B1(n_18140),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[5]),
+	.C1(n_19039),
+	.Y(n_19108), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g664455 (
+	.A1(n_18890),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_addr[36]),
+	.B1(n_18140),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[4]),
+	.C1(n_19038),
+	.Y(n_19107), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g664456 (
+	.A1(n_18890),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_addr[35]),
+	.B1(n_18140),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[3]),
+	.C1(n_19037),
+	.Y(n_19106), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g664457 (
+	.A1(n_18890),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_addr[34]),
+	.B1(n_18140),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[2]),
+	.C1(n_19036),
+	.Y(n_19105), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g664458 (
+	.A1(n_18890),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_addr[33]),
+	.B1(n_18140),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[1]),
+	.C1(n_19035),
+	.Y(n_19104), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g664459 (
+	.A1(n_18890),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_addr[32]),
+	.B1(n_18140),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[0]),
+	.C1(n_19034),
+	.Y(n_19103), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g664460 (
+	.A1(n_18891),
+	.A2(brqrv_top_brqrv_dma_ctrl_n_713),
+	.B1(n_18139),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[27]),
+	.C1(n_18988),
+	.Y(n_19102), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g664461 (
+	.A1(n_18891),
+	.A2(brqrv_top_brqrv_dma_ctrl_n_708),
+	.B1(n_18139),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[26]),
+	.C1(n_18987),
+	.Y(n_19101), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g664462 (
+	.A1(n_18891),
+	.A2(brqrv_top_brqrv_dma_ctrl_n_703),
+	.B1(n_18139),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[25]),
+	.C1(n_18947),
+	.Y(n_19100), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g664463 (
+	.A1(n_18891),
+	.A2(brqrv_top_brqrv_dma_ctrl_n_698),
+	.B1(n_18139),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[24]),
+	.C1(n_18985),
+	.Y(n_19099), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g664464 (
+	.A1(n_18891),
+	.A2(brqrv_top_brqrv_dma_ctrl_n_693),
+	.B1(n_18139),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[23]),
+	.C1(n_18984),
+	.Y(n_19098), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g664465 (
+	.A1(n_18891),
+	.A2(brqrv_top_brqrv_dma_ctrl_n_688),
+	.B1(n_18139),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[22]),
+	.C1(n_18983),
+	.Y(n_19097), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g664466 (
+	.A1(n_18891),
+	.A2(brqrv_top_brqrv_dma_ctrl_n_683),
+	.B1(n_18139),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[21]),
+	.C1(n_18982),
+	.Y(n_19096), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g664467 (
+	.A1(n_18891),
+	.A2(brqrv_top_brqrv_dma_ctrl_n_678),
+	.B1(n_18139),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[20]),
+	.C1(n_18981),
+	.Y(n_19095), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g664468 (
+	.A1(n_18891),
+	.A2(brqrv_top_brqrv_dma_ctrl_n_673),
+	.B1(n_18139),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[19]),
+	.C1(n_18980),
+	.Y(n_19094), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g664469 (
+	.A1(n_18891),
+	.A2(brqrv_top_brqrv_dma_ctrl_n_668),
+	.B1(n_18139),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[18]),
+	.C1(n_18979),
+	.Y(n_19093), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g664470 (
+	.A1(n_18891),
+	.A2(brqrv_top_brqrv_dma_ctrl_n_663),
+	.B1(n_18139),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[17]),
+	.C1(n_18978),
+	.Y(n_19092), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g664471 (
+	.A1(n_18891),
+	.A2(brqrv_top_brqrv_dma_ctrl_n_658),
+	.B1(n_18139),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[16]),
+	.C1(n_18977),
+	.Y(n_19091), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g664472 (
+	.A1(n_18891),
+	.A2(brqrv_top_brqrv_dma_ctrl_n_608),
+	.B1(n_18139),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[6]),
+	.C1(n_19033),
+	.Y(n_19090), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g664473 (
+	.A1(n_18891),
+	.A2(brqrv_top_brqrv_dma_ctrl_n_603),
+	.B1(n_18139),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[5]),
+	.C1(n_19032),
+	.Y(n_19089), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g664474 (
+	.A1(n_18891),
+	.A2(brqrv_top_brqrv_dma_ctrl_n_598),
+	.B1(n_18139),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[4]),
+	.C1(n_19031),
+	.Y(n_19088), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g664475 (
+	.A1(n_18891),
+	.A2(brqrv_top_brqrv_dma_ctrl_n_593),
+	.B1(n_18139),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[3]),
+	.C1(n_19030),
+	.Y(n_19087), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g664476 (
+	.A1(n_18891),
+	.A2(brqrv_top_brqrv_dma_ctrl_n_588),
+	.B1(n_18139),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[2]),
+	.C1(n_19029),
+	.Y(n_19086), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g664477 (
+	.A1(n_18891),
+	.A2(brqrv_top_brqrv_dma_ctrl_n_583),
+	.B1(n_18139),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[1]),
+	.C1(n_19028),
+	.Y(n_19085), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g664478 (
+	.A1(n_18891),
+	.A2(brqrv_top_brqrv_dma_ctrl_n_578),
+	.B1(n_18139),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[0]),
+	.C1(n_19027),
+	.Y(n_19084), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g664479 (
+	.A1(n_1903),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_addr[91]),
+	.B1(n_18141),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[27]),
+	.C1(n_18971),
+	.Y(n_19083), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g664480 (
+	.A1(n_1903),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_addr[90]),
+	.B1(n_18141),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[26]),
+	.C1(n_18970),
+	.Y(n_19082), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g664481 (
+	.A1(n_1903),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_addr[89]),
+	.B1(n_18141),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[25]),
+	.C1(n_18969),
+	.Y(n_19081), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g664482 (
+	.A1(n_1903),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_addr[88]),
+	.B1(n_18141),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[24]),
+	.C1(n_18968),
+	.Y(n_19080), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g664483 (
+	.A1(n_1903),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_addr[87]),
+	.B1(n_18141),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[23]),
+	.C1(n_18967),
+	.Y(n_19079), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g664484 (
+	.A1(n_1903),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_addr[86]),
+	.B1(n_18141),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[22]),
+	.C1(n_18966),
+	.Y(n_19078), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g664485 (
+	.A1(n_1903),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_addr[85]),
+	.B1(n_18141),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[21]),
+	.C1(n_18965),
+	.Y(n_19077), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g664486 (
+	.A1(n_1903),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_addr[84]),
+	.B1(n_18141),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[20]),
+	.C1(n_18976),
+	.Y(n_19076), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g664487 (
+	.A1(n_1903),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_addr[83]),
+	.B1(n_18141),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[19]),
+	.C1(n_18964),
+	.Y(n_19075), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g664488 (
+	.A1(n_1903),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_addr[82]),
+	.B1(n_18141),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[18]),
+	.C1(n_18963),
+	.Y(n_19074), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g664489 (
+	.A1(n_1903),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_addr[81]),
+	.B1(n_18141),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[17]),
+	.C1(n_18962),
+	.Y(n_19073), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g664490 (
+	.A1(n_1903),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_addr[70]),
+	.B1(n_18141),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[6]),
+	.C1(n_19026),
+	.Y(n_19072), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g664491 (
+	.A1(n_1903),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_addr[69]),
+	.B1(n_18141),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[5]),
+	.C1(n_19025),
+	.Y(n_19071), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g664492 (
+	.A1(n_1903),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_addr[68]),
+	.B1(n_18141),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[4]),
+	.C1(n_19024),
+	.Y(n_19070), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g664493 (
+	.A1(n_1903),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_addr[67]),
+	.B1(n_18141),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[3]),
+	.C1(n_19023),
+	.Y(n_19069), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g664494 (
+	.A1(n_1903),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_addr[66]),
+	.B1(n_18141),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[2]),
+	.C1(n_19022),
+	.Y(n_19068), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g664495 (
+	.A1(n_1903),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_addr[65]),
+	.B1(n_18141),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[1]),
+	.C1(n_19021),
+	.Y(n_19067), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g664496 (
+	.A1(n_18892),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_addr[123]),
+	.B1(n_17352),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[27]),
+	.C1(n_18957),
+	.Y(n_19066), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g664497 (
+	.A1(n_18892),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_addr[122]),
+	.B1(n_17352),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[26]),
+	.C1(n_19012),
+	.Y(n_19065), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g664498 (
+	.A1(n_18892),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_addr[121]),
+	.B1(n_17352),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[25]),
+	.C1(n_18956),
+	.Y(n_19064), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g664499 (
+	.A1(n_18892),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_addr[120]),
+	.B1(n_17352),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[24]),
+	.C1(n_18955),
+	.Y(n_19063), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g664500 (
+	.A1(n_18892),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_addr[119]),
+	.B1(n_17352),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[23]),
+	.C1(n_18954),
+	.Y(n_19062), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g664501 (
+	.A1(n_18892),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_addr[118]),
+	.B1(n_17352),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[22]),
+	.C1(n_18953),
+	.Y(n_19061), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g664502 (
+	.A1(n_18892),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_addr[117]),
+	.B1(n_17352),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[21]),
+	.C1(n_18952),
+	.Y(n_19060), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g664503 (
+	.A1(n_18892),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_addr[116]),
+	.B1(n_17352),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[20]),
+	.C1(n_18951),
+	.Y(n_19059), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g664504 (
+	.A1(n_18892),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_addr[115]),
+	.B1(n_17352),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[19]),
+	.C1(n_18950),
+	.Y(n_19058), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g664505 (
+	.A1(n_18892),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_addr[114]),
+	.B1(n_17352),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[18]),
+	.C1(n_18949),
+	.Y(n_19057), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g664506 (
+	.A1(n_18892),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_addr[113]),
+	.B1(n_17352),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[17]),
+	.C1(n_18948),
+	.Y(n_19056), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g664507 (
+	.A1(n_18892),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_addr[112]),
+	.B1(n_17352),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[16]),
+	.C1(n_18986),
+	.Y(n_19055), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g664508 (
+	.A1(n_18892),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_addr[102]),
+	.B1(n_17352),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[6]),
+	.C1(n_19020),
+	.Y(n_19054), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g664509 (
+	.A1(n_18892),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_addr[101]),
+	.B1(n_17352),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[5]),
+	.C1(n_19019),
+	.Y(n_19053), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g664510 (
+	.A1(n_18892),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_addr[100]),
+	.B1(n_17352),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[4]),
+	.C1(n_19018),
+	.Y(n_19052), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g664511 (
+	.A1(n_18892),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_addr[99]),
+	.B1(n_17352),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[3]),
+	.C1(n_19017),
+	.Y(n_19051), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g664512 (
+	.A1(n_18892),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_addr[98]),
+	.B1(n_17352),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[2]),
+	.C1(n_19016),
+	.Y(n_19050), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g664513 (
+	.A1(n_18892),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_addr[97]),
+	.B1(n_17352),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[1]),
+	.C1(n_19015),
+	.Y(n_19049), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g664514 (
+	.A1(n_18892),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_addr[96]),
+	.B1(n_17352),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[0]),
+	.C1(n_19014),
+	.Y(n_19048), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664515 (
+	.A(n_17345),
+	.B(n_17944),
+	.Y(n_34943), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664516 (
+	.A(n_17949),
+	.B(n_18898),
+	.Y(n_19047), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664517 (
+	.A(n_17948),
+	.B(n_18898),
+	.Y(n_19046), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664518 (
+	.A(n_17947),
+	.B(n_18898),
+	.Y(n_19045), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664519 (
+	.A(n_17946),
+	.B(n_18898),
+	.Y(n_19044), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664520 (
+	.A(n_17945),
+	.B(n_18898),
+	.Y(n_19043), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664521 (
+	.A(n_17952),
+	.B(n_18898),
+	.Y(n_19042), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664522 (
+	.A(n_17950),
+	.B(n_18898),
+	.Y(n_19041), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664524 (
+	.A(n_17949),
+	.B(n_18899),
+	.Y(n_19040), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664525 (
+	.A(n_17948),
+	.B(n_18899),
+	.Y(n_19039), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664526 (
+	.A(n_17947),
+	.B(n_18899),
+	.Y(n_19038), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664527 (
+	.A(n_17946),
+	.B(n_18899),
+	.Y(n_19037), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664528 (
+	.A(n_17945),
+	.B(n_18899),
+	.Y(n_19036), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664529 (
+	.A(n_17952),
+	.B(n_18899),
+	.Y(n_19035), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664530 (
+	.A(n_17950),
+	.B(n_18899),
+	.Y(n_19034), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664532 (
+	.A(n_17949),
+	.B(n_18900),
+	.Y(n_19033), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664533 (
+	.A(n_17948),
+	.B(n_18900),
+	.Y(n_19032), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664534 (
+	.A(n_17947),
+	.B(n_18900),
+	.Y(n_19031), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664535 (
+	.A(n_17946),
+	.B(n_18900),
+	.Y(n_19030), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664536 (
+	.A(n_17945),
+	.B(n_18900),
+	.Y(n_19029), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664537 (
+	.A(n_17952),
+	.B(n_18900),
+	.Y(n_19028), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664538 (
+	.A(n_17950),
+	.B(n_18900),
+	.Y(n_19027), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664539 (
+	.A(n_18896),
+	.B(n_17944),
+	.Y(n_34940), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664540 (
+	.A(n_17949),
+	.B(n_18897),
+	.Y(n_19026), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664541 (
+	.A(n_17948),
+	.B(n_18897),
+	.Y(n_19025), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664542 (
+	.A(n_17947),
+	.B(n_18897),
+	.Y(n_19024), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664543 (
+	.A(n_17946),
+	.B(n_18897),
+	.Y(n_19023), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664544 (
+	.A(n_17945),
+	.B(n_18897),
+	.Y(n_19022), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664545 (
+	.A(n_17952),
+	.B(n_18897),
+	.Y(n_19021), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664548 (
+	.A(n_17949),
+	.B(n_18895),
+	.Y(n_19020), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664549 (
+	.A(n_17948),
+	.B(n_18895),
+	.Y(n_19019), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664550 (
+	.A(n_17947),
+	.B(n_18895),
+	.Y(n_19018), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664551 (
+	.A(n_17946),
+	.B(n_18895),
+	.Y(n_19017), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664552 (
+	.A(n_17945),
+	.B(n_18895),
+	.Y(n_19016), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664553 (
+	.A(n_17952),
+	.B(n_18895),
+	.Y(n_19015), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664554 (
+	.A(n_17950),
+	.B(n_18895),
+	.Y(n_19014), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g664555 (
+	.A(n_34401),
+	.B(n_34402),
+	.X(n_34400), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664556 (
+	.A(n_17345),
+	.B(n_18050),
+	.Y(n_34937), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664557 (
+	.A(n_17345),
+	.B(n_18052),
+	.Y(n_34936), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664558 (
+	.A(n_17345),
+	.B(n_18055),
+	.Y(n_34932), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664559 (
+	.A(n_17345),
+	.B(n_18048),
+	.Y(n_34931), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664560 (
+	.A(n_18054),
+	.B(n_18898),
+	.Y(n_19013), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664564 (
+	.A(n_17977),
+	.B(n_18895),
+	.Y(n_19012), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664565 (
+	.A(n_17882),
+	.B(n_18898),
+	.Y(n_19011), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664567 (
+	.A(n_17883),
+	.B(n_18898),
+	.Y(n_19010), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664569 (
+	.A(n_17880),
+	.B(n_18898),
+	.Y(n_19009), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664572 (
+	.A(n_17345),
+	.B(n_17879),
+	.Y(n_34944), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664573 (
+	.A(n_18051),
+	.B(n_18899),
+	.Y(n_19008), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664574 (
+	.A(n_18053),
+	.B(n_18899),
+	.Y(n_19007), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664575 (
+	.A(n_18056),
+	.B(n_18899),
+	.Y(n_19006), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664576 (
+	.A(n_18049),
+	.B(n_18899),
+	.Y(n_19005), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664577 (
+	.A(n_18054),
+	.B(n_18899),
+	.Y(n_19004), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664578 (
+	.A(n_17977),
+	.B(n_18899),
+	.Y(n_19003), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664579 (
+	.A(n_17981),
+	.B(n_18899),
+	.Y(n_19002), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664580 (
+	.A(n_17978),
+	.B(n_18899),
+	.Y(n_19001), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664581 (
+	.A(n_17882),
+	.B(n_18899),
+	.Y(n_19000), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664582 (
+	.A(n_17877),
+	.B(n_18899),
+	.Y(n_18999), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664583 (
+	.A(n_17883),
+	.B(n_18899),
+	.Y(n_18998), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664584 (
+	.A(n_17885),
+	.B(n_18899),
+	.Y(n_18997), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664585 (
+	.A(n_17880),
+	.B(n_18899),
+	.Y(n_18996), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664586 (
+	.A(n_17886),
+	.B(n_18899),
+	.Y(n_18995), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664587 (
+	.A(n_17884),
+	.B(n_18899),
+	.Y(n_18994), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664588 (
+	.A(n_17878),
+	.B(n_18899),
+	.Y(n_18993), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664589 (
+	.A(n_18051),
+	.B(n_18900),
+	.Y(n_18992), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664590 (
+	.A(n_18053),
+	.B(n_18900),
+	.Y(n_18991), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664591 (
+	.A(n_18056),
+	.B(n_18900),
+	.Y(n_18990), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664592 (
+	.A(n_18049),
+	.B(n_18900),
+	.Y(n_18989), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664593 (
+	.A(n_18054),
+	.B(n_18900),
+	.Y(n_18988), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664594 (
+	.A(n_17977),
+	.B(n_18900),
+	.Y(n_18987), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664595 (
+	.A(n_17878),
+	.B(n_18895),
+	.Y(n_18986), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664596 (
+	.A(n_17978),
+	.B(n_18900),
+	.Y(n_18985), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664597 (
+	.A(n_17882),
+	.B(n_18900),
+	.Y(n_18984), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664598 (
+	.A(n_17877),
+	.B(n_18900),
+	.Y(n_18983), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664599 (
+	.A(n_17883),
+	.B(n_18900),
+	.Y(n_18982), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664600 (
+	.A(n_17885),
+	.B(n_18900),
+	.Y(n_18981), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664601 (
+	.A(n_17880),
+	.B(n_18900),
+	.Y(n_18980), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664602 (
+	.A(n_17886),
+	.B(n_18900),
+	.Y(n_18979), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664603 (
+	.A(n_17884),
+	.B(n_18900),
+	.Y(n_18978), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664604 (
+	.A(n_17878),
+	.B(n_18900),
+	.Y(n_18977), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664605 (
+	.A(n_17885),
+	.B(n_18897),
+	.Y(n_18976), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664606 (
+	.A(n_18051),
+	.B(n_18897),
+	.Y(n_18975), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664607 (
+	.A(n_18053),
+	.B(n_18897),
+	.Y(n_18974), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664608 (
+	.A(n_18056),
+	.B(n_18897),
+	.Y(n_18973), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664609 (
+	.A(n_18049),
+	.B(n_18897),
+	.Y(n_18972), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664610 (
+	.A(n_18054),
+	.B(n_18897),
+	.Y(n_18971), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664611 (
+	.A(n_17977),
+	.B(n_18897),
+	.Y(n_18970), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664612 (
+	.A(n_17981),
+	.B(n_18897),
+	.Y(n_18969), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664613 (
+	.A(n_17978),
+	.B(n_18897),
+	.Y(n_18968), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664614 (
+	.A(n_17882),
+	.B(n_18897),
+	.Y(n_18967), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664615 (
+	.A(n_17877),
+	.B(n_18897),
+	.Y(n_18966), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664616 (
+	.A(n_17883),
+	.B(n_18897),
+	.Y(n_18965), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664617 (
+	.A(n_17880),
+	.B(n_18897),
+	.Y(n_18964), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664618 (
+	.A(n_17886),
+	.B(n_18897),
+	.Y(n_18963), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664619 (
+	.A(n_17884),
+	.B(n_18897),
+	.Y(n_18962), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664620 (
+	.A(n_18896),
+	.B(n_17879),
+	.Y(n_34941), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664621 (
+	.A(n_18051),
+	.B(n_18895),
+	.Y(n_18961), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664622 (
+	.A(n_18053),
+	.B(n_18895),
+	.Y(n_18960), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664623 (
+	.A(n_18056),
+	.B(n_18895),
+	.Y(n_18959), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664624 (
+	.A(n_18049),
+	.B(n_18895),
+	.Y(n_18958), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664625 (
+	.A(n_18054),
+	.B(n_18895),
+	.Y(n_18957), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664626 (
+	.A(n_17981),
+	.B(n_18895),
+	.Y(n_18956), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664627 (
+	.A(n_17978),
+	.B(n_18895),
+	.Y(n_18955), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664628 (
+	.A(n_17882),
+	.B(n_18895),
+	.Y(n_18954), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664629 (
+	.A(n_17877),
+	.B(n_18895),
+	.Y(n_18953), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664630 (
+	.A(n_17883),
+	.B(n_18895),
+	.Y(n_18952), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664631 (
+	.A(n_17885),
+	.B(n_18895),
+	.Y(n_18951), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664632 (
+	.A(n_17880),
+	.B(n_18895),
+	.Y(n_18950), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664633 (
+	.A(n_17886),
+	.B(n_18895),
+	.Y(n_18949), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664634 (
+	.A(n_17884),
+	.B(n_18895),
+	.Y(n_18948), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664635 (
+	.A(n_17981),
+	.B(n_18900),
+	.Y(n_18947), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664636 (
+	.A(n_17984),
+	.B(n_18895),
+	.Y(n_18946), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664637 (
+	.A(n_17976),
+	.B(n_18899),
+	.Y(n_18945), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664638 (
+	.A(n_17986),
+	.B(n_18899),
+	.Y(n_18944), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664639 (
+	.A(n_17985),
+	.B(n_18899),
+	.Y(n_18943), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664640 (
+	.A(n_17982),
+	.B(n_18899),
+	.Y(n_18942), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664641 (
+	.A(n_17983),
+	.B(n_18899),
+	.Y(n_18941), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664642 (
+	.A(n_17984),
+	.B(n_18899),
+	.Y(n_18940), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664643 (
+	.A(n_17980),
+	.B(n_18899),
+	.Y(n_18939), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664644 (
+	.A(n_17979),
+	.B(n_18899),
+	.Y(n_18938), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664645 (
+	.A(n_17976),
+	.B(n_18895),
+	.Y(n_18937), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664646 (
+	.A(n_17986),
+	.B(n_18895),
+	.Y(n_18936), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664647 (
+	.A(n_17985),
+	.B(n_18895),
+	.Y(n_18935), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664648 (
+	.A(n_17982),
+	.B(n_18895),
+	.Y(n_18934), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664649 (
+	.A(n_17983),
+	.B(n_18895),
+	.Y(n_18933), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664650 (
+	.A(n_17980),
+	.B(n_18895),
+	.Y(n_18932), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664651 (
+	.A(n_17979),
+	.B(n_18895),
+	.Y(n_18931), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664652 (
+	.A(n_17976),
+	.B(n_18898),
+	.Y(n_18930), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664653 (
+	.A(n_17986),
+	.B(n_18898),
+	.Y(n_18929), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664654 (
+	.A(n_17985),
+	.B(n_18898),
+	.Y(n_18928), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664655 (
+	.A(n_17982),
+	.B(n_18898),
+	.Y(n_18927), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664658 (
+	.A(n_17980),
+	.B(n_18898),
+	.Y(n_18926), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664659 (
+	.A(n_17979),
+	.B(n_18898),
+	.Y(n_18925), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664660 (
+	.A(n_17976),
+	.B(n_18900),
+	.Y(n_18924), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664661 (
+	.A(n_17986),
+	.B(n_18900),
+	.Y(n_18923), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664662 (
+	.A(n_17985),
+	.B(n_18900),
+	.Y(n_18922), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664663 (
+	.A(n_17982),
+	.B(n_18900),
+	.Y(n_18921), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664664 (
+	.A(n_17983),
+	.B(n_18900),
+	.Y(n_18920), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664665 (
+	.A(n_17984),
+	.B(n_18900),
+	.Y(n_18919), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664666 (
+	.A(n_17980),
+	.B(n_18900),
+	.Y(n_18918), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664667 (
+	.A(n_17979),
+	.B(n_18900),
+	.Y(n_18917), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664668 (
+	.A(n_17976),
+	.B(n_18897),
+	.Y(n_18916), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664669 (
+	.A(n_17986),
+	.B(n_18897),
+	.Y(n_18915), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664670 (
+	.A(n_17985),
+	.B(n_18897),
+	.Y(n_18914), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664671 (
+	.A(n_17982),
+	.B(n_18897),
+	.Y(n_18913), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664672 (
+	.A(n_17983),
+	.B(n_18897),
+	.Y(n_18912), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664673 (
+	.A(n_17984),
+	.B(n_18897),
+	.Y(n_18911), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664674 (
+	.A(n_17980),
+	.B(n_18897),
+	.Y(n_18910), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664675 (
+	.A(n_17979),
+	.B(n_18897),
+	.Y(n_18909), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g664676 (
+	.A1(n_43166),
+	.A2(n_34481),
+	.B1(n_18502),
+	.B2(n_34479),
+	.C1(n_18672),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[290]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g664677 (
+	.A1(n_43166),
+	.A2(n_34474),
+	.B1(n_18502),
+	.B2(n_34472),
+	.C1(n_18772),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[930]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g664678 (
+	.A1(n_18697),
+	.A2(n_35341),
+	.B1(n_18503),
+	.B2(n_34441),
+	.C1(n_18670),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[515]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g664679 (
+	.A1(n_43166),
+	.A2(n_35341),
+	.B1(n_18502),
+	.B2(n_34441),
+	.C1(n_18669),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[514]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g664680 (
+	.A1(n_18697),
+	.A2(n_34468),
+	.B1(n_18503),
+	.B2(n_34466),
+	.C1(n_18668),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[771]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g664681 (
+	.A1(n_43166),
+	.A2(n_34468),
+	.B1(n_18502),
+	.B2(n_34466),
+	.C1(n_18667),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[770]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g664682 (
+	.A1(n_43166),
+	.A2(n_34508),
+	.B1(n_18502),
+	.B2(n_34506),
+	.C1(n_18661),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[66]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g664683 (
+	.A1(n_18697),
+	.A2(n_34508),
+	.B1(n_18503),
+	.B2(n_34506),
+	.C1(n_18662),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[67]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g664684 (
+	.A1(n_43166),
+	.A2(n_34511),
+	.B1(n_18502),
+	.B2(n_34509),
+	.C1(n_18658),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[34]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g664685 (
+	.A1(n_43166),
+	.A2(n_35339),
+	.B1(n_18502),
+	.B2(n_35337),
+	.C1(n_18655),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[482]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g664686 (
+	.A1(n_18697),
+	.A2(n_34511),
+	.B1(n_18503),
+	.B2(n_34509),
+	.C1(n_18659),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[35]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g664687 (
+	.A1(n_43166),
+	.A2(n_34459),
+	.B1(n_18502),
+	.B2(n_34457),
+	.C1(n_18664),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[738]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g664688 (
+	.A1(n_18697),
+	.A2(n_34487),
+	.B1(n_18503),
+	.B2(n_34485),
+	.C1(n_18654),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[899]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g664689 (
+	.A1(n_43166),
+	.A2(n_34487),
+	.B1(n_18502),
+	.B2(n_34485),
+	.C1(n_18653),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[898]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g664690 (
+	.A1(n_43166),
+	.A2(n_35343),
+	.B1(n_18502),
+	.B2(n_34478),
+	.C1(n_18613),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[962]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g664691 (
+	.A1(n_43166),
+	.A2(n_34505),
+	.B1(n_18502),
+	.B2(n_34503),
+	.C1(n_18702),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[98]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g664692 (
+	.A1(n_18697),
+	.A2(n_34462),
+	.B1(n_18503),
+	.B2(n_34460),
+	.C1(n_18737),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[803]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g664693 (
+	.A1(n_43166),
+	.A2(n_34462),
+	.B1(n_18502),
+	.B2(n_34460),
+	.C1(n_18736),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[802]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g664694 (
+	.A1(n_18697),
+	.A2(n_34465),
+	.B1(n_18503),
+	.B2(n_34463),
+	.C1(n_18730),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[835]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g664695 (
+	.A1(n_43166),
+	.A2(n_34465),
+	.B1(n_18502),
+	.B2(n_34463),
+	.C1(n_18729),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[834]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g664696 (
+	.A1(n_18697),
+	.A2(n_34444),
+	.B1(n_18503),
+	.B2(n_34442),
+	.C1(n_18723),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[547]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g664697 (
+	.A1(n_43166),
+	.A2(n_34444),
+	.B1(n_18502),
+	.B2(n_34442),
+	.C1(n_18722),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[546]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g664698 (
+	.A1(n_18697),
+	.A2(n_34447),
+	.B1(n_18503),
+	.B2(n_34445),
+	.C1(n_18716),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[579]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g664699 (
+	.A1(n_43166),
+	.A2(n_34447),
+	.B1(n_18502),
+	.B2(n_34445),
+	.C1(n_18715),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[578]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g664700 (
+	.A1(n_43166),
+	.A2(n_35324),
+	.B1(n_18502),
+	.B2(n_35322),
+	.C1(n_18636),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[258]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g664701 (
+	.A1(n_18697),
+	.A2(n_34453),
+	.B1(n_18503),
+	.B2(n_34451),
+	.C1(n_18635),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[675]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g664702 (
+	.A1(n_43166),
+	.A2(n_34453),
+	.B1(n_18502),
+	.B2(n_34451),
+	.C1(n_18634),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[674]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g664703 (
+	.A1(n_18697),
+	.A2(n_34456),
+	.B1(n_18503),
+	.B2(n_34454),
+	.C1(n_18633),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[707]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g664704 (
+	.A1(n_43166),
+	.A2(n_34456),
+	.B1(n_18502),
+	.B2(n_34454),
+	.C1(n_18632),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[706]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664705 (
+	.A(n_18891),
+	.B(brqrv_top_brqrv_dma_ctrl_n_723),
+	.Y(n_18908), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664706 (
+	.A(n_18891),
+	.B(brqrv_top_brqrv_dma_ctrl_n_718),
+	.Y(n_18907), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664707 (
+	.A(n_1903),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_addr[95]),
+	.Y(n_18906), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664708 (
+	.A(n_1903),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_addr[94]),
+	.Y(n_18905), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664709 (
+	.A(n_18892),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_addr[127]),
+	.Y(n_18904), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664710 (
+	.A(n_18892),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_addr[126]),
+	.Y(n_18903), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g664711 (
+	.A(n_34403),
+	.B(n_34404),
+	.X(n_34402), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664712 (
+	.A(n_18890),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_addr[63]),
+	.Y(n_18902), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664713 (
+	.A(n_18890),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_addr[62]),
+	.Y(n_18901), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g664716 (
+	.A(n_17345),
+	.Y(n_18898), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g664717 (
+	.A(n_18897),
+	.Y(n_18896), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g664719 (
+	.A1(n_43166),
+	.A2(n_35336),
+	.B1(n_18502),
+	.B2(n_35334),
+	.C1(n_18626),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[450]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g664720 (
+	.A1(n_18697),
+	.A2(n_35333),
+	.B1(n_18503),
+	.B2(n_35331),
+	.C1(n_18625),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[419]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g664721 (
+	.A1(n_43166),
+	.A2(n_35333),
+	.B1(n_18502),
+	.B2(n_35331),
+	.C1(n_18624),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[418]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g664722 (
+	.A1(n_18697),
+	.A2(n_35343),
+	.B1(n_18503),
+	.B2(n_34478),
+	.C1(n_18777),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[963]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g664723 (
+	.A1(n_43166),
+	.A2(n_34502),
+	.B1(n_18502),
+	.B2(n_34500),
+	.C1(n_18645),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[130]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g664724 (
+	.A1(n_18697),
+	.A2(n_35336),
+	.B1(n_18503),
+	.B2(n_35334),
+	.C1(n_18627),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[451]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g664725 (
+	.A1(n_18697),
+	.A2(n_35339),
+	.B1(n_18503),
+	.B2(n_35337),
+	.C1(n_18656),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[483]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g664726 (
+	.A1(n_18697),
+	.A2(n_34474),
+	.B1(n_18503),
+	.B2(n_34472),
+	.C1(n_18698),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[931]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g664727 (
+	.A1(n_18697),
+	.A2(n_34450),
+	.B1(n_18503),
+	.B2(n_34448),
+	.C1(n_18617),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[643]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g664728 (
+	.A1(n_43166),
+	.A2(n_34450),
+	.B1(n_18502),
+	.B2(n_34448),
+	.C1(n_18616),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[642]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g664729 (
+	.A1(n_18697),
+	.A2(n_34496),
+	.B1(n_18503),
+	.B2(n_34494),
+	.C1(n_18619),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[227]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g664730 (
+	.A1(n_43166),
+	.A2(n_34496),
+	.B1(n_18502),
+	.B2(n_34494),
+	.C1(n_18618),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[226]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g664731 (
+	.A1(n_18697),
+	.A2(n_34493),
+	.B1(n_18503),
+	.B2(n_34491),
+	.C1(n_18623),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[195]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g664732 (
+	.A1(n_18697),
+	.A2(n_35324),
+	.B1(n_18503),
+	.B2(n_35322),
+	.C1(n_18637),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[259]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g664733 (
+	.A1(n_18697),
+	.A2(n_34481),
+	.B1(n_18503),
+	.B2(n_34479),
+	.C1(n_18673),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[291]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g664734 (
+	.A1(n_18697),
+	.A2(n_34477),
+	.B1(n_18503),
+	.B2(n_34475),
+	.C1(n_18749),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[995]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g664735 (
+	.A1(n_43166),
+	.A2(n_34477),
+	.B1(n_18502),
+	.B2(n_34475),
+	.C1(n_18748),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[994]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g664736 (
+	.A1(n_18697),
+	.A2(n_34502),
+	.B1(n_18503),
+	.B2(n_34500),
+	.C1(n_18646),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[131]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g664737 (
+	.A1(n_18697),
+	.A2(n_34499),
+	.B1(n_18503),
+	.B2(n_34497),
+	.C1(n_18621),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[163]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g664738 (
+	.A1(n_18697),
+	.A2(n_35330),
+	.B1(n_18503),
+	.B2(n_35328),
+	.C1(n_18744),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[355]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g664739 (
+	.A1(n_43166),
+	.A2(n_35330),
+	.B1(n_18502),
+	.B2(n_35328),
+	.C1(n_18743),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[354]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g664740 (
+	.A1(n_18697),
+	.A2(n_34459),
+	.B1(n_18503),
+	.B2(n_34457),
+	.C1(n_18666),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[739]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g664741 (
+	.A1(n_18697),
+	.A2(n_35327),
+	.B1(n_18503),
+	.B2(n_35325),
+	.C1(n_18675),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[323]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g664742 (
+	.A1(n_43166),
+	.A2(n_35327),
+	.B1(n_18502),
+	.B2(n_35325),
+	.C1(n_18671),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[322]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g664743 (
+	.A1(n_18697),
+	.A2(n_34505),
+	.B1(n_18503),
+	.B2(n_34503),
+	.C1(n_18703),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[99]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g664744 (
+	.A1(n_18697),
+	.A2(n_34484),
+	.B1(n_18503),
+	.B2(n_34482),
+	.C1(n_18615),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[387]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g664745 (
+	.A1(n_43166),
+	.A2(n_34484),
+	.B1(n_18502),
+	.B2(n_34482),
+	.C1(n_18614),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[386]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g664746 (
+	.A1(n_43166),
+	.A2(n_34493),
+	.B1(n_18502),
+	.B2(n_34491),
+	.C1(n_18622),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[194]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g664747 (
+	.A1(n_43166),
+	.A2(n_34499),
+	.B1(n_18502),
+	.B2(n_34497),
+	.C1(n_18620),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[162]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g664748 (
+	.A1(n_18697),
+	.A2(n_34471),
+	.B1(n_18503),
+	.B2(n_34469),
+	.C1(n_18755),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[867]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g664749 (
+	.A1(n_18697),
+	.A2(n_34490),
+	.B1(n_18503),
+	.B2(n_34488),
+	.C1(n_18757),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[611]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g664750 (
+	.A1(n_43166),
+	.A2(n_34490),
+	.B1(n_18502),
+	.B2(n_34488),
+	.C1(n_18756),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[610]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g664751 (
+	.A1(n_43166),
+	.A2(n_34471),
+	.B1(n_18502),
+	.B2(n_34469),
+	.C1(n_18754),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[866]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g664752 (
+	.A(n_35632),
+	.B(n_34626),
+	.C(n_18891),
+	.X(n_18900), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g664753 (
+	.A(n_35632),
+	.B(n_34624),
+	.C(n_18890),
+	.X(n_18899), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g664755 (
+	.A(n_35632),
+	.B(n_35372),
+	.C(n_1903),
+	.X(n_18897), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g664756 (
+	.A(n_35632),
+	.B(n_35370),
+	.C(n_18892),
+	.X(n_18895), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g664757 (
+	.A(n_1842),
+	.Y(n_35214), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g664758 (
+	.A(n_1903),
+	.Y(n_35208), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g664759 (
+	.A(n_18892),
+	.Y(n_34254), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g664760 (
+	.A(n_18891),
+	.Y(n_35211), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g664761 (
+	.A(n_18890),
+	.Y(n_34351), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g664762 (
+	.A1(n_18551),
+	.A2(n_34492),
+	.B1(n_18042),
+	.B2(n_34491),
+	.X(n_18889), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g664763 (
+	.A1(n_18549),
+	.A2(n_34492),
+	.B1(n_18040),
+	.B2(n_34491),
+	.X(n_18888), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g664764 (
+	.A1(n_1815),
+	.A2(n_36294),
+	.B1(n_18245),
+	.B2(n_36352),
+	.Y(n_18887), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g664765 (
+	.A1(n_18545),
+	.A2(n_35335),
+	.B1(n_18181),
+	.B2(n_35334),
+	.X(n_18886), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g664766 (
+	.A1(n_18541),
+	.A2(n_35335),
+	.B1(n_17876),
+	.B2(n_35334),
+	.X(n_18885), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g664767 (
+	.A1(n_18542),
+	.A2(n_35335),
+	.B1(n_17875),
+	.B2(n_35334),
+	.X(n_18884), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g664768 (
+	.A1(n_18545),
+	.A2(n_35332),
+	.B1(n_18181),
+	.B2(n_35331),
+	.X(n_18883), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g664769 (
+	.A1(n_18541),
+	.A2(n_35332),
+	.B1(n_17876),
+	.B2(n_35331),
+	.X(n_18882), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g664770 (
+	.A1(n_18542),
+	.A2(n_35332),
+	.B1(n_17875),
+	.B2(n_35331),
+	.X(n_18881), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g664771 (
+	.A1(n_18545),
+	.A2(n_35323),
+	.B1(n_18181),
+	.B2(n_35322),
+	.X(n_18880), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g664772 (
+	.A1(n_18541),
+	.A2(n_35323),
+	.B1(n_17876),
+	.B2(n_35322),
+	.X(n_18879), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g664773 (
+	.A1(n_18542),
+	.A2(n_35323),
+	.B1(n_17875),
+	.B2(n_35322),
+	.X(n_18878), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g664774 (
+	.A1(n_18545),
+	.A2(n_34449),
+	.B1(n_18181),
+	.B2(n_34448),
+	.X(n_18877), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g664775 (
+	.A1(n_18541),
+	.A2(n_34449),
+	.B1(n_17876),
+	.B2(n_34448),
+	.X(n_18876), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g664776 (
+	.A1(n_18542),
+	.A2(n_34449),
+	.B1(n_17875),
+	.B2(n_34448),
+	.X(n_18875), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g664777 (
+	.A1(n_18545),
+	.A2(n_34492),
+	.B1(n_18181),
+	.B2(n_34491),
+	.X(n_18874), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g664778 (
+	.A1(n_18541),
+	.A2(n_34492),
+	.B1(n_17876),
+	.B2(n_34491),
+	.X(n_18873), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g664779 (
+	.A1(n_18542),
+	.A2(n_34492),
+	.B1(n_17875),
+	.B2(n_34491),
+	.X(n_18872), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g664780 (
+	.A1(n_18545),
+	.A2(n_34498),
+	.B1(n_18181),
+	.B2(n_34497),
+	.X(n_18871), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g664781 (
+	.A1(n_18542),
+	.A2(n_34498),
+	.B1(n_17875),
+	.B2(n_34497),
+	.X(n_18870), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g664782 (
+	.A1(n_18541),
+	.A2(n_34498),
+	.B1(n_17876),
+	.B2(n_34497),
+	.X(n_18869), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g664783 (
+	.A1(n_18545),
+	.A2(n_34452),
+	.B1(n_18181),
+	.B2(n_34451),
+	.X(n_18868), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g664784 (
+	.A1(n_18541),
+	.A2(n_34452),
+	.B1(n_17876),
+	.B2(n_34451),
+	.X(n_18867), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g664785 (
+	.A1(n_18542),
+	.A2(n_34452),
+	.B1(n_17875),
+	.B2(n_34451),
+	.X(n_18866), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g664786 (
+	.A1(n_18545),
+	.A2(n_34455),
+	.B1(n_18181),
+	.B2(n_34454),
+	.X(n_18865), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g664787 (
+	.A1(n_18541),
+	.A2(n_34455),
+	.B1(n_17876),
+	.B2(n_34454),
+	.X(n_18864), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g664788 (
+	.A1(n_18542),
+	.A2(n_34455),
+	.B1(n_17875),
+	.B2(n_34454),
+	.X(n_18863), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g664789 (
+	.A1(n_18543),
+	.A2(n_34486),
+	.B1(n_18180),
+	.B2(n_34485),
+	.X(n_18862), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g664790 (
+	.A1(n_18549),
+	.A2(n_35323),
+	.B1(n_18040),
+	.B2(n_35322),
+	.X(n_18861), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g664791 (
+	.A1(n_18551),
+	.A2(n_35323),
+	.B1(n_18042),
+	.B2(n_35322),
+	.X(n_18860), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g664792 (
+	.A1(n_18550),
+	.A2(n_35323),
+	.B1(n_18039),
+	.B2(n_35322),
+	.X(n_18859), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g664793 (
+	.A1(n_18550),
+	.A2(n_34452),
+	.B1(n_18039),
+	.B2(n_34451),
+	.X(n_18858), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g664794 (
+	.A1(n_18545),
+	.A2(n_34495),
+	.B1(n_18181),
+	.B2(n_34494),
+	.X(n_18857), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g664795 (
+	.A1(n_18541),
+	.A2(n_34495),
+	.B1(n_17876),
+	.B2(n_34494),
+	.X(n_18856), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g664796 (
+	.A1(n_18542),
+	.A2(n_34495),
+	.B1(n_17875),
+	.B2(n_34494),
+	.X(n_18855), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g664797 (
+	.A1(n_18549),
+	.A2(n_35335),
+	.B1(n_18040),
+	.B2(n_35334),
+	.X(n_18854), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g664798 (
+	.A1(n_18551),
+	.A2(n_35335),
+	.B1(n_18042),
+	.B2(n_35334),
+	.X(n_18853), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g664799 (
+	.A1(n_18550),
+	.A2(n_35335),
+	.B1(n_18039),
+	.B2(n_35334),
+	.X(n_18852), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g664800 (
+	.A1(n_18549),
+	.A2(n_35332),
+	.B1(n_18040),
+	.B2(n_35331),
+	.X(n_18851), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g664801 (
+	.A1(n_18551),
+	.A2(n_35332),
+	.B1(n_18042),
+	.B2(n_35331),
+	.X(n_18850), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g664802 (
+	.A1(n_18550),
+	.A2(n_35332),
+	.B1(n_18039),
+	.B2(n_35331),
+	.X(n_18849), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g664803 (
+	.A1(n_1533),
+	.A2(n_36294),
+	.B1(n_18240),
+	.B2(n_36352),
+	.Y(n_18848), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g664804 (
+	.A1(n_18543),
+	.A2(n_34495),
+	.B1(n_18180),
+	.B2(n_34494),
+	.X(n_18847), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g664805 (
+	.A1(n_18543),
+	.A2(n_35340),
+	.B1(n_18180),
+	.B2(n_34441),
+	.X(n_18846), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g664806 (
+	.A1(n_18543),
+	.A2(n_34467),
+	.B1(n_18180),
+	.B2(n_34466),
+	.X(n_18845), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g664807 (
+	.A1(n_18551),
+	.A2(n_34495),
+	.B1(n_18042),
+	.B2(n_34494),
+	.X(n_18844), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g664808 (
+	.A1(n_18549),
+	.A2(n_34495),
+	.B1(n_18040),
+	.B2(n_34494),
+	.X(n_18843), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g664809 (
+	.A1(n_18550),
+	.A2(n_34495),
+	.B1(n_18039),
+	.B2(n_34494),
+	.X(n_18842), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g664810 (
+	.A1(n_18543),
+	.A2(n_34452),
+	.B1(n_18180),
+	.B2(n_34451),
+	.X(n_18841), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g664811 (
+	.A1(n_18543),
+	.A2(n_34455),
+	.B1(n_18180),
+	.B2(n_34454),
+	.X(n_18840), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g664812 (
+	.A1(n_18550),
+	.A2(n_34449),
+	.B1(n_18039),
+	.B2(n_34448),
+	.X(n_18839), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g664813 (
+	.A1(n_18549),
+	.A2(n_34449),
+	.B1(n_18040),
+	.B2(n_34448),
+	.X(n_18838), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g664814 (
+	.A1(n_18551),
+	.A2(n_34449),
+	.B1(n_18042),
+	.B2(n_34448),
+	.X(n_18837), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g664815 (
+	.A(n_34406),
+	.B(n_34405),
+	.X(n_34404), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664816 (
+	.A(n_35368),
+	.B(n_35215),
+	.Y(n_1842), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664817 (
+	.A(n_35372),
+	.B(n_35209),
+	.Y(n_1903), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664818 (
+	.A(n_35370),
+	.B(n_34255),
+	.Y(n_18892), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664819 (
+	.A(n_34626),
+	.B(n_35212),
+	.Y(n_18891), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664820 (
+	.A(n_34624),
+	.B(n_34352),
+	.Y(n_18890), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g664821 (
+	.A1(n_18550),
+	.A2(n_34492),
+	.B1(n_18039),
+	.B2(n_34491),
+	.X(n_18836), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g664822 (
+	.A1(n_18549),
+	.A2(n_34498),
+	.B1(n_18040),
+	.B2(n_34497),
+	.X(n_18835), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g664823 (
+	.A1(n_18551),
+	.A2(n_34498),
+	.B1(n_18042),
+	.B2(n_34497),
+	.X(n_18834), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g664824 (
+	.A1(n_18550),
+	.A2(n_34498),
+	.B1(n_18039),
+	.B2(n_34497),
+	.X(n_18833), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g664825 (
+	.A1(n_1522),
+	.A2(n_36320),
+	.B1(n_18249),
+	.B2(n_36364),
+	.Y(n_18832), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g664826 (
+	.A1(n_18540),
+	.A2(n_34504),
+	.B1(n_17393),
+	.B2(n_34503),
+	.X(n_18831), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g664827 (
+	.A1(n_18546),
+	.A2(n_34504),
+	.B1(n_18041),
+	.B2(n_34503),
+	.X(n_18830), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g664828 (
+	.A1(n_18544),
+	.A2(n_34504),
+	.B1(n_18038),
+	.B2(n_34503),
+	.X(n_18829), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g664829 (
+	.A1(n_18546),
+	.A2(n_34492),
+	.B1(n_18041),
+	.B2(n_34491),
+	.X(n_18828), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g664830 (
+	.A1(n_18544),
+	.A2(n_34492),
+	.B1(n_18038),
+	.B2(n_34491),
+	.X(n_18827), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g664831 (
+	.A1(n_18546),
+	.A2(n_35335),
+	.B1(n_18041),
+	.B2(n_35334),
+	.X(n_18826), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g664832 (
+	.A1(n_18544),
+	.A2(n_35335),
+	.B1(n_18038),
+	.B2(n_35334),
+	.X(n_18825), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g664833 (
+	.A1(n_18546),
+	.A2(n_34498),
+	.B1(n_18041),
+	.B2(n_34497),
+	.X(n_18824), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g664834 (
+	.A1(n_18544),
+	.A2(n_34498),
+	.B1(n_18038),
+	.B2(n_34497),
+	.X(n_18823), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g664835 (
+	.A1(n_18546),
+	.A2(n_35332),
+	.B1(n_18041),
+	.B2(n_35331),
+	.X(n_18822), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g664836 (
+	.A1(n_18544),
+	.A2(n_35332),
+	.B1(n_18038),
+	.B2(n_35331),
+	.X(n_18821), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g664837 (
+	.A1(n_1522),
+	.A2(n_36290),
+	.B1(n_18249),
+	.B2(n_36348),
+	.Y(n_18820), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g664838 (
+	.A1(n_18535),
+	.A2(n_34449),
+	.B1(n_32259),
+	.B2(n_34448),
+	.X(n_18819), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g664839 (
+	.A1(n_18540),
+	.A2(n_34495),
+	.B1(n_17393),
+	.B2(n_34494),
+	.X(n_18818), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g664840 (
+	.A1(n_18546),
+	.A2(n_34495),
+	.B1(n_18041),
+	.B2(n_34494),
+	.X(n_18817), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g664841 (
+	.A1(n_18544),
+	.A2(n_34495),
+	.B1(n_18038),
+	.B2(n_34494),
+	.X(n_18816), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g664842 (
+	.A1(n_18535),
+	.A2(n_34495),
+	.B1(n_32259),
+	.B2(n_34494),
+	.X(n_18815), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g664843 (
+	.A1(n_18540),
+	.A2(n_34492),
+	.B1(n_17393),
+	.B2(n_34491),
+	.X(n_18814), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g664844 (
+	.A1(n_18535),
+	.A2(n_34492),
+	.B1(n_32259),
+	.B2(n_34491),
+	.X(n_18813), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g664845 (
+	.A1(n_18540),
+	.A2(n_35335),
+	.B1(n_17393),
+	.B2(n_35334),
+	.X(n_18812), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g664846 (
+	.A1(n_18535),
+	.A2(n_35335),
+	.B1(n_32259),
+	.B2(n_35334),
+	.X(n_18811), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g664847 (
+	.A1(n_18540),
+	.A2(n_35323),
+	.B1(n_17393),
+	.B2(n_35322),
+	.X(n_18810), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g664848 (
+	.A1(n_18546),
+	.A2(n_35323),
+	.B1(n_18041),
+	.B2(n_35322),
+	.X(n_18809), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g664849 (
+	.A1(n_18544),
+	.A2(n_35323),
+	.B1(n_18038),
+	.B2(n_35322),
+	.X(n_18808), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g664850 (
+	.A1(n_18535),
+	.A2(n_35323),
+	.B1(n_32259),
+	.B2(n_35322),
+	.X(n_18807), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g664851 (
+	.A1(n_18540),
+	.A2(n_34507),
+	.B1(n_17393),
+	.B2(n_34506),
+	.X(n_18806), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g664852 (
+	.A1(n_18546),
+	.A2(n_34507),
+	.B1(n_18041),
+	.B2(n_34506),
+	.X(n_18805), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g664853 (
+	.A1(n_18544),
+	.A2(n_34507),
+	.B1(n_18038),
+	.B2(n_34506),
+	.X(n_18804), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g664854 (
+	.A1(n_18535),
+	.A2(n_34507),
+	.B1(n_32259),
+	.B2(n_34506),
+	.X(n_18803), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g664855 (
+	.A1(n_18540),
+	.A2(n_34498),
+	.B1(n_17393),
+	.B2(n_34497),
+	.X(n_18802), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g664856 (
+	.A1(n_18535),
+	.A2(n_34498),
+	.B1(n_32259),
+	.B2(n_34497),
+	.X(n_18801), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g664857 (
+	.A1(n_18540),
+	.A2(n_35326),
+	.B1(n_17393),
+	.B2(n_35325),
+	.X(n_18800), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g664858 (
+	.A1(n_18546),
+	.A2(n_35326),
+	.B1(n_18041),
+	.B2(n_35325),
+	.X(n_18799), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g664859 (
+	.A1(n_18544),
+	.A2(n_35326),
+	.B1(n_18038),
+	.B2(n_35325),
+	.X(n_18798), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g664860 (
+	.A1(n_18535),
+	.A2(n_35326),
+	.B1(n_32259),
+	.B2(n_35325),
+	.X(n_18797), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g664861 (
+	.A1(n_18546),
+	.A2(n_34480),
+	.B1(n_18041),
+	.B2(n_34479),
+	.X(n_18796), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g664862 (
+	.A1(n_18544),
+	.A2(n_34480),
+	.B1(n_18038),
+	.B2(n_34479),
+	.X(n_18795), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g664863 (
+	.A1(n_18540),
+	.A2(n_35332),
+	.B1(n_17393),
+	.B2(n_35331),
+	.X(n_18794), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g664864 (
+	.A1(n_18535),
+	.A2(n_35332),
+	.B1(n_32259),
+	.B2(n_35331),
+	.X(n_18793), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g664865 (
+	.A1(n_18540),
+	.A2(n_34486),
+	.B1(n_17393),
+	.B2(n_34485),
+	.X(n_18792), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g664866 (
+	.A1(n_18546),
+	.A2(n_34486),
+	.B1(n_18041),
+	.B2(n_34485),
+	.X(n_18791), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g664867 (
+	.A1(n_18544),
+	.A2(n_34486),
+	.B1(n_18038),
+	.B2(n_34485),
+	.X(n_18790), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g664868 (
+	.A1(n_18540),
+	.A2(n_34510),
+	.B1(n_17393),
+	.B2(n_34509),
+	.X(n_18789), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g664869 (
+	.A1(n_18546),
+	.A2(n_34510),
+	.B1(n_18041),
+	.B2(n_34509),
+	.X(n_18788), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g664870 (
+	.A1(n_18544),
+	.A2(n_34510),
+	.B1(n_18038),
+	.B2(n_34509),
+	.X(n_18787), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g664871 (
+	.A1(n_18535),
+	.A2(n_34504),
+	.B1(n_32259),
+	.B2(n_34503),
+	.X(n_18786), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g664872 (
+	.A1(n_18540),
+	.A2(n_35338),
+	.B1(n_17393),
+	.B2(n_35337),
+	.X(n_18785), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g664873 (
+	.A1(n_18546),
+	.A2(n_35338),
+	.B1(n_18041),
+	.B2(n_35337),
+	.X(n_18784), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g664874 (
+	.A1(n_18544),
+	.A2(n_35338),
+	.B1(n_18038),
+	.B2(n_35337),
+	.X(n_18783), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g664875 (
+	.A1(n_18535),
+	.A2(n_34510),
+	.B1(n_32259),
+	.B2(n_34509),
+	.X(n_18782), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g664876 (
+	.A1(n_18535),
+	.A2(n_35338),
+	.B1(n_32259),
+	.B2(n_35337),
+	.X(n_18781), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g664877 (
+	.A1(n_18540),
+	.A2(n_34449),
+	.B1(n_17393),
+	.B2(n_34448),
+	.X(n_18780), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g664878 (
+	.A1(n_18546),
+	.A2(n_34449),
+	.B1(n_18041),
+	.B2(n_34448),
+	.X(n_18779), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g664879 (
+	.A1(n_18544),
+	.A2(n_34449),
+	.B1(n_18038),
+	.B2(n_34448),
+	.X(n_18778), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664880 (
+	.A(n_1813),
+	.B(n_36282),
+	.Y(n_18777), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664881 (
+	.A(n_1813),
+	.B(n_36294),
+	.Y(n_18776), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664882 (
+	.A(n_1813),
+	.B(n_36316),
+	.Y(n_18775), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664883 (
+	.A(n_1813),
+	.B(n_36320),
+	.Y(n_18774), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664884 (
+	.A(n_1813),
+	.B(n_36321),
+	.Y(n_18773), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664885 (
+	.A(n_1815),
+	.B(n_36281),
+	.Y(n_18772), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664886 (
+	.A(n_1534),
+	.B(n_36290),
+	.Y(n_18771), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664887 (
+	.A(n_1534),
+	.B(n_36321),
+	.Y(n_18770), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664888 (
+	.A(n_1534),
+	.B(n_36320),
+	.Y(n_18769), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664889 (
+	.A(n_1534),
+	.B(n_36316),
+	.Y(n_18768), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664890 (
+	.A(n_1532),
+	.B(n_36321),
+	.Y(n_18767), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664891 (
+	.A(n_1532),
+	.B(n_36320),
+	.Y(n_18766), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664892 (
+	.A(n_1532),
+	.B(n_36316),
+	.Y(n_18765), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664893 (
+	.A(n_1532),
+	.B(n_36294),
+	.Y(n_18764), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664894 (
+	.A(n_1825),
+	.B(n_36321),
+	.Y(n_18763), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664895 (
+	.A(n_1825),
+	.B(n_36320),
+	.Y(n_18762), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664896 (
+	.A(n_1532),
+	.B(n_36290),
+	.Y(n_18761), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664897 (
+	.A(n_1825),
+	.B(n_36316),
+	.Y(n_18760), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664898 (
+	.A(n_1825),
+	.B(n_36294),
+	.Y(n_18759), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664899 (
+	.A(n_1825),
+	.B(n_36290),
+	.Y(n_18758), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664900 (
+	.A(n_1825),
+	.B(n_36282),
+	.Y(n_18757), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664901 (
+	.A(n_1825),
+	.B(n_36281),
+	.Y(n_18756), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664902 (
+	.A(n_1532),
+	.B(n_36282),
+	.Y(n_18755), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664903 (
+	.A(n_1532),
+	.B(n_36281),
+	.Y(n_18754), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664904 (
+	.A(n_1533),
+	.B(n_36321),
+	.Y(n_18753), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664905 (
+	.A(n_1533),
+	.B(n_36320),
+	.Y(n_18752), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664906 (
+	.A(n_1533),
+	.B(n_36316),
+	.Y(n_18751), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664907 (
+	.A(n_1533),
+	.B(n_36290),
+	.Y(n_18750), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664908 (
+	.A(n_1533),
+	.B(n_36282),
+	.Y(n_18749), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664909 (
+	.A(n_1533),
+	.B(n_36281),
+	.Y(n_18748), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664910 (
+	.A(n_1522),
+	.B(n_36321),
+	.Y(n_18747), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664911 (
+	.A(n_1522),
+	.B(n_36316),
+	.Y(n_18746), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664912 (
+	.A(n_1522),
+	.B(n_36294),
+	.Y(n_18745), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664913 (
+	.A(n_1522),
+	.B(n_36282),
+	.Y(n_18744), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664914 (
+	.A(n_1522),
+	.B(n_36281),
+	.Y(n_18743), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664915 (
+	.A(n_1824),
+	.B(n_36321),
+	.Y(n_18742), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664916 (
+	.A(n_1824),
+	.B(n_36320),
+	.Y(n_18741), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664917 (
+	.A(n_1824),
+	.B(n_36316),
+	.Y(n_18740), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664918 (
+	.A(n_1824),
+	.B(n_36294),
+	.Y(n_18739), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664919 (
+	.A(n_1824),
+	.B(n_36290),
+	.Y(n_18738), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664920 (
+	.A(n_1824),
+	.B(n_36282),
+	.Y(n_18737), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664921 (
+	.A(n_1824),
+	.B(n_36281),
+	.Y(n_18736), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664922 (
+	.A(n_1530),
+	.B(n_36321),
+	.Y(n_18735), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664923 (
+	.A(n_1530),
+	.B(n_36320),
+	.Y(n_18734), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664924 (
+	.A(n_1530),
+	.B(n_36316),
+	.Y(n_18733), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664925 (
+	.A(n_1530),
+	.B(n_36294),
+	.Y(n_18732), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664926 (
+	.A(n_1530),
+	.B(n_36290),
+	.Y(n_18731), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664927 (
+	.A(n_1530),
+	.B(n_36282),
+	.Y(n_18730), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664928 (
+	.A(n_1530),
+	.B(n_36281),
+	.Y(n_18729), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664929 (
+	.A(n_1523),
+	.B(n_36321),
+	.Y(n_18728), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664930 (
+	.A(n_1523),
+	.B(n_36320),
+	.Y(n_18727), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664931 (
+	.A(n_1523),
+	.B(n_36316),
+	.Y(n_18726), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664932 (
+	.A(n_1523),
+	.B(n_36294),
+	.Y(n_18725), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664933 (
+	.A(n_1523),
+	.B(n_36290),
+	.Y(n_18724), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664934 (
+	.A(n_1523),
+	.B(n_36282),
+	.Y(n_18723), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664935 (
+	.A(n_1523),
+	.B(n_36281),
+	.Y(n_18722), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664936 (
+	.A(n_1829),
+	.B(n_36321),
+	.Y(n_18721), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664937 (
+	.A(n_1829),
+	.B(n_36320),
+	.Y(n_18720), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664938 (
+	.A(n_1829),
+	.B(n_36316),
+	.Y(n_18719), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664939 (
+	.A(n_1829),
+	.B(n_36294),
+	.Y(n_18718), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664940 (
+	.A(n_1829),
+	.B(n_36290),
+	.Y(n_18717), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664941 (
+	.A(n_1829),
+	.B(n_36282),
+	.Y(n_18716), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664942 (
+	.A(n_1829),
+	.B(n_36281),
+	.Y(n_18715), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664943 (
+	.A(n_1528),
+	.B(n_36290),
+	.Y(n_18714), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664944 (
+	.A(n_1815),
+	.B(n_36290),
+	.Y(n_18713), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664945 (
+	.A(n_1818),
+	.B(n_36290),
+	.Y(n_18712), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664946 (
+	.A(n_1521),
+	.B(n_36290),
+	.Y(n_18711), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664947 (
+	.A(n_1820),
+	.B(n_36290),
+	.Y(n_18710), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664948 (
+	.A(n_1819),
+	.B(n_36290),
+	.Y(n_18709), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664949 (
+	.A(n_1525),
+	.B(n_36290),
+	.Y(n_18708), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664950 (
+	.A(n_1813),
+	.B(n_36290),
+	.Y(n_18707), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664951 (
+	.A(n_1821),
+	.B(n_36290),
+	.Y(n_18706), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664952 (
+	.A(n_1826),
+	.B(n_36290),
+	.Y(n_18705), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664953 (
+	.A(n_1526),
+	.B(n_36294),
+	.Y(n_18704), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664954 (
+	.A(n_1526),
+	.B(n_36282),
+	.Y(n_18703), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664955 (
+	.A(n_1526),
+	.B(n_36281),
+	.Y(n_18702), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664956 (
+	.A(n_1815),
+	.B(n_36321),
+	.Y(n_18701), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664957 (
+	.A(n_1815),
+	.B(n_36320),
+	.Y(n_18700), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664958 (
+	.A(n_1815),
+	.B(n_36316),
+	.Y(n_18699), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664959 (
+	.A(n_1815),
+	.B(n_36282),
+	.Y(n_18698), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g664960 (
+	.A(n_35310),
+	.B(n_35309),
+	.X(n_34405), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664961 (
+	.A(n_36244),
+	.B(n_29552),
+	.Y(n_34255), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664962 (
+	.A(n_36244),
+	.B(n_1669),
+	.Y(n_35209), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664963 (
+	.A(n_36244),
+	.B(n_1677),
+	.Y(n_35212), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664964 (
+	.A(n_36244),
+	.B(n_1887),
+	.Y(n_35215), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664965 (
+	.A(n_36244),
+	.B(n_1671),
+	.Y(n_34352), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g664966 (
+	.A1(n_35964),
+	.A2(n_1788),
+	.B1(n_36001),
+	.B2(n_34622),
+	.X(brqrv_top_brqrv_dec_decode_i0_result_x[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664967 (
+	.A(n_1534),
+	.B(n_36294),
+	.Y(n_18696), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664968 (
+	.A(n_1528),
+	.B(n_36321),
+	.Y(n_18695), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664969 (
+	.A(n_1528),
+	.B(n_36320),
+	.Y(n_18694), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664970 (
+	.A(n_1528),
+	.B(n_36316),
+	.Y(n_18693), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664971 (
+	.A(n_1818),
+	.B(n_36321),
+	.Y(n_18692), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664972 (
+	.A(n_1818),
+	.B(n_36320),
+	.Y(n_18691), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664973 (
+	.A(n_1818),
+	.B(n_36316),
+	.Y(n_18690), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664974 (
+	.A(n_1521),
+	.B(n_36321),
+	.Y(n_18689), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664975 (
+	.A(n_1521),
+	.B(n_36320),
+	.Y(n_18688), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664976 (
+	.A(n_1521),
+	.B(n_36316),
+	.Y(n_18687), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664977 (
+	.A(n_1820),
+	.B(n_36321),
+	.Y(n_18686), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664978 (
+	.A(n_1820),
+	.B(n_36320),
+	.Y(n_18685), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664979 (
+	.A(n_1820),
+	.B(n_36316),
+	.Y(n_18684), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664980 (
+	.A(n_1819),
+	.B(n_36321),
+	.Y(n_18683), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664981 (
+	.A(n_1819),
+	.B(n_36320),
+	.Y(n_18682), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664982 (
+	.A(n_1819),
+	.B(n_36316),
+	.Y(n_18681), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664983 (
+	.A(n_1525),
+	.B(n_36321),
+	.Y(n_18680), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664984 (
+	.A(n_1525),
+	.B(n_36320),
+	.Y(n_18679), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664985 (
+	.A(n_1525),
+	.B(n_36316),
+	.Y(n_18678), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664986 (
+	.A(n_1821),
+	.B(n_36321),
+	.Y(n_18677), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664987 (
+	.A(n_1827),
+	.B(n_36294),
+	.Y(n_18676), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664988 (
+	.A(n_1827),
+	.B(n_36282),
+	.Y(n_18675), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664989 (
+	.A(n_1821),
+	.B(n_36294),
+	.Y(n_18674), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664990 (
+	.A(n_1821),
+	.B(n_36282),
+	.Y(n_18673), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664991 (
+	.A(n_1821),
+	.B(n_36281),
+	.Y(n_18672), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664992 (
+	.A(n_1827),
+	.B(n_36281),
+	.Y(n_18671), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664993 (
+	.A(n_1820),
+	.B(n_36282),
+	.Y(n_18670), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664994 (
+	.A(n_1820),
+	.B(n_36281),
+	.Y(n_18669), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664995 (
+	.A(n_1525),
+	.B(n_36282),
+	.Y(n_18668), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664996 (
+	.A(n_1525),
+	.B(n_36281),
+	.Y(n_18667), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664997 (
+	.A(n_1819),
+	.B(n_36282),
+	.Y(n_18666), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664998 (
+	.A(n_1819),
+	.B(n_36294),
+	.Y(n_18665), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664999 (
+	.A(n_1819),
+	.B(n_36281),
+	.Y(n_18664), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665000 (
+	.A(n_1529),
+	.B(n_36294),
+	.Y(n_18663), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665001 (
+	.A(n_1529),
+	.B(n_36282),
+	.Y(n_18662), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665002 (
+	.A(n_1529),
+	.B(n_36281),
+	.Y(n_18661), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665003 (
+	.A(n_1830),
+	.B(n_36294),
+	.Y(n_18660), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665004 (
+	.A(n_1830),
+	.B(n_36282),
+	.Y(n_18659), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665005 (
+	.A(n_1830),
+	.B(n_36281),
+	.Y(n_18658), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665006 (
+	.A(n_1823),
+	.B(n_36294),
+	.Y(n_18657), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665007 (
+	.A(n_1823),
+	.B(n_36282),
+	.Y(n_18656), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665008 (
+	.A(n_1823),
+	.B(n_36281),
+	.Y(n_18655), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665009 (
+	.A(n_1826),
+	.B(n_36282),
+	.Y(n_18654), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665010 (
+	.A(n_1826),
+	.B(n_36281),
+	.Y(n_18653), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665011 (
+	.A(n_1814),
+	.B(n_36294),
+	.Y(n_18652), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665012 (
+	.A(n_1822),
+	.B(n_36294),
+	.Y(n_18651), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665013 (
+	.A(n_1534),
+	.B(n_36307),
+	.Y(n_18650), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665014 (
+	.A(n_1534),
+	.B(n_36304),
+	.Y(n_18649), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665015 (
+	.A(n_1534),
+	.B(n_36302),
+	.Y(n_18648), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665016 (
+	.A(n_1534),
+	.B(n_36295),
+	.Y(n_18647), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665017 (
+	.A(n_1534),
+	.B(n_36282),
+	.Y(n_18646), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665018 (
+	.A(n_1534),
+	.B(n_36281),
+	.Y(n_18645), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665019 (
+	.A(n_1528),
+	.B(n_36307),
+	.Y(n_18644), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665020 (
+	.A(n_1528),
+	.B(n_36304),
+	.Y(n_18643), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665021 (
+	.A(n_1528),
+	.B(n_36302),
+	.Y(n_18642), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665022 (
+	.A(n_1528),
+	.B(n_36301),
+	.Y(n_18641), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665023 (
+	.A(n_1528),
+	.B(n_36297),
+	.Y(n_18640), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665024 (
+	.A(n_1528),
+	.B(n_36295),
+	.Y(n_18639), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665025 (
+	.A(n_1828),
+	.B(n_36294),
+	.Y(n_18638), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665026 (
+	.A(n_1828),
+	.B(n_36282),
+	.Y(n_18637), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665027 (
+	.A(n_1828),
+	.B(n_36281),
+	.Y(n_18636), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665028 (
+	.A(n_1818),
+	.B(n_36282),
+	.Y(n_18635), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665029 (
+	.A(n_1818),
+	.B(n_36281),
+	.Y(n_18634), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665030 (
+	.A(n_1521),
+	.B(n_36282),
+	.Y(n_18633), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665031 (
+	.A(n_1521),
+	.B(n_36281),
+	.Y(n_18632), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665032 (
+	.A(n_1528),
+	.B(n_36294),
+	.Y(n_18631), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665033 (
+	.A(n_1817),
+	.B(n_36294),
+	.Y(n_18630), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665034 (
+	.A(n_1816),
+	.B(n_36294),
+	.Y(n_18629), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665035 (
+	.A(n_1531),
+	.B(n_36294),
+	.Y(n_18628), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665036 (
+	.A(n_1816),
+	.B(n_36282),
+	.Y(n_18627), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665037 (
+	.A(n_1816),
+	.B(n_36281),
+	.Y(n_18626), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665038 (
+	.A(n_1531),
+	.B(n_36282),
+	.Y(n_18625), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665039 (
+	.A(n_1531),
+	.B(n_36281),
+	.Y(n_18624), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665040 (
+	.A(n_1814),
+	.B(n_36282),
+	.Y(n_18623), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665041 (
+	.A(n_1814),
+	.B(n_36281),
+	.Y(n_18622), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665042 (
+	.A(n_1822),
+	.B(n_36282),
+	.Y(n_18621), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665043 (
+	.A(n_1822),
+	.B(n_36281),
+	.Y(n_18620), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665044 (
+	.A(n_1524),
+	.B(n_36282),
+	.Y(n_18619), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665045 (
+	.A(n_1524),
+	.B(n_36281),
+	.Y(n_18618), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665046 (
+	.A(n_1817),
+	.B(n_36282),
+	.Y(n_18617), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665047 (
+	.A(n_1817),
+	.B(n_36281),
+	.Y(n_18616), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665048 (
+	.A(n_1528),
+	.B(n_36282),
+	.Y(n_18615), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665049 (
+	.A(n_1528),
+	.B(n_36281),
+	.Y(n_18614), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665050 (
+	.A(n_1813),
+	.B(n_36281),
+	.Y(n_18613), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665051 (
+	.A(n_17972),
+	.B(n_18573),
+	.Y(n_18697), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g665055 (
+	.A(n_1534),
+	.Y(n_34501), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g665074 (
+	.A(n_35311),
+	.B(n_35312),
+	.X(n_35309), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665075 (
+	.A(n_18566),
+	.B(n_18045),
+	.Y(n_34489), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665076 (
+	.A(n_18571),
+	.B(n_18045),
+	.Y(n_34476), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665077 (
+	.A(n_18565),
+	.B(n_18045),
+	.Y(n_35329), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665078 (
+	.A(n_18046),
+	.B(n_18567),
+	.Y(n_1534), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665079 (
+	.A(n_18570),
+	.B(n_18043),
+	.Y(n_34461), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665080 (
+	.A(n_18566),
+	.B(n_18047),
+	.Y(n_35340), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665081 (
+	.A(n_18570),
+	.B(n_18047),
+	.Y(n_34467), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665082 (
+	.A(n_18570),
+	.B(n_18044),
+	.Y(n_34464), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665083 (
+	.A(n_18566),
+	.B(n_18043),
+	.Y(n_34443), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665084 (
+	.A(n_18566),
+	.B(n_18044),
+	.Y(n_34446), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665085 (
+	.A(n_18572),
+	.B(n_18045),
+	.Y(n_34504), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665086 (
+	.A(n_18571),
+	.B(n_18043),
+	.Y(n_34473), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665087 (
+	.A(n_18571),
+	.B(n_18044),
+	.Y(n_35342), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665088 (
+	.A(n_18571),
+	.B(n_18047),
+	.Y(n_34486), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665089 (
+	.A(n_18565),
+	.B(n_18043),
+	.Y(n_34480), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665090 (
+	.A(n_18565),
+	.B(n_18047),
+	.Y(n_35323), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665091 (
+	.A(n_18565),
+	.B(n_18044),
+	.Y(n_35326), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665092 (
+	.A(n_18568),
+	.B(n_18045),
+	.Y(n_34458), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665093 (
+	.A(n_18572),
+	.B(n_18044),
+	.Y(n_34507), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665094 (
+	.A(n_18572),
+	.B(n_18043),
+	.Y(n_34510), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665095 (
+	.A(n_18569),
+	.B(n_18045),
+	.Y(n_35338), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665096 (
+	.A(n_18570),
+	.B(n_18045),
+	.Y(n_34470), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g665106 (
+	.A1(n_34350),
+	.A2(n_43027),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [3]),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_control_ff [0]),
+	.X(n_18573), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g665107 (
+	.A1(n_34622),
+	.A2(n_17355),
+	.B1(n_1788),
+	.B2(n_17897),
+	.Y(brqrv_top_brqrv_dec_decode_i0_result_x[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g665108 (
+	.A1(n_34622),
+	.A2(n_8224),
+	.B1(n_1788),
+	.B2(n_17895),
+	.Y(brqrv_top_brqrv_dec_decode_i0_result_x[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g665109 (
+	.A1(n_17998),
+	.A2(n_35384),
+	.B1(n_42010),
+	.B2(n_18547),
+	.Y(n_34680), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g665110 (
+	.A1(n_18004),
+	.A2(n_35384),
+	.B1(n_42009),
+	.B2(n_18547),
+	.Y(n_35381), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g665111 (
+	.A1(n_17993),
+	.A2(n_35384),
+	.B1(n_42011),
+	.B2(n_18547),
+	.Y(n_35382), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g665112 (
+	.A1(n_36179),
+	.A2(n_41918),
+	.B1(n_18560),
+	.Y(n_18590), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g665113 (
+	.A1(n_36175),
+	.A2(n_41918),
+	.B1(n_18559),
+	.Y(n_18589), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g665114 (
+	.A1(n_36195),
+	.A2(n_41918),
+	.B1(n_18563),
+	.Y(n_18588), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g665115 (
+	.A1(n_31485),
+	.A2(n_41918),
+	.B1(n_18558),
+	.Y(n_18587), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g665116 (
+	.A1(n_18182),
+	.A2(n_35308),
+	.B1(n_31451),
+	.B2(n_41918),
+	.C1(n_18539),
+	.Y(n_18586), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g665117 (
+	.A1(n_36199),
+	.A2(n_41918),
+	.B1(n_18564),
+	.Y(n_18585), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g665118 (
+	.A1(n_36187),
+	.A2(n_41918),
+	.B1(n_18562),
+	.Y(n_18584), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g665119 (
+	.A1(n_36183),
+	.A2(n_41918),
+	.B1(n_18561),
+	.Y(n_18583), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665120 (
+	.A_N(brqrv_top_brqrv_dma_ctrl_n_1834),
+	.B(n_18275),
+	.Y(n_36244), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665121 (
+	.A_N(n_18567),
+	.B(n_18045),
+	.Y(n_34495), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665122 (
+	.A_N(n_18567),
+	.B(n_18044),
+	.Y(n_34492), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665123 (
+	.A_N(n_18567),
+	.B(n_18043),
+	.Y(n_34498), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665124 (
+	.A(n_18568),
+	.B(n_18043),
+	.Y(n_34452), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665125 (
+	.A(n_18568),
+	.B(n_18044),
+	.Y(n_34455), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665126 (
+	.A(n_18568),
+	.B(n_18047),
+	.Y(n_34449), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665127 (
+	.A(n_18569),
+	.B(n_18047),
+	.Y(n_34483), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665128 (
+	.A(n_18569),
+	.B(n_18044),
+	.Y(n_35335), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665129 (
+	.A(n_18569),
+	.B(n_18043),
+	.Y(n_35332), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g665130 (
+	.A1(n_18182),
+	.A2(n_34391),
+	.B1(n_18259),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[126]),
+	.C1(n_18533),
+	.X(n_18564), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g665131 (
+	.A1(n_18182),
+	.A2(n_34390),
+	.B1(n_18259),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[125]),
+	.C1(n_18534),
+	.X(n_18563), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g665132 (
+	.A(n_35313),
+	.B(n_35314),
+	.X(n_35312), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665133 (
+	.A(n_35412),
+	.B(n_18351),
+	.Y(brqrv_top_brqrv_dma_ctrl_n_1834), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665134 (
+	.A(brqrv_top_brqrv_dec_dec_nonblock_load_waddr[4]),
+	.B(n_18555),
+	.Y(n_18572), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665135 (
+	.A(n_17940),
+	.B(n_18553),
+	.Y(n_18571), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665136 (
+	.A(n_17940),
+	.B(n_18554),
+	.Y(n_18570), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665137 (
+	.A(brqrv_top_brqrv_dec_dec_nonblock_load_waddr[4]),
+	.B(n_18553),
+	.Y(n_18569), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665138 (
+	.A(n_17940),
+	.B(n_18556),
+	.Y(n_18568), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665139 (
+	.A(n_18557),
+	.B(n_17940),
+	.Y(n_18567), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665140 (
+	.A(n_17940),
+	.B(n_18555),
+	.Y(n_18566), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665141 (
+	.A(brqrv_top_brqrv_dec_dec_nonblock_load_waddr[4]),
+	.B(n_18554),
+	.Y(n_18565), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g665142 (
+	.A1_N(n_1788),
+	.A2_N(n_35967),
+	.B1(n_1788),
+	.B2(n_17893),
+	.Y(brqrv_top_brqrv_dec_decode_i0_result_x[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g665143 (
+	.A1(n_18182),
+	.A2(n_34388),
+	.B1(n_18258),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[91]),
+	.C1(n_18522),
+	.X(n_18562), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g665144 (
+	.A1(n_18182),
+	.A2(n_34387),
+	.B1(n_18258),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[90]),
+	.C1(n_18521),
+	.X(n_18561), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g665145 (
+	.A1(n_18182),
+	.A2(n_34386),
+	.B1(n_18258),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[89]),
+	.C1(n_18520),
+	.X(n_18560), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g665146 (
+	.A1(n_18182),
+	.A2(n_34385),
+	.B1(n_18259),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[120]),
+	.C1(n_18519),
+	.X(n_18559), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g665147 (
+	.A1_N(n_1788),
+	.A2_N(n_35968),
+	.B1(n_1788),
+	.B2(n_17894),
+	.Y(brqrv_top_brqrv_dec_decode_i0_result_x[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g665148 (
+	.A1(n_18182),
+	.A2(n_34389),
+	.B1(n_18258),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[92]),
+	.C1(n_18523),
+	.X(n_18558), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g665149 (
+	.A1_N(n_35963),
+	.A2_N(n_1788),
+	.B1(n_1788),
+	.B2(n_17892),
+	.Y(brqrv_top_brqrv_dec_decode_i0_result_x[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g665150 (
+	.A1_N(n_1788),
+	.A2_N(n_35962),
+	.B1(n_1788),
+	.B2(n_17891),
+	.Y(brqrv_top_brqrv_dec_decode_i0_result_x[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_2 g665151 (
+	.A(FE_DBTN11_n_35987),
+	.B(n_18417),
+	.C(n_18548),
+	.Y(n_35964), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g665152 (
+	.A(n_18518),
+	.B(n_18517),
+	.C(n_18532),
+	.Y(n_36281), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g665153 (
+	.A(n_18516),
+	.B(n_18515),
+	.C(n_18531),
+	.Y(n_36282), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g665154 (
+	.A(n_18556),
+	.Y(n_18557), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g665155 (
+	.A(n_35985),
+	.Y(n_8224), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g665156 (
+	.A(n_18551),
+	.Y(n_36304), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g665157 (
+	.A(n_18550),
+	.Y(n_36302), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g665158 (
+	.A(n_18549),
+	.Y(n_36307), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665159 (
+	.A(brqrv_top_brqrv_lsu_lsu_ld_data_m[10]),
+	.B(n_42939),
+	.Y(n_18548), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665160 (
+	.A(n_18526),
+	.B(n_17994),
+	.Y(n_35383), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665161 (
+	.A(n_18537),
+	.B(brqrv_top_brqrv_dec_dec_nonblock_load_waddr[2]),
+	.Y(n_18556), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665162 (
+	.A(n_18537),
+	.B(n_17941),
+	.Y(n_18555), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665163 (
+	.A(n_18538),
+	.B(n_17941),
+	.Y(n_18554), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665164 (
+	.A(n_18538),
+	.B(brqrv_top_brqrv_dec_dec_nonblock_load_waddr[2]),
+	.Y(n_18553), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g665165 (
+	.A(n_17465),
+	.B(n_17363),
+	.Y(n_35985), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665166 (
+	.A(n_18478),
+	.B(n_36331),
+	.Y(n_18551), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665167 (
+	.A(n_18479),
+	.B(n_36331),
+	.Y(n_18550), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665168 (
+	.A(n_18477),
+	.B(n_36331),
+	.Y(n_18549), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g665169 (
+	.A(n_18547),
+	.Y(n_35384), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g665170 (
+	.A(n_36320),
+	.Y(n_18546), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g665171 (
+	.A(n_18545),
+	.Y(n_36301), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g665172 (
+	.A(n_36316),
+	.Y(n_18544), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g665173 (
+	.A(n_36294),
+	.Y(n_18543), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g665174 (
+	.A(n_18542),
+	.Y(n_36295), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g665175 (
+	.A(n_18541),
+	.Y(n_36297), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g665176 (
+	.A(n_36321),
+	.Y(n_18540), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g665177 (
+	.A(n_18463),
+	.B(n_18453),
+	.C(n_17970),
+	.D(n_18415),
+	.Y(n_18539), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g665179 (
+	.A(n_18528),
+	.B(n_18351),
+	.C(n_18207),
+	.Y(n_35412), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g665180 (
+	.A1(n_18473),
+	.A2(n_17994),
+	.B1_N(n_18526),
+	.Y(n_18547), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g665181 (
+	.A(n_36331),
+	.B(n_43164),
+	.X(n_36320), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665182 (
+	.A(n_18480),
+	.B(n_36331),
+	.Y(n_18545), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g665183 (
+	.A(n_36331),
+	.B(n_43163),
+	.X(n_36316), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g665184 (
+	.A1(n_18497),
+	.A2(n_18184),
+	.B1(n_36331),
+	.X(n_36294), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665185 (
+	.A(n_18475),
+	.B(n_36331),
+	.Y(n_18542), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665186 (
+	.A(n_18476),
+	.B(n_36331),
+	.Y(n_18541), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g665187 (
+	.A(n_36331),
+	.B(n_43165),
+	.X(n_36321), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g665188 (
+	.A(n_36290),
+	.Y(n_18535), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g665189 (
+	.A(n_18488),
+	.B(n_18451),
+	.C(n_18439),
+	.Y(n_18534), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g665190 (
+	.A(n_18483),
+	.B(n_18452),
+	.C(n_18440),
+	.Y(n_18533), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_2 g665191 (
+	.A(n_17362),
+	.B(n_18498),
+	.Y(n_35960), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665192 (
+	.A(n_18484),
+	.B(n_18500),
+	.Y(n_18532), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221a_1 g665193 (
+	.A1(n_17996),
+	.A2(n_34038),
+	.B1(n_17987),
+	.B2(n_34037),
+	.C1(n_18487),
+	.X(n_18531), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g665196 (
+	.A1(n_36245),
+	.A2(n_18175),
+	.B1(n_35773),
+	.B2(n_17582),
+	.C1(n_18482),
+	.Y(n_18528), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g665198 (
+	.A1(n_18111),
+	.A2(n_18262),
+	.B1(n_35314),
+	.X(n_34350), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g665199 (
+	.A(n_17554),
+	.B(n_18510),
+	.C(n_17564),
+	.D(n_17566),
+	.Y(brqrv_top_brqrv_lsu_lsu_ld_data_m[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665200 (
+	.A(n_17951),
+	.B(n_33872),
+	.Y(n_18538), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665201 (
+	.A(brqrv_top_brqrv_dec_dec_nonblock_load_waddr[3]),
+	.B(n_33872),
+	.Y(n_18537), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665202 (
+	.A(n_36333),
+	.B(n_18499),
+	.Y(n_36331), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665204 (
+	.A(n_36333),
+	.B(n_18501),
+	.Y(n_36290), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g665206 (
+	.A(n_18489),
+	.B(n_18465),
+	.C(n_18438),
+	.Y(n_18523), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g665207 (
+	.A(n_18490),
+	.B(n_18449),
+	.C(n_18437),
+	.Y(n_18522), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g665208 (
+	.A(n_18491),
+	.B(n_18448),
+	.C(n_18436),
+	.Y(n_18521), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g665209 (
+	.A(n_18450),
+	.B(n_18447),
+	.C(n_18435),
+	.Y(n_18520), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g665210 (
+	.A(n_18464),
+	.B(n_18446),
+	.C(n_18434),
+	.Y(n_18519), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g665211 (
+	.A1(n_42966),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[2]),
+	.B1(n_42972),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[98]),
+	.Y(n_18518), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g665213 (
+	.A(n_18511),
+	.B(n_18512),
+	.X(n_18517), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g665214 (
+	.A1(n_42966),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[3]),
+	.B1(n_42972),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[99]),
+	.Y(n_18516), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g665215 (
+	.A(n_18513),
+	.B(n_18514),
+	.X(n_18515), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_2 g665216 (
+	.A(FE_DBTN11_n_35987),
+	.B(n_18418),
+	.C(n_18509),
+	.Y(n_35963), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_2 g665217 (
+	.A(FE_DBTN11_n_35987),
+	.B(n_18419),
+	.C(n_18508),
+	.Y(n_35968), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_2 g665218 (
+	.A(FE_DBTN11_n_35987),
+	.B(n_18421),
+	.C(n_18507),
+	.Y(n_35967), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g665219 (
+	.A1(n_17994),
+	.A2(n_18473),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[91]),
+	.B2(n_17788),
+	.C1(n_17913),
+	.Y(n_18526), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_2 g665220 (
+	.A(FE_DBTN11_n_35987),
+	.B(n_18024),
+	.C(n_18506),
+	.Y(n_35962), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g665221 (
+	.A1(n_36159),
+	.A2(n_41918),
+	.B1(n_36181),
+	.B2(FE_DBTN6_n_41918),
+	.Y(n_18525), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g665222 (
+	.A1(n_36160),
+	.A2(n_41918),
+	.B1(n_36185),
+	.B2(FE_DBTN6_n_41918),
+	.Y(n_18524), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665223 (
+	.A_N(n_33995),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[35]),
+	.Y(n_18514), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665224 (
+	.A_N(n_33996),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[67]),
+	.Y(n_18513), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665225 (
+	.A_N(n_33995),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[34]),
+	.Y(n_18512), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665226 (
+	.A_N(n_33996),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[66]),
+	.Y(n_18511), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665227 (
+	.A(n_36159),
+	.B(n_8148),
+	.Y(n_18510), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665228 (
+	.A(brqrv_top_brqrv_lsu_lsu_ld_data_m[9]),
+	.B(n_42939),
+	.Y(n_18509), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665229 (
+	.A(brqrv_top_brqrv_lsu_lsu_ld_data_m[14]),
+	.B(n_42939),
+	.Y(n_18508), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665230 (
+	.A(brqrv_top_brqrv_lsu_lsu_ld_data_m[13]),
+	.B(n_42939),
+	.Y(n_18507), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665231 (
+	.A(brqrv_top_brqrv_lsu_lsu_ld_data_m[8]),
+	.B(n_42939),
+	.Y(n_18506), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665232 (
+	.A(n_18262),
+	.B(n_18111),
+	.Y(n_35314), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g665234 (
+	.A(n_18503),
+	.Y(n_36340), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g665235 (
+	.A(n_18502),
+	.Y(n_36339), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g665236 (
+	.A1(n_18266),
+	.A2(n_18229),
+	.B1(n_18184),
+	.Y(n_18501), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665237 (
+	.A(n_18485),
+	.B(n_18486),
+	.Y(n_18500), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g665239 (
+	.A(n_18497),
+	.B(n_18158),
+	.C(n_18009),
+	.Y(n_18499), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4b_1 g665241 (
+	.A_N(brqrv_top_brqrv_lsu_nonblock_load_data_error),
+	.B(n_18481),
+	.C(n_17715),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_lsu_nonblock_load_data_ready),
+	.Y(n_33872), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g665242 (
+	.A1(n_36152),
+	.A2(n_41918),
+	.B1(n_36182),
+	.B2(FE_DBTN6_n_41918),
+	.Y(n_18505), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g665243 (
+	.A1(n_36153),
+	.A2(n_41918),
+	.B1(n_36186),
+	.B2(FE_DBTN6_n_41918),
+	.Y(n_18504), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g665244 (
+	.A(n_18458),
+	.B(n_18416),
+	.X(n_18503), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g665245 (
+	.A1(n_34790),
+	.A2(n_17460),
+	.B1(n_18459),
+	.X(n_18502), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g665249 (
+	.A(n_36160),
+	.Y(n_8318), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g665250 (
+	.A(n_36159),
+	.Y(n_18493), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665251 (
+	.A(brqrv_top_brqrv_lsu_addr_external_m),
+	.B(n_18443),
+	.Y(n_18492), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g665252 (
+	.A1(brqrv_top_brqrv_lsu_store_data_lo_r[26]),
+	.A2(n_17778),
+	.B1(n_17780),
+	.B2(brqrv_top_brqrv_lsu_store_data_hi_r[26]),
+	.C1(n_18260),
+	.C2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[26]),
+	.Y(n_18491), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g665253 (
+	.A1(brqrv_top_brqrv_lsu_store_data_lo_r[27]),
+	.A2(n_17778),
+	.B1(n_17780),
+	.B2(brqrv_top_brqrv_lsu_store_data_hi_r[27]),
+	.C1(n_18260),
+	.C2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[27]),
+	.Y(n_18490), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g665254 (
+	.A1(brqrv_top_brqrv_lsu_store_data_lo_r[28]),
+	.A2(n_17778),
+	.B1(n_17780),
+	.B2(brqrv_top_brqrv_lsu_store_data_hi_r[28]),
+	.C1(n_18260),
+	.C2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[28]),
+	.Y(n_18489), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g665255 (
+	.A1(brqrv_top_brqrv_lsu_store_data_lo_r[29]),
+	.A2(n_17778),
+	.B1(n_17780),
+	.B2(brqrv_top_brqrv_lsu_store_data_hi_r[29]),
+	.C1(n_18260),
+	.C2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[29]),
+	.Y(n_18488), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g665256 (
+	.A(n_18010),
+	.B(n_34039),
+	.X(n_18487), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665257 (
+	.A_N(n_34039),
+	.B(n_36289),
+	.Y(n_18486), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665258 (
+	.A_N(n_34038),
+	.B(n_36300),
+	.Y(n_18485), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g665259 (
+	.A(n_34037),
+	.B_N(n_36319),
+	.Y(n_18484), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g665260 (
+	.A1(brqrv_top_brqrv_lsu_store_data_lo_r[30]),
+	.A2(n_17778),
+	.B1(n_17780),
+	.B2(brqrv_top_brqrv_lsu_store_data_hi_r[30]),
+	.C1(n_18260),
+	.C2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[30]),
+	.Y(n_18483), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g665261 (
+	.A(n_18273),
+	.B(n_18225),
+	.C(n_18174),
+	.X(n_18482), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g665262 (
+	.A1(n_1565),
+	.A2(brqrv_top_brqrv_dec_dec_nonblock_load_waddr[2]),
+	.B1(brqrv_top_brqrv_dec_dec_i0_waddr_r[2]),
+	.B2(n_17941),
+	.C1(n_18271),
+	.Y(n_18481), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g665263 (
+	.A1(n_18211),
+	.A2(n_34080),
+	.B1(n_17987),
+	.B2(n_34051),
+	.C1(n_18276),
+	.Y(n_18480), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g665264 (
+	.A1(n_8177),
+	.A2(n_36322),
+	.B1(n_8178),
+	.B2(n_36303),
+	.C1(n_18269),
+	.X(n_18479), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g665265 (
+	.A1(n_8177),
+	.A2(n_36326),
+	.B1(n_8178),
+	.B2(n_36305),
+	.C1(n_18270),
+	.X(n_18478), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g665266 (
+	.A1(n_8177),
+	.A2(n_36332),
+	.B1(n_8178),
+	.B2(n_18000),
+	.C1(n_18268),
+	.X(n_18477), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g665267 (
+	.A1(n_8178),
+	.A2(n_36298),
+	.B1(n_8274),
+	.B2(n_36315),
+	.C1(n_18272),
+	.X(n_18476), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g665268 (
+	.A1(n_8177),
+	.A2(n_36310),
+	.B1(n_8178),
+	.B2(n_36296),
+	.C1(n_18267),
+	.X(n_18475), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_2 g665269 (
+	.A1(n_35714),
+	.A2(n_17374),
+	.B1(n_18408),
+	.C1(n_17540),
+	.D1(n_17636),
+	.Y(brqrv_top_brqrv_lsu_lsu_ld_data_m[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g665270 (
+	.A(n_17674),
+	.B(n_17569),
+	.C(n_18409),
+	.D(n_17574),
+	.Y(brqrv_top_brqrv_lsu_lsu_ld_data_m[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g665271 (
+	.A1(n_8229),
+	.A2(brqrv_top_brqrv_lsu_bus_read_data_m[5]),
+	.B1(n_33994),
+	.Y(n_18498), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_2 g665272 (
+	.A1(n_35714),
+	.A2(n_17420),
+	.B1(n_18410),
+	.C1(n_17585),
+	.D1(n_17573),
+	.Y(brqrv_top_brqrv_lsu_lsu_ld_data_m[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g665273 (
+	.A1(n_18150),
+	.A2(n_36332),
+	.B1(n_18146),
+	.B2(n_18000),
+	.C1(n_18224),
+	.X(n_18497), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g665274 (
+	.A(n_17558),
+	.B(n_17541),
+	.C(n_18413),
+	.D(n_17553),
+	.Y(brqrv_top_brqrv_lsu_lsu_ld_data_m[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665275 (
+	.A(n_18441),
+	.B(n_8273),
+	.Y(n_33995), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665276 (
+	.A(n_18441),
+	.B(n_8176),
+	.Y(n_33996), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g665279 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_lsu_nonblock_data_unalgn[7]),
+	.B(n_17992),
+	.C(n_18009),
+	.D(n_17997),
+	.Y(n_36333), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665280 (
+	.A(n_43167),
+	.B(n_43175),
+	.Y(n_36160), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665281 (
+	.A(n_17361),
+	.B(n_43174),
+	.Y(n_36159), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g665282 (
+	.A(n_18474),
+	.Y(brqrv_top_brqrv_lsu_lsu_ld_data_m[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g665283 (
+	.A1(n_18257),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[60]),
+	.B1(n_18183),
+	.B2(n_36236),
+	.Y(n_18465), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g665284 (
+	.A1(brqrv_top_brqrv_lsu_store_data_lo_r[24]),
+	.A2(n_17778),
+	.B1(n_17780),
+	.B2(brqrv_top_brqrv_lsu_store_data_hi_r[24]),
+	.C1(n_18260),
+	.C2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[24]),
+	.Y(n_18464), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g665285 (
+	.A1(n_18259),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[127]),
+	.B1(n_18260),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[31]),
+	.Y(n_18463), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g665286 (
+	.A1(n_18208),
+	.A2(n_8177),
+	.B1(n_8274),
+	.B2(n_36322),
+	.C1(n_8178),
+	.C2(n_36323),
+	.Y(n_18462), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665287 (
+	.A(n_18227),
+	.B(n_18274),
+	.Y(n_18461), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g665288 (
+	.A1(n_36317),
+	.A2(n_8177),
+	.B1(n_8274),
+	.B2(n_36318),
+	.C1(n_8178),
+	.C2(n_36319),
+	.Y(n_18460), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g665289 (
+	.A1(brqrv_top_brqrv_lsu_fir_addr[2]),
+	.A2(n_42969),
+	.B1(brqrv_top_brqrv_dec_i0_result_r[2]),
+	.B2(n_33324),
+	.Y(n_18459), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g665290 (
+	.A1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r[3]),
+	.A2(n_8308),
+	.B1(brqrv_top_brqrv_dec_i0_result_r[3]),
+	.B2(n_33324),
+	.Y(n_18458), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g665292 (
+	.A1(n_36349),
+	.A2(n_8247),
+	.B1(brqrv_top_brqrv_dec_decode_write_csr_data[12]),
+	.B2(n_33833),
+	.X(brqrv_top_brqrv_dec_dec_csr_wrdata_r[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g665293 (
+	.A(n_18422),
+	.B(n_18423),
+	.X(n_18456), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g665294 (
+	.A(n_18426),
+	.B(n_18427),
+	.X(n_18455), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g665296 (
+	.A1(n_18257),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[63]),
+	.B1(n_18183),
+	.B2(n_36239),
+	.Y(n_18453), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g665297 (
+	.A1(n_18257),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[62]),
+	.B1(n_18183),
+	.B2(n_36238),
+	.Y(n_18452), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g665298 (
+	.A1(n_18257),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[61]),
+	.B1(n_18183),
+	.B2(n_36237),
+	.Y(n_18451), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g665299 (
+	.A1(brqrv_top_brqrv_lsu_store_data_lo_r[25]),
+	.A2(n_17778),
+	.B1(n_17780),
+	.B2(brqrv_top_brqrv_lsu_store_data_hi_r[25]),
+	.C1(n_18257),
+	.C2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[57]),
+	.Y(n_18450), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g665300 (
+	.A1(n_18257),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[59]),
+	.B1(n_18183),
+	.B2(n_36235),
+	.Y(n_18449), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g665301 (
+	.A1(n_18257),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[58]),
+	.B1(n_18183),
+	.B2(n_36234),
+	.Y(n_18448), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g665302 (
+	.A1(n_18260),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[25]),
+	.B1(n_18183),
+	.B2(n_36233),
+	.Y(n_18447), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g665303 (
+	.A1(n_18257),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[56]),
+	.B1(n_18183),
+	.B2(n_36232),
+	.Y(n_18446), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g665305 (
+	.A(n_18430),
+	.B(n_18431),
+	.X(n_18444), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665306 (
+	.A(n_17694),
+	.B(n_18277),
+	.Y(n_18474), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g665307 (
+	.A1(n_18230),
+	.A2(n_18212),
+	.B1(n_18137),
+	.Y(n_18473), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g665308 (
+	.A1(n_17388),
+	.A2(n_41918),
+	.B1(n_36194),
+	.B2(FE_DBTN6_n_41918),
+	.Y(n_18472), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g665309 (
+	.A1(n_36158),
+	.A2(n_41918),
+	.B1(n_36177),
+	.B2(FE_DBTN6_n_41918),
+	.Y(n_18471), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g665310 (
+	.A1(n_17382),
+	.A2(n_41918),
+	.B1(n_36193),
+	.B2(FE_DBTN6_n_41918),
+	.Y(n_18470), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g665311 (
+	.A1(n_36162),
+	.A2(n_41918),
+	.B1(n_36197),
+	.B2(FE_DBTN6_n_41918),
+	.Y(n_18469), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g665312 (
+	.A1(n_36155),
+	.A2(n_41918),
+	.B1(n_36198),
+	.B2(FE_DBTN6_n_41918),
+	.Y(n_18468), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g665313 (
+	.A1(n_36154),
+	.A2(n_41918),
+	.B1(n_36190),
+	.B2(FE_DBTN6_n_41918),
+	.Y(n_18467), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g665314 (
+	.A1(n_36157),
+	.A2(n_41918),
+	.B1(n_36173),
+	.B2(FE_DBTN6_n_41918),
+	.Y(n_18466), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g665315 (
+	.A(n_18352),
+	.Y(n_18443), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665317 (
+	.A(n_18258),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[94]),
+	.Y(n_18440), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665318 (
+	.A(n_18258),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[93]),
+	.Y(n_18439), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665319 (
+	.A(n_18259),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[124]),
+	.Y(n_18438), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665320 (
+	.A(n_18259),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[123]),
+	.Y(n_18437), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665321 (
+	.A(n_18259),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[122]),
+	.Y(n_18436), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665322 (
+	.A(n_18259),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[121]),
+	.Y(n_18435), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665323 (
+	.A(n_18258),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[88]),
+	.Y(n_18434), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665324 (
+	.A_N(n_34030),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[20]),
+	.Y(n_18433), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665325 (
+	.A_N(n_34029),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[52]),
+	.Y(n_18432), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665326 (
+	.A_N(n_34028),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[116]),
+	.Y(n_18431), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665327 (
+	.A_N(n_34020),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[84]),
+	.Y(n_18430), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665328 (
+	.A_N(n_34029),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[51]),
+	.Y(n_18429), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665329 (
+	.A_N(n_34030),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[19]),
+	.Y(n_18428), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665330 (
+	.A_N(n_34028),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[115]),
+	.Y(n_18427), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665331 (
+	.A_N(n_34020),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[83]),
+	.Y(n_18426), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665332 (
+	.A_N(n_34029),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[50]),
+	.Y(n_18425), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665333 (
+	.A_N(n_34030),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[18]),
+	.Y(n_18424), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665334 (
+	.A_N(n_34028),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[114]),
+	.Y(n_18423), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665335 (
+	.A_N(n_34020),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[82]),
+	.Y(n_18422), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665336 (
+	.A(brqrv_top_brqrv_lsu_bus_read_data_m[13]),
+	.B(n_17438),
+	.Y(n_18421), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665337 (
+	.A(n_34084),
+	.B(n_18237),
+	.Y(n_18420), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665338 (
+	.A(brqrv_top_brqrv_lsu_bus_read_data_m[14]),
+	.B(n_17438),
+	.Y(n_18419), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665339 (
+	.A(brqrv_top_brqrv_lsu_bus_read_data_m[9]),
+	.B(n_17438),
+	.Y(n_18418), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665340 (
+	.A(brqrv_top_brqrv_lsu_bus_read_data_m[10]),
+	.B(n_17438),
+	.Y(n_18417), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665341 (
+	.A(brqrv_top_brqrv_lsu_fir_addr[3]),
+	.B(n_42969),
+	.Y(n_18416), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665342 (
+	.A(n_18258),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[95]),
+	.Y(n_18415), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665343 (
+	.A(n_18253),
+	.B(n_36356),
+	.Y(n_18414), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665344 (
+	.A(n_36162),
+	.B(n_8148),
+	.Y(n_18413), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665345 (
+	.A(n_18232),
+	.B(n_36357),
+	.Y(n_18412), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665346 (
+	.A(n_18232),
+	.B(n_36358),
+	.Y(n_18411), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665347 (
+	.A(n_17382),
+	.B(n_8148),
+	.Y(n_18410), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665348 (
+	.A(n_36158),
+	.B(n_8148),
+	.Y(n_18409), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665349 (
+	.A(n_36157),
+	.B(n_8148),
+	.Y(n_18408), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665350 (
+	.A(n_18232),
+	.B(n_36360),
+	.Y(n_18407), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665351 (
+	.A(n_18250),
+	.B(n_36358),
+	.Y(n_18406), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665352 (
+	.A(n_18250),
+	.B(n_36360),
+	.Y(n_18405), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665353 (
+	.A(n_18250),
+	.B(n_36357),
+	.Y(n_18404), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665354 (
+	.A(n_18241),
+	.B(n_36360),
+	.Y(n_18403), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665355 (
+	.A(n_18241),
+	.B(n_36358),
+	.Y(n_18402), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665356 (
+	.A(n_18241),
+	.B(n_36357),
+	.Y(n_18401), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665357 (
+	.A(n_18240),
+	.B(n_36360),
+	.Y(n_18400), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665358 (
+	.A(n_18240),
+	.B(n_36358),
+	.Y(n_18399), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665359 (
+	.A(n_18240),
+	.B(n_36357),
+	.Y(n_18398), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665360 (
+	.A(n_18231),
+	.B(n_36357),
+	.Y(n_18397), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665361 (
+	.A(n_18231),
+	.B(n_36360),
+	.Y(n_18396), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665362 (
+	.A(n_18238),
+	.B(n_36358),
+	.Y(n_18395), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665363 (
+	.A(n_18253),
+	.B(n_36358),
+	.Y(n_18394), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665364 (
+	.A(n_18256),
+	.B(n_36360),
+	.Y(n_18393), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665365 (
+	.A(n_18256),
+	.B(n_36358),
+	.Y(n_18392), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665366 (
+	.A(n_18256),
+	.B(n_36357),
+	.Y(n_18391), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665367 (
+	.A(n_18238),
+	.B(n_36360),
+	.Y(n_18390), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665368 (
+	.A(n_18238),
+	.B(n_36357),
+	.Y(n_18389), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665369 (
+	.A(n_18253),
+	.B(n_36357),
+	.Y(n_18388), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665370 (
+	.A(n_18252),
+	.B(n_36360),
+	.Y(n_18387), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665371 (
+	.A(n_18252),
+	.B(n_36358),
+	.Y(n_18386), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665372 (
+	.A(n_18252),
+	.B(n_36357),
+	.Y(n_18385), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665373 (
+	.A(n_18253),
+	.B(n_36360),
+	.Y(n_18384), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665374 (
+	.A(n_18249),
+	.B(n_36360),
+	.Y(n_18383), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665375 (
+	.A(n_18249),
+	.B(n_36358),
+	.Y(n_18382), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665376 (
+	.A(n_18249),
+	.B(n_36357),
+	.Y(n_18381), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665377 (
+	.A(n_18241),
+	.B(n_36353),
+	.Y(n_18380), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665378 (
+	.A(n_18250),
+	.B(n_36353),
+	.Y(n_18379), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665379 (
+	.A(n_18250),
+	.B(n_36354),
+	.Y(n_18378), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665380 (
+	.A(n_18250),
+	.B(n_36356),
+	.Y(n_18377), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665381 (
+	.A(n_18241),
+	.B(n_36356),
+	.Y(n_18376), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665382 (
+	.A(n_18241),
+	.B(n_36354),
+	.Y(n_18375), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665383 (
+	.A(n_18247),
+	.B(n_36360),
+	.Y(n_18374), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665384 (
+	.A(n_18247),
+	.B(n_36357),
+	.Y(n_18373), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665385 (
+	.A(n_18247),
+	.B(n_36358),
+	.Y(n_18372), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665386 (
+	.A(n_18245),
+	.B(n_36360),
+	.Y(n_18371), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665387 (
+	.A(n_18245),
+	.B(n_36358),
+	.Y(n_18370), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665388 (
+	.A(n_18245),
+	.B(n_36357),
+	.Y(n_18369), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665389 (
+	.A(n_18243),
+	.B(n_36357),
+	.Y(n_18368), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665390 (
+	.A(n_18243),
+	.B(n_36358),
+	.Y(n_18367), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665391 (
+	.A(n_18243),
+	.B(n_36360),
+	.Y(n_18366), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665392 (
+	.A(n_18240),
+	.B(n_36356),
+	.Y(n_18365), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665393 (
+	.A(n_18240),
+	.B(n_36354),
+	.Y(n_18364), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665394 (
+	.A(n_18240),
+	.B(n_36353),
+	.Y(n_18363), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665395 (
+	.A(n_18255),
+	.B(n_36357),
+	.Y(n_18362), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665396 (
+	.A(n_18255),
+	.B(n_36360),
+	.Y(n_18361), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665397 (
+	.A(n_18254),
+	.B(n_36360),
+	.Y(n_18360), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665398 (
+	.A(n_18254),
+	.B(n_36357),
+	.Y(n_18359), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665399 (
+	.A(n_18255),
+	.B(n_36358),
+	.Y(n_18358), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665400 (
+	.A(n_18254),
+	.B(n_36358),
+	.Y(n_18357), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665401 (
+	.A(n_18246),
+	.B(n_36360),
+	.Y(n_18356), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665402 (
+	.A(n_18246),
+	.B(n_36358),
+	.Y(n_18355), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665403 (
+	.A(n_18246),
+	.B(n_36357),
+	.Y(n_18354), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665404 (
+	.A(n_18231),
+	.B(n_36358),
+	.Y(n_18353), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665405 (
+	.A(n_17388),
+	.B(n_8148),
+	.Y(n_18352), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665406 (
+	.A(n_17382),
+	.B(n_8265),
+	.Y(n_18442), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665407 (
+	.A(n_34086),
+	.B(n_18150),
+	.Y(n_34037), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665408 (
+	.A(n_34086),
+	.B(n_18151),
+	.Y(n_34038), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665409 (
+	.A(n_34086),
+	.B(n_18146),
+	.Y(n_34039), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665410 (
+	.A(n_18148),
+	.B(n_18261),
+	.Y(n_18441), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g665413 (
+	.A(n_36153),
+	.Y(n_17391), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g665417 (
+	.A(n_36152),
+	.Y(n_17390), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665420 (
+	.A(n_18256),
+	.B(n_36356),
+	.Y(n_18342), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665421 (
+	.A(n_18256),
+	.B(n_36354),
+	.Y(n_18341), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665422 (
+	.A(n_18256),
+	.B(n_36353),
+	.Y(n_18340), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665423 (
+	.A(n_18238),
+	.B(n_36356),
+	.Y(n_18339), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665424 (
+	.A(n_18238),
+	.B(n_36353),
+	.Y(n_18338), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665425 (
+	.A(n_18253),
+	.B(n_36354),
+	.Y(n_18337), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665426 (
+	.A(n_18238),
+	.B(n_36354),
+	.Y(n_18336), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665427 (
+	.A(n_18252),
+	.B(n_36356),
+	.Y(n_18335), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665428 (
+	.A(n_18252),
+	.B(n_36354),
+	.Y(n_18334), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665429 (
+	.A(n_18252),
+	.B(n_36353),
+	.Y(n_18333), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665430 (
+	.A(n_18253),
+	.B(n_36353),
+	.Y(n_18332), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665431 (
+	.A(n_18239),
+	.B(n_36360),
+	.Y(n_18331), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665432 (
+	.A(n_18239),
+	.B(n_36358),
+	.Y(n_18330), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665433 (
+	.A(n_18233),
+	.B(n_36360),
+	.Y(n_18329), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665434 (
+	.A(n_18233),
+	.B(n_36358),
+	.Y(n_18328), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665435 (
+	.A(n_18233),
+	.B(n_36357),
+	.Y(n_18327), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665436 (
+	.A(n_18244),
+	.B(n_36360),
+	.Y(n_18326), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665437 (
+	.A(n_18244),
+	.B(n_36358),
+	.Y(n_18325), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665438 (
+	.A(n_18244),
+	.B(n_36357),
+	.Y(n_18324), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665439 (
+	.A(n_18248),
+	.B(n_36360),
+	.Y(n_18323), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665440 (
+	.A(n_18248),
+	.B(n_36358),
+	.Y(n_18322), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665441 (
+	.A(n_18248),
+	.B(n_36357),
+	.Y(n_18321), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665442 (
+	.A(n_18242),
+	.B(n_36360),
+	.Y(n_18320), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665443 (
+	.A(n_18242),
+	.B(n_36358),
+	.Y(n_18319), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665444 (
+	.A(n_18242),
+	.B(n_36357),
+	.Y(n_18318), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665445 (
+	.A(n_18251),
+	.B(n_36360),
+	.Y(n_18317), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665446 (
+	.A(n_18251),
+	.B(n_36358),
+	.Y(n_18316), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665447 (
+	.A(n_18251),
+	.B(n_36357),
+	.Y(n_18315), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665448 (
+	.A(n_18249),
+	.B(n_36353),
+	.Y(n_18314), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665449 (
+	.A(n_18249),
+	.B(n_36356),
+	.Y(n_18313), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665450 (
+	.A(n_18249),
+	.B(n_36354),
+	.Y(n_18312), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665451 (
+	.A(n_18247),
+	.B(n_36356),
+	.Y(n_18311), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665452 (
+	.A(n_18247),
+	.B(n_36354),
+	.Y(n_18310), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665453 (
+	.A(n_18247),
+	.B(n_36353),
+	.Y(n_18309), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665454 (
+	.A(n_18245),
+	.B(n_36356),
+	.Y(n_18308), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665455 (
+	.A(n_18245),
+	.B(n_36354),
+	.Y(n_18307), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665456 (
+	.A(n_18245),
+	.B(n_36353),
+	.Y(n_18306), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665457 (
+	.A(n_18244),
+	.B(n_36356),
+	.Y(n_18305), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665458 (
+	.A(n_18244),
+	.B(n_36354),
+	.Y(n_18304), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665459 (
+	.A(n_18244),
+	.B(n_36353),
+	.Y(n_18303), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665460 (
+	.A(n_18243),
+	.B(n_36356),
+	.Y(n_18302), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665461 (
+	.A(n_18243),
+	.B(n_36354),
+	.Y(n_18301), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665462 (
+	.A(n_18243),
+	.B(n_36353),
+	.Y(n_18300), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665463 (
+	.A(n_18242),
+	.B(n_36356),
+	.Y(n_18299), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665464 (
+	.A(n_18242),
+	.B(n_36354),
+	.Y(n_18298), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665465 (
+	.A(n_18242),
+	.B(n_36353),
+	.Y(n_18297), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665466 (
+	.A(n_18251),
+	.B(n_36353),
+	.Y(n_18296), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665467 (
+	.A(n_18248),
+	.B(n_36356),
+	.Y(n_18295), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665468 (
+	.A(n_18248),
+	.B(n_36354),
+	.Y(n_18294), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665469 (
+	.A(n_18248),
+	.B(n_36353),
+	.Y(n_18293), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665470 (
+	.A(n_18254),
+	.B(n_36356),
+	.Y(n_18292), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665471 (
+	.A(n_18254),
+	.B(n_36354),
+	.Y(n_18291), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665472 (
+	.A(n_18254),
+	.B(n_36353),
+	.Y(n_18290), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665473 (
+	.A(n_18255),
+	.B(n_36353),
+	.Y(n_18289), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665474 (
+	.A(n_18255),
+	.B(n_36356),
+	.Y(n_18288), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665475 (
+	.A(n_18255),
+	.B(n_36354),
+	.Y(n_18287), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665476 (
+	.A(n_18246),
+	.B(n_36356),
+	.Y(n_18286), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665477 (
+	.A(n_18246),
+	.B(n_36354),
+	.Y(n_18285), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665478 (
+	.A(n_18246),
+	.B(n_36353),
+	.Y(n_18284), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665479 (
+	.A(n_18232),
+	.B(n_36356),
+	.Y(n_18283), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665480 (
+	.A(n_18231),
+	.B(n_36354),
+	.Y(n_18282), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665481 (
+	.A(n_18231),
+	.B(n_36353),
+	.Y(n_18281), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665482 (
+	.A(n_18232),
+	.B(n_36354),
+	.Y(n_18280), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665483 (
+	.A(n_18232),
+	.B(n_36353),
+	.Y(n_18279), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665484 (
+	.A(n_18231),
+	.B(n_36356),
+	.Y(n_18278), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g665485 (
+	.A1(n_35713),
+	.A2(n_18188),
+	.B1(n_33885),
+	.B2(n_17500),
+	.Y(n_18277), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g665486 (
+	.A1(n_18205),
+	.A2(n_34082),
+	.B1(n_17996),
+	.B2(n_34053),
+	.X(n_18276), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g665487 (
+	.A_N(n_35479),
+	.B(n_18226),
+	.C(n_36246),
+	.Y(n_18275), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g665488 (
+	.A1(n_18205),
+	.A2(n_34051),
+	.B1(n_17987),
+	.B2(n_34053),
+	.Y(n_18274), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g665489 (
+	.A1(n_35785),
+	.A2(n_17744),
+	.B1(brqrv_top_brqrv_dma_mem_write),
+	.C1(n_17938),
+	.D1(n_18209),
+	.Y(n_18273), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g665490 (
+	.A1(n_8177),
+	.A2(n_36314),
+	.B1(n_18194),
+	.B2(n_36313),
+	.X(n_18272), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g665491 (
+	.A(n_18067),
+	.B(n_18069),
+	.C(n_18068),
+	.D(n_18170),
+	.Y(n_18271), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g665492 (
+	.A1(n_18194),
+	.A2(n_36325),
+	.B1(n_8274),
+	.B2(n_36327),
+	.X(n_18270), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g665493 (
+	.A1(n_18194),
+	.A2(n_18208),
+	.B1(n_8274),
+	.B2(n_36323),
+	.X(n_18269), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g665494 (
+	.A1(n_18194),
+	.A2(n_36330),
+	.B1(n_8274),
+	.B2(n_36334),
+	.X(n_18268), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g665495 (
+	.A1(n_18194),
+	.A2(n_36309),
+	.B1(n_8274),
+	.B2(n_36311),
+	.X(n_18267), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g665496 (
+	.A1(n_18205),
+	.A2(n_18149),
+	.B1(n_18010),
+	.B2(n_18148),
+	.Y(n_18266), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g665498 (
+	.A1(n_36356),
+	.A2(n_8247),
+	.B1(brqrv_top_brqrv_dec_decode_write_csr_data[19]),
+	.B2(n_33833),
+	.X(brqrv_top_brqrv_dec_dec_csr_wrdata_r[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g665500 (
+	.A1(n_36352),
+	.A2(n_8247),
+	.B1(brqrv_top_brqrv_dec_decode_write_csr_data[15]),
+	.B2(n_33833),
+	.X(brqrv_top_brqrv_dec_dec_csr_wrdata_r[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g665501 (
+	.A1(n_18210),
+	.A2(n_18116),
+	.B1(n_18262),
+	.Y(n_35295), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211o_1 g665502 (
+	.A1(n_18150),
+	.A2(n_36334),
+	.B1(n_18190),
+	.C1(n_18228),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_lsu_nonblock_data_unalgn[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3b_1 g665503 (
+	.A(brqrv_top_brqrv_dma_ctrl_dma_mem_addr_in_iccm),
+	.B(brqrv_top_brqrv_dma_ctrl_dma_mem_addr_in_dccm),
+	.C_N(n_18207),
+	.X(n_18351), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g665504 (
+	.A1(n_36180),
+	.A2(FE_DBTN6_n_41918),
+	.B1(n_36166),
+	.B2(n_41918),
+	.Y(n_18350), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g665505 (
+	.A1(n_36184),
+	.A2(FE_DBTN6_n_41918),
+	.B1(n_36167),
+	.B2(n_41918),
+	.Y(n_18349), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g665506 (
+	.A1(n_36188),
+	.A2(FE_DBTN6_n_41918),
+	.B1(n_31343),
+	.B2(n_41918),
+	.Y(n_18348), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g665507 (
+	.A1(n_36196),
+	.A2(FE_DBTN6_n_41918),
+	.B1(n_36170),
+	.B2(n_41918),
+	.Y(n_18347), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g665508 (
+	.A1(n_18189),
+	.A2(FE_DBTN6_n_41918),
+	.B1(n_36171),
+	.B2(n_41918),
+	.Y(n_18346), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g665509 (
+	.A1(n_36192),
+	.A2(FE_DBTN6_n_41918),
+	.B1(n_36169),
+	.B2(n_41918),
+	.Y(n_18345), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g665510 (
+	.A1(n_36150),
+	.A2(n_41918),
+	.B1(n_36174),
+	.B2(FE_DBTN6_n_41918),
+	.Y(n_18344), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g665511 (
+	.A1(n_36176),
+	.A2(FE_DBTN6_n_41918),
+	.B1(n_36165),
+	.B2(n_41918),
+	.Y(n_18343), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g665512 (
+	.A(n_18176),
+	.B(n_17358),
+	.C(n_17759),
+	.Y(n_36153), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g665513 (
+	.A(n_18177),
+	.B(n_43976),
+	.C(n_17771),
+	.Y(n_36152), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g665516 (
+	.A(n_18261),
+	.Y(n_34086), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g665517 (
+	.A(n_34460),
+	.Y(n_18256), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g665518 (
+	.A(n_34466),
+	.Y(n_18255), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g665519 (
+	.A(n_34441),
+	.Y(n_18254), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g665520 (
+	.A(n_34442),
+	.Y(n_18253), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g665521 (
+	.A(n_34445),
+	.Y(n_18252), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g665522 (
+	.A(n_35337),
+	.Y(n_18251), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g665523 (
+	.A(n_34469),
+	.Y(n_18250), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g665524 (
+	.A(n_35328),
+	.Y(n_18249), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g665525 (
+	.A(n_34485),
+	.Y(n_18248), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g665526 (
+	.A(n_34457),
+	.Y(n_18247), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g665527 (
+	.A(n_34503),
+	.Y(n_18246), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g665528 (
+	.A(n_34472),
+	.Y(n_18245), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g665529 (
+	.A(n_34506),
+	.Y(n_18244), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g665530 (
+	.A(n_34478),
+	.Y(n_18243), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g665531 (
+	.A(n_34509),
+	.Y(n_18242), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g665532 (
+	.A(n_34488),
+	.Y(n_18241), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g665533 (
+	.A(n_34475),
+	.Y(n_18240), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g665534 (
+	.A(n_34451),
+	.Y(n_18239), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g665535 (
+	.A(n_34463),
+	.Y(n_18238), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665536 (
+	.A(n_18184),
+	.B(n_18150),
+	.Y(n_34040), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665539 (
+	.A(n_42007),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_addr_external_r),
+	.Y(n_34790), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665540 (
+	.A(n_18116),
+	.B(n_18210),
+	.Y(n_18262), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665541 (
+	.A(n_18194),
+	.B(n_8232),
+	.Y(n_34020), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665542 (
+	.A(n_18194),
+	.B(n_17773),
+	.Y(n_34028), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665543 (
+	.A(n_18194),
+	.B(n_8233),
+	.Y(n_34030), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665544 (
+	.A(n_18194),
+	.B(n_17774),
+	.Y(n_34029), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665545 (
+	.A(n_17992),
+	.B(n_18184),
+	.Y(n_18261), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665546 (
+	.A(n_33877),
+	.B(n_18186),
+	.Y(n_18260), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665547 (
+	.A(n_33880),
+	.B(n_18186),
+	.Y(n_18259), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665548 (
+	.A(n_33881),
+	.B(n_18186),
+	.Y(n_18258), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665549 (
+	.A(n_33878),
+	.B(n_18186),
+	.Y(n_18257), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665550 (
+	.A(n_18202),
+	.B(n_17588),
+	.Y(n_34491), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665551 (
+	.A(n_43018),
+	.B(n_17588),
+	.Y(n_35334), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g665552 (
+	.A(n_17592),
+	.B(n_18198),
+	.X(n_34460), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665553 (
+	.A(n_18202),
+	.B(n_17591),
+	.Y(n_34497), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g665554 (
+	.A(n_17593),
+	.B(n_18198),
+	.X(n_34466), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g665555 (
+	.A(n_17593),
+	.B(n_17354),
+	.X(n_34441), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g665556 (
+	.A(n_17592),
+	.B(n_17354),
+	.X(n_34442), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665557 (
+	.A(n_43018),
+	.B(n_17591),
+	.Y(n_35331), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665558 (
+	.A(n_43018),
+	.B(n_17594),
+	.Y(n_34482), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g665559 (
+	.A(n_17587),
+	.B(n_17354),
+	.X(n_34445), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665561 (
+	.A(n_18202),
+	.B(n_17594),
+	.Y(n_34500), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g665562 (
+	.A(n_17678),
+	.B(n_18198),
+	.X(n_34469), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665563 (
+	.A(n_18200),
+	.B(n_17594),
+	.Y(n_34448), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g665564 (
+	.A(n_17678),
+	.B(n_18203),
+	.X(n_35328), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g665565 (
+	.A(n_17593),
+	.B(n_18203),
+	.X(n_35322), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g665566 (
+	.A(n_17593),
+	.B(n_18204),
+	.X(n_34485), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g665567 (
+	.A(n_17678),
+	.B(n_18201),
+	.X(n_34457), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g665569 (
+	.A(n_17592),
+	.B(n_18204),
+	.X(n_34472), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665570 (
+	.A(n_18206),
+	.B(n_17588),
+	.Y(n_34506), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g665571 (
+	.A(n_17587),
+	.B(n_18204),
+	.X(n_34478), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665572 (
+	.A(n_18206),
+	.B(n_17591),
+	.Y(n_34509), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g665573 (
+	.A(n_17678),
+	.B(n_17354),
+	.X(n_34488), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g665574 (
+	.A(n_17678),
+	.B(n_18204),
+	.X(n_34475), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g665576 (
+	.A(n_17592),
+	.B(n_18201),
+	.X(n_34451), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g665577 (
+	.A(n_17587),
+	.B(n_18198),
+	.X(n_34463), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g665578 (
+	.A(n_18237),
+	.Y(brqrv_top_brqrv_lsu_bus_read_data_m[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g665579 (
+	.A(n_36154),
+	.Y(n_18235), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g665580 (
+	.A(n_36155),
+	.Y(n_18234), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g665582 (
+	.A(n_17388),
+	.Y(n_17389), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g665586 (
+	.A(n_36162),
+	.Y(n_17387), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g665594 (
+	.A(n_36157),
+	.Y(n_8324), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g665596 (
+	.A(n_36158),
+	.Y(n_1783), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g665600 (
+	.A(n_17382),
+	.Y(n_17383), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g665603 (
+	.A(n_34454),
+	.Y(n_18233), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g665604 (
+	.A(n_34479),
+	.Y(n_18232), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g665605 (
+	.A(n_35325),
+	.Y(n_18231), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g665606 (
+	.A1(n_17998),
+	.A2(n_18157),
+	.B1(n_18105),
+	.Y(n_18230), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g665607 (
+	.A1(n_17987),
+	.A2(n_18152),
+	.B1(n_17996),
+	.B2(n_18147),
+	.Y(n_18229), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g665608 (
+	.A1(n_18151),
+	.A2(n_18000),
+	.B1(n_18146),
+	.B2(n_18002),
+	.X(n_18228), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665609 (
+	.A(n_18211),
+	.B(n_34082),
+	.Y(n_18227), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665610 (
+	.A(n_18184),
+	.B(n_18151),
+	.Y(n_34036), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665611 (
+	.A(n_18184),
+	.B(n_18146),
+	.Y(n_34061), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 g665612 (
+	.A0(n_18134),
+	.A1(brqrv_top_brqrv_dma_ctrl_dma_mem_addr_in_dccm),
+	.S(n_17616),
+	.Y(n_18226), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g665613 (
+	.A1(n_35784),
+	.A2(n_35783),
+	.B1(n_18209),
+	.Y(n_18225), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g665614 (
+	.A1_N(n_36334),
+	.A2_N(n_18151),
+	.B1(n_18001),
+	.B2(n_18148),
+	.Y(n_18224), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g665615 (
+	.A1(n_1832),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [28]),
+	.B1(n_353928_BAR),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [28]),
+	.X(n_18223), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665617 (
+	.A(n_18179),
+	.B(n_17764),
+	.Y(n_18222), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g665618 (
+	.A1(n_1832),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [23]),
+	.B1(n_353928_BAR),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [23]),
+	.X(n_18221), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g665619 (
+	.A1(n_1832),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [19]),
+	.B1(n_353928_BAR),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [19]),
+	.X(n_18220), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g665620 (
+	.A1(n_1832),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [20]),
+	.B1(n_353928_BAR),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [20]),
+	.X(n_18219), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g665621 (
+	.A1(n_1832),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [21]),
+	.B1(n_353928_BAR),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [21]),
+	.Y(n_18218), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g665622 (
+	.A1(n_1832),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [26]),
+	.B1(n_353928_BAR),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [26]),
+	.X(n_18217), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g665623 (
+	.A1(n_1832),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [27]),
+	.B1(n_353928_BAR),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [27]),
+	.Y(n_18216), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g665624 (
+	.A1(n_1832),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [17]),
+	.B1(n_353928_BAR),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [17]),
+	.X(n_18215), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g665625 (
+	.A1(n_1832),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [15]),
+	.B1(n_353928_BAR),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [15]),
+	.Y(n_18214), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g665626 (
+	.A1(n_1832),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [16]),
+	.B1(n_353928_BAR),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [16]),
+	.X(n_18213), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g665627 (
+	.A1(n_36035),
+	.A2(n_8168),
+	.B1(n_36026),
+	.B2(n_8188),
+	.C1(n_18102),
+	.X(brqrv_top_brqrv_lsu_bus_read_data_m[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g665628 (
+	.A1(n_18157),
+	.A2(n_17998),
+	.B1_N(n_42010),
+	.Y(n_18212), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g665629 (
+	.A1(n_34887),
+	.A2(n_17523),
+	.B1(n_35712),
+	.B2(n_18005),
+	.C1(n_18104),
+	.Y(brqrv_top_brqrv_lsu_bus_read_data_m[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g665630 (
+	.A1(n_34887),
+	.A2(n_17896),
+	.B1(n_35711),
+	.B2(n_18065),
+	.C1(n_17559),
+	.Y(brqrv_top_brqrv_lsu_bus_read_data_m[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g665631 (
+	.A1(n_34887),
+	.A2(n_17457),
+	.B1(n_35712),
+	.B2(n_18065),
+	.C1(n_18103),
+	.Y(brqrv_top_brqrv_lsu_bus_read_data_m[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111oi_0 g665632 (
+	.A1(n_36089),
+	.A2(n_34887),
+	.B1(n_17751),
+	.C1(n_17974),
+	.D1(n_43014),
+	.Y(n_18237), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g665633 (
+	.A1(n_34887),
+	.A2(n_17890),
+	.B1(n_35711),
+	.B2(n_18005),
+	.C1(n_17628),
+	.Y(brqrv_top_brqrv_lsu_bus_read_data_m[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g665634 (
+	.A1(n_36044),
+	.A2(n_8168),
+	.B1(n_36032),
+	.B2(n_8188),
+	.C1(n_18136),
+	.X(brqrv_top_brqrv_lsu_bus_read_data_m[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g665636 (
+	.A1(n_36054),
+	.A2(n_8168),
+	.B1(n_36039),
+	.B2(n_8188),
+	.C1(n_18118),
+	.X(brqrv_top_brqrv_lsu_bus_read_data_m[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g665637 (
+	.A1(n_36151),
+	.A2(n_41918),
+	.B1(n_36178),
+	.B2(FE_DBTN6_n_41918),
+	.Y(n_18236), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665638 (
+	.A(n_18173),
+	.B(n_18031),
+	.Y(n_36154), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665639 (
+	.A(n_18172),
+	.B(n_18033),
+	.Y(n_36155), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g665640 (
+	.A(n_18032),
+	.B(n_18171),
+	.Y(n_17388), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665641 (
+	.A(n_18166),
+	.B(n_18028),
+	.Y(n_36162), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g665642 (
+	.A(n_18015),
+	.B(n_18167),
+	.Y(n_36157), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g665643 (
+	.A(n_18169),
+	.B(n_18018),
+	.Y(n_36158), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665644 (
+	.A(n_18168),
+	.B(n_18021),
+	.Y(n_17382), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g665645 (
+	.A(n_17587),
+	.B(n_18201),
+	.X(n_34454), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g665646 (
+	.A(n_17592),
+	.B(n_18203),
+	.X(n_34479), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g665647 (
+	.A(n_17587),
+	.B(n_18203),
+	.X(n_35325), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g665649 (
+	.A(n_18200),
+	.Y(n_18201), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g665651 (
+	.A(n_8274),
+	.Y(n_34051), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g665652 (
+	.A(n_8177),
+	.Y(n_34082), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g665653 (
+	.A(n_8178),
+	.Y(n_34053), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g665654 (
+	.A(n_18194),
+	.Y(n_34080), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g665655 (
+	.A(n_35339),
+	.Y(n_18193), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g665657 (
+	.A(brqrv_top_brqrv_i0_ap[2]),
+	.B_N(brqrv_top_brqrv_dec_dec_i0_instr_d[31]),
+	.Y(brqrv_top_brqrv_dec_decode_last_br_immed_d[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g665658 (
+	.A(brqrv_top_brqrv_i0_ap[2]),
+	.B_N(brqrv_top_brqrv_dec_i0_predict_p_d[47]),
+	.Y(brqrv_top_brqrv_dec_decode_last_br_immed_d[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g665659 (
+	.A(brqrv_top_brqrv_i0_ap[2]),
+	.B_N(brqrv_top_brqrv_dec_i0_predict_p_d[46]),
+	.Y(brqrv_top_brqrv_dec_decode_last_br_immed_d[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g665660 (
+	.A(brqrv_top_brqrv_i0_ap[2]),
+	.B_N(brqrv_top_brqrv_dec_i0_predict_p_d[48]),
+	.Y(brqrv_top_brqrv_dec_decode_last_br_immed_d[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g665661 (
+	.A(brqrv_top_brqrv_i0_ap[2]),
+	.B_N(brqrv_top_brqrv_dec_i0_predict_p_d[44]),
+	.Y(brqrv_top_brqrv_dec_decode_last_br_immed_d[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g665662 (
+	.A(brqrv_top_brqrv_i0_ap[2]),
+	.B_N(brqrv_top_brqrv_dec_i0_predict_p_d[40]),
+	.Y(brqrv_top_brqrv_dec_decode_last_br_immed_d[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665663 (
+	.A(n_1832),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [11]),
+	.Y(n_18191), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g665664 (
+	.A(brqrv_top_brqrv_i0_ap[2]),
+	.B_N(brqrv_top_brqrv_dec_i0_predict_p_d[45]),
+	.Y(brqrv_top_brqrv_dec_decode_last_br_immed_d[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g665665 (
+	.A1(n_17932),
+	.A2(n_17850),
+	.B1(n_18148),
+	.Y(n_18190), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g665666 (
+	.A1(n_17774),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[43]),
+	.B1(n_8233),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[11]),
+	.C1(n_17975),
+	.Y(n_18211), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g665667 (
+	.A(n_34409),
+	.B(n_34408),
+	.X(n_18210), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665668 (
+	.A(n_35772),
+	.B(n_18162),
+	.Y(n_18209), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665669 (
+	.A(n_17937),
+	.B(n_18088),
+	.Y(n_18208), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665670 (
+	.A(n_36246),
+	.B(n_35479),
+	.Y(n_18207), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665672 (
+	.A(n_17936),
+	.B(n_18090),
+	.Y(n_36309), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665673 (
+	.A(n_17925),
+	.B(n_18092),
+	.Y(n_36318), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665674 (
+	.A(n_17905),
+	.B(n_18093),
+	.Y(n_36313), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665675 (
+	.A(n_17918),
+	.B(n_18096),
+	.Y(n_36314), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665676 (
+	.A(brqrv_top_brqrv_dec_dec_i0_waddr_r[4]),
+	.B(n_18160),
+	.Y(n_18206), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665677 (
+	.A(n_17930),
+	.B(n_18098),
+	.Y(n_36332), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665678 (
+	.A(n_17911),
+	.B(n_18089),
+	.Y(n_36322), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g665679 (
+	.A1(n_17774),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[35]),
+	.B1(n_8233),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[3]),
+	.C1(n_17973),
+	.Y(n_18205), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665680 (
+	.A(n_18154),
+	.B(brqrv_top_brqrv_dec_dec_i0_waddr_r[4]),
+	.Y(n_18204), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665681 (
+	.A(n_18164),
+	.B(n_1871),
+	.Y(n_18203), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665682 (
+	.A(brqrv_top_brqrv_dec_dec_i0_waddr_r[4]),
+	.B(n_18159),
+	.Y(n_18202), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665683 (
+	.A(n_1871),
+	.B(n_18159),
+	.Y(n_18200), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665686 (
+	.A(n_18164),
+	.B(brqrv_top_brqrv_dec_dec_i0_waddr_r[4]),
+	.Y(n_18198), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665687 (
+	.A(n_18147),
+	.B(n_18153),
+	.Y(n_8274), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665688 (
+	.A(n_18153),
+	.B(n_18152),
+	.Y(n_8177), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665689 (
+	.A(n_18153),
+	.B(n_18148),
+	.Y(n_8178), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665690 (
+	.A(n_18149),
+	.B(n_18153),
+	.Y(n_18194), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g665691 (
+	.A(brqrv_top_brqrv_dec_div_waddr_wb[2]),
+	.B(n_18156),
+	.X(n_35324), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665693 (
+	.A(n_17353),
+	.B(brqrv_top_brqrv_dec_div_waddr_wb[2]),
+	.Y(n_35333), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g665694 (
+	.A(n_11362),
+	.B(n_18163),
+	.X(n_35339), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g665695 (
+	.A(n_11362),
+	.B(n_18161),
+	.X(n_35336), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g665696 (
+	.A(brqrv_top_brqrv_dec_div_waddr_wb[2]),
+	.B(n_18163),
+	.X(n_35330), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g665697 (
+	.A(brqrv_top_brqrv_dec_div_waddr_wb[2]),
+	.B(n_18161),
+	.X(n_35327), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g665698 (
+	.A(n_11362),
+	.B(n_18156),
+	.X(n_34484), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g665699 (
+	.A(n_18188),
+	.Y(n_18189), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g665701 (
+	.A(n_36356),
+	.Y(n_18181), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g665702 (
+	.A(n_18180),
+	.Y(n_36352), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g665703 (
+	.A1(n_17502),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[43]),
+	.B1(n_42940),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[75]),
+	.C1(n_18086),
+	.Y(n_18179), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g665705 (
+	.A1(n_36358),
+	.A2(n_8247),
+	.B1(brqrv_top_brqrv_dec_decode_write_csr_data[21]),
+	.B2(n_33833),
+	.X(brqrv_top_brqrv_dec_dec_csr_wrdata_r[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g665706 (
+	.A1(n_36363),
+	.A2(n_8247),
+	.B1(brqrv_top_brqrv_dec_decode_write_csr_data[26]),
+	.B2(n_33833),
+	.X(brqrv_top_brqrv_dec_dec_csr_wrdata_r[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g665707 (
+	.A1(n_36357),
+	.A2(n_8247),
+	.B1(brqrv_top_brqrv_dec_decode_write_csr_data[20]),
+	.B2(n_33833),
+	.X(brqrv_top_brqrv_dec_dec_csr_wrdata_r[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g665708 (
+	.A1(n_36360),
+	.A2(n_8247),
+	.B1(brqrv_top_brqrv_dec_decode_write_csr_data[23]),
+	.B2(n_33833),
+	.X(brqrv_top_brqrv_dec_dec_csr_wrdata_r[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g665709 (
+	.A1(n_36364),
+	.A2(n_8247),
+	.B1(brqrv_top_brqrv_dec_decode_write_csr_data[27]),
+	.B2(n_33833),
+	.X(brqrv_top_brqrv_dec_dec_csr_wrdata_r[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g665710 (
+	.A1(n_42912),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [80]),
+	.B1(n_18070),
+	.Y(n_18177), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g665711 (
+	.A1(n_42915),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [3]),
+	.B1(n_18071),
+	.Y(n_18176), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g665712 (
+	.A(n_35784),
+	.B(n_35785),
+	.C(n_18135),
+	.Y(n_18175), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g665713 (
+	.A1(n_36362),
+	.A2(n_8247),
+	.B1(brqrv_top_brqrv_dec_decode_write_csr_data[25]),
+	.B2(n_33833),
+	.X(brqrv_top_brqrv_dec_dec_csr_wrdata_r[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g665714 (
+	.A1(n_36359),
+	.A2(n_8247),
+	.B1(brqrv_top_brqrv_dec_decode_write_csr_data[22]),
+	.B2(n_33833),
+	.X(brqrv_top_brqrv_dec_dec_csr_wrdata_r[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g665715 (
+	.A_N(n_35774),
+	.B(n_35783),
+	.C(n_35772),
+	.Y(n_18174), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g665716 (
+	.A1(n_36361),
+	.A2(n_8247),
+	.B1(brqrv_top_brqrv_dec_decode_write_csr_data[24]),
+	.B2(n_33833),
+	.X(brqrv_top_brqrv_dec_dec_csr_wrdata_r[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g665717 (
+	.A1(n_42941),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[36]),
+	.B1(n_42942),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[68]),
+	.C1(n_18079),
+	.Y(n_18173), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g665718 (
+	.A1(n_42941),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[38]),
+	.B1(n_42942),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[70]),
+	.C1(n_18080),
+	.Y(n_18172), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g665719 (
+	.A1(n_42941),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[37]),
+	.B1(n_42942),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[69]),
+	.C1(n_18081),
+	.Y(n_18171), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g665720 (
+	.A1(brqrv_top_brqrv_dec_dec_nonblock_load_waddr[4]),
+	.A2(n_1871),
+	.B1(n_17951),
+	.B2(brqrv_top_brqrv_dec_dec_i0_waddr_r[3]),
+	.C1(n_33865),
+	.X(n_18170), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g665721 (
+	.A1(n_17502),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[41]),
+	.B1(n_42940),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[73]),
+	.C1(n_18083),
+	.Y(n_18169), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g665722 (
+	.A1(n_17502),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[45]),
+	.B1(n_42940),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[77]),
+	.C1(n_18084),
+	.Y(n_18168), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g665723 (
+	.A1(n_17502),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[40]),
+	.B1(n_42940),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[72]),
+	.C1(n_18087),
+	.Y(n_18167), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g665724 (
+	.A1(n_17502),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[46]),
+	.B1(n_42940),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[78]),
+	.C1(n_18085),
+	.Y(n_18166), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g665725 (
+	.A1(n_17502),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[42]),
+	.B1(n_42940),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[74]),
+	.C1(n_18082),
+	.Y(n_18165), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665726 (
+	.A(n_17919),
+	.B(n_18099),
+	.Y(n_36330), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g665727 (
+	.A1(n_17955),
+	.A2(n_34079),
+	.B1(n_18075),
+	.Y(n_36188), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665728 (
+	.A(n_18072),
+	.B(n_18030),
+	.Y(n_36176), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g665729 (
+	.A1(n_17953),
+	.A2(n_34079),
+	.B1(n_18073),
+	.Y(n_36180), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g665730 (
+	.A1(n_17954),
+	.A2(n_34079),
+	.B1(n_18074),
+	.Y(n_36184), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g665731 (
+	.A1(n_17956),
+	.A2(n_34079),
+	.B1(n_18076),
+	.Y(n_36192), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665732 (
+	.A(n_18077),
+	.B(n_18020),
+	.Y(n_36196), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665733 (
+	.A(n_17924),
+	.B(n_18095),
+	.Y(n_36325), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g665734 (
+	.A1(n_17495),
+	.A2(n_35740),
+	.B1(n_18078),
+	.Y(n_18188), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665735 (
+	.A(n_17908),
+	.B(n_18094),
+	.Y(n_36326), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665736 (
+	.A(n_17935),
+	.B(n_18091),
+	.Y(n_36317), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665737 (
+	.A(n_17917),
+	.B(n_18097),
+	.Y(n_36310), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g665739 (
+	.A(n_41918),
+	.B(n_17796),
+	.C(n_17999),
+	.X(n_18186), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665740 (
+	.A(n_18100),
+	.B(n_18026),
+	.Y(n_36150), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665741 (
+	.A_N(n_18158),
+	.B(n_18153),
+	.Y(n_18184), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g665742 (
+	.A(n_17999),
+	.B(brqrv_top_brqrv_lsu_addr_in_pic_m),
+	.C(FE_DBTN6_n_41918),
+	.X(n_18183), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4b_1 g665743 (
+	.A_N(brqrv_top_brqrv_lsu_addr_in_pic_m),
+	.B(n_17999),
+	.C(brqrv_top_brqrv_lsu_addr_in_dccm_m),
+	.D(FE_DBTN6_n_41918),
+	.X(n_18182), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2o_1 g665744 (
+	.A1_N(n_33324),
+	.A2_N(n_17969),
+	.B1(n_33324),
+	.B2(brqrv_top_brqrv_dec_i0_result_r[19]),
+	.X(n_36356), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g665745 (
+	.A(n_18066),
+	.B(n_35113),
+	.X(n_18180), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g665750 (
+	.A(n_18152),
+	.Y(n_18151), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g665751 (
+	.A(n_18150),
+	.Y(n_18149), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g665753 (
+	.A(n_18147),
+	.Y(n_18146), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g665754 (
+	.A(n_18145),
+	.Y(brqrv_top_brqrv_i0_ap[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g665756 (
+	.A(n_34502),
+	.Y(n_18143), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g665757 (
+	.A(n_1542),
+	.Y(n_35216), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g665758 (
+	.A(n_18141),
+	.Y(n_35210), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g665759 (
+	.A(n_18140),
+	.Y(n_34353), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g665760 (
+	.A(n_18139),
+	.Y(n_35213), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g665761 (
+	.A(n_17352),
+	.Y(n_34256), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665762 (
+	.A(n_36195),
+	.B(n_18036),
+	.Y(n_18138), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665763 (
+	.A_N(n_42011),
+	.B(n_17993),
+	.Y(n_18137), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g665764 (
+	.A(n_35711),
+	.B_N(n_36025),
+	.Y(n_18136), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665765 (
+	.A(n_17968),
+	.B(n_34893),
+	.Y(n_18135), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g665766 (
+	.A(n_35772),
+	.B_N(n_35773),
+	.Y(n_18134), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665767 (
+	.A(n_31485),
+	.B(n_18037),
+	.Y(n_18133), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665768 (
+	.A(n_31485),
+	.B(n_18034),
+	.Y(n_18132), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665769 (
+	.A(n_31485),
+	.B(n_18035),
+	.Y(n_18131), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665770 (
+	.A(n_31485),
+	.B(n_18036),
+	.Y(n_18130), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665771 (
+	.A(n_36199),
+	.B(n_18037),
+	.Y(n_18129), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665772 (
+	.A(n_31451),
+	.B(n_18037),
+	.Y(n_18128), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665773 (
+	.A(n_31451),
+	.B(n_18034),
+	.Y(n_18127), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665774 (
+	.A(n_36199),
+	.B(n_18034),
+	.Y(n_18126), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665775 (
+	.A(n_36199),
+	.B(n_18036),
+	.Y(n_18125), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665776 (
+	.A(n_31451),
+	.B(n_18035),
+	.Y(n_18124), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665777 (
+	.A(n_36199),
+	.B(n_18035),
+	.Y(n_18123), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665778 (
+	.A(n_31451),
+	.B(n_18036),
+	.Y(n_18122), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665779 (
+	.A(n_36195),
+	.B(n_18037),
+	.Y(n_18121), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665780 (
+	.A(n_36195),
+	.B(n_18034),
+	.Y(n_18120), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665781 (
+	.A(n_36195),
+	.B(n_18035),
+	.Y(n_18119), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g665782 (
+	.A(n_35711),
+	.B_N(n_36027),
+	.Y(n_18118), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665783 (
+	.A(brqrv_top_brqrv_dec_dec_i0_waddr_r[2]),
+	.B(n_18064),
+	.Y(n_18164), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665784 (
+	.A(n_18008),
+	.B(brqrv_top_brqrv_dec_div_waddr_wb[1]),
+	.Y(n_18163), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665785 (
+	.A_N(n_35774),
+	.B(n_35773),
+	.Y(n_18162), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665786 (
+	.A(n_18006),
+	.B(brqrv_top_brqrv_dec_div_waddr_wb[1]),
+	.Y(n_18161), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665787 (
+	.A(n_17350),
+	.B(n_1565),
+	.Y(n_18160), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665788 (
+	.A(n_17350),
+	.B(brqrv_top_brqrv_dec_dec_i0_waddr_r[2]),
+	.Y(n_18159), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g665789 (
+	.A(n_17992),
+	.B_N(n_17997),
+	.Y(n_18158), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665790 (
+	.A_N(n_18004),
+	.B(n_42009),
+	.Y(n_18157), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665791 (
+	.A(n_18006),
+	.B(n_11363),
+	.Y(n_18156), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665792 (
+	.A(n_35048),
+	.B(n_18022),
+	.Y(n_34408), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665793 (
+	.A(n_1565),
+	.B(n_18064),
+	.Y(n_18154), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665795 (
+	.A_N(n_17959),
+	.B(n_34623),
+	.Y(n_35372), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665796 (
+	.A(n_17963),
+	.B(n_34627),
+	.Y(n_34626), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665797 (
+	.A(n_17961),
+	.B(n_34625),
+	.Y(n_34624), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665798 (
+	.A(n_17889),
+	.B(n_35369),
+	.Y(n_35368), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665799 (
+	.A(n_17347),
+	.B(n_35371),
+	.Y(n_35370), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665800 (
+	.A_N(n_17997),
+	.B(n_17992),
+	.Y(n_18153), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665801 (
+	.A(n_17991),
+	.B(n_17988),
+	.Y(n_18152), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665802 (
+	.A(n_17991),
+	.B(n_17989),
+	.Y(n_18150), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665803 (
+	.A(n_17989),
+	.B(n_17991),
+	.Y(n_18148), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665804 (
+	.A(n_17989),
+	.B(n_17990),
+	.Y(n_18147), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665805 (
+	.A(n_34735),
+	.B(n_35424),
+	.Y(n_18145), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665806 (
+	.A(n_17597),
+	.B(n_18063),
+	.Y(n_34407), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g665807 (
+	.A(n_17681),
+	.B(n_17351),
+	.X(n_34477), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665808 (
+	.A(n_18060),
+	.B(n_17599),
+	.Y(n_34462), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665809 (
+	.A(n_18060),
+	.B(n_17596),
+	.Y(n_34465), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g665810 (
+	.A(n_17602),
+	.B(n_18057),
+	.X(n_34502), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g665811 (
+	.A(n_17595),
+	.B(n_18057),
+	.X(n_34493), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665813 (
+	.A(n_18061),
+	.B(n_17599),
+	.Y(n_34444), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g665815 (
+	.A(n_17598),
+	.B(n_18057),
+	.X(n_34499), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665816 (
+	.A(n_18058),
+	.B(n_17601),
+	.Y(n_34450), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g665817 (
+	.A(n_17681),
+	.B(n_18057),
+	.X(n_34496), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665818 (
+	.A(n_18061),
+	.B(n_17601),
+	.Y(n_35341), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g665819 (
+	.A(n_17681),
+	.B(n_18059),
+	.X(n_34459), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g665820 (
+	.A(n_17681),
+	.B(n_18062),
+	.X(n_34505), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665821 (
+	.A(n_18061),
+	.B(n_17596),
+	.Y(n_34447), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g665822 (
+	.A(n_17602),
+	.B(n_17351),
+	.X(n_34487), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665823 (
+	.A(n_18060),
+	.B(n_17601),
+	.Y(n_34468), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g665824 (
+	.A(n_17598),
+	.B(n_18062),
+	.X(n_34511), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g665825 (
+	.A(n_17598),
+	.B(n_17351),
+	.X(n_34474), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g665826 (
+	.A(n_17595),
+	.B(n_18059),
+	.X(n_34456), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g665827 (
+	.A(n_17595),
+	.B(n_17351),
+	.X(n_35343), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g665828 (
+	.A(n_17595),
+	.B(n_18062),
+	.X(n_34508), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g665829 (
+	.A(n_17598),
+	.B(n_18059),
+	.X(n_34453), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g665830 (
+	.A(n_17888),
+	.B(n_35369),
+	.X(n_1542), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g665831 (
+	.A(n_17959),
+	.B(n_34623),
+	.X(n_18141), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2b_1 g665832 (
+	.A_N(n_17961),
+	.B(n_34625),
+	.X(n_18140), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g665833 (
+	.A(n_17349),
+	.B(n_34627),
+	.X(n_18139), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g665836 (
+	.A(n_36151),
+	.Y(n_17381), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665839 (
+	.A_N(n_17993),
+	.B(n_42011),
+	.Y(n_18105), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g665840 (
+	.A1(n_42971),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[33]),
+	.B1(n_42970),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[97]),
+	.C1(n_17967),
+	.Y(n_18104), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g665841 (
+	.A1(n_42971),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[37]),
+	.B1(n_42970),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[101]),
+	.C1(n_17966),
+	.Y(n_18103), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g665842 (
+	.A1(n_42971),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[36]),
+	.B1(n_42970),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[100]),
+	.C1(n_17965),
+	.X(n_18102), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g665843 (
+	.A1(n_17887),
+	.A2(n_17735),
+	.B1(n_43105),
+	.Y(n_18101), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g665844 (
+	.A(n_17584),
+	.B(n_17831),
+	.C(n_17845),
+	.X(n_18100), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g665845 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[79]),
+	.A2(n_8232),
+	.B1(n_17773),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[111]),
+	.C1(n_17774),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[47]),
+	.Y(n_18099), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g665846 (
+	.A1(n_8232),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[71]),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[103]),
+	.B2(n_17773),
+	.C1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[39]),
+	.C2(n_17774),
+	.Y(n_18098), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g665847 (
+	.A1(n_8232),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[64]),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[96]),
+	.B2(n_17773),
+	.C1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[32]),
+	.C2(n_17774),
+	.Y(n_18097), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g665848 (
+	.A1(n_8232),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[65]),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[97]),
+	.B2(n_17773),
+	.C1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[33]),
+	.C2(n_17774),
+	.Y(n_18096), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g665849 (
+	.A1(n_8232),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[77]),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[109]),
+	.B2(n_17773),
+	.C1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[45]),
+	.C2(n_17774),
+	.Y(n_18095), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g665850 (
+	.A1(n_8232),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[69]),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[101]),
+	.B2(n_17773),
+	.C1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[37]),
+	.C2(n_17774),
+	.Y(n_18094), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g665851 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[73]),
+	.A2(n_8232),
+	.B1(n_17773),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[105]),
+	.C1(n_17774),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[41]),
+	.Y(n_18093), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g665852 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[66]),
+	.A2(n_8232),
+	.B1(n_17773),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[98]),
+	.C1(n_17774),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[34]),
+	.Y(n_18092), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g665853 (
+	.A1(n_8232),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[74]),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[106]),
+	.B2(n_17773),
+	.C1(n_17774),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[42]),
+	.Y(n_18091), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g665854 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[72]),
+	.A2(n_8232),
+	.B1(n_17773),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[104]),
+	.C1(n_17774),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[40]),
+	.Y(n_18090), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g665855 (
+	.A1(n_8232),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[68]),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[100]),
+	.B2(n_17773),
+	.C1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[36]),
+	.C2(n_17774),
+	.Y(n_18089), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g665856 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[76]),
+	.A2(n_8232),
+	.B1(n_17773),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[108]),
+	.C1(n_17774),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[44]),
+	.Y(n_18088), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g665857 (
+	.A1(n_36354),
+	.A2(n_8247),
+	.B1(brqrv_top_brqrv_dec_decode_write_csr_data[17]),
+	.B2(n_33833),
+	.X(brqrv_top_brqrv_dec_dec_csr_wrdata_r[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g665858 (
+	.A(n_17655),
+	.B(n_17545),
+	.C(n_17862),
+	.Y(n_18087), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g665859 (
+	.A(n_17631),
+	.B(n_17625),
+	.C(n_17852),
+	.Y(n_18086), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g665860 (
+	.A(n_17562),
+	.B(n_17557),
+	.C(n_17834),
+	.Y(n_18085), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g665861 (
+	.A(n_17571),
+	.B(n_17561),
+	.C(n_17823),
+	.Y(n_18084), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g665862 (
+	.A(n_17544),
+	.B(n_17543),
+	.C(n_17818),
+	.Y(n_18083), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g665863 (
+	.A(n_17565),
+	.B(n_17556),
+	.C(n_17828),
+	.Y(n_18082), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g665864 (
+	.A(n_17671),
+	.B(n_17662),
+	.C(n_17859),
+	.Y(n_18081), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g665865 (
+	.A(n_17646),
+	.B(n_17657),
+	.C(n_17837),
+	.Y(n_18080), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g665866 (
+	.A(n_17643),
+	.B(n_17675),
+	.C(n_17849),
+	.Y(n_18079), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g665867 (
+	.A1(n_36353),
+	.A2(n_8247),
+	.B1(brqrv_top_brqrv_dec_decode_write_csr_data[16]),
+	.B2(n_33833),
+	.X(brqrv_top_brqrv_dec_dec_csr_wrdata_r[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g665868 (
+	.A(n_17725),
+	.B(n_17697),
+	.C(n_17858),
+	.Y(n_18078), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g665869 (
+	.A1(n_42938),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[118]),
+	.B1(n_42945),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[54]),
+	.C1(n_18029),
+	.Y(n_18077), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g665870 (
+	.A1(n_42938),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[117]),
+	.B1(n_42945),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[53]),
+	.C1(n_18013),
+	.Y(n_18076), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g665871 (
+	.A1(n_42938),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[116]),
+	.B1(n_42945),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[52]),
+	.C1(n_18017),
+	.Y(n_18075), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g665872 (
+	.A1(n_42938),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[115]),
+	.B1(n_42945),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[51]),
+	.C1(n_18019),
+	.Y(n_18074), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g665873 (
+	.A1(n_42938),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[114]),
+	.B1(n_42945),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[50]),
+	.C1(n_18027),
+	.Y(n_18073), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g665874 (
+	.A1(n_42938),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[113]),
+	.B1(n_42945),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[49]),
+	.C1(n_18023),
+	.Y(n_18072), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g665875 (
+	.A1(n_42941),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[35]),
+	.B1(n_42936),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[3]),
+	.C1(n_18014),
+	.X(n_18071), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g665876 (
+	.A1(n_42935),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[98]),
+	.B1(n_42941),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[34]),
+	.C1(n_18025),
+	.X(n_18070), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g665877 (
+	.A1(n_1871),
+	.A2(brqrv_top_brqrv_dec_dec_nonblock_load_waddr[4]),
+	.B1(brqrv_top_brqrv_dec_dec_i0_waddr_r[1]),
+	.B2(n_17942),
+	.Y(n_18069), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g665878 (
+	.A(brqrv_top_brqrv_dec_dec_i0_waddr_r[0]),
+	.B(brqrv_top_brqrv_dec_dec_nonblock_load_waddr[0]),
+	.X(n_18068), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g665879 (
+	.A1(n_1870),
+	.A2(brqrv_top_brqrv_dec_dec_nonblock_load_waddr[1]),
+	.B1(brqrv_top_brqrv_dec_dec_i0_waddr_r[3]),
+	.B2(n_17951),
+	.Y(n_18067), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g665880 (
+	.A1(n_36355),
+	.A2(n_8247),
+	.B1(brqrv_top_brqrv_dec_decode_write_csr_data[18]),
+	.B2(n_33833),
+	.X(brqrv_top_brqrv_dec_dec_csr_wrdata_r[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g665881 (
+	.A1(n_17929),
+	.A2(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U1_Plus1_0.lsu_ld_datafn_corr_r [15]),
+	.B1(brqrv_top_brqrv_dec_i0_result_r[15]),
+	.B2(n_33324),
+	.Y(n_18066), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g665882 (
+	.A1(n_43027),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [19]),
+	.B1(n_1494),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [19]),
+	.Y(n_18117), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g665883 (
+	.A1(n_43027),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [4]),
+	.B1(n_1494),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [4]),
+	.X(n_35313), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g665884 (
+	.A1(n_43027),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [5]),
+	.B1(n_1494),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [5]),
+	.X(n_35311), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g665885 (
+	.A1(n_43027),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [6]),
+	.B1(n_1494),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [6]),
+	.X(n_35310), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g665886 (
+	.A1(n_43027),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [1]),
+	.B1(n_1494),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [1]),
+	.X(n_34409), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g665887 (
+	.A1(n_43027),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [2]),
+	.B1(n_1494),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [2]),
+	.X(n_18116), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g665888 (
+	.A1(n_43027),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [7]),
+	.B1(n_1494),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [7]),
+	.X(n_34406), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g665889 (
+	.A1(n_43027),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [27]),
+	.B1(n_1494),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [27]),
+	.Y(n_18115), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g665890 (
+	.A1(n_43027),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [26]),
+	.B1(n_1494),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [26]),
+	.X(n_18114), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g665891 (
+	.A1(n_43027),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [25]),
+	.B1(n_1494),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [25]),
+	.X(n_35304), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g665892 (
+	.A1(n_43027),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [24]),
+	.B1(n_1494),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [24]),
+	.X(n_34381), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g665893 (
+	.A1(n_43027),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [23]),
+	.B1(n_1494),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [23]),
+	.Y(n_18113), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g665894 (
+	.A1(n_43027),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [22]),
+	.B1(n_1494),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [22]),
+	.X(n_35302), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g665895 (
+	.A1(n_43027),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [21]),
+	.B1(n_1494),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [21]),
+	.Y(n_18112), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g665896 (
+	.A1(n_43027),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [3]),
+	.B1(n_1494),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [3]),
+	.Y(n_18111), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g665897 (
+	.A1(n_43027),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [18]),
+	.B1(n_1494),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [18]),
+	.X(n_34383), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g665898 (
+	.A1(n_43027),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [17]),
+	.B1(n_1494),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [17]),
+	.Y(n_18110), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g665899 (
+	.A1(n_43027),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [16]),
+	.B1(n_1494),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [16]),
+	.X(n_18109), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g665900 (
+	.A1(n_43027),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [15]),
+	.B1(n_1494),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [15]),
+	.Y(n_18108), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g665901 (
+	.A1(n_43027),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [14]),
+	.B1(n_1494),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [14]),
+	.X(n_34393), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g665902 (
+	.A1(n_43027),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [13]),
+	.B1(n_1494),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [13]),
+	.X(n_34395), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g665903 (
+	.A1(n_43027),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [12]),
+	.B1(n_1494),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [12]),
+	.X(n_34397), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g665904 (
+	.A1(n_43027),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [11]),
+	.B1(n_1494),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [11]),
+	.Y(n_18107), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g665905 (
+	.A1(n_43027),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [10]),
+	.B1(n_1494),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [10]),
+	.X(n_34399), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g665906 (
+	.A1(n_43027),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [20]),
+	.B1(n_1494),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [20]),
+	.X(n_18106), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g665907 (
+	.A1(n_43027),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [9]),
+	.B1(n_1494),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [9]),
+	.X(n_34401), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g665908 (
+	.A1(n_43027),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [8]),
+	.B1(n_1494),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [8]),
+	.X(n_34403), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g665909 (
+	.A(n_18012),
+	.B(n_17869),
+	.C(n_17730),
+	.Y(n_35479), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g665910 (
+	.A1(n_43027),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [28]),
+	.B1(n_1494),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [28]),
+	.X(n_34379), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665911 (
+	.A(n_17971),
+	.B(n_18016),
+	.Y(n_36151), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g665914 (
+	.A(n_18058),
+	.Y(n_18059), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g665915 (
+	.A(n_18055),
+	.Y(n_18056), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g665916 (
+	.A(n_18052),
+	.Y(n_18053), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g665917 (
+	.A(n_18050),
+	.Y(n_18051), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g665918 (
+	.A(n_18048),
+	.Y(n_18049), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g665919 (
+	.A(n_18047),
+	.Y(n_18046), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g665920 (
+	.A(n_36358),
+	.Y(n_18042), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g665921 (
+	.A(n_36364),
+	.Y(n_18041), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g665922 (
+	.A(n_36360),
+	.Y(n_18040), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g665923 (
+	.A(n_36357),
+	.Y(n_18039), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g665924 (
+	.A(n_36363),
+	.Y(n_18038), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g665925 (
+	.A(n_34623),
+	.Y(n_18037), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g665926 (
+	.A(n_35371),
+	.Y(n_18036), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g665927 (
+	.A(n_34625),
+	.Y(n_18035), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g665928 (
+	.A(n_34627),
+	.Y(n_18034), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665929 (
+	.A(n_17404),
+	.B(n_35747),
+	.Y(n_18033), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665930 (
+	.A(n_17404),
+	.B(n_35746),
+	.Y(n_18032), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665931 (
+	.A(n_17404),
+	.B(n_35745),
+	.Y(n_18031), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665932 (
+	.A(n_17495),
+	.B(n_35734),
+	.Y(n_18030), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665933 (
+	.A(n_17728),
+	.B(n_17817),
+	.Y(n_18029), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665934 (
+	.A(n_17482),
+	.B(n_35755),
+	.Y(n_18028), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665935 (
+	.A(n_17708),
+	.B(n_17854),
+	.Y(n_18027), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665936 (
+	.A(n_17404),
+	.B(n_35741),
+	.Y(n_18026), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g665937 (
+	.A1(n_42936),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[2]),
+	.B1(n_42942),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[66]),
+	.C1(n_17898),
+	.X(n_18025), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665938 (
+	.A(brqrv_top_brqrv_lsu_bus_read_data_m[8]),
+	.B(n_17438),
+	.Y(n_18024), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665939 (
+	.A(n_17707),
+	.B(n_17853),
+	.Y(n_18023), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665940 (
+	.A(n_43027),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [0]),
+	.Y(n_18022), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665941 (
+	.A(n_17482),
+	.B(n_35754),
+	.Y(n_18021), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665942 (
+	.A(n_17495),
+	.B(n_35739),
+	.Y(n_18020), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665943 (
+	.A(n_17705),
+	.B(n_17855),
+	.Y(n_18019), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665944 (
+	.A(n_17482),
+	.B(n_35750),
+	.Y(n_18018), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665945 (
+	.A(n_17706),
+	.B(n_17856),
+	.Y(n_18017), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665946 (
+	.A(n_17404),
+	.B(n_35742),
+	.Y(n_18016), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665947 (
+	.A(n_17482),
+	.B(n_35749),
+	.Y(n_18015), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g665948 (
+	.A1(n_42935),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[99]),
+	.B1(n_42942),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[67]),
+	.C1(n_17899),
+	.X(n_18014), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665949 (
+	.A(n_17717),
+	.B(n_17857),
+	.Y(n_18013), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g665950 (
+	.A1(n_29552),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_valid[3]),
+	.B1(n_1887),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_valid[4]),
+	.C1(n_17904),
+	.X(n_18012), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665951 (
+	.A(n_17826),
+	.B(n_35113),
+	.Y(n_36361), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111oi_0 g665953 (
+	.A1(n_8330),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[13]),
+	.B1(n_17738),
+	.C1(n_17750),
+	.D1(n_17757),
+	.Y(n_18065), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665954 (
+	.A(n_17957),
+	.B(brqrv_top_brqrv_dec_dec_i0_waddr_r[3]),
+	.Y(n_18064), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665955 (
+	.A(n_17861),
+	.B(n_35113),
+	.Y(n_36362), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665956 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_control_ff [0]),
+	.B(n_43027),
+	.Y(n_18063), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g665957 (
+	.A(n_36248),
+	.B_N(n_17931),
+	.Y(n_34735), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665958 (
+	.A(n_17866),
+	.B(n_35113),
+	.Y(n_36359), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665959 (
+	.A(n_1494),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [0]),
+	.Y(n_35048), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g665960 (
+	.A1(n_1677),
+	.A2(brqrv_top_brqrv_dma_ctrl_n_583),
+	.B1(n_1887),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[129]),
+	.C1(n_17901),
+	.X(n_35784), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g665961 (
+	.A1(n_29552),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_sz[11]),
+	.B1(n_1887),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_sz[14]),
+	.C1(n_17900),
+	.X(n_35774), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665962 (
+	.A(n_17964),
+	.B(n_11362),
+	.Y(n_18062), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665963 (
+	.A(n_17863),
+	.B(n_17729),
+	.Y(n_35783), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665964 (
+	.A(brqrv_top_brqrv_dec_div_waddr_wb[2]),
+	.B(n_17960),
+	.Y(n_18061), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665965 (
+	.A(brqrv_top_brqrv_dec_div_waddr_wb[2]),
+	.B(n_17962),
+	.Y(n_18060), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665966 (
+	.A(n_11362),
+	.B(n_17960),
+	.Y(n_18058), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665967 (
+	.A(n_17964),
+	.B(brqrv_top_brqrv_dec_div_waddr_wb[2]),
+	.Y(n_18057), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g665969 (
+	.A1(n_1677),
+	.A2(brqrv_top_brqrv_dma_ctrl_n_747),
+	.B1(n_1887),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_sz[13]),
+	.C1(n_17903),
+	.X(n_35773), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665970 (
+	.A(n_17815),
+	.B(n_17806),
+	.Y(n_18055), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g665971 (
+	.A1(n_17676),
+	.A2(brqrv_top_brqrv_dbg_cmd_wrdata[27]),
+	.B1(n_17586),
+	.B2(brqrv_top_brqrv_dbg_cmd_wrdata[11]),
+	.C1(n_17804),
+	.Y(n_18054), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665972 (
+	.A(n_17816),
+	.B(n_17793),
+	.Y(n_18052), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665973 (
+	.A(n_17873),
+	.B(n_17802),
+	.Y(n_18050), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665974 (
+	.A(n_17814),
+	.B(n_17805),
+	.Y(n_18048), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g665975 (
+	.A1(n_29552),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_sz[9]),
+	.B1(n_1887),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_sz[12]),
+	.C1(n_17902),
+	.X(n_35772), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665976 (
+	.A(brqrv_top_brqrv_dec_dec_nonblock_load_waddr[1]),
+	.B(brqrv_top_brqrv_dec_dec_nonblock_load_waddr[0]),
+	.Y(n_18047), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665977 (
+	.A(n_17943),
+	.B(n_17942),
+	.Y(n_18045), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665978 (
+	.A(brqrv_top_brqrv_dec_dec_nonblock_load_waddr[0]),
+	.B(n_17942),
+	.Y(n_18044), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665979 (
+	.A(brqrv_top_brqrv_dec_dec_nonblock_load_waddr[1]),
+	.B(n_17943),
+	.Y(n_18043), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665981 (
+	.A(n_17832),
+	.B(n_35113),
+	.Y(n_36358), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665982 (
+	.A(n_17825),
+	.B(n_35113),
+	.Y(n_36364), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665983 (
+	.A(n_17846),
+	.B(n_35113),
+	.Y(n_36360), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665984 (
+	.A(n_17835),
+	.B(n_35113),
+	.Y(n_36357), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665985 (
+	.A(n_17827),
+	.B(n_35113),
+	.Y(n_36363), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g665986 (
+	.A(brqrv_top_brqrv_dccm_dma_rtag[0]),
+	.B(n_17348),
+	.X(n_34623), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665988 (
+	.A(n_17958),
+	.B(brqrv_top_brqrv_dccm_dma_rtag[0]),
+	.Y(n_34625), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665989 (
+	.A_N(brqrv_top_brqrv_dccm_dma_rtag[0]),
+	.B(n_17958),
+	.Y(n_34627), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g665990 (
+	.A(n_42008),
+	.Y(n_34789), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g665992 (
+	.A(n_18001),
+	.Y(n_18002), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g665993 (
+	.A(n_17990),
+	.Y(n_17991), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g665994 (
+	.A(n_17988),
+	.Y(n_17989), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g666000 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[75]),
+	.A2(n_8232),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[107]),
+	.B2(n_17773),
+	.X(n_17975), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g666001 (
+	.A1(n_17453),
+	.A2(n_34000),
+	.B1(n_17449),
+	.B2(n_34017),
+	.C1(n_17824),
+	.Y(n_17974), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g666002 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[67]),
+	.A2(n_8232),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[99]),
+	.B2(n_17773),
+	.X(n_17973), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g666003 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_control_ff [0]),
+	.B(n_43027),
+	.C_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [3]),
+	.Y(n_17972), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g666005 (
+	.A(n_17847),
+	.B(n_17704),
+	.C(n_17699),
+	.X(n_17971), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g666006 (
+	.A1(n_17778),
+	.A2(brqrv_top_brqrv_lsu_store_data_lo_r[31]),
+	.B1(n_17780),
+	.B2(brqrv_top_brqrv_lsu_store_data_hi_r[31]),
+	.Y(n_17970), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g666008 (
+	.A1(n_17736),
+	.A2(brqrv_top_brqrv_lsu_lsu_pkt_r[9]),
+	.B1(n_36369),
+	.Y(n_17969), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g666009 (
+	.A1(n_34895),
+	.A2(n_17744),
+	.B1(brqrv_top_brqrv_dma_mem_write),
+	.Y(n_17968), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g666010 (
+	.A1(n_17520),
+	.A2(n_34013),
+	.B1(n_17522),
+	.B2(n_34012),
+	.C1(n_17860),
+	.Y(n_17967), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g666011 (
+	.A1(n_17519),
+	.A2(n_34013),
+	.B1(n_17518),
+	.B2(n_34012),
+	.C1(n_17836),
+	.Y(n_17966), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g666012 (
+	.A1(n_17451),
+	.A2(n_34013),
+	.B1(n_17517),
+	.B2(n_34012),
+	.C1(n_17830),
+	.Y(n_17965), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666014 (
+	.A(n_17920),
+	.B(n_17844),
+	.Y(n_36315), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g666015 (
+	.A1(n_8273),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[43]),
+	.B1(n_8175),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[11]),
+	.C1(n_17722),
+	.Y(n_18010), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666016 (
+	.A(n_17912),
+	.B(n_17822),
+	.Y(n_36289), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666017 (
+	.A(n_17927),
+	.B(n_17821),
+	.Y(n_36300), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666018 (
+	.A(n_17922),
+	.B(n_17833),
+	.Y(n_36305), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666019 (
+	.A(n_17910),
+	.B(n_17819),
+	.Y(n_36298), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666020 (
+	.A(n_17923),
+	.B(n_17871),
+	.Y(n_36327), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g666021 (
+	.A1(n_17734),
+	.A2(n_17744),
+	.B1(n_34894),
+	.Y(n_34893), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666022 (
+	.A(n_17916),
+	.B(n_17839),
+	.Y(n_36311), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g666023 (
+	.A1(n_17682),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_unsign[1]),
+	.B1(n_17600),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_unsign[3]),
+	.C1(n_17809),
+	.Y(n_18009), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666024 (
+	.A(n_17934),
+	.B(n_17829),
+	.Y(n_36296), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111o_1 g666025 (
+	.A1(n_8330),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[10]),
+	.B1(n_17740),
+	.C1(n_17753),
+	.D1(n_17739),
+	.X(n_36025), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g666026 (
+	.A(brqrv_top_brqrv_dec_div_waddr_wb[4]),
+	.B(n_17425),
+	.C(n_17790),
+	.Y(n_18008), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g666028 (
+	.A(brqrv_top_brqrv_dec_div_waddr_wb[4]),
+	.B(brqrv_top_brqrv_dec_div_waddr_wb[0]),
+	.C(n_17790),
+	.Y(n_18006), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111oi_0 g666029 (
+	.A1(n_8330),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[9]),
+	.B1(n_17754),
+	.C1(n_17756),
+	.D1(n_17755),
+	.Y(n_18005), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g666030 (
+	.A1_N(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[92]),
+	.A2_N(n_17787),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[92]),
+	.B2(n_17785),
+	.Y(n_18004), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111o_1 g666032 (
+	.A1(n_8330),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[14]),
+	.B1(n_17752),
+	.C1(n_17747),
+	.D1(n_17758),
+	.X(n_36027), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g666033 (
+	.A1(n_8273),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[47]),
+	.B1(n_8175),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[15]),
+	.C1(n_17691),
+	.Y(n_18001), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666034 (
+	.A(n_17928),
+	.B(n_17843),
+	.Y(n_36303), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666035 (
+	.A(n_17909),
+	.B(n_17820),
+	.Y(n_18000), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666036 (
+	.A(n_17926),
+	.B(n_17841),
+	.Y(n_36319), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111oi_0 g666037 (
+	.A1(n_17456),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[11]),
+	.B1(n_17538),
+	.C1(n_17737),
+	.D1(n_17796),
+	.Y(n_17999), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g666038 (
+	.A1_N(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[93]),
+	.A2_N(n_17787),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[93]),
+	.B2(n_17785),
+	.Y(n_17998), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g666039 (
+	.A1(n_17682),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_sz[3]),
+	.B1(n_17600),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_sz[7]),
+	.C1(n_17810),
+	.Y(n_17997), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g666040 (
+	.A1(n_8273),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[51]),
+	.B1(n_8175),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[19]),
+	.C1(n_17700),
+	.Y(n_17996), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g666042 (
+	.A1_N(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[95]),
+	.A2_N(n_17787),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[95]),
+	.B2(n_17785),
+	.Y(n_17994), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g666043 (
+	.A1_N(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[94]),
+	.A2_N(n_17787),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[94]),
+	.B2(n_17785),
+	.Y(n_17993), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666044 (
+	.A(n_17906),
+	.B(n_17842),
+	.Y(n_36323), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g666045 (
+	.A1(n_17682),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_sz[2]),
+	.B1(n_17600),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_sz[6]),
+	.C1(n_17813),
+	.Y(n_17992), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666046 (
+	.A(n_17872),
+	.B(n_17838),
+	.Y(n_17990), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666047 (
+	.A(n_17812),
+	.B(n_17811),
+	.Y(n_17988), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g666048 (
+	.A1(n_8273),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[59]),
+	.B1(n_8175),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[27]),
+	.C1(n_17695),
+	.Y(n_17987), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666049 (
+	.A(n_17933),
+	.B(n_17851),
+	.Y(n_36334), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g666050 (
+	.A1(n_17777),
+	.A2(brqrv_top_brqrv_dbg_cmd_wrdata[14]),
+	.B1_N(n_17793),
+	.Y(n_17986), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g666051 (
+	.A1(n_17777),
+	.A2(brqrv_top_brqrv_dbg_cmd_wrdata[13]),
+	.B1_N(n_17806),
+	.Y(n_17985), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g666052 (
+	.A1(n_17777),
+	.A2(brqrv_top_brqrv_dbg_cmd_wrdata[10]),
+	.B1_N(n_17798),
+	.Y(n_17984), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g666053 (
+	.A1(n_17777),
+	.A2(brqrv_top_brqrv_dbg_cmd_wrdata[11]),
+	.B1(n_17804),
+	.Y(n_17983), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g666054 (
+	.A1(n_17777),
+	.A2(brqrv_top_brqrv_dbg_cmd_wrdata[12]),
+	.B1_N(n_17805),
+	.Y(n_17982), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g666055 (
+	.A1(n_17676),
+	.A2(brqrv_top_brqrv_dbg_cmd_wrdata[25]),
+	.B1(n_17586),
+	.B2(brqrv_top_brqrv_dbg_cmd_wrdata[9]),
+	.C1(n_17801),
+	.Y(n_17981), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g666056 (
+	.A1(n_17777),
+	.A2(brqrv_top_brqrv_dbg_cmd_wrdata[9]),
+	.B1_N(n_17800),
+	.Y(n_17980), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g666057 (
+	.A1(n_17777),
+	.A2(brqrv_top_brqrv_dbg_cmd_wrdata[8]),
+	.B1_N(n_17794),
+	.Y(n_17979), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g666058 (
+	.A1(n_17676),
+	.A2(brqrv_top_brqrv_dbg_cmd_wrdata[24]),
+	.B1(n_17586),
+	.B2(brqrv_top_brqrv_dbg_cmd_wrdata[8]),
+	.C1(n_17795),
+	.Y(n_17978), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g666059 (
+	.A1(n_17676),
+	.A2(brqrv_top_brqrv_dbg_cmd_wrdata[26]),
+	.B1(n_17586),
+	.B2(brqrv_top_brqrv_dbg_cmd_wrdata[10]),
+	.C1(n_17799),
+	.Y(n_17977), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g666060 (
+	.A1(n_17777),
+	.A2(brqrv_top_brqrv_dbg_cmd_wrdata[15]),
+	.B1_N(n_17802),
+	.Y(n_17976), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g666061 (
+	.A(brqrv_top_brqrv_dccm_dma_rtag[1]),
+	.B(brqrv_top_brqrv_dccm_dma_rtag[0]),
+	.C(n_17746),
+	.X(n_35369), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g666063 (
+	.A(n_17349),
+	.Y(n_17963), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g666070 (
+	.A(n_17951),
+	.Y(brqrv_top_brqrv_dec_dec_nonblock_load_waddr[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g666072 (
+	.A(n_17943),
+	.Y(brqrv_top_brqrv_dec_dec_nonblock_load_waddr[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g666073 (
+	.A(n_17942),
+	.Y(brqrv_top_brqrv_dec_dec_nonblock_load_waddr[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g666074 (
+	.A(n_17941),
+	.Y(brqrv_top_brqrv_dec_dec_nonblock_load_waddr[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g666075 (
+	.A(n_17940),
+	.Y(brqrv_top_brqrv_dec_dec_nonblock_load_waddr[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4b_1 g666078 (
+	.A_N(n_17642),
+	.B(n_35785),
+	.C(n_35781),
+	.D(n_35782),
+	.Y(n_17938), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666079 (
+	.A(n_8233),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[12]),
+	.Y(n_17937), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666080 (
+	.A(n_8233),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[8]),
+	.Y(n_17936), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666081 (
+	.A(n_8233),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[10]),
+	.Y(n_17935), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666082 (
+	.A(n_8175),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[16]),
+	.Y(n_17934), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666084 (
+	.A(n_8175),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[31]),
+	.Y(n_17933), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g666085 (
+	.A1(n_2068),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [80]),
+	.B1(n_1762),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [2]),
+	.C1(n_17719),
+	.X(n_35743), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g666086 (
+	.A1(n_2068),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [81]),
+	.B1(n_1762),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [3]),
+	.C1(n_17692),
+	.X(n_35744), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666087 (
+	.A(n_8175),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[7]),
+	.Y(n_17932), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g666088 (
+	.A1(n_35564),
+	.A2(n_43173),
+	.B1(n_35480),
+	.Y(n_17931), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g666089 (
+	.A1(n_2068),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [89]),
+	.B1(n_1762),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [11]),
+	.C1(n_17714),
+	.X(n_35752), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666090 (
+	.A(n_8233),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[7]),
+	.Y(n_17930), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g666091 (
+	.A1(n_2068),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [88]),
+	.B1(n_1762),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [10]),
+	.C1(n_17693),
+	.X(n_35751), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g666092 (
+	.A1(n_17685),
+	.A2(n_33324),
+	.B1(n_35124),
+	.Y(n_17929), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666094 (
+	.A(n_8175),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[20]),
+	.Y(n_17928), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666096 (
+	.A(n_8175),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[18]),
+	.Y(n_17927), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666097 (
+	.A(n_8175),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[26]),
+	.Y(n_17926), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666098 (
+	.A(n_8233),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[2]),
+	.Y(n_17925), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666099 (
+	.A(n_8233),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[13]),
+	.Y(n_17924), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666100 (
+	.A(n_8175),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[29]),
+	.Y(n_17923), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666101 (
+	.A(n_8175),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[21]),
+	.Y(n_17922), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666103 (
+	.A(n_8175),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[25]),
+	.Y(n_17920), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666104 (
+	.A(n_8233),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[15]),
+	.Y(n_17919), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666106 (
+	.A(n_8233),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[1]),
+	.Y(n_17918), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666107 (
+	.A(n_8233),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[0]),
+	.Y(n_17917), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666108 (
+	.A(n_8175),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[24]),
+	.Y(n_17916), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666109 (
+	.A(n_353928_BAR),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [11]),
+	.Y(n_17915), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g666112 (
+	.A_N(n_17786),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[91]),
+	.Y(n_17913), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666113 (
+	.A(n_8175),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[10]),
+	.Y(n_17912), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666114 (
+	.A(n_8233),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[4]),
+	.Y(n_17911), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g666115 (
+	.A(n_34827),
+	.B(n_17782),
+	.X(brqrv_top_brqrv_dec_arf_n_3920), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666116 (
+	.A(n_17346),
+	.B(n_17589),
+	.Y(brqrv_top_brqrv_dec_arf_n_3596), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666117 (
+	.A(n_8175),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[17]),
+	.Y(n_17910), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g666118 (
+	.A(n_34827),
+	.B(n_17783),
+	.X(brqrv_top_brqrv_dec_arf_n_2624), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666119 (
+	.A(n_8175),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[23]),
+	.Y(n_17909), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666120 (
+	.A(n_17789),
+	.B(n_17679),
+	.Y(brqrv_top_brqrv_dec_arf_n_2138), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666121 (
+	.A(n_8233),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[5]),
+	.Y(n_17908), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666124 (
+	.A(n_8175),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[28]),
+	.Y(n_17906), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666126 (
+	.A(n_8233),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[9]),
+	.Y(n_17905), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g666131 (
+	.A(brqrv_top_brqrv_dec_div_waddr_wb[4]),
+	.B_N(n_17803),
+	.Y(n_17964), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g666134 (
+	.A_N(n_17790),
+	.B(brqrv_top_brqrv_dec_div_waddr_wb[4]),
+	.Y(n_17962), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666135 (
+	.A(n_17797),
+	.B(brqrv_top_brqrv_iccm_dma_rtag[0]),
+	.Y(n_17961), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666136 (
+	.A(n_17803),
+	.B(brqrv_top_brqrv_dec_div_waddr_wb[4]),
+	.Y(n_17960), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g666138 (
+	.A(n_17548),
+	.B(n_17647),
+	.C(n_17648),
+	.D(n_17649),
+	.Y(n_35739), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g666139 (
+	.A1(n_270074_BAR),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [64]),
+	.B1(n_270071_BAR),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [142]),
+	.C1(n_17718),
+	.X(n_34386), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g666140 (
+	.A(brqrv_top_brqrv_iccm_dma_rtag[0]),
+	.B(n_17791),
+	.Y(n_17959), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g666141 (
+	.A(brqrv_top_brqrv_dccm_dma_rtag[1]),
+	.B(n_17792),
+	.Y(n_17958), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g666142 (
+	.A(n_17542),
+	.B(n_17664),
+	.C(n_17618),
+	.D(n_17630),
+	.Y(n_35741), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g666143 (
+	.A(n_17650),
+	.B(n_17668),
+	.C(n_17627),
+	.D(n_17652),
+	.Y(n_35740), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g666144 (
+	.A1(n_270074_BAR),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [69]),
+	.B1(n_270071_BAR),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [147]),
+	.C1(n_17731),
+	.X(n_34391), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g666145 (
+	.A1(brqrv_top_brqrv_dec_decode_nonblock_load_valid_m_delay),
+	.A2(brqrv_top_brqrv_dec_decode_r_d[3]),
+	.B1(brqrv_top_brqrv_dec_decode_r_d[23]),
+	.C1(n_33865),
+	.Y(n_17957), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g666146 (
+	.A1(n_270074_BAR),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [68]),
+	.B1(n_270071_BAR),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [146]),
+	.C1(n_17724),
+	.X(n_34390), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g666147 (
+	.A(n_17575),
+	.B(n_17576),
+	.C(n_17578),
+	.D(n_17570),
+	.Y(n_35754), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g666148 (
+	.A(n_17617),
+	.B(n_17659),
+	.C(n_17572),
+	.D(n_17580),
+	.Y(n_35746), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g666149 (
+	.A1(n_270074_BAR),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [67]),
+	.B1(n_270071_BAR),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [145]),
+	.C1(n_17723),
+	.X(n_34389), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g666150 (
+	.A(n_17661),
+	.B(n_17638),
+	.C(n_17624),
+	.D(n_17670),
+	.Y(n_35745), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g666151 (
+	.A1(n_270074_BAR),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [66]),
+	.B1(n_270071_BAR),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [144]),
+	.C1(n_17721),
+	.X(n_34388), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g666152 (
+	.A(n_17583),
+	.B(n_17656),
+	.C(n_17639),
+	.D(n_17619),
+	.Y(n_35742), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g666153 (
+	.A1(n_270074_BAR),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [65]),
+	.B1(n_270071_BAR),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [143]),
+	.C1(n_17720),
+	.X(n_34387), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g666154 (
+	.A(n_17629),
+	.B(n_17673),
+	.C(n_17632),
+	.D(n_17622),
+	.Y(n_35747), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g666155 (
+	.A(n_17666),
+	.B(n_17633),
+	.C(n_17577),
+	.D(n_17623),
+	.Y(n_35755), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g666156 (
+	.A(n_17651),
+	.B(n_17634),
+	.C(n_17635),
+	.D(n_17672),
+	.Y(n_35734), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g666157 (
+	.A(n_43168),
+	.B(n_43169),
+	.Y(n_17956), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g666158 (
+	.A1(n_270074_BAR),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [63]),
+	.B1(n_270071_BAR),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [141]),
+	.C1(n_17716),
+	.X(n_34385), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g666159 (
+	.A(n_17712),
+	.B(n_17711),
+	.Y(n_17955), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g666160 (
+	.A(n_17710),
+	.B(n_43172),
+	.Y(n_17954), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g666161 (
+	.A(n_43171),
+	.B(n_43170),
+	.Y(n_17953), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666162 (
+	.A(n_17779),
+	.B(brqrv_top_brqrv_dbg_cmd_wrdata[1]),
+	.Y(n_17952), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g666163 (
+	.A1(n_17506),
+	.A2(brqrv_top_brqrv_dec_decode_cam_raw[23]),
+	.B1(n_17426),
+	.B2(brqrv_top_brqrv_dec_decode_cam_raw[33]),
+	.C1(n_17726),
+	.Y(n_17951), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666164 (
+	.A(n_17779),
+	.B(brqrv_top_brqrv_dbg_cmd_wrdata[0]),
+	.Y(n_17950), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666165 (
+	.A(n_17779),
+	.B(brqrv_top_brqrv_dbg_cmd_wrdata[6]),
+	.Y(n_17949), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666166 (
+	.A(n_17779),
+	.B(brqrv_top_brqrv_dbg_cmd_wrdata[5]),
+	.Y(n_17948), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666167 (
+	.A(n_17779),
+	.B(brqrv_top_brqrv_dbg_cmd_wrdata[4]),
+	.Y(n_17947), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666168 (
+	.A(n_17779),
+	.B(brqrv_top_brqrv_dbg_cmd_wrdata[3]),
+	.Y(n_17946), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666169 (
+	.A(n_17779),
+	.B(brqrv_top_brqrv_dbg_cmd_wrdata[2]),
+	.Y(n_17945), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g666170 (
+	.A(n_17779),
+	.B(brqrv_top_brqrv_dbg_cmd_wrdata[7]),
+	.X(n_17944), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g666171 (
+	.A1(n_17428),
+	.A2(brqrv_top_brqrv_dec_decode_cam_raw[10]),
+	.B1(n_17506),
+	.B2(brqrv_top_brqrv_dec_decode_cam_raw[20]),
+	.C1(n_17701),
+	.Y(n_17943), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g666172 (
+	.A1(n_17428),
+	.A2(brqrv_top_brqrv_dec_decode_cam_raw[11]),
+	.B1(n_17506),
+	.B2(brqrv_top_brqrv_dec_decode_cam_raw[21]),
+	.C1(n_17690),
+	.Y(n_17942), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g666173 (
+	.A1(n_17428),
+	.A2(brqrv_top_brqrv_dec_decode_cam_raw[12]),
+	.B1(n_17506),
+	.B2(brqrv_top_brqrv_dec_decode_cam_raw[22]),
+	.C1(n_17732),
+	.Y(n_17941), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g666174 (
+	.A1(n_17506),
+	.A2(brqrv_top_brqrv_dec_decode_cam_raw[24]),
+	.B1(n_17433),
+	.B2(brqrv_top_brqrv_dec_decode_cam_raw[4]),
+	.C1(n_17698),
+	.Y(n_17940), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666175 (
+	.A(n_17745),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_valid_ff ),
+	.Y(n_35373), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g666177 (
+	.A(n_17870),
+	.Y(n_17904), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g666178 (
+	.A(n_17868),
+	.Y(n_17903), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g666179 (
+	.A(n_17867),
+	.Y(n_17902), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g666180 (
+	.A(n_17865),
+	.Y(n_17901), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g666181 (
+	.A(n_17864),
+	.Y(n_17900), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g666182 (
+	.A(n_17848),
+	.Y(n_17899), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g666183 (
+	.A(n_17840),
+	.Y(n_17898), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g666187 (
+	.A(n_17897),
+	.Y(n_35996), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g666188 (
+	.A(n_17896),
+	.Y(n_36051), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g666189 (
+	.A(n_17808),
+	.Y(brqrv_top_brqrv_lsu_bus_read_data_m[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g666190 (
+	.A(n_17895),
+	.Y(n_36022), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g666191 (
+	.A(n_17894),
+	.Y(n_36005), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g666192 (
+	.A(n_17893),
+	.Y(n_36004), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g666193 (
+	.A(n_17892),
+	.Y(n_36000), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g666194 (
+	.A(n_17891),
+	.Y(n_35999), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g666195 (
+	.A(n_17890),
+	.Y(n_36041), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g666196 (
+	.A(n_17807),
+	.Y(n_36001), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g666197 (
+	.A(n_17888),
+	.Y(n_17889), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g666201 (
+	.A(n_17878),
+	.Y(n_17879), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g666203 (
+	.A(n_36354),
+	.Y(n_17876), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g666204 (
+	.A(n_36353),
+	.Y(n_17875), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g666207 (
+	.A1(n_17676),
+	.A2(brqrv_top_brqrv_dbg_cmd_wrdata[31]),
+	.B1(n_17586),
+	.B2(brqrv_top_brqrv_dbg_cmd_wrdata[15]),
+	.Y(n_17873), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g666208 (
+	.A1(n_17683),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[0]),
+	.B1(n_17682),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[32]),
+	.Y(n_17872), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g666209 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[93]),
+	.A2(n_8176),
+	.B1(n_8275),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[125]),
+	.C1(n_8273),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[61]),
+	.Y(n_17871), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g666210 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_valid[2]),
+	.A2(n_1669),
+	.B1(n_1671),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_valid[1]),
+	.C1(n_1677),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_valid[0]),
+	.Y(n_17870), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g666211 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_done[2]),
+	.A2(n_1669),
+	.B1(n_1671),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_done[1]),
+	.C1(n_29552),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_done[3]),
+	.Y(n_17869), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g666212 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_sz[7]),
+	.A2(n_1669),
+	.B1(n_1671),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_sz[4]),
+	.C1(n_29552),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_sz[10]),
+	.Y(n_17868), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g666213 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_sz[6]),
+	.A2(n_1669),
+	.B1(n_1671),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_sz[3]),
+	.C1(n_1677),
+	.C2(brqrv_top_brqrv_dma_ctrl_n_742),
+	.Y(n_17867), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g666214 (
+	.A1(n_17403),
+	.A2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r[22]),
+	.B1(brqrv_top_brqrv_lsu_fir_addr[22]),
+	.B2(n_17477),
+	.C1(brqrv_top_brqrv_dec_i0_result_r[22]),
+	.C2(n_33324),
+	.Y(n_17866), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g666215 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_addr[65]),
+	.A2(n_1669),
+	.B1(n_1671),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[33]),
+	.C1(n_29552),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_addr[97]),
+	.Y(n_17865), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g666216 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_sz[8]),
+	.A2(n_1669),
+	.B1(n_1671),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_sz[5]),
+	.C1(n_1677),
+	.C2(brqrv_top_brqrv_dma_ctrl_n_752),
+	.Y(n_17864), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g666217 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_addr[64]),
+	.A2(n_1669),
+	.B1(n_1671),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[32]),
+	.C1(n_29552),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_addr[96]),
+	.Y(n_17863), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g666218 (
+	.A1(brqrv_top_brqrv_lsu_store_data_lo_r[8]),
+	.A2(n_17499),
+	.B1(n_17498),
+	.B2(brqrv_top_brqrv_lsu_store_data_hi_r[8]),
+	.C1(n_17508),
+	.C2(n_36216),
+	.Y(n_17862), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g666219 (
+	.A1(n_17403),
+	.A2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r[25]),
+	.B1(brqrv_top_brqrv_lsu_fir_addr[25]),
+	.B2(n_17477),
+	.C1(brqrv_top_brqrv_dec_i0_result_r[25]),
+	.C2(n_33324),
+	.Y(n_17861), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g666220 (
+	.A1(n_36112),
+	.A2(n_8309),
+	.B1(n_8311),
+	.B2(n_36092),
+	.C1(n_42964),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[1]),
+	.Y(n_17860), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g666221 (
+	.A1(brqrv_top_brqrv_lsu_store_data_lo_r[5]),
+	.A2(n_17411),
+	.B1(n_17492),
+	.B2(brqrv_top_brqrv_lsu_store_data_hi_r[5]),
+	.C1(n_17490),
+	.C2(n_36213),
+	.Y(n_17859), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g666222 (
+	.A1(brqrv_top_brqrv_lsu_store_data_lo_r[23]),
+	.A2(n_17493),
+	.B1(n_17421),
+	.B2(brqrv_top_brqrv_lsu_store_data_hi_r[23]),
+	.C1(n_42944),
+	.C2(n_36231),
+	.Y(n_17858), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g666223 (
+	.A1(brqrv_top_brqrv_lsu_store_data_lo_r[21]),
+	.A2(n_17493),
+	.B1(n_17421),
+	.B2(brqrv_top_brqrv_lsu_store_data_hi_r[21]),
+	.C1(n_42944),
+	.C2(n_36229),
+	.Y(n_17857), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g666224 (
+	.A1(brqrv_top_brqrv_lsu_store_data_lo_r[20]),
+	.A2(n_17493),
+	.B1(n_17421),
+	.B2(brqrv_top_brqrv_lsu_store_data_hi_r[20]),
+	.C1(n_42944),
+	.C2(n_36228),
+	.Y(n_17856), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g666225 (
+	.A1(brqrv_top_brqrv_lsu_store_data_lo_r[19]),
+	.A2(n_17493),
+	.B1(n_17421),
+	.B2(brqrv_top_brqrv_lsu_store_data_hi_r[19]),
+	.C1(n_42944),
+	.C2(n_36227),
+	.Y(n_17855), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g666226 (
+	.A1(brqrv_top_brqrv_lsu_store_data_lo_r[18]),
+	.A2(n_17493),
+	.B1(n_17421),
+	.B2(brqrv_top_brqrv_lsu_store_data_hi_r[18]),
+	.C1(n_42944),
+	.C2(n_36226),
+	.Y(n_17854), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g666227 (
+	.A1(brqrv_top_brqrv_lsu_store_data_lo_r[17]),
+	.A2(n_17493),
+	.B1(n_17421),
+	.B2(brqrv_top_brqrv_lsu_store_data_hi_r[17]),
+	.C1(n_42944),
+	.C2(n_36225),
+	.Y(n_17853), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g666228 (
+	.A1(brqrv_top_brqrv_lsu_store_data_lo_r[11]),
+	.A2(n_17499),
+	.B1(n_17498),
+	.B2(brqrv_top_brqrv_lsu_store_data_hi_r[11]),
+	.C1(n_17508),
+	.C2(n_36219),
+	.Y(n_17852), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g666229 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[95]),
+	.A2(n_8176),
+	.B1(n_8275),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[127]),
+	.C1(n_8273),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[63]),
+	.Y(n_17851), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g666230 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[71]),
+	.A2(n_8176),
+	.B1(n_8273),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[39]),
+	.C1(n_8275),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[103]),
+	.Y(n_17850), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g666231 (
+	.A1(brqrv_top_brqrv_lsu_store_data_lo_r[4]),
+	.A2(n_17411),
+	.B1(n_17492),
+	.B2(brqrv_top_brqrv_lsu_store_data_hi_r[4]),
+	.C1(n_17490),
+	.C2(n_36212),
+	.Y(n_17849), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g666232 (
+	.A1(brqrv_top_brqrv_lsu_store_data_lo_r[3]),
+	.A2(n_17411),
+	.B1(n_17492),
+	.B2(brqrv_top_brqrv_lsu_store_data_hi_r[3]),
+	.C1(n_36211),
+	.C2(n_17490),
+	.Y(n_17848), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g666233 (
+	.A1(brqrv_top_brqrv_lsu_store_data_lo_r[1]),
+	.A2(n_17411),
+	.B1(n_17492),
+	.B2(brqrv_top_brqrv_lsu_store_data_hi_r[1]),
+	.C1(n_42935),
+	.C2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[97]),
+	.Y(n_17847), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g666234 (
+	.A1(n_17403),
+	.A2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r[23]),
+	.B1(brqrv_top_brqrv_lsu_fir_addr[23]),
+	.B2(n_17477),
+	.C1(brqrv_top_brqrv_dec_i0_result_r[23]),
+	.C2(n_33324),
+	.Y(n_17846), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g666235 (
+	.A1(brqrv_top_brqrv_lsu_store_data_lo_r[0]),
+	.A2(n_17411),
+	.B1(n_17492),
+	.B2(brqrv_top_brqrv_lsu_store_data_hi_r[0]),
+	.C1(n_42935),
+	.C2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[96]),
+	.Y(n_17845), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g666236 (
+	.A1(n_8176),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[89]),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[121]),
+	.B2(n_8275),
+	.C1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[57]),
+	.C2(n_8273),
+	.Y(n_17844), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g666237 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[84]),
+	.A2(n_8176),
+	.B1(n_8275),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[116]),
+	.C1(n_8273),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[52]),
+	.Y(n_17843), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g666238 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[92]),
+	.A2(n_8176),
+	.B1(n_8275),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[124]),
+	.C1(n_8273),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[60]),
+	.Y(n_17842), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g666239 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[90]),
+	.A2(n_8176),
+	.B1(n_8275),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[122]),
+	.C1(n_8273),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[58]),
+	.Y(n_17841), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g666240 (
+	.A1(brqrv_top_brqrv_lsu_store_data_lo_r[2]),
+	.A2(n_17411),
+	.B1(n_17492),
+	.B2(brqrv_top_brqrv_lsu_store_data_hi_r[2]),
+	.C1(n_36210),
+	.C2(n_17490),
+	.Y(n_17840), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g666241 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[88]),
+	.A2(n_8176),
+	.B1(n_8275),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[120]),
+	.C1(n_8273),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[56]),
+	.Y(n_17839), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g666242 (
+	.A1(n_17680),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[64]),
+	.B1(n_17600),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[96]),
+	.Y(n_17838), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g666243 (
+	.A1(brqrv_top_brqrv_lsu_store_data_lo_r[6]),
+	.A2(n_17411),
+	.B1(n_17492),
+	.B2(brqrv_top_brqrv_lsu_store_data_hi_r[6]),
+	.C1(n_17490),
+	.C2(n_36214),
+	.Y(n_17837), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g666244 (
+	.A1(n_36131),
+	.A2(n_8309),
+	.B1(n_8311),
+	.B2(n_36100),
+	.C1(n_42964),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[5]),
+	.Y(n_17836), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g666245 (
+	.A1(n_17403),
+	.A2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r[20]),
+	.B1(brqrv_top_brqrv_lsu_fir_addr[20]),
+	.B2(n_17477),
+	.C1(brqrv_top_brqrv_dec_i0_result_r[20]),
+	.C2(n_33324),
+	.Y(n_17835), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g666246 (
+	.A1(brqrv_top_brqrv_lsu_store_data_lo_r[14]),
+	.A2(n_17499),
+	.B1(n_17498),
+	.B2(brqrv_top_brqrv_lsu_store_data_hi_r[14]),
+	.C1(n_17508),
+	.C2(n_36222),
+	.Y(n_17834), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g666247 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[85]),
+	.A2(n_8176),
+	.B1(n_8275),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[117]),
+	.C1(n_8273),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[53]),
+	.Y(n_17833), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g666248 (
+	.A1(n_17403),
+	.A2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r[21]),
+	.B1(brqrv_top_brqrv_lsu_fir_addr[21]),
+	.B2(n_17477),
+	.C1(brqrv_top_brqrv_dec_i0_result_r[21]),
+	.C2(n_33324),
+	.Y(n_17832), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g666249 (
+	.A1(brqrv_top_brqrv_lsu_stbuf_stbuf_data[64]),
+	.A2(n_42942),
+	.B1(n_42941),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[32]),
+	.C1(n_42936),
+	.C2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[0]),
+	.Y(n_17831), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g666250 (
+	.A1(n_36126),
+	.A2(n_8309),
+	.B1(n_8311),
+	.B2(n_36098),
+	.C1(n_42964),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[4]),
+	.Y(n_17830), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g666251 (
+	.A1(n_8176),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[80]),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[112]),
+	.B2(n_8275),
+	.C1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[48]),
+	.C2(n_8273),
+	.Y(n_17829), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g666252 (
+	.A1(brqrv_top_brqrv_lsu_store_data_lo_r[10]),
+	.A2(n_17499),
+	.B1(n_17498),
+	.B2(brqrv_top_brqrv_lsu_store_data_hi_r[10]),
+	.C1(n_17508),
+	.C2(n_36218),
+	.Y(n_17828), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g666253 (
+	.A1(n_17403),
+	.A2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r[26]),
+	.B1(brqrv_top_brqrv_lsu_fir_addr[26]),
+	.B2(n_17477),
+	.C1(brqrv_top_brqrv_dec_i0_result_r[26]),
+	.C2(n_33324),
+	.Y(n_17827), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g666254 (
+	.A1(n_17403),
+	.A2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r[24]),
+	.B1(brqrv_top_brqrv_lsu_fir_addr[24]),
+	.B2(n_17477),
+	.C1(brqrv_top_brqrv_dec_i0_result_r[24]),
+	.C2(n_33324),
+	.Y(n_17826), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g666255 (
+	.A1(n_17403),
+	.A2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r[27]),
+	.B1(brqrv_top_brqrv_lsu_fir_addr[27]),
+	.B2(n_17477),
+	.C1(brqrv_top_brqrv_dec_i0_result_r[27]),
+	.C2(n_33324),
+	.Y(n_17825), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g666256 (
+	.A1(n_36144),
+	.A2(n_8182),
+	.B1(n_8277),
+	.B2(n_36071),
+	.C1(n_42973),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[23]),
+	.Y(n_17824), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g666257 (
+	.A1(brqrv_top_brqrv_lsu_store_data_lo_r[13]),
+	.A2(n_17499),
+	.B1(n_17498),
+	.B2(brqrv_top_brqrv_lsu_store_data_hi_r[13]),
+	.C1(n_17508),
+	.C2(n_36221),
+	.Y(n_17823), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g666258 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[74]),
+	.A2(n_8176),
+	.B1(n_8275),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[106]),
+	.C1(n_8273),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[42]),
+	.Y(n_17822), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g666259 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[82]),
+	.A2(n_8176),
+	.B1(n_8275),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[114]),
+	.C1(n_8273),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[50]),
+	.Y(n_17821), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g666260 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[87]),
+	.A2(n_8176),
+	.B1(n_8275),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[119]),
+	.C1(n_8273),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[55]),
+	.Y(n_17820), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g666261 (
+	.A1(n_8176),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[81]),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[113]),
+	.B2(n_8275),
+	.C1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[49]),
+	.C2(n_8273),
+	.Y(n_17819), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g666262 (
+	.A1(brqrv_top_brqrv_lsu_store_data_lo_r[9]),
+	.A2(n_17499),
+	.B1(n_17498),
+	.B2(brqrv_top_brqrv_lsu_store_data_hi_r[9]),
+	.C1(n_17508),
+	.C2(n_36217),
+	.Y(n_17818), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g666263 (
+	.A1(brqrv_top_brqrv_lsu_store_data_lo_r[22]),
+	.A2(n_17493),
+	.B1(n_17421),
+	.B2(brqrv_top_brqrv_lsu_store_data_hi_r[22]),
+	.C1(n_42944),
+	.C2(n_36230),
+	.Y(n_17817), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g666265 (
+	.A1(n_17676),
+	.A2(brqrv_top_brqrv_dbg_cmd_wrdata[30]),
+	.B1(n_17586),
+	.B2(brqrv_top_brqrv_dbg_cmd_wrdata[14]),
+	.Y(n_17816), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g666266 (
+	.A1(n_17676),
+	.A2(brqrv_top_brqrv_dbg_cmd_wrdata[29]),
+	.B1(n_17586),
+	.B2(brqrv_top_brqrv_dbg_cmd_wrdata[13]),
+	.Y(n_17815), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g666267 (
+	.A1(n_17676),
+	.A2(brqrv_top_brqrv_dbg_cmd_wrdata[28]),
+	.B1(n_17586),
+	.B2(brqrv_top_brqrv_dbg_cmd_wrdata[12]),
+	.Y(n_17814), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g666269 (
+	.A1(n_17683),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_sz[0]),
+	.B1(n_17680),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_sz[4]),
+	.X(n_17813), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g666270 (
+	.A1(n_17683),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[1]),
+	.B1(n_17680),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[65]),
+	.Y(n_17812), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g666271 (
+	.A1(n_17682),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[33]),
+	.B1(n_17600),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[97]),
+	.Y(n_17811), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g666272 (
+	.A1(n_17683),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_sz[1]),
+	.B1(n_17680),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_sz[5]),
+	.X(n_17810), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g666274 (
+	.A1(n_17683),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_unsign[0]),
+	.B1(n_17680),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_unsign[2]),
+	.X(n_17809), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g666275 (
+	.A1(n_42968),
+	.A2(n_38020),
+	.B1(n_8147),
+	.B2(brqrv_top_brqrv_exu_alu_result_x[5]),
+	.C1(n_37989),
+	.C2(n_8248),
+	.Y(n_17897), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g666276 (
+	.A1(n_34888),
+	.A2(n_17533),
+	.B1(n_34049),
+	.B2(n_17527),
+	.C1(n_17620),
+	.Y(n_36044), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g666277 (
+	.A1(n_8242),
+	.A2(n_36148),
+	.B1(n_8236),
+	.B2(n_36130),
+	.C1(n_36052),
+	.C2(n_8238),
+	.Y(n_17896), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g666278 (
+	.A1(n_17435),
+	.A2(n_36024),
+	.B1(n_36029),
+	.B2(n_8188),
+	.C1(n_35705),
+	.C2(n_8168),
+	.Y(n_17808), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g666279 (
+	.A1(n_42968),
+	.A2(n_38046),
+	.B1(n_8147),
+	.B2(brqrv_top_brqrv_exu_alu_result_x[31]),
+	.C1(n_38047),
+	.C2(n_8248),
+	.Y(n_17895), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g666280 (
+	.A1(n_34888),
+	.A2(n_17461),
+	.B1(n_17464),
+	.B2(n_34044),
+	.C1(n_17637),
+	.Y(n_36054), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g666281 (
+	.A(n_17702),
+	.B(n_17621),
+	.C(n_35113),
+	.Y(n_36355), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g666282 (
+	.A1(n_42968),
+	.A2(n_38029),
+	.B1(n_8147),
+	.B2(brqrv_top_brqrv_exu_alu_result_x[14]),
+	.C1(n_37998),
+	.C2(n_8248),
+	.Y(n_17894), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g666283 (
+	.A1(n_42968),
+	.A2(n_38028),
+	.B1(n_8147),
+	.B2(brqrv_top_brqrv_exu_alu_result_x[13]),
+	.C1(n_37997),
+	.C2(n_8248),
+	.Y(n_17893), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g666284 (
+	.A1(n_42968),
+	.A2(n_38024),
+	.B1(n_8147),
+	.B2(brqrv_top_brqrv_exu_alu_result_x[9]),
+	.C1(n_37993),
+	.C2(n_8248),
+	.Y(n_17892), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g666285 (
+	.A1(n_42968),
+	.A2(n_42985),
+	.B1(n_8147),
+	.B2(brqrv_top_brqrv_exu_alu_result_x[8]),
+	.C1(n_37992),
+	.C2(n_8248),
+	.Y(n_17891), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g666286 (
+	.A1(n_270074_BAR),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [70]),
+	.B1(n_270071_BAR),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [148]),
+	.C1(n_17733),
+	.X(n_35308), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g666287 (
+	.A1(n_8242),
+	.A2(n_36145),
+	.B1(n_8236),
+	.B2(n_36111),
+	.C1(n_36042),
+	.C2(n_8238),
+	.Y(n_17890), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g666288 (
+	.A(n_17549),
+	.B(n_17547),
+	.C(n_17669),
+	.D(n_17551),
+	.Y(n_35750), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g666289 (
+	.A(n_17550),
+	.B(n_17663),
+	.C(n_17568),
+	.D(n_17537),
+	.Y(n_35749), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g666290 (
+	.A1(n_42968),
+	.A2(n_42984),
+	.B1(n_8147),
+	.B2(brqrv_top_brqrv_exu_alu_result_x[10]),
+	.C1(n_37994),
+	.C2(n_8248),
+	.Y(n_17807), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4bb_1 g666291 (
+	.A(brqrv_top_brqrv_iccm_dma_rtag[1]),
+	.B(brqrv_top_brqrv_iccm_dma_rtag[0]),
+	.C_N(brqrv_top_brqrv_iccm_dma_rtag[2]),
+	.D_N(brqrv_top_brqrv_iccm_dma_rvalid),
+	.Y(n_17888), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3b_1 g666292 (
+	.A_N(brqrv_top_brqrv_lsu_lsu_pkt_r[9]),
+	.B(n_33301),
+	.C(n_17685),
+	.X(n_17887), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g666293 (
+	.A1(n_17676),
+	.A2(brqrv_top_brqrv_dbg_cmd_wrdata[18]),
+	.B1(n_17475),
+	.B2(brqrv_top_brqrv_dbg_cmd_wrdata[2]),
+	.Y(n_17886), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g666294 (
+	.A1(n_17676),
+	.A2(brqrv_top_brqrv_dbg_cmd_wrdata[20]),
+	.B1(n_17475),
+	.B2(brqrv_top_brqrv_dbg_cmd_wrdata[4]),
+	.Y(n_17885), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g666295 (
+	.A1(n_17676),
+	.A2(brqrv_top_brqrv_dbg_cmd_wrdata[17]),
+	.B1(n_17475),
+	.B2(brqrv_top_brqrv_dbg_cmd_wrdata[1]),
+	.Y(n_17884), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g666296 (
+	.A1(n_17676),
+	.A2(brqrv_top_brqrv_dbg_cmd_wrdata[21]),
+	.B1(n_17475),
+	.B2(brqrv_top_brqrv_dbg_cmd_wrdata[5]),
+	.Y(n_17883), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g666297 (
+	.A1(n_17676),
+	.A2(brqrv_top_brqrv_dbg_cmd_wrdata[23]),
+	.B1(n_17475),
+	.B2(brqrv_top_brqrv_dbg_cmd_wrdata[7]),
+	.Y(n_17882), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g666298 (
+	.A1(n_36156),
+	.A2(n_41918),
+	.B1(n_36205),
+	.B2(FE_DBTN6_n_41918),
+	.Y(n_17881), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g666299 (
+	.A1(n_17676),
+	.A2(brqrv_top_brqrv_dbg_cmd_wrdata[19]),
+	.B1(n_17475),
+	.B2(brqrv_top_brqrv_dbg_cmd_wrdata[3]),
+	.Y(n_17880), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g666300 (
+	.A1(n_17676),
+	.A2(brqrv_top_brqrv_dbg_cmd_wrdata[16]),
+	.B1(n_17475),
+	.B2(brqrv_top_brqrv_dbg_cmd_wrdata[0]),
+	.Y(n_17878), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g666301 (
+	.A1(n_17676),
+	.A2(brqrv_top_brqrv_dbg_cmd_wrdata[22]),
+	.B1(n_17475),
+	.B2(brqrv_top_brqrv_dbg_cmd_wrdata[6]),
+	.Y(n_17877), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g666302 (
+	.A1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r[17]),
+	.A2(n_17403),
+	.B1(brqrv_top_brqrv_dec_i0_result_r[17]),
+	.B2(n_33324),
+	.C1(n_17749),
+	.X(n_36354), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g666303 (
+	.A1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r[16]),
+	.A2(n_17403),
+	.B1(brqrv_top_brqrv_dec_i0_result_r[16]),
+	.B2(n_33324),
+	.C1(n_17748),
+	.X(n_36353), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g666306 (
+	.A(n_17800),
+	.Y(n_17801), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g666307 (
+	.A(n_17798),
+	.Y(n_17799), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g666309 (
+	.A(n_17794),
+	.Y(n_17795), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g666316 (
+	.A(n_17774),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_5853), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g666317 (
+	.A(n_17773),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_6153), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666319 (
+	.A(n_42915),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [2]),
+	.Y(n_17771), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666323 (
+	.A(n_42913),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [42]),
+	.Y(n_17769), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666324 (
+	.A(n_42914),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [120]),
+	.Y(n_17768), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666328 (
+	.A(n_17607),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [88]),
+	.Y(n_17765), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666329 (
+	.A(n_17606),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [11]),
+	.Y(n_17764), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666333 (
+	.A(n_17606),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [10]),
+	.Y(n_17760), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g666335 (
+	.A(n_17590),
+	.B(n_35549),
+	.X(brqrv_top_brqrv_dec_arf_n_10558), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666336 (
+	.A(n_33994),
+	.B(n_8229),
+	.Y(n_34065), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666337 (
+	.A(n_42912),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [81]),
+	.Y(n_17759), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666338 (
+	.A(n_33994),
+	.B(brqrv_top_brqrv_lsu_addr_external_m),
+	.Y(n_34064), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g666339 (
+	.A1(n_8325),
+	.A2(n_34008),
+	.B1(n_17467),
+	.B2(n_34014),
+	.Y(n_17758), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g666340 (
+	.A1(n_17516),
+	.A2(n_34014),
+	.B1(n_17515),
+	.B2(n_34015),
+	.Y(n_17757), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g666341 (
+	.A1(n_17452),
+	.A2(n_34015),
+	.B1(n_17536),
+	.B2(n_34069),
+	.Y(n_17756), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g666342 (
+	.A1(n_17521),
+	.A2(n_34008),
+	.B1(n_17455),
+	.B2(n_34014),
+	.Y(n_17755), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g666343 (
+	.A1(n_17534),
+	.A2(n_34009),
+	.B1(n_17466),
+	.B2(n_34075),
+	.Y(n_17754), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g666350 (
+	.A1(n_17514),
+	.A2(n_34015),
+	.B1(n_1606),
+	.B2(n_34069),
+	.Y(n_17753), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666352 (
+	.A(n_17344),
+	.B(n_17679),
+	.Y(brqrv_top_brqrv_dec_arf_n_5378), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g666353 (
+	.A1(n_1901),
+	.A2(n_34009),
+	.B1(n_17459),
+	.B2(n_34075),
+	.Y(n_17752), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g666354 (
+	.A1(n_17454),
+	.A2(n_34006),
+	.B1(n_17448),
+	.B2(n_34001),
+	.Y(n_17751), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g666355 (
+	.A1(n_17450),
+	.A2(n_34008),
+	.B1(n_1650),
+	.B2(n_34069),
+	.Y(n_17750), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g666356 (
+	.A1(brqrv_top_brqrv_lsu_fir_addr[17]),
+	.A2(n_17477),
+	.B1(n_17476),
+	.X(n_17749), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g666357 (
+	.A1(brqrv_top_brqrv_lsu_fir_addr[16]),
+	.A2(n_17477),
+	.B1(n_17476),
+	.X(n_17748), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g666358 (
+	.A1(n_8321),
+	.A2(n_34015),
+	.B1(n_1925),
+	.B2(n_34069),
+	.Y(n_17747), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g666359 (
+	.A(brqrv_top_brqrv_lsu_dccm_ctl_n_1137),
+	.B(brqrv_top_brqrv_lsu_lsu_pkt_m[4]),
+	.C(brqrv_top_brqrv_dccm_dma_rtag[2]),
+	.Y(n_17746), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666360 (
+	.A(n_17677),
+	.B(brqrv_top_brqrv_dbg_cmd_wrdata[5]),
+	.Y(n_17806), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666361 (
+	.A(n_17677),
+	.B(brqrv_top_brqrv_dbg_cmd_wrdata[4]),
+	.Y(n_17805), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g666362 (
+	.A(n_33914),
+	.B_N(brqrv_top_brqrv_dbg_cmd_wrdata[3]),
+	.Y(n_17804), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g666363 (
+	.A(brqrv_top_brqrv_dec_div_waddr_wb[3]),
+	.B(n_34512),
+	.Y(n_17803), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666364 (
+	.A(n_17677),
+	.B(brqrv_top_brqrv_dbg_cmd_wrdata[7]),
+	.Y(n_17802), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666365 (
+	.A(n_17677),
+	.B(brqrv_top_brqrv_dbg_cmd_wrdata[1]),
+	.Y(n_17800), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666366 (
+	.A(n_17677),
+	.B(brqrv_top_brqrv_dbg_cmd_wrdata[2]),
+	.Y(n_17798), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g666367 (
+	.A(brqrv_top_brqrv_iccm_dma_rtag[1]),
+	.B(brqrv_top_brqrv_iccm_dma_rtag[2]),
+	.C_N(brqrv_top_brqrv_iccm_dma_rvalid),
+	.Y(n_17797), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666368 (
+	.A(n_17605),
+	.B(n_17604),
+	.Y(n_17796), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666369 (
+	.A(n_17677),
+	.B(brqrv_top_brqrv_dbg_cmd_wrdata[0]),
+	.Y(n_17794), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666370 (
+	.A(n_17677),
+	.B(brqrv_top_brqrv_dbg_cmd_wrdata[6]),
+	.Y(n_17793), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g666371 (
+	.A_N(brqrv_top_brqrv_dccm_dma_rtag[2]),
+	.B(brqrv_top_brqrv_lsu_dccm_ctl_n_1137),
+	.C(brqrv_top_brqrv_lsu_lsu_pkt_m[4]),
+	.Y(n_17792), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g666372 (
+	.A_N(brqrv_top_brqrv_iccm_dma_rtag[2]),
+	.B(brqrv_top_brqrv_iccm_dma_rvalid),
+	.C(brqrv_top_brqrv_iccm_dma_rtag[1]),
+	.Y(n_17791), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666373 (
+	.A(n_17597),
+	.B(brqrv_top_brqrv_dec_div_waddr_wb[3]),
+	.Y(n_17790), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g666374 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[17]),
+	.B(n_17686),
+	.Y(n_17789), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g666375 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[22]),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_config_reg),
+	.C(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[22]),
+	.X(n_17788), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g666377 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[23]),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_config_reg),
+	.C(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[23]),
+	.Y(n_17787), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3b_1 g666378 (
+	.A_N(brqrv_top_brqrv_pic_ctrl_inst_config_reg),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[22]),
+	.C(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[22]),
+	.X(n_17786), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3b_1 g666379 (
+	.A_N(brqrv_top_brqrv_pic_ctrl_inst_config_reg),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[23]),
+	.C(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[23]),
+	.X(n_17785), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666380 (
+	.A(n_17687),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[17]),
+	.Y(n_17783), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666381 (
+	.A(n_17688),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[17]),
+	.Y(n_17782), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g666382 (
+	.A(n_41918),
+	.B(n_17604),
+	.Y(n_17780), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666383 (
+	.A(brqrv_top_brqrv_dma_ctrl_n_3254),
+	.B(brqrv_top_brqrv_dbg_cmd_size[1]),
+	.Y(n_17779), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g666384 (
+	.A(n_41918),
+	.B(n_17605),
+	.Y(n_17778), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666385 (
+	.A(brqrv_top_brqrv_dma_ctrl_n_3254),
+	.B(brqrv_top_brqrv_dma_ctrl_n_3251),
+	.Y(n_17777), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g666386 (
+	.A(n_17597),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_control_ff [0]),
+	.X(n_353928_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3b_1 g666387 (
+	.A_N(n_33321),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dual[0]),
+	.C(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dualhi[0]),
+	.X(n_8233), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3b_1 g666388 (
+	.A_N(n_33319),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dualhi[2]),
+	.C(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dual[2]),
+	.X(n_8232), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3b_1 g666389 (
+	.A_N(n_33320),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dualhi[1]),
+	.C(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dual[1]),
+	.X(n_17774), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3b_1 g666390 (
+	.A_N(n_33318),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dualhi[3]),
+	.C(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dual[3]),
+	.X(n_17773), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g666391 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dual[0]),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dualhi[0]),
+	.B1(n_33321),
+	.Y(n_8175), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g666392 (
+	.A1(n_1897),
+	.A2(n_34009),
+	.B1(n_17458),
+	.B2(n_34075),
+	.Y(n_17740), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g666393 (
+	.A1(n_17444),
+	.A2(n_34008),
+	.B1(n_17512),
+	.B2(n_34014),
+	.Y(n_17739), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g666394 (
+	.A1(n_1632),
+	.A2(n_34009),
+	.B1(n_17468),
+	.B2(n_34075),
+	.Y(n_17738), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g666395 (
+	.A1(n_17525),
+	.A2(n_33880),
+	.B1(n_17469),
+	.B2(n_33878),
+	.Y(n_17737), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g666396 (
+	.A1(brqrv_top_brqrv_lsu_fir_addr[19]),
+	.A2(n_17484),
+	.B1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r[19]),
+	.B2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_addr_external_r),
+	.X(n_17736), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g666397 (
+	.A1(brqrv_top_brqrv_lsu_fir_addr[12]),
+	.A2(n_17484),
+	.B1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r[12]),
+	.B2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_addr_external_r),
+	.Y(n_17735), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g666398 (
+	.A1(FE_DBTN14_n_11528),
+	.A2(n_38102),
+	.B1(n_38071),
+	.B2(n_11528),
+	.X(brqrv_top_brqrv_ifu_i0_pc[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g666399 (
+	.A1(n_38106),
+	.A2(FE_DBTN14_n_11528),
+	.B1(n_38075),
+	.B2(n_11528),
+	.X(brqrv_top_brqrv_ifu_i0_pc[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g666400 (
+	.A1(n_38107),
+	.A2(FE_DBTN14_n_11528),
+	.B1(n_38076),
+	.B2(n_11528),
+	.X(brqrv_top_brqrv_ifu_i0_pc[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g666401 (
+	.A1(n_38105),
+	.A2(FE_DBTN14_n_11528),
+	.B1(n_38074),
+	.B2(n_11528),
+	.X(brqrv_top_brqrv_ifu_i0_pc[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g666402 (
+	.A1(FE_DBTN14_n_11528),
+	.A2(n_38101),
+	.B1(n_38070),
+	.B2(n_11528),
+	.X(brqrv_top_brqrv_ifu_i0_pc[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g666403 (
+	.A1(n_38104),
+	.A2(FE_DBTN14_n_11528),
+	.B1(n_38073),
+	.B2(n_11528),
+	.X(brqrv_top_brqrv_ifu_i0_pc[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g666404 (
+	.A1(n_38108),
+	.A2(FE_DBTN14_n_11528),
+	.B1(n_38077),
+	.B2(n_11528),
+	.X(brqrv_top_brqrv_ifu_i0_pc[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g666405 (
+	.A1(FE_DBTN14_n_11528),
+	.A2(n_38103),
+	.B1(n_38072),
+	.B2(n_11528),
+	.X(brqrv_top_brqrv_ifu_i0_pc[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g666406 (
+	.A1(n_38080),
+	.A2(FE_DBTN14_n_11528),
+	.B1(n_38049),
+	.B2(n_11528),
+	.X(brqrv_top_brqrv_ifu_i0_pc[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g666407 (
+	.A(n_35780),
+	.B(n_35781),
+	.C(n_35782),
+	.D(n_35779),
+	.X(n_17734), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g666408 (
+	.A1(n_270072_BAR),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [31]),
+	.B1(n_270073_BAR),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [109]),
+	.X(n_17733), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g666409 (
+	.A1(n_17426),
+	.A2(brqrv_top_brqrv_dec_decode_cam_raw[32]),
+	.B1(n_17433),
+	.B2(brqrv_top_brqrv_dec_decode_cam_raw[2]),
+	.X(n_17732), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g666410 (
+	.A1(n_270072_BAR),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [30]),
+	.B1(n_270073_BAR),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [108]),
+	.X(n_17731), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g666411 (
+	.A1(n_1677),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_done[0]),
+	.B1(n_1887),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_done[4]),
+	.Y(n_17730), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g666412 (
+	.A1(n_1677),
+	.A2(brqrv_top_brqrv_dma_ctrl_n_578),
+	.B1(n_1887),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[128]),
+	.Y(n_17729), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g666413 (
+	.A1(n_42943),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[86]),
+	.B1(n_42937),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[22]),
+	.Y(n_17728), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g666415 (
+	.A1(n_17428),
+	.A2(brqrv_top_brqrv_dec_decode_cam_raw[13]),
+	.B1(n_17433),
+	.B2(brqrv_top_brqrv_dec_decode_cam_raw[3]),
+	.X(n_17726), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g666416 (
+	.A1(n_42943),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[87]),
+	.B1(n_42937),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[23]),
+	.Y(n_17725), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g666417 (
+	.A1(n_270072_BAR),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [29]),
+	.B1(n_270073_BAR),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [107]),
+	.X(n_17724), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g666418 (
+	.A1(n_270072_BAR),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [28]),
+	.B1(n_270073_BAR),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [106]),
+	.X(n_17723), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g666419 (
+	.A1(n_8176),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[75]),
+	.B1(n_8275),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[107]),
+	.X(n_17722), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g666420 (
+	.A1(n_270072_BAR),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [27]),
+	.B1(n_270073_BAR),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [105]),
+	.X(n_17721), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g666421 (
+	.A1(n_270072_BAR),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [26]),
+	.B1(n_270073_BAR),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [104]),
+	.X(n_17720), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g666422 (
+	.A1(FE_DBTN10_n_34088),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [119]),
+	.B1(n_8323),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [41]),
+	.X(n_17719), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g666423 (
+	.A1(n_270072_BAR),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [25]),
+	.B1(n_270073_BAR),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [103]),
+	.X(n_17718), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g666424 (
+	.A1(n_42943),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[85]),
+	.B1(n_42937),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[21]),
+	.Y(n_17717), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g666425 (
+	.A1(n_270072_BAR),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [24]),
+	.B1(n_270073_BAR),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [102]),
+	.X(n_17716), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g666426 (
+	.A(n_34805),
+	.B(n_34803),
+	.C(n_34801),
+	.D(n_34807),
+	.Y(n_17715), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g666427 (
+	.A1(FE_DBTN10_n_34088),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [128]),
+	.B1(n_8323),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [50]),
+	.X(n_17714), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666429 (
+	.A(n_17665),
+	.B(n_17644),
+	.Y(n_17712), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666430 (
+	.A(n_17641),
+	.B(n_17654),
+	.Y(n_17711), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666431 (
+	.A(n_17546),
+	.B(n_17555),
+	.Y(n_17710), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g666433 (
+	.A1(n_42943),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[82]),
+	.B1(n_42937),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[18]),
+	.Y(n_17708), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g666434 (
+	.A1(n_42943),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[81]),
+	.B1(n_42937),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[17]),
+	.Y(n_17707), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g666435 (
+	.A1(n_42943),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[84]),
+	.B1(n_42937),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[20]),
+	.Y(n_17706), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g666436 (
+	.A1(n_42943),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[83]),
+	.B1(n_42937),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[19]),
+	.Y(n_17705), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g666437 (
+	.A1(n_42941),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[33]),
+	.B1(n_42936),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[1]),
+	.Y(n_17704), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g666439 (
+	.A1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r[18]),
+	.A2(n_17403),
+	.B1(brqrv_top_brqrv_dec_i0_result_r[18]),
+	.B2(n_33324),
+	.Y(n_17702), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g666440 (
+	.A1(n_17426),
+	.A2(brqrv_top_brqrv_dec_decode_cam_raw[30]),
+	.B1(n_17433),
+	.B2(brqrv_top_brqrv_dec_decode_cam_raw[0]),
+	.X(n_17701), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g666441 (
+	.A1(n_8176),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[83]),
+	.B1(n_8275),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[115]),
+	.X(n_17700), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g666442 (
+	.A1(n_42942),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[65]),
+	.B1(n_36209),
+	.B2(n_17490),
+	.Y(n_17699), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g666443 (
+	.A1(n_17428),
+	.A2(brqrv_top_brqrv_dec_decode_cam_raw[14]),
+	.B1(n_17426),
+	.B2(brqrv_top_brqrv_dec_decode_cam_raw[34]),
+	.X(n_17698), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g666444 (
+	.A1(n_42938),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[119]),
+	.B1(n_42945),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[55]),
+	.Y(n_17697), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g666446 (
+	.A1(n_8176),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[91]),
+	.B1(n_8275),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[123]),
+	.X(n_17695), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666447 (
+	.A(n_17567),
+	.B(n_17539),
+	.Y(n_17694), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g666448 (
+	.A1(FE_DBTN10_n_34088),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [127]),
+	.B1(n_8323),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [49]),
+	.X(n_17693), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g666449 (
+	.A1(FE_DBTN10_n_34088),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [120]),
+	.B1(n_8323),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [42]),
+	.X(n_17692), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g666450 (
+	.A1(n_8176),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[79]),
+	.B1(n_8275),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[111]),
+	.X(n_17691), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g666451 (
+	.A1(n_17426),
+	.A2(brqrv_top_brqrv_dec_decode_cam_raw[31]),
+	.B1(n_17433),
+	.B2(brqrv_top_brqrv_dec_decode_cam_raw[1]),
+	.X(n_17690), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g666452 (
+	.A1(n_17415),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[24]),
+	.B1(n_17417),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[24]),
+	.X(n_36232), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g666453 (
+	.A1(n_17415),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[25]),
+	.B1(n_17417),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[25]),
+	.X(n_36233), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g666454 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_control_ff [1]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_control_ff [2]),
+	.Y(n_17745), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g666455 (
+	.A1(n_17415),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[26]),
+	.B1(n_17417),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[26]),
+	.X(n_36234), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g666456 (
+	.A1(n_17415),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[28]),
+	.B1(n_17417),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[28]),
+	.X(n_36236), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g666457 (
+	.A1(n_17415),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[29]),
+	.B1(n_17417),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[29]),
+	.X(n_36237), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g666458 (
+	.A1(n_17415),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[30]),
+	.B1(n_17417),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[30]),
+	.X(n_36238), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g666459 (
+	.A1(n_17415),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[27]),
+	.B1(n_17417),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[27]),
+	.X(n_36235), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666460 (
+	.A(n_17614),
+	.B(n_17615),
+	.Y(n_17689), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g666461 (
+	.A(n_35776),
+	.B(n_35778),
+	.C(n_35775),
+	.D(n_35777),
+	.Y(n_17744), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g666462 (
+	.A1(n_36189),
+	.A2(FE_DBTN6_n_41918),
+	.B1(n_36161),
+	.B2(n_41918),
+	.Y(n_17743), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g666463 (
+	.A1(n_36202),
+	.A2(FE_DBTN6_n_41918),
+	.B1(n_36163),
+	.B2(n_41918),
+	.Y(n_17742), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g666464 (
+	.A1(n_36172),
+	.A2(FE_DBTN6_n_41918),
+	.B1(n_36164),
+	.B2(n_41918),
+	.Y(n_17741), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g666466 (
+	.A(n_17686),
+	.Y(n_17687), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g666468 (
+	.A(n_17683),
+	.Y(n_33860), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g666469 (
+	.A(n_33861),
+	.Y(n_17682), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g666470 (
+	.A(n_33862),
+	.Y(n_17680), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g666472 (
+	.A(n_17677),
+	.Y(n_33914), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g666473 (
+	.A(n_17676),
+	.Y(brqrv_top_brqrv_dma_ctrl_n_3254), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666474 (
+	.A(n_42936),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[4]),
+	.Y(n_17675), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666475 (
+	.A(n_36178),
+	.B(FE_DBTN12_n_35713),
+	.Y(n_17674), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666476 (
+	.A(n_2068),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [84]),
+	.Y(n_17673), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666477 (
+	.A(n_270073_BAR),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [95]),
+	.Y(n_17672), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666478 (
+	.A(n_42935),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[101]),
+	.Y(n_17671), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666479 (
+	.A(n_8323),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [43]),
+	.Y(n_17670), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666480 (
+	.A(FE_DBTN10_n_34088),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [126]),
+	.Y(n_17669), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666481 (
+	.A(n_270073_BAR),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [101]),
+	.Y(n_17668), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666483 (
+	.A(n_1762),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [14]),
+	.Y(n_17666), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666484 (
+	.A(n_270073_BAR),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [98]),
+	.Y(n_17665), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666485 (
+	.A(n_2068),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [78]),
+	.Y(n_17664), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666486 (
+	.A(n_2068),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [86]),
+	.Y(n_17663), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666487 (
+	.A(n_42936),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[5]),
+	.Y(n_17662), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666488 (
+	.A(n_1762),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [4]),
+	.Y(n_17661), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g666489 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_valid_ff ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_by_zero_case_ff ),
+	.Y(n_17660), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666490 (
+	.A(n_2068),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [83]),
+	.Y(n_17659), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666492 (
+	.A(n_42936),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[6]),
+	.Y(n_17657), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666493 (
+	.A(n_2068),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [79]),
+	.Y(n_17656), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666494 (
+	.A(n_17501),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[8]),
+	.Y(n_17655), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666495 (
+	.A(n_270074_BAR),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [59]),
+	.Y(n_17654), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666497 (
+	.A(n_270074_BAR),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [62]),
+	.Y(n_17652), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666498 (
+	.A(n_270072_BAR),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [17]),
+	.Y(n_17651), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666499 (
+	.A(n_270072_BAR),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [23]),
+	.Y(n_17650), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666500 (
+	.A(n_270073_BAR),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [100]),
+	.Y(n_17649), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666501 (
+	.A(n_270071_BAR),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [139]),
+	.Y(n_17648), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666502 (
+	.A(n_270074_BAR),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [61]),
+	.Y(n_17647), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666503 (
+	.A(n_42935),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[102]),
+	.Y(n_17646), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666505 (
+	.A(n_270071_BAR),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [137]),
+	.Y(n_17644), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666506 (
+	.A(n_42935),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[100]),
+	.Y(n_17643), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666507 (
+	.A(n_35779),
+	.B(n_35780),
+	.Y(n_17642), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666508 (
+	.A(n_270072_BAR),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [20]),
+	.Y(n_17641), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g666509 (
+	.A(n_35549),
+	.B(n_34827),
+	.Y(brqrv_top_brqrv_dec_arf_n_744), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666511 (
+	.A(FE_DBTN10_n_34088),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [118]),
+	.Y(n_17639), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666512 (
+	.A(n_2068),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [82]),
+	.Y(n_17638), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666513 (
+	.A(n_36149),
+	.B(n_8242),
+	.Y(n_17637), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666514 (
+	.A(n_36174),
+	.B(FE_DBTN12_n_35713),
+	.Y(n_17636), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666515 (
+	.A(n_270071_BAR),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [134]),
+	.Y(n_17635), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666516 (
+	.A(n_270074_BAR),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [56]),
+	.Y(n_17634), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666517 (
+	.A(n_2068),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [92]),
+	.Y(n_17633), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666518 (
+	.A(FE_DBTN10_n_34088),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [123]),
+	.Y(n_17632), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666519 (
+	.A(n_17501),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[11]),
+	.Y(n_17631), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666520 (
+	.A(n_8323),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [39]),
+	.Y(n_17630), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666521 (
+	.A(n_1762),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [6]),
+	.Y(n_17629), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666522 (
+	.A(n_36030),
+	.B(n_8188),
+	.Y(n_17628), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666523 (
+	.A(n_270071_BAR),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [140]),
+	.Y(n_17627), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g666524 (
+	.A(n_35480),
+	.B(n_36248),
+	.X(n_34758), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666526 (
+	.A(n_42934),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[107]),
+	.Y(n_17625), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666527 (
+	.A(FE_DBTN10_n_34088),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [121]),
+	.Y(n_17624), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666528 (
+	.A(n_8323),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [53]),
+	.Y(n_17623), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666529 (
+	.A(n_8323),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [45]),
+	.Y(n_17622), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666530 (
+	.A(brqrv_top_brqrv_lsu_fir_addr[18]),
+	.B(n_17477),
+	.Y(n_17621), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666531 (
+	.A(n_8236),
+	.B(n_36115),
+	.Y(n_17620), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666532 (
+	.A(n_8323),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [40]),
+	.Y(n_17619), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666533 (
+	.A(FE_DBTN10_n_34088),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [117]),
+	.Y(n_17618), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666534 (
+	.A(n_1762),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [5]),
+	.Y(n_17617), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g666535 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[18]),
+	.B_N(n_32720),
+	.Y(n_17688), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g666536 (
+	.A(brqrv_top_brqrv_dma_ctrl_dma_mem_addr_in_pic),
+	.B(brqrv_top_brqrv_dma_ctrl_dma_mem_addr_in_iccm),
+	.Y(n_17616), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666537 (
+	.A(n_17529),
+	.B(n_33980),
+	.Y(n_36156), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g666538 (
+	.A_N(n_32720),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[18]),
+	.Y(n_17686), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666539 (
+	.A(brqrv_top_brqrv_lsu_lsu_pkt_r[5]),
+	.B(brqrv_top_brqrv_lsu_lsu_pkt_r[10]),
+	.Y(n_17685), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g666541 (
+	.A(brqrv_top_brqrv_lsu_lsu_pkt_m[11]),
+	.B(brqrv_top_brqrv_lsu_bus_intf_ldst_byteen_m[1]),
+	.X(n_33994), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g666542 (
+	.A(n_36335),
+	.B(n_36336),
+	.Y(n_17683), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g666543 (
+	.A_N(n_36336),
+	.B(n_36335),
+	.Y(n_33861), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666544 (
+	.A(brqrv_top_brqrv_dec_div_waddr_wb[1]),
+	.B(brqrv_top_brqrv_dec_div_waddr_wb[0]),
+	.Y(n_17681), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g666545 (
+	.A_N(n_36335),
+	.B(n_36336),
+	.Y(n_33862), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g666546 (
+	.A(n_32738),
+	.B_N(brqrv_top_brqrv_dec_dec_i0_instr_d[16]),
+	.Y(n_17679), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666547 (
+	.A(brqrv_top_brqrv_dec_dec_i0_waddr_r[0]),
+	.B(brqrv_top_brqrv_dec_dec_i0_waddr_r[1]),
+	.Y(n_17678), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g666548 (
+	.A(brqrv_top_brqrv_dbg_cmd_size[0]),
+	.B(brqrv_top_brqrv_dbg_cmd_size[1]),
+	.Y(n_17677), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g666549 (
+	.A(brqrv_top_brqrv_dbg_cmd_size[0]),
+	.B(n_17475),
+	.Y(n_17676), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g666550 (
+	.A(n_17602),
+	.Y(n_17601), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g666551 (
+	.A(n_17598),
+	.Y(n_17599), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g666552 (
+	.A(n_34512),
+	.Y(n_17597), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g666553 (
+	.A(n_17595),
+	.Y(n_17596), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g666554 (
+	.A(n_17594),
+	.Y(n_17593), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g666555 (
+	.A(n_17592),
+	.Y(n_17591), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g666556 (
+	.A(n_17590),
+	.Y(n_17589), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g666557 (
+	.A(n_17588),
+	.Y(n_17587), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g666558 (
+	.A(brqrv_top_brqrv_dma_ctrl_n_3251),
+	.Y(n_17586), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666559 (
+	.A(n_36169),
+	.B(n_8265),
+	.Y(n_17585), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666560 (
+	.A(n_36208),
+	.B(n_17490),
+	.Y(n_17584), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666561 (
+	.A(n_1762),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [1]),
+	.Y(n_17583), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g666562 (
+	.A(n_34827),
+	.B(n_35449),
+	.X(brqrv_top_brqrv_dec_arf_n_10568), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g666563 (
+	.A(brqrv_top_brqrv_dma_mem_write),
+	.B(brqrv_top_brqrv_dma_ctrl_dma_mem_addr_in_iccm),
+	.Y(n_17582), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666565 (
+	.A(n_8323),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [44]),
+	.Y(n_17580), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666567 (
+	.A(FE_DBTN10_n_34088),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [130]),
+	.Y(n_17578), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666568 (
+	.A(FE_DBTN10_n_34088),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [131]),
+	.Y(n_17577), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666569 (
+	.A(n_2068),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [91]),
+	.Y(n_17576), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666570 (
+	.A(n_1762),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [13]),
+	.Y(n_17575), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666571 (
+	.A(n_36169),
+	.B(n_28975),
+	.Y(n_17615), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666572 (
+	.A(n_36195),
+	.B(FE_DBTN12_n_35713),
+	.Y(n_17614), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666573 (
+	.A(n_36165),
+	.B(n_8265),
+	.Y(n_17574), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666574 (
+	.A(n_36194),
+	.B(FE_DBTN12_n_35713),
+	.Y(n_17573), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666575 (
+	.A(FE_DBTN10_n_34088),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [122]),
+	.Y(n_17572), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666576 (
+	.A(n_17501),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[13]),
+	.Y(n_17571), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666577 (
+	.A(n_8323),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [52]),
+	.Y(n_17570), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666578 (
+	.A(n_36179),
+	.B(n_28975),
+	.Y(n_17569), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666579 (
+	.A(FE_DBTN10_n_34088),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [125]),
+	.Y(n_17568), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666580 (
+	.A(n_36205),
+	.B(n_8265),
+	.Y(n_17567), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666581 (
+	.A(n_36182),
+	.B(FE_DBTN12_n_35713),
+	.Y(n_17566), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666582 (
+	.A(n_17501),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[10]),
+	.Y(n_17565), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666583 (
+	.A(n_36166),
+	.B(n_8265),
+	.Y(n_17564), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666585 (
+	.A(n_17501),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[14]),
+	.Y(n_17562), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666586 (
+	.A(n_42934),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[109]),
+	.Y(n_17561), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666588 (
+	.A(n_36037),
+	.B(n_8188),
+	.Y(n_17559), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666589 (
+	.A(n_36198),
+	.B(FE_DBTN12_n_35713),
+	.Y(n_17558), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666590 (
+	.A(n_42934),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[110]),
+	.Y(n_17557), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666591 (
+	.A(n_42934),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[106]),
+	.Y(n_17556), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666592 (
+	.A(n_270071_BAR),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [136]),
+	.Y(n_17555), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g666593 (
+	.A(n_33324),
+	.B(n_43105),
+	.X(n_34794), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666594 (
+	.A(n_36183),
+	.B(n_28975),
+	.Y(n_17554), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666595 (
+	.A(n_36170),
+	.B(n_8265),
+	.Y(n_17553), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g666596 (
+	.A(n_35564),
+	.B(n_36248),
+	.X(n_34786), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666598 (
+	.A(n_8323),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [48]),
+	.Y(n_17551), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666600 (
+	.A(n_1762),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [8]),
+	.Y(n_17550), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666601 (
+	.A(n_1762),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [9]),
+	.Y(n_17549), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666602 (
+	.A(n_270072_BAR),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [22]),
+	.Y(n_17548), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666603 (
+	.A(n_2068),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [87]),
+	.Y(n_17547), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666604 (
+	.A(n_270073_BAR),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [97]),
+	.Y(n_17546), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666605 (
+	.A(n_42934),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[104]),
+	.Y(n_17545), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666606 (
+	.A(n_17501),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[9]),
+	.Y(n_17544), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666607 (
+	.A(n_42934),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[105]),
+	.Y(n_17543), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666608 (
+	.A(n_1762),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [0]),
+	.Y(n_17542), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666609 (
+	.A(n_36199),
+	.B(n_28975),
+	.Y(n_17541), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666610 (
+	.A(n_36164),
+	.B(n_8265),
+	.Y(n_17540), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666611 (
+	.A(n_36202),
+	.B(n_28975),
+	.Y(n_17539), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g666612 (
+	.A(n_33877),
+	.B_N(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[3]),
+	.Y(n_17538), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666613 (
+	.A(n_8323),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [47]),
+	.Y(n_17537), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g666614 (
+	.A(n_34088),
+	.B(n_34076),
+	.Y(n_17613), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g666620 (
+	.A(n_34092),
+	.B(n_34076),
+	.Y(n_17607), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g666621 (
+	.A(n_34093),
+	.B(n_34076),
+	.Y(n_17606), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g666622 (
+	.A_N(n_33874),
+	.B(n_36241),
+	.Y(n_17605), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g666623 (
+	.A_N(n_33875),
+	.B(brqrv_top_brqrv_lsu_lsu_pkt_r[8]),
+	.Y(n_17604), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g666625 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[17]),
+	.B(n_35436),
+	.Y(n_17603), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666626 (
+	.A(n_11363),
+	.B(n_17425),
+	.Y(n_17602), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g666627 (
+	.A(n_36336),
+	.B(n_36335),
+	.X(n_17600), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666628 (
+	.A(n_11363),
+	.B(brqrv_top_brqrv_dec_div_waddr_wb[0]),
+	.Y(n_17598), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666629 (
+	.A(n_34513),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_finish_ff ),
+	.Y(n_34512), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666630 (
+	.A(brqrv_top_brqrv_dec_div_waddr_wb[1]),
+	.B(n_17425),
+	.Y(n_17595), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g666631 (
+	.A(brqrv_top_brqrv_dec_dec_i0_waddr_r[1]),
+	.B(brqrv_top_brqrv_dec_dec_i0_waddr_r[0]),
+	.Y(n_17594), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666632 (
+	.A(n_1870),
+	.B(brqrv_top_brqrv_dec_dec_i0_waddr_r[0]),
+	.Y(n_17592), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666633 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[16]),
+	.B(n_32738),
+	.Y(n_17590), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g666634 (
+	.A(brqrv_top_brqrv_dec_dec_i0_waddr_r[0]),
+	.B(n_1870),
+	.Y(n_17588), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666635 (
+	.A(n_17475),
+	.B(brqrv_top_brqrv_dbg_cmd_size[0]),
+	.Y(brqrv_top_brqrv_dma_ctrl_n_3251), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g666638 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[9]),
+	.Y(n_17534), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g666639 (
+	.A(n_36045),
+	.Y(n_17533), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g666643 (
+	.A(n_33981),
+	.Y(n_17529), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g666645 (
+	.A(n_36146),
+	.Y(n_17527), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g666647 (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[15]),
+	.Y(n_17525), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g666650 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[1]),
+	.Y(n_17522), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g666651 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[105]),
+	.Y(n_17521), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g666652 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[65]),
+	.Y(n_17520), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g666653 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[69]),
+	.Y(n_17519), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g666654 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[5]),
+	.Y(n_17518), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g666655 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[4]),
+	.Y(n_17517), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g666656 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[77]),
+	.Y(n_17516), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g666657 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[45]),
+	.Y(n_17515), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g666658 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[42]),
+	.Y(n_17514), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g666660 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[74]),
+	.Y(n_17512), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g666661 (
+	.A(n_34007),
+	.Y(n_8330), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g666667 (
+	.A(n_36164),
+	.Y(n_1983), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g666670 (
+	.A(n_34074),
+	.Y(n_17508), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g666672 (
+	.A(n_36179),
+	.Y(n_17378), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g666675 (
+	.A(n_36187),
+	.Y(n_17507), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g666676 (
+	.A(n_34803),
+	.Y(n_17506), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g666678 (
+	.A(n_36161),
+	.Y(n_17504), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g666679 (
+	.A(n_36167),
+	.Y(n_17503), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g666681 (
+	.A(n_36166),
+	.Y(n_17376), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g666688 (
+	.A(n_36175),
+	.Y(n_17374), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g666699 (
+	.A(n_31451),
+	.Y(n_17500), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g666700 (
+	.A(n_34043),
+	.Y(n_17499), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g666702 (
+	.A(n_36165),
+	.Y(n_2027), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g666705 (
+	.A(n_34047),
+	.Y(n_17498), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g666708 (
+	.A(n_34079),
+	.Y(n_17495), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g666710 (
+	.A(n_34041),
+	.Y(n_17493), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g666711 (
+	.A(n_34046),
+	.Y(n_17492), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g666713 (
+	.A(n_34070),
+	.Y(n_17490), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g666723 (
+	.A(brqrv_top_brqrv_dec_div_waddr_wb[1]),
+	.Y(n_11363), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g666727 (
+	.A(n_35113),
+	.Y(n_17476), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g666728 (
+	.A(brqrv_top_brqrv_dbg_cmd_size[1]),
+	.Y(n_17475), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g666729 (
+	.A(brqrv_top_brqrv_dec_div_waddr_wb[2]),
+	.Y(n_11362), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g666734 (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[7]),
+	.Y(n_17469), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g666735 (
+	.A(n_36083),
+	.Y(n_17468), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g666736 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[78]),
+	.Y(n_17467), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g666737 (
+	.A(n_36075),
+	.Y(n_17466), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g666742 (
+	.A(n_36055),
+	.Y(n_17461), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g666743 (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r[2]),
+	.Y(n_17460), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g666744 (
+	.A(n_36085),
+	.Y(n_17459), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g666745 (
+	.A(n_36077),
+	.Y(n_17458), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g666748 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[73]),
+	.Y(n_17455), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g666749 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[119]),
+	.Y(n_17454), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g666750 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[23]),
+	.Y(n_17453), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g666751 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[41]),
+	.Y(n_17452), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g666752 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[68]),
+	.Y(n_17451), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g666753 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[109]),
+	.Y(n_17450), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g666754 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[87]),
+	.Y(n_17449), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g666755 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[55]),
+	.Y(n_17448), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g666759 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[106]),
+	.Y(n_17444), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g666761 (
+	.A(n_34049),
+	.Y(n_8242), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g666762 (
+	.A(n_34044),
+	.Y(n_8236), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g666764 (
+	.A(n_31343),
+	.Y(n_17439), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g666765 (
+	.A(n_34060),
+	.Y(n_17438), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g666767 (
+	.A(brqrv_top_brqrv_lsu_addr_external_m),
+	.Y(n_8229), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g666770 (
+	.A(n_34801),
+	.Y(n_17433), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g666771 (
+	.A(brqrv_top_brqrv_dec_dec_i0_waddr_r[1]),
+	.Y(n_1870), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g666772 (
+	.A(n_36163),
+	.Y(n_17431), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g666778 (
+	.A(n_36170),
+	.Y(n_17429), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g666779 (
+	.A(n_34807),
+	.Y(n_17428), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g666780 (
+	.A(brqrv_top_brqrv_dec_dec_i0_waddr_r[2]),
+	.Y(n_1565), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g666781 (
+	.A(n_34805),
+	.Y(n_17426), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g666783 (
+	.A(brqrv_top_brqrv_dec_div_waddr_wb[0]),
+	.Y(n_17425), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g666787 (
+	.A(n_34048),
+	.Y(n_17421), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g666792 (
+	.A(n_36195),
+	.Y(n_17420), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g666801 (
+	.A(n_34045),
+	.Y(n_17411), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g666804 (
+	.A(n_36169),
+	.Y(n_17408), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g666805 (
+	.A(n_35712),
+	.Y(n_8188), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g666806 (
+	.A(n_34827),
+	.Y(n_17406), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g666809 (
+	.A(n_35108),
+	.Y(n_17403), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g666814 (
+	.A(n_34809),
+	.Y(n_8275), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g666815 (
+	.A(n_34808),
+	.Y(n_8176), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g666816 (
+	.A(n_34810),
+	.Y(n_8273), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g666818 (
+	.A(n_33833),
+	.Y(n_8247), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g666820 (
+	.A(n_36365),
+	.Y(n_17393), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ba_1 g890831 (
+	.A1(n_34085),
+	.A2(n_18474),
+	.B1_N(n_18420),
+	.X(n_17363), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4_1 g666829 (
+	.A(n_18492),
+	.B(n_17614),
+	.C(n_18442),
+	.D(n_17615),
+	.X(n_17362), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g666830 (
+	.A(n_18165),
+	.B(n_17765),
+	.C(n_17760),
+	.X(n_17361), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g666833 (
+	.A(n_17769),
+	.B(n_17768),
+	.X(n_17358), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g666836 (
+	.A_N(n_18900),
+	.B(n_17944),
+	.Y(n_34942), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g666837 (
+	.A_N(n_18899),
+	.B(n_17944),
+	.Y(n_34951), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g666838 (
+	.A_N(n_18895),
+	.B(n_17944),
+	.Y(n_34938), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g666839 (
+	.A1(brqrv_top_brqrv_lsu_addr_external_m),
+	.A2(brqrv_top_brqrv_lsu_lsu_ld_data_m[5]),
+	.B1_N(n_18498),
+	.Y(n_17355), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3b_1 g666840 (
+	.A(n_18443),
+	.B(n_17689),
+	.C_N(n_18442),
+	.X(brqrv_top_brqrv_lsu_lsu_ld_data_m[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g666841 (
+	.A_N(n_17678),
+	.B(n_18206),
+	.Y(n_34503), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g666842 (
+	.A_N(n_17678),
+	.B(n_43018),
+	.Y(n_35337), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g666843 (
+	.A_N(n_18162),
+	.B(n_35772),
+	.Y(n_36245), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g666844 (
+	.A_N(n_18160),
+	.B(brqrv_top_brqrv_dec_dec_i0_waddr_r[4]),
+	.Y(n_17354), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g666845 (
+	.A_N(brqrv_top_brqrv_dec_div_waddr_wb[2]),
+	.B(n_17353),
+	.Y(n_34481), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g666846 (
+	.A_N(n_18148),
+	.B(n_18184),
+	.Y(n_34066), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g666847 (
+	.A_N(n_17681),
+	.B(n_18061),
+	.Y(n_34490), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g666848 (
+	.A_N(n_17681),
+	.B(n_18060),
+	.Y(n_34471), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g666849 (
+	.A(brqrv_top_brqrv_dec_div_waddr_wb[1]),
+	.B_N(n_18008),
+	.Y(n_17353), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g666850 (
+	.A_N(n_17984),
+	.B(n_17345),
+	.Y(n_34949), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g666851 (
+	.A_N(n_17983),
+	.B(n_17345),
+	.Y(n_34950), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g666852 (
+	.A_N(n_17981),
+	.B(n_17345),
+	.Y(n_34934), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g666853 (
+	.A_N(n_17978),
+	.B(n_17345),
+	.Y(n_34933), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g666854 (
+	.A_N(n_17977),
+	.B(n_17345),
+	.Y(n_34935), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2b_1 g666855 (
+	.A_N(n_17347),
+	.B(n_35371),
+	.X(n_17352), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g666856 (
+	.A_N(n_17962),
+	.B(brqrv_top_brqrv_dec_div_waddr_wb[2]),
+	.Y(n_17351), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g666857 (
+	.A(brqrv_top_brqrv_dec_dec_i0_waddr_r[3]),
+	.B_N(n_17957),
+	.Y(n_17350), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g666858 (
+	.A_N(n_17950),
+	.B(n_18896),
+	.Y(n_34939), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g666859 (
+	.A_N(n_17886),
+	.B(n_17345),
+	.Y(n_34946), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g666860 (
+	.A_N(n_17885),
+	.B(n_17345),
+	.Y(n_34947), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g666861 (
+	.A_N(n_17884),
+	.B(n_17345),
+	.Y(n_34945), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g666862 (
+	.A_N(n_17877),
+	.B(n_17345),
+	.Y(n_34948), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g666863 (
+	.A(brqrv_top_brqrv_iccm_dma_rtag[0]),
+	.B_N(n_17797),
+	.Y(n_17349), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g666864 (
+	.A_N(n_17792),
+	.B(brqrv_top_brqrv_dccm_dma_rtag[1]),
+	.Y(n_17348), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g666865 (
+	.A_N(n_17791),
+	.B(brqrv_top_brqrv_iccm_dma_rtag[0]),
+	.Y(n_17347), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g666866 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[17]),
+	.B_N(n_17688),
+	.Y(n_17346), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g666867 (
+	.A_N(n_17678),
+	.B(n_18202),
+	.Y(n_34494), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g666868 (
+	.A_N(n_17348),
+	.B(brqrv_top_brqrv_dccm_dma_rtag[0]),
+	.Y(n_35371), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_2 g666869 (
+	.A(n_35632),
+	.B(n_35368),
+	.C_N(n_35214),
+	.Y(n_17345), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g666871 (
+	.A(n_35436),
+	.B_N(brqrv_top_brqrv_dec_dec_i0_instr_d[17]),
+	.Y(n_17344), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_2 g666872 (
+	.A0(n_18101),
+	.A1(brqrv_top_brqrv_dec_i0_result_r[12]),
+	.S(n_33324),
+	.X(n_36349), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g614475 (
+	.A1(n_17343),
+	.A2(n_35362),
+	.B1(n_17340),
+	.B2(n_35363),
+	.Y(io_out[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g890832 (
+	.A1(n_17341),
+	.A2(n_35362),
+	.B1(n_17342),
+	.B2(n_35363),
+	.Y(io_out[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g890833 (
+	.A(lsu_axi_wdata[44]),
+	.Y(n_17343), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g890834 (
+	.A(lsu_axi_wdata[8]),
+	.Y(n_17342), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g890835 (
+	.A(lsu_axi_wdata[40]),
+	.Y(n_17341), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g890836 (
+	.A(lsu_axi_wdata[12]),
+	.Y(n_17340), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g619539 (
+	.A1(n_43215),
+	.A2(n_17333),
+	.B1(n_17301),
+	.C1(n_17339),
+	.Y(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g619540 (
+	.A(n_17338),
+	.B(n_43215),
+	.Y(n_17339), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g619541 (
+	.A1(n_17070),
+	.A2(n_17337),
+	.B1(n_17335),
+	.Y(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g619542 (
+	.A(n_17336),
+	.B(n_17331),
+	.Y(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g619543 (
+	.A1(n_17070),
+	.A2(n_17291),
+	.B1(n_17337),
+	.Y(n_17338), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g619544 (
+	.A(n_17332),
+	.B(n_43217),
+	.Y(n_17336), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g619545 (
+	.A1(n_17290),
+	.A2(n_43217),
+	.B1(n_17332),
+	.Y(n_17337), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g619546 (
+	.A1(n_17289),
+	.A2(n_36886),
+	.B1(n_144),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [30]),
+	.C1(n_17334),
+	.Y(n_17335), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g619547 (
+	.A1(n_17069),
+	.A2(n_17328),
+	.B1(n_43221),
+	.B2(n_17326),
+	.C1(n_17308),
+	.Y(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g619548 (
+	.A(n_17333),
+	.Y(n_17334), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g619549 (
+	.A(n_17329),
+	.B(n_17307),
+	.C(n_17326),
+	.Y(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g619550 (
+	.A(n_17330),
+	.B(n_17070),
+	.Y(n_17333), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g619551 (
+	.A1(n_17289),
+	.A2(n_36885),
+	.B1(n_144),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [29]),
+	.C1(n_17330),
+	.Y(n_17331), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g619552 (
+	.A1(n_17069),
+	.A2(n_17291),
+	.B1(n_17328),
+	.Y(n_17332), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g619553 (
+	.A(n_17327),
+	.B(n_43229),
+	.Y(n_17329), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g619554 (
+	.A(n_43217),
+	.B(n_43221),
+	.C(n_17326),
+	.Y(n_17330), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g619555 (
+	.A1(n_17309),
+	.A2(n_17291),
+	.B1(n_17306),
+	.C1(n_17325),
+	.Y(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g619556 (
+	.A1(n_17290),
+	.A2(n_43229),
+	.B1(n_17327),
+	.Y(n_17328), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g619557 (
+	.A1(n_17293),
+	.A2(n_17291),
+	.B1(n_17311),
+	.Y(n_17327), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g619558 (
+	.A1(n_17319),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_n_10656 ),
+	.B1(n_144),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [13]),
+	.X(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g619559 (
+	.A_N(n_43229),
+	.B(n_17290),
+	.C(n_17293),
+	.Y(n_17326), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g619560 (
+	.A1(n_17211),
+	.A2(n_17291),
+	.B1(n_17296),
+	.C1(n_17316),
+	.Y(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g619561 (
+	.A1(n_17217),
+	.A2(n_17291),
+	.B1(n_17298),
+	.C1(n_17317),
+	.Y(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g619562 (
+	.A1(n_17288),
+	.A2(n_17291),
+	.B1(n_17305),
+	.C1(n_17324),
+	.Y(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g619563 (
+	.A1(n_17284),
+	.A2(n_17291),
+	.B1(n_17304),
+	.C1(n_17323),
+	.Y(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g619564 (
+	.A1(n_17279),
+	.A2(n_17291),
+	.B1(n_17303),
+	.C1(n_17322),
+	.Y(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g619565 (
+	.A1(n_17273),
+	.A2(n_17291),
+	.B1(n_17310),
+	.C1(n_17321),
+	.Y(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g619566 (
+	.A1(n_17270),
+	.A2(n_17291),
+	.B1(n_17294),
+	.C1(n_17320),
+	.Y(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g619567 (
+	.A1(n_17261),
+	.A2(n_17291),
+	.B1(n_17300),
+	.C1(n_17313),
+	.Y(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g619568 (
+	.A1(n_17241),
+	.A2(n_17291),
+	.B1(n_17299),
+	.C1(n_17318),
+	.Y(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g619569 (
+	.A1(n_17165),
+	.A2(n_17291),
+	.B1(n_17295),
+	.C1(n_17315),
+	.Y(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g619570 (
+	.A1(n_17098),
+	.A2(n_17291),
+	.B1(n_17302),
+	.C1(n_17314),
+	.Y(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g619571 (
+	.A1(n_17312),
+	.A2(n_36892),
+	.B1(n_17289),
+	.B2(n_36873),
+	.C1(n_17297),
+	.X(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g619572 (
+	.A(n_17312),
+	.B(n_43233),
+	.Y(n_17325), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g619573 (
+	.A(n_17312),
+	.B(n_43211),
+	.Y(n_17324), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g619574 (
+	.A(n_17312),
+	.B(n_43213),
+	.Y(n_17323), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g619575 (
+	.A(n_17312),
+	.B(n_43219),
+	.Y(n_17322), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g619576 (
+	.A(n_17312),
+	.B(n_43223),
+	.Y(n_17321), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g619577 (
+	.A(n_17312),
+	.B(n_43225),
+	.Y(n_17320), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g619578 (
+	.A(n_36888),
+	.B(n_17292),
+	.Y(n_17319), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g619579 (
+	.A(n_17312),
+	.B(n_43235),
+	.Y(n_17318), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g619580 (
+	.A(n_17312),
+	.B(n_43237),
+	.Y(n_17317), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g619581 (
+	.A(n_17312),
+	.B(n_36891),
+	.Y(n_17316), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g619582 (
+	.A(n_17312),
+	.B(n_36890),
+	.Y(n_17315), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g619583 (
+	.A(n_17312),
+	.B(n_36889),
+	.Y(n_17314), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g619584 (
+	.A(n_17312),
+	.B(n_43231),
+	.Y(n_17313), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g619585 (
+	.A(n_17311),
+	.Y(n_17312), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g619586 (
+	.A1(n_17289),
+	.A2(n_36878),
+	.B1(n_144),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [22]),
+	.Y(n_17310), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g619587 (
+	.A1(n_17287),
+	.A2(n_43233),
+	.B1(n_17293),
+	.Y(n_17309), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g619588 (
+	.A1(n_17289),
+	.A2(n_36884),
+	.B1(n_144),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [28]),
+	.Y(n_17308), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g619589 (
+	.A1(n_17289),
+	.A2(n_36883),
+	.B1(n_144),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [27]),
+	.Y(n_17307), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g619590 (
+	.A1(n_17289),
+	.A2(n_36882),
+	.B1(n_144),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [26]),
+	.Y(n_17306), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g619591 (
+	.A1(n_17289),
+	.A2(n_36881),
+	.B1(n_144),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [25]),
+	.Y(n_17305), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g619592 (
+	.A1(n_17289),
+	.A2(n_36880),
+	.B1(n_144),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [24]),
+	.Y(n_17304), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g619593 (
+	.A1(n_17289),
+	.A2(n_36879),
+	.B1(n_144),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [23]),
+	.Y(n_17303), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g619594 (
+	.A(n_17292),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_n_10656 ),
+	.Y(n_17311), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g619595 (
+	.A1(n_17289),
+	.A2(n_36870),
+	.B1(n_144),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [14]),
+	.Y(n_17302), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g619596 (
+	.A1(n_17289),
+	.A2(n_36887),
+	.B1(n_144),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [31]),
+	.Y(n_17301), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g619597 (
+	.A1(n_17289),
+	.A2(n_36876),
+	.B1(n_144),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [20]),
+	.Y(n_17300), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g619598 (
+	.A1(n_17289),
+	.A2(n_36875),
+	.B1(n_144),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [19]),
+	.Y(n_17299), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g619599 (
+	.A1(n_17289),
+	.A2(n_36874),
+	.B1(n_144),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [18]),
+	.Y(n_17298), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g619600 (
+	.A1_N(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [17]),
+	.A2_N(n_144),
+	.B1(n_17162),
+	.B2(n_17291),
+	.Y(n_17297), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g619601 (
+	.A1(n_17289),
+	.A2(n_36872),
+	.B1(n_144),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [16]),
+	.Y(n_17296), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g619602 (
+	.A1(n_17289),
+	.A2(n_36871),
+	.B1(n_144),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [15]),
+	.Y(n_17295), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g619603 (
+	.A1(n_17289),
+	.A2(n_36877),
+	.B1(n_144),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [21]),
+	.Y(n_17294), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g619604 (
+	.A(n_43233),
+	.B(n_17287),
+	.Y(n_17293), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g619605 (
+	.A1_N(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [12]),
+	.A2_N(n_144),
+	.B1(n_17174),
+	.B2(n_17285),
+	.Y(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g619606 (
+	.A(brqrv_top_brqrv_ifu_ifu_bp_poffset_f[11]),
+	.B(n_17286),
+	.X(n_17292), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g619607 (
+	.A(n_17291),
+	.Y(n_17290), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a311o_1 g619608 (
+	.A1(n_17280),
+	.A2(n_35470),
+	.A3(n_17158),
+	.B1(n_17185),
+	.C1(n_17157),
+	.X(n_17291), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3b_1 g619609 (
+	.A_N(n_17286),
+	.B(n_17062),
+	.C(\brqrv_top_brqrv_ifu_bpred.bp_n_10656 ),
+	.X(n_17289), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g619610 (
+	.A1(n_17281),
+	.A2(n_43211),
+	.B1_N(n_17287),
+	.Y(n_17288), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g619611 (
+	.A(n_43211),
+	.B(n_17281),
+	.X(n_17287), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g619612 (
+	.A(n_17170),
+	.B(n_17282),
+	.Y(n_17285), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g619613 (
+	.A1(n_17283),
+	.A2(n_17158),
+	.B1(n_17157),
+	.Y(n_17286), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g619614 (
+	.A1(n_17277),
+	.A2(n_43213),
+	.B1_N(n_17281),
+	.Y(n_17284), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g619615 (
+	.A(n_17282),
+	.Y(n_17283), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g619616 (
+	.A1(n_17278),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_n_10656 ),
+	.B1(n_144),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [10]),
+	.X(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g619617 (
+	.A(n_17280),
+	.B(n_35470),
+	.Y(n_17282), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g619618 (
+	.A(n_43213),
+	.B(n_17277),
+	.X(n_17281), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g619619 (
+	.A1(n_17144),
+	.A2(n_17276),
+	.B1(n_35111),
+	.Y(n_17280), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g619620 (
+	.A1(n_17272),
+	.A2(n_43219),
+	.B1_N(n_17277),
+	.Y(n_17279), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g619621 (
+	.A1(n_17274),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_n_10656 ),
+	.B1(n_144),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [9]),
+	.X(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g619622 (
+	.A(n_17160),
+	.B(n_17275),
+	.Y(n_17278), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g619623 (
+	.A(n_17144),
+	.B(n_17276),
+	.Y(n_35694), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g619624 (
+	.A(n_43219),
+	.B(n_17272),
+	.X(n_17277), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g619625 (
+	.A(n_17156),
+	.B(n_17275),
+	.Y(n_17276), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 g619626 (
+	.A(brqrv_top_brqrv_ifu_ifu_bp_poffset_f[8]),
+	.B(n_35832),
+	.CIN(n_17269),
+	.COUT(n_17275),
+	.SUM(n_17274), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g619627 (
+	.A1(n_17268),
+	.A2(n_43223),
+	.B1_N(n_17272),
+	.Y(n_17273), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g619628 (
+	.A1(n_17049),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_n_10656 ),
+	.B1(n_144),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [8]),
+	.X(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g619629 (
+	.A(n_43223),
+	.B(n_17268),
+	.X(n_17272), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g619630 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_control_ff [2]),
+	.A2(n_17251),
+	.B1(n_17271),
+	.Y(n_34105), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o41ai_1 g619631 (
+	.A1(n_17250),
+	.A2(n_17263),
+	.A3(n_17264),
+	.A4(n_17265),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_control_ff [2]),
+	.Y(n_17271), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g619632 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_control_ff [2]),
+	.A2(n_17244),
+	.B1(n_17267),
+	.Y(n_34106), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g619633 (
+	.A1(n_17255),
+	.A2(n_43225),
+	.B1_N(n_17268),
+	.Y(n_17270), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g619634 (
+	.A(n_17258),
+	.B(n_17256),
+	.C(n_17260),
+	.D(n_17265),
+	.Y(n_378490_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g619636 (
+	.A1(n_17151),
+	.A2(n_17266),
+	.B1(n_17150),
+	.Y(n_17269), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g619637 (
+	.A(n_43225),
+	.B(n_17255),
+	.X(n_17268), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g619638 (
+	.A(n_17232),
+	.B(n_17246),
+	.C(n_17262),
+	.D(n_17263),
+	.Y(n_378549_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o41ai_1 g619639 (
+	.A1(n_17180),
+	.A2(n_17239),
+	.A3(n_17245),
+	.A4(n_17262),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_control_ff [2]),
+	.Y(n_17267), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 g619642 (
+	.A(n_17259),
+	.B(n_35658),
+	.X(n_35493), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g619643 (
+	.A(n_17257),
+	.B(n_35469),
+	.Y(n_17266), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g619644 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [3]),
+	.B(n_34202),
+	.Y(n_17265), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g619645 (
+	.A(n_17172),
+	.B(n_17191),
+	.C(n_17220),
+	.D(n_17260),
+	.X(n_17264), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g619647 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_control_ff [2]),
+	.A2(n_17249),
+	.B1(n_17061),
+	.B2(n_17212),
+	.Y(n_35189), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g619648 (
+	.A1(n_17236),
+	.A2(n_43231),
+	.B1_N(n_17255),
+	.Y(n_17261), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g619649 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [5]),
+	.B(n_17252),
+	.Y(n_17263), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g619650 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [9]),
+	.A2(n_17243),
+	.B1_N(n_17256),
+	.Y(n_17262), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g619652 (
+	.A1(brqrv_top_brqrv_ifu_mem_ctl_ifc_iccm_access_f),
+	.A2(brqrv_top_brqrv_ifu_mem_ctl_n_1839),
+	.B1(brqrv_top_brqrv_ifu_mem_ctl_ifc_region_acc_fault_final_f),
+	.C1(n_17253),
+	.Y(n_17259), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g619653 (
+	.A(n_17231),
+	.B(n_17234),
+	.C(n_17246),
+	.D(n_17245),
+	.X(n_17258), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g619654 (
+	.A(n_17254),
+	.B(n_35697),
+	.C(n_35110),
+	.Y(n_17257), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g619655 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [4]),
+	.B(n_17051),
+	.C(n_17252),
+	.Y(n_17260), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g619656 (
+	.A_N(n_33856),
+	.B(n_35696),
+	.Y(n_17254), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g619657 (
+	.A(n_35657),
+	.B(n_35430),
+	.Y(n_17253), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g619658 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [7]),
+	.B(n_17247),
+	.Y(n_17256), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g619659 (
+	.A(n_43231),
+	.B(n_17236),
+	.X(n_17255), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111oi_0 g619661 (
+	.A1(n_17140),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [23]),
+	.B1(n_17169),
+	.C1(n_17190),
+	.D1(n_17248),
+	.Y(n_17251), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g619662 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [6]),
+	.B(n_17055),
+	.C(n_17247),
+	.Y(n_17250), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g619663 (
+	.A1(n_17237),
+	.A2(n_17223),
+	.A3(n_17233),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_control_ff [2]),
+	.Y(n_368296_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g619664 (
+	.A(n_17194),
+	.B(n_17206),
+	.C(n_17213),
+	.D(n_17248),
+	.Y(n_17249), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g619665 (
+	.A_N(n_17247),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [7]),
+	.C(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [6]),
+	.Y(n_17252), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g619666 (
+	.A(n_17228),
+	.B(n_17235),
+	.C(n_17198),
+	.D(n_17207),
+	.Y(n_34115), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g619667 (
+	.A1(n_17055),
+	.A2(n_17203),
+	.B1(n_17228),
+	.C1(n_17237),
+	.D1(n_17240),
+	.Y(n_17248), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g619668 (
+	.A(n_17238),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_miss_pending),
+	.Y(n_35430), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g619669 (
+	.A_N(n_17243),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [9]),
+	.C(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [8]),
+	.Y(n_17247), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g619670 (
+	.A(n_17167),
+	.B(n_17213),
+	.C_N(n_17240),
+	.Y(n_17244), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g619671 (
+	.A(n_17242),
+	.B(n_35272),
+	.Y(n_35696), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g619672 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [11]),
+	.A2(n_17048),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [27]),
+	.B2(n_17108),
+	.C1(n_17214),
+	.Y(n_17246), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g619673 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [8]),
+	.B(n_17066),
+	.C(n_17243),
+	.Y(n_17245), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g619674 (
+	.A(n_35695),
+	.B(n_35390),
+	.Y(n_17242), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g619675 (
+	.A1(n_17229),
+	.A2(n_43235),
+	.B1_N(n_17236),
+	.Y(n_17241), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g619676 (
+	.A_N(n_17048),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [11]),
+	.C(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [10]),
+	.Y(n_17243), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g619677 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [10]),
+	.B(n_17054),
+	.C(n_17048),
+	.Y(n_17239), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g619678 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [0]),
+	.A2(n_17226),
+	.B1(n_34337),
+	.B2(n_34517),
+	.Y(n_34179), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g619679 (
+	.A1(n_34738),
+	.A2(n_34731),
+	.B1(n_34728),
+	.Y(n_17238), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g619680 (
+	.A1(n_17227),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [0]),
+	.B1(n_17230),
+	.Y(n_17240), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g619681 (
+	.A(n_17227),
+	.B(n_17061),
+	.Y(n_34108), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4b_1 g619682 (
+	.A_N(n_17193),
+	.B(n_17197),
+	.C(n_17182),
+	.D(n_17183),
+	.X(n_17235), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g619683 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [12]),
+	.B(n_17224),
+	.Y(n_17234), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g619684 (
+	.A1(n_17208),
+	.A2(n_17196),
+	.B1(n_17061),
+	.Y(n_34107), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o31a_1 g619685 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [6]),
+	.A2(n_17051),
+	.A3(n_17218),
+	.B1(n_35191),
+	.X(n_17237), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g619687 (
+	.A_N(n_43235),
+	.B(n_17202),
+	.Y(n_17236), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g619688 (
+	.A(n_17206),
+	.B(n_17192),
+	.C(n_17209),
+	.D(n_17216),
+	.Y(n_17233), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o311ai_1 g619689 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [18]),
+	.A2(n_17063),
+	.A3(n_17181),
+	.B1(n_17215),
+	.C1(n_17214),
+	.Y(n_34101), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g619690 (
+	.A1(n_17076),
+	.A2(n_17212),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [17]),
+	.B2(n_17200),
+	.C1(n_17210),
+	.Y(n_17232), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o31ai_1 g619691 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [16]),
+	.A2(n_17067),
+	.A3(n_17200),
+	.B1(n_17222),
+	.Y(n_17231), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g619692 (
+	.A1(n_17092),
+	.A2(n_34337),
+	.B1(n_17223),
+	.Y(n_17230), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g619693 (
+	.A1_N(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [2]),
+	.A2_N(n_144),
+	.B1(n_17174),
+	.B2(n_17195),
+	.Y(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g619694 (
+	.A(n_17225),
+	.B(n_35701),
+	.Y(n_35695), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g619695 (
+	.A(n_17202),
+	.Y(n_17229), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g619696 (
+	.A(n_17227),
+	.Y(n_17226), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g619697 (
+	.A(n_35698),
+	.B(n_35700),
+	.Y(n_17225), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g619698 (
+	.A(n_17221),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_n_2294),
+	.Y(n_34731), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g619699 (
+	.A(n_17219),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [6]),
+	.Y(n_17228), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g619700 (
+	.A(n_17110),
+	.B(n_34337),
+	.Y(n_17227), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g619702 (
+	.A(n_17100),
+	.B(n_17043),
+	.C(n_17142),
+	.D(n_17220),
+	.Y(n_17222), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g619703 (
+	.A_N(n_17212),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [14]),
+	.C(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [13]),
+	.Y(n_17224), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g619704 (
+	.A(n_17221),
+	.B(n_17124),
+	.Y(n_34728), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g619706 (
+	.A(n_17044),
+	.B(n_17092),
+	.C(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [1]),
+	.Y(n_17223), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g619707 (
+	.A(n_17219),
+	.Y(n_17218), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g619708 (
+	.A(n_17044),
+	.Y(n_34337), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g619709 (
+	.A1(n_17149),
+	.A2(n_43237),
+	.B1(n_17202),
+	.Y(n_17217), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g619710 (
+	.A(n_17197),
+	.B(n_17198),
+	.Y(n_17216), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g619711 (
+	.A(n_17201),
+	.B(n_17091),
+	.Y(n_17215), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g619712 (
+	.A1(n_17137),
+	.A2(n_17179),
+	.B1(n_17199),
+	.Y(n_17221), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o31ai_1 g619713 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [20]),
+	.A2(n_17068),
+	.A3(n_17047),
+	.B1(n_17205),
+	.Y(n_17220), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g619714 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [7]),
+	.B(n_17203),
+	.Y(n_17219), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g619716 (
+	.A1(n_17153),
+	.A2(n_36891),
+	.B1(n_17131),
+	.Y(n_17211), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g619717 (
+	.A(n_17166),
+	.B(n_17142),
+	.C(n_17191),
+	.D(n_17204),
+	.Y(n_17210), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a311o_1 g619718 (
+	.A1(n_17189),
+	.A2(n_17057),
+	.A3(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [17]),
+	.B1(n_17163),
+	.C1(n_17190),
+	.X(n_17209), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g619719 (
+	.A1(n_17080),
+	.A2(n_17188),
+	.B1(n_17063),
+	.B2(n_17176),
+	.Y(n_17208), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32oi_1 g619720 (
+	.A1(n_17187),
+	.A2(n_17054),
+	.A3(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [10]),
+	.B1(n_17189),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [18]),
+	.Y(n_17207), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g619721 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [15]),
+	.B(n_17091),
+	.C(n_17200),
+	.X(n_17214), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g619722 (
+	.A1(n_17102),
+	.A2(n_17186),
+	.B1(n_17198),
+	.Y(n_17213), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g619723 (
+	.A_N(n_17091),
+	.B(n_17201),
+	.C(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [15]),
+	.Y(n_17212), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g619724 (
+	.A(n_17204),
+	.Y(n_17205), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g619725 (
+	.A(n_17201),
+	.Y(n_17200), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g619726 (
+	.A1(n_34725),
+	.A2(n_17046),
+	.B1(n_17184),
+	.Y(n_17199), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g619727 (
+	.A1(n_17041),
+	.A2(n_17176),
+	.B1(n_17182),
+	.Y(n_17206), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g619728 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [19]),
+	.B(n_17181),
+	.Y(n_17204), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g619729 (
+	.A(n_17187),
+	.B(n_17134),
+	.Y(n_17203), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g619730 (
+	.A(n_43237),
+	.B(n_17149),
+	.Y(n_17202), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g619731 (
+	.A(n_17057),
+	.B(n_17063),
+	.C(n_17181),
+	.Y(n_17201), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g619732 (
+	.A(n_17197),
+	.Y(n_17196), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g619733 (
+	.A(n_17177),
+	.B(n_17171),
+	.Y(n_17195), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g619734 (
+	.A1(n_17125),
+	.A2(n_17176),
+	.B1(n_17183),
+	.Y(n_17194), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4b_1 g619735 (
+	.A_N(n_17169),
+	.B(n_17168),
+	.C(n_17143),
+	.D(n_17138),
+	.Y(n_17193), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g619736 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [11]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [10]),
+	.C(n_17066),
+	.D(n_17186),
+	.Y(n_17192), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g619737 (
+	.A1(n_17177),
+	.A2(n_17154),
+	.B1(n_17155),
+	.Y(n_35698), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g619738 (
+	.A(n_17187),
+	.B(n_17102),
+	.C(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [8]),
+	.Y(n_17198), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g619739 (
+	.A(n_17189),
+	.B(n_17080),
+	.C(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [16]),
+	.Y(n_17197), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g619740 (
+	.A(n_17189),
+	.Y(n_17188), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g619741 (
+	.A(n_17187),
+	.Y(n_17186), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g619742 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_n_10656 ),
+	.B(brqrv_top_brqrv_ifu_ifu_bp_poffset_f[11]),
+	.Y(n_17185), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g619743 (
+	.A1(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[3]),
+	.A2(n_17136),
+	.B1(n_17179),
+	.Y(n_17184), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g619744 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [21]),
+	.B(n_17047),
+	.Y(n_17191), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o41ai_1 g619745 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [23]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [22]),
+	.A3(n_17068),
+	.A4(n_17141),
+	.B1(n_17168),
+	.Y(n_17190), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g619746 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [19]),
+	.B(n_17176),
+	.Y(n_17189), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g619747 (
+	.A(n_17178),
+	.B(n_17176),
+	.Y(n_17187), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211o_1 g619748 (
+	.A1(n_17128),
+	.A2(n_17088),
+	.B1(n_17116),
+	.C1(n_17142),
+	.X(n_17180), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g619750 (
+	.A(n_17175),
+	.B(n_17045),
+	.C(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [14]),
+	.Y(n_17183), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g619751 (
+	.A(n_17175),
+	.B(n_17146),
+	.C(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [12]),
+	.Y(n_17182), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g619752 (
+	.A(n_17164),
+	.B(n_35472),
+	.Y(n_35697), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g619753 (
+	.A_N(n_17047),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [21]),
+	.C(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [20]),
+	.Y(n_17181), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g619755 (
+	.A(n_17176),
+	.Y(n_17175), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g619756 (
+	.A(n_17174),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_10656 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g619759 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [22]),
+	.B(n_17147),
+	.Y(n_17172), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g619760 (
+	.A_N(n_17154),
+	.B(n_17155),
+	.Y(n_17171), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g619761 (
+	.A_N(n_17157),
+	.B(n_17158),
+	.Y(n_17170), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g619762 (
+	.A(n_17145),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[3]),
+	.Y(n_17179), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g619763 (
+	.A_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [12]),
+	.B(n_17146),
+	.Y(n_17178), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g619764 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bp_total_branch_offset_f [1]),
+	.B(brqrv_top_brqrv_ifu_ifu_bp_poffset_f[0]),
+	.Y(n_17177), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g619766 (
+	.A(n_17140),
+	.B(n_17111),
+	.Y(n_17176), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g619767 (
+	.A_N(n_33852),
+	.B(n_17159),
+	.Y(n_17174), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g619768 (
+	.A(n_33852),
+	.B(n_17159),
+	.Y(n_144), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g619769 (
+	.A1(n_17101),
+	.A2(n_17106),
+	.B1(n_17143),
+	.Y(n_17167), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g619770 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [25]),
+	.A2(n_17127),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [29]),
+	.B2(n_17087),
+	.Y(n_17166), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g619771 (
+	.A1(n_17090),
+	.A2(n_36890),
+	.B1(n_17152),
+	.Y(n_17165), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g619772 (
+	.A(n_35699),
+	.B(n_35112),
+	.Y(n_17164), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g619773 (
+	.A1(n_17096),
+	.A2(n_17106),
+	.B1(n_17086),
+	.B2(n_35282),
+	.C1(n_17143),
+	.Y(n_17163), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g619774 (
+	.A1(n_17132),
+	.A2(n_36892),
+	.B1(n_17148),
+	.Y(n_17162), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g619775 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [23]),
+	.B(n_17052),
+	.C(n_17141),
+	.Y(n_17169), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g619776 (
+	.A(n_17140),
+	.B(n_17093),
+	.C(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [20]),
+	.D(n_17052),
+	.Y(n_17168), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g619777 (
+	.A(n_35472),
+	.B(n_35122),
+	.Y(n_33856), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g619778 (
+	.A_N(n_17151),
+	.B(n_17150),
+	.Y(n_17161), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g619779 (
+	.A(n_17156),
+	.B(n_17144),
+	.X(n_17160), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g619780 (
+	.A(n_17152),
+	.Y(n_17153), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g619781 (
+	.A(n_17148),
+	.Y(n_17149), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g619782 (
+	.A_N(brqrv_top_brqrv_ifu_ifu_bp_poffset_f[2]),
+	.B(n_17121),
+	.Y(n_35700), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g619783 (
+	.A_N(n_33850),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [0]),
+	.Y(n_17159), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g619784 (
+	.A(n_17119),
+	.B(n_17062),
+	.Y(n_17158), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g619785 (
+	.A(n_17062),
+	.B(n_17119),
+	.Y(n_17157), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g619786 (
+	.A_N(brqrv_top_brqrv_ifu_ifu_bp_poffset_f[4]),
+	.B(n_17129),
+	.Y(n_35122), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g619787 (
+	.A(n_35827),
+	.B(brqrv_top_brqrv_ifu_ifu_bp_poffset_f[3]),
+	.Y(n_35272), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g619788 (
+	.A(n_17130),
+	.B_N(brqrv_top_brqrv_ifu_ifu_bp_poffset_f[9]),
+	.Y(n_17156), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g619789 (
+	.A_N(brqrv_top_brqrv_ifu_ifu_bp_poffset_f[3]),
+	.B(n_17122),
+	.Y(n_35390), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g619790 (
+	.A(n_35826),
+	.B(brqrv_top_brqrv_ifu_ifu_bp_poffset_f[2]),
+	.Y(n_35701), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g619791 (
+	.A(n_35828),
+	.B(brqrv_top_brqrv_ifu_ifu_bp_poffset_f[4]),
+	.Y(n_35699), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g619792 (
+	.A(n_35825),
+	.B(brqrv_top_brqrv_ifu_ifu_bp_poffset_f[1]),
+	.Y(n_17155), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g619793 (
+	.A(brqrv_top_brqrv_ifu_ifu_bp_poffset_f[1]),
+	.B(n_35825),
+	.Y(n_17154), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g619794 (
+	.A_N(brqrv_top_brqrv_ifu_ifu_bp_poffset_f[10]),
+	.B(n_17120),
+	.Y(n_35470), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g619795 (
+	.A(n_36890),
+	.B(n_17090),
+	.Y(n_17152), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g619796 (
+	.A(brqrv_top_brqrv_ifu_ifu_bp_poffset_f[7]),
+	.B(n_35831),
+	.Y(n_17151), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g619797 (
+	.A_N(brqrv_top_brqrv_ifu_ifu_bp_poffset_f[6]),
+	.B(n_17133),
+	.Y(n_35469), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g619798 (
+	.A(n_35831),
+	.B(brqrv_top_brqrv_ifu_ifu_bp_poffset_f[7]),
+	.Y(n_17150), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g619799 (
+	.A(n_36892),
+	.B(n_17132),
+	.Y(n_17148), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g619802 (
+	.A(n_17141),
+	.Y(n_17140), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4b_1 g619803 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [0]),
+	.B(n_17110),
+	.C(n_17126),
+	.D_N(n_17086),
+	.Y(n_17139), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o32a_1 g619804 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [27]),
+	.A2(n_17064),
+	.A3(n_17106),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [31]),
+	.B2(n_17099),
+	.X(n_17138), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g619807 (
+	.A(n_17105),
+	.B(n_17094),
+	.C(n_35052),
+	.X(n_17137), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_0 g619808 (
+	.A(n_17114),
+	.B(n_17115),
+	.X(n_17136), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g619809 (
+	.A_N(n_17088),
+	.B(n_17128),
+	.C(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [23]),
+	.Y(n_17147), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g619810 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [13]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [14]),
+	.C_N(n_17045),
+	.Y(n_17146), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g619811 (
+	.A(n_17118),
+	.B(n_17117),
+	.Y(n_17145), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g619812 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bloc_f [1]),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_btb_sel_data_f [4]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_bp_total_branch_offset_f [1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g619813 (
+	.A(n_35830),
+	.B(brqrv_top_brqrv_ifu_ifu_bp_poffset_f[6]),
+	.Y(n_35110), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g619814 (
+	.A(n_35829),
+	.B(brqrv_top_brqrv_ifu_ifu_bp_poffset_f[5]),
+	.Y(n_35112), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g619815 (
+	.A(n_35834),
+	.B(brqrv_top_brqrv_ifu_ifu_bp_poffset_f[10]),
+	.Y(n_35111), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g619816 (
+	.A(brqrv_top_brqrv_ifu_ifu_bp_poffset_f[9]),
+	.B(n_35833),
+	.Y(n_17144), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g619817 (
+	.A_N(brqrv_top_brqrv_ifu_ifu_bp_poffset_f[5]),
+	.B(n_17123),
+	.Y(n_35472), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g619818 (
+	.A(n_17107),
+	.B(n_17101),
+	.C(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [24]),
+	.Y(n_17143), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g619819 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [23]),
+	.B(n_17088),
+	.C(n_17127),
+	.Y(n_17142), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g619820 (
+	.A(n_17135),
+	.B(n_17107),
+	.Y(n_17141), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g619821 (
+	.A(n_17133),
+	.Y(n_35830), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g619822 (
+	.A(n_17132),
+	.Y(n_17131), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g619823 (
+	.A(n_17130),
+	.Y(n_35833), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g619824 (
+	.A(n_17129),
+	.Y(n_35828), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g619825 (
+	.A(n_17128),
+	.Y(n_17127), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g619826 (
+	.A(n_17111),
+	.B(n_17103),
+	.Y(n_17126), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g619827 (
+	.A(n_43176),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [15]),
+	.Y(n_17125), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g619828 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_n_3477),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_n_3474),
+	.Y(n_17124), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g619829 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [24]),
+	.B_N(n_17101),
+	.Y(n_17135), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g619830 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [8]),
+	.B_N(n_17102),
+	.Y(n_17134), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g619831 (
+	.A(n_17104),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_btb_sel_data_f [1]),
+	.Y(n_33850), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g619833 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [7]),
+	.A2(n_10836),
+	.B1(n_10383),
+	.B2(n_43573),
+	.C1(n_42952),
+	.C2(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[7]),
+	.Y(n_17133), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g619834 (
+	.A(n_17095),
+	.B(n_17097),
+	.Y(n_17132), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g619835 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [10]),
+	.A2(n_10836),
+	.B1(n_10383),
+	.B2(n_42475),
+	.C1(n_42952),
+	.C2(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[10]),
+	.Y(n_17130), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g619836 (
+	.A(n_35125),
+	.B(n_17082),
+	.Y(n_33852), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g619837 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [5]),
+	.A2(n_10836),
+	.B1(n_10383),
+	.B2(n_43575),
+	.C1(n_42952),
+	.C2(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[5]),
+	.Y(n_17129), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g619838 (
+	.A(n_17064),
+	.B(n_17053),
+	.C(n_17108),
+	.Y(n_17128), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g619839 (
+	.A(n_17113),
+	.Y(n_35832), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g619840 (
+	.A(n_17112),
+	.Y(n_35831), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g619841 (
+	.A(n_17123),
+	.Y(n_35829), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g619842 (
+	.A(n_17122),
+	.Y(n_35827), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g619843 (
+	.A(n_17121),
+	.Y(n_35826), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g619844 (
+	.A(n_17120),
+	.Y(n_35834), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g619845 (
+	.A(n_17119),
+	.Y(n_35835), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g619846 (
+	.A1(n_16592),
+	.A2(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_valid[1]),
+	.B1(n_42946),
+	.B2(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_valid[5]),
+	.Y(n_17118), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g619847 (
+	.A1(n_17079),
+	.A2(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_valid[3]),
+	.B1(n_16590),
+	.B2(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_valid[7]),
+	.Y(n_17117), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g619848 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [26]),
+	.B(n_17053),
+	.C(n_17108),
+	.Y(n_17116), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g619849 (
+	.A1(n_17079),
+	.A2(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_valid[2]),
+	.B1(n_16590),
+	.B2(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_valid[6]),
+	.Y(n_17115), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g619850 (
+	.A1(n_16592),
+	.A2(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_valid[0]),
+	.B1(n_42946),
+	.B2(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_valid[4]),
+	.Y(n_17114), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g619851 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [9]),
+	.A2(n_10836),
+	.B1(n_10383),
+	.B2(n_42474),
+	.C1(n_42952),
+	.C2(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[9]),
+	.Y(n_17113), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g619852 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [8]),
+	.A2(n_10836),
+	.B1(n_10383),
+	.B2(n_43577),
+	.C1(n_42952),
+	.C2(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[8]),
+	.Y(n_17112), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g619853 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [6]),
+	.A2(n_10836),
+	.B1(n_10383),
+	.B2(n_42476),
+	.C1(n_42952),
+	.C2(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[6]),
+	.Y(n_17123), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g619854 (
+	.A1(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[2]),
+	.A2(n_33853),
+	.B1(n_17074),
+	.C1(n_17081),
+	.Y(n_35825), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g619855 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [4]),
+	.A2(n_10836),
+	.B1(n_10383),
+	.B2(n_36923),
+	.C1(n_42952),
+	.C2(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[4]),
+	.Y(n_17122), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g619856 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [3]),
+	.A2(n_10836),
+	.B1(n_10383),
+	.B2(n_36920),
+	.C1(n_42952),
+	.C2(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[3]),
+	.Y(n_17121), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g619857 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [11]),
+	.A2(n_10836),
+	.B1(n_10383),
+	.B2(n_43571),
+	.C1(n_42952),
+	.C2(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[11]),
+	.Y(n_17120), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g619858 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [12]),
+	.A2(n_10836),
+	.B1(n_10383),
+	.B2(n_36925),
+	.C1(n_42952),
+	.C2(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[12]),
+	.Y(n_17119), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g619860 (
+	.A(n_17107),
+	.Y(n_17106), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g619861 (
+	.A(n_16592),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_valid[2]),
+	.Y(n_17105), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g619862 (
+	.A1(n_33849),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.sv2v_tmp_BEF15 [2]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.sv2v_tmp_F09CF [2]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_btb_sel_data_f [1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g619863 (
+	.A1(n_11384),
+	.A2(brqrv_top_brqrv_ifu_mem_ctl_miss_state[0]),
+	.B1(brqrv_top_brqrv_ifu_mem_ctl_miss_pending),
+	.Y(brqrv_top_brqrv_ifu_mem_ctl_n_1839), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g619864 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_miss_state[1]),
+	.B(n_34738),
+	.X(brqrv_top_brqrv_ifu_mem_ctl_n_3474), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g619865 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [20]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [22]),
+	.C_N(n_17093),
+	.Y(n_17111), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g619866 (
+	.A_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [1]),
+	.B(n_17092),
+	.Y(n_17110), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g619868 (
+	.A(n_17077),
+	.B(n_33849),
+	.Y(n_35125), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g619869 (
+	.A_N(n_17087),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [29]),
+	.C(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [28]),
+	.Y(n_17108), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g619870 (
+	.A1(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[1]),
+	.A2(n_17058),
+	.B1(n_35389),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_bloc_f [1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g619871 (
+	.A(n_35282),
+	.B_N(n_17086),
+	.Y(n_17107), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g619874 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [28]),
+	.B(n_17065),
+	.C(n_17087),
+	.Y(n_17100), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g619875 (
+	.A1(n_17065),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [28]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [30]),
+	.Y(n_17099), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g619876 (
+	.A1(n_36889),
+	.A2(n_36888),
+	.B1(n_17089),
+	.Y(n_17098), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g619877 (
+	.A(n_17075),
+	.B(n_17042),
+	.Y(n_17097), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g619878 (
+	.A(n_17053),
+	.B(n_17064),
+	.C(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [25]),
+	.Y(n_17096), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g619879 (
+	.A(n_17073),
+	.B(n_17072),
+	.Y(n_17095), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g619880 (
+	.A1(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[5]),
+	.A2(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_valid[6]),
+	.B1(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[4]),
+	.B2(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_valid[4]),
+	.Y(n_17094), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g619881 (
+	.A1(n_33849),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.sv2v_tmp_BEF15 [3]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.sv2v_tmp_F09CF [3]),
+	.Y(n_17104), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g619882 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [6]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [5]),
+	.C(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [4]),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [7]),
+	.Y(n_17103), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g619883 (
+	.A1_N(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[6]),
+	.A2_N(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[6]),
+	.B1(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[6]),
+	.B2(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[6]),
+	.Y(brqrv_top_brqrv_ifu_mem_ctl_n_2294), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g619884 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [9]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [10]),
+	.C(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [11]),
+	.Y(n_17102), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g619885 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [25]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [26]),
+	.C(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [27]),
+	.Y(n_17101), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g619886 (
+	.A(n_17089),
+	.Y(n_17090), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g619888 (
+	.A(n_35052),
+	.Y(n_16590), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g619890 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_leak_one_f_d1 ),
+	.B(brqrv_top_brqrv_dec_tlu_bpred_disable),
+	.Y(n_17082), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g619891 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_miss_pending),
+	.B_N(brqrv_top_brqrv_ifu_mem_ctl_reset_ic_ff),
+	.Y(n_294487_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g619892 (
+	.A(n_42952),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[2]),
+	.Y(n_17081), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g619893 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_n_2292),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_n_2291),
+	.Y(n_34725), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g619894 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [21]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [23]),
+	.Y(n_17093), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g619895 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [2]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [3]),
+	.Y(n_17092), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g619896 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [31]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [30]),
+	.X(n_35282), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g619897 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [17]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [16]),
+	.Y(n_17091), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g619898 (
+	.A(n_36888),
+	.B(n_36889),
+	.Y(n_17089), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g619899 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [25]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [24]),
+	.Y(n_17088), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g619900 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [30]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [31]),
+	.Y(n_17087), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g619901 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [28]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [29]),
+	.Y(n_17086), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g619902 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[4]),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[5]),
+	.Y(n_16592), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g619903 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[5]),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[4]),
+	.Y(n_35052), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g619904 (
+	.A(n_17079),
+	.Y(n_34732), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g619906 (
+	.A(brqrv_top_brqrv_ifu_ifu_bp_hist1_f[1]),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_valid[1] ),
+	.Y(n_17077), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g619907 (
+	.A_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [13]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [14]),
+	.Y(n_17076), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g619908 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_ifu_bus_arvalid_ff),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_miss_pending),
+	.Y(n_35180), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g619910 (
+	.A_N(n_43238),
+	.B(n_35447),
+	.Y(n_17075), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g619911 (
+	.A(n_10836),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [2]),
+	.Y(n_17074), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g619912 (
+	.A_N(n_43239),
+	.B(n_35105),
+	.Y(n_17073), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g619913 (
+	.A(n_35103),
+	.B(n_35445),
+	.Y(n_17072), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g619914 (
+	.A_N(n_32502),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_ifc_fetch_req_f_raw),
+	.Y(n_35658), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g619915 (
+	.A_N(n_33900),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_miss_state[0]),
+	.Y(brqrv_top_brqrv_ifu_mem_ctl_n_3477), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g619916 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_ifc_iccm_access_f),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_ifc_region_acc_fault_final_f),
+	.X(n_35657), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g619917 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [17]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [18]),
+	.Y(n_17080), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g619918 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[5]),
+	.B(n_17056),
+	.Y(n_17079), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g619920 (
+	.A_N(brqrv_top_brqrv_ifu_mem_ctl_miss_state[0]),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_miss_state[2]),
+	.Y(n_34738), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g619924 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [21]),
+	.Y(n_17068), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g619925 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [17]),
+	.Y(n_17067), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g619926 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [9]),
+	.Y(n_17066), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g619928 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [29]),
+	.Y(n_17065), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g619929 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [26]),
+	.Y(n_17064), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g619930 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [19]),
+	.Y(n_17063), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g619931 (
+	.A(brqrv_top_brqrv_ifu_ifu_bp_poffset_f[11]),
+	.Y(n_17062), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g619932 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_control_ff [2]),
+	.Y(n_17061), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g619937 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [18]),
+	.Y(n_17057), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g619938 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[4]),
+	.Y(n_17056), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g619940 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [7]),
+	.Y(n_17055), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g619941 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [11]),
+	.Y(n_17054), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g619942 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [27]),
+	.Y(n_17053), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g619943 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [22]),
+	.Y(n_17052), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g619944 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [5]),
+	.Y(n_17051), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g890837 (
+	.A(n_17161),
+	.B(n_17266),
+	.X(n_17049), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g619947 (
+	.A_N(n_17252),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [5]),
+	.C(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [4]),
+	.Y(n_34202), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g619948 (
+	.A_N(n_17224),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [12]),
+	.Y(n_17048), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4b_1 g619949 (
+	.A_N(n_17178),
+	.B(n_17139),
+	.C(n_17134),
+	.D(n_17135),
+	.Y(n_35224), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g619950 (
+	.A_N(n_17147),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [22]),
+	.Y(n_17047), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g619951 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[3]),
+	.B_N(n_17145),
+	.Y(n_17046), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g619952 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [15]),
+	.B_N(n_43176),
+	.Y(n_17045), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g619953 (
+	.A(n_17203),
+	.B_N(n_17103),
+	.Y(n_17044), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g619954 (
+	.A(n_17127),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [24]),
+	.C_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [25]),
+	.Y(n_17043), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g619955 (
+	.A(n_35446),
+	.B(n_35443),
+	.X(n_17042), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g619956 (
+	.A_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [14]),
+	.B(n_17045),
+	.C(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [13]),
+	.Y(n_17041), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4b_1 g619957 (
+	.A_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [6]),
+	.B(n_17219),
+	.C(n_17051),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [4]),
+	.Y(n_35191), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g890838 (
+	.A(n_17039),
+	.B(n_17033),
+	.Y(brqrv_top_brqrv_lsu_lsu_lsc_ctl_rs1_d[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g890839 (
+	.A(n_17038),
+	.B(n_17031),
+	.Y(brqrv_top_brqrv_lsu_lsu_lsc_ctl_rs1_d[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g890840 (
+	.A(n_17040),
+	.B(n_17034),
+	.Y(brqrv_top_brqrv_lsu_lsu_lsc_ctl_rs1_d[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g890841 (
+	.A1(n_8138),
+	.A2(n_9841),
+	.B1(n_17036),
+	.Y(n_17040), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g890842 (
+	.A1(n_35984),
+	.A2(n_9841),
+	.B1(n_17037),
+	.Y(n_17039), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g890843 (
+	.A1(n_9819),
+	.A2(n_9841),
+	.B1(n_17035),
+	.Y(n_17038), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g890844 (
+	.A1(n_17026),
+	.A2(n_34059),
+	.B1(n_17030),
+	.B2(n_34055),
+	.C1(n_17032),
+	.Y(n_17037), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g890845 (
+	.A1(n_17027),
+	.A2(n_34059),
+	.B1(n_17029),
+	.B2(n_34055),
+	.C1(n_17032),
+	.Y(n_17036), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g890846 (
+	.A1(n_17025),
+	.A2(n_34059),
+	.B1(n_17024),
+	.B2(n_34055),
+	.C1(n_33973),
+	.Y(n_17035), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g890847 (
+	.A(brqrv_top_brqrv_exu_n_914),
+	.B(n_9980),
+	.Y(n_17034), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g890848 (
+	.A(n_10027),
+	.B(n_9980),
+	.Y(n_17033), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g890849 (
+	.A(brqrv_top_brqrv_exu_n_915),
+	.B(n_9980),
+	.Y(n_17031), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g890850 (
+	.A_N(brqrv_top_brqrv_dec_lsu_valid_raw_d),
+	.B(n_36819),
+	.Y(n_33973), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g890851 (
+	.A_N(brqrv_top_brqrv_dec_lsu_valid_raw_d),
+	.B(n_36818),
+	.Y(n_17032), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g890852 (
+	.A(brqrv_top_brqrv_dec_tlu_meihap[30]),
+	.Y(n_17030), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g890853 (
+	.A(brqrv_top_brqrv_dec_tlu_meihap[28]),
+	.Y(n_17029), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g890855 (
+	.A(n_35953),
+	.Y(n_17027), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g890856 (
+	.A(n_35955),
+	.Y(n_17026), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g890857 (
+	.A(n_35954),
+	.Y(n_17025), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g890858 (
+	.A(brqrv_top_brqrv_dec_tlu_meihap[29]),
+	.Y(n_17024), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g614851 (
+	.A(n_17021),
+	.B(n_16977),
+	.Y(n_37983), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g614852 (
+	.A(n_17022),
+	.B(n_16985),
+	.Y(n_37978), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_2 g614853 (
+	.A(n_16983),
+	.B(n_17014),
+	.C(n_16984),
+	.Y(n_37977), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g614854 (
+	.A(n_17020),
+	.B(n_16980),
+	.Y(n_37982), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g614855 (
+	.A(n_17018),
+	.B(n_16982),
+	.Y(n_37976), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g614856 (
+	.A(n_17019),
+	.B(n_16978),
+	.Y(n_37975), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g614857 (
+	.A1(n_9841),
+	.A2(n_35961),
+	.B1_N(n_17015),
+	.Y(n_17022), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g614858 (
+	.A(n_43177),
+	.B(n_16986),
+	.Y(n_37981), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g614859 (
+	.A1(n_36243),
+	.A2(n_9817),
+	.B1(n_17017),
+	.X(n_17021), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g614860 (
+	.A1(n_35964),
+	.A2(n_9841),
+	.B1_N(n_17010),
+	.Y(n_17020), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g614861 (
+	.A1(n_9841),
+	.A2(n_35958),
+	.B1_N(n_17013),
+	.Y(n_17019), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g614862 (
+	.A1(n_9841),
+	.A2(n_35959),
+	.B1_N(n_17012),
+	.Y(n_17018), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g614863 (
+	.A(n_43178),
+	.B(n_16981),
+	.Y(n_37980), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g614864 (
+	.A(n_17009),
+	.B(n_16979),
+	.Y(n_37979), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g614865 (
+	.A1(n_35793),
+	.A2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_m_in[4]),
+	.B1(n_9886),
+	.B2(brqrv_top_brqrv_dec_tlu_meihap[11]),
+	.C1(n_9981),
+	.C2(n_35945),
+	.Y(n_17017), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g614867 (
+	.A1(n_35788),
+	.A2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_m_in[4]),
+	.B1(n_9886),
+	.B2(brqrv_top_brqrv_dec_tlu_meihap[6]),
+	.C1(n_9981),
+	.C2(n_35940),
+	.Y(n_17015), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g614868 (
+	.A1(n_35787),
+	.A2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_m_in[4]),
+	.B1(n_9886),
+	.B2(brqrv_top_brqrv_dec_tlu_meihap[5]),
+	.C1(n_9981),
+	.C2(n_35939),
+	.Y(n_17014), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g614869 (
+	.A1(n_36801),
+	.A2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_m_in[4]),
+	.B1(n_9886),
+	.B2(brqrv_top_brqrv_dec_tlu_meihap[3]),
+	.C1(n_9981),
+	.C2(n_35937),
+	.Y(n_17013), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g614870 (
+	.A1(n_35786),
+	.A2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_m_in[4]),
+	.B1(n_9886),
+	.B2(brqrv_top_brqrv_dec_tlu_meihap[4]),
+	.C1(n_9981),
+	.C2(n_35938),
+	.Y(n_17012), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g614872 (
+	.A1(n_35792),
+	.A2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_m_in[4]),
+	.B1(n_9886),
+	.B2(brqrv_top_brqrv_dec_tlu_meihap[10]),
+	.C1(n_9981),
+	.C2(n_35944),
+	.Y(n_17010), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g614873 (
+	.A1(n_33886),
+	.A2(n_36243),
+	.B1(n_17008),
+	.X(n_17009), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g614874 (
+	.A1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_m_in[4]),
+	.A2(n_35789),
+	.B1(n_9981),
+	.B2(n_35941),
+	.Y(n_17008), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g614877 (
+	.A(n_17005),
+	.B(n_16988),
+	.Y(n_35793), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g614878 (
+	.A(n_17004),
+	.B(n_16994),
+	.Y(n_35789), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g614879 (
+	.A(n_17003),
+	.B(n_16995),
+	.Y(n_35792), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g614880 (
+	.A(n_17002),
+	.B(n_16993),
+	.Y(n_35788), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g614881 (
+	.A(n_17001),
+	.B(n_16990),
+	.Y(n_35786), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g614882 (
+	.A(n_17000),
+	.B(n_16991),
+	.Y(n_35787), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g614883 (
+	.A(n_16999),
+	.B(n_16992),
+	.Y(n_35791), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g614884 (
+	.A(n_16998),
+	.B(n_16989),
+	.Y(n_35790), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g614885 (
+	.A(n_16997),
+	.B(n_16996),
+	.Y(n_36801), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g614886 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_addr[43]),
+	.A2(n_1671),
+	.B1(n_1887),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[139]),
+	.C1(n_1677),
+	.C2(brqrv_top_brqrv_dma_ctrl_n_633),
+	.Y(n_17005), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g614887 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_addr[135]),
+	.A2(n_1887),
+	.B1(n_29552),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[103]),
+	.C1(n_1671),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_addr[39]),
+	.Y(n_17004), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g614888 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_addr[74]),
+	.A2(n_1669),
+	.B1(n_29552),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[106]),
+	.C1(n_1677),
+	.C2(brqrv_top_brqrv_dma_ctrl_n_628),
+	.Y(n_17003), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g614889 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_addr[38]),
+	.A2(n_1671),
+	.B1(n_1677),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_608),
+	.C1(n_1887),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_addr[134]),
+	.Y(n_17002), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g614890 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_addr[68]),
+	.A2(n_1669),
+	.B1(n_1677),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_598),
+	.C1(n_29552),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_addr[100]),
+	.Y(n_17001), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g614891 (
+	.A1(brqrv_top_brqrv_dma_ctrl_n_603),
+	.A2(n_1677),
+	.B1(n_29552),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[101]),
+	.C1(n_1671),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_addr[37]),
+	.Y(n_17000), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g614892 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_addr[137]),
+	.A2(n_1887),
+	.B1(n_29552),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[105]),
+	.C1(n_1677),
+	.C2(brqrv_top_brqrv_dma_ctrl_n_623),
+	.Y(n_16999), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g614893 (
+	.A1(brqrv_top_brqrv_dma_ctrl_n_618),
+	.A2(n_1677),
+	.B1(n_29552),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[104]),
+	.C1(n_1669),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_addr[72]),
+	.Y(n_16998), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g614894 (
+	.A1(brqrv_top_brqrv_dma_ctrl_n_593),
+	.A2(n_1677),
+	.B1(n_29552),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[99]),
+	.C1(n_1671),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_addr[35]),
+	.Y(n_16997), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g614895 (
+	.A1(n_16969),
+	.A2(n_34058),
+	.B1(n_16970),
+	.B2(n_34056),
+	.Y(n_37965), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g614896 (
+	.A(n_16987),
+	.B(brqrv_top_brqrv_dec_i0_predict_p_d[42]),
+	.Y(n_33887), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g614898 (
+	.A(n_16987),
+	.B(brqrv_top_brqrv_dec_i0_predict_p_d[46]),
+	.Y(n_33890), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g614899 (
+	.A(n_16987),
+	.B(brqrv_top_brqrv_dec_i0_predict_p_d[47]),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_79), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g614900 (
+	.A(n_16987),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[31]),
+	.Y(n_33899), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g614901 (
+	.A(n_16987),
+	.B(brqrv_top_brqrv_dec_i0_predict_p_d[45]),
+	.Y(n_33891), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g890860 (
+	.A1(n_16975),
+	.A2(n_34058),
+	.B1(n_16971),
+	.B2(n_34056),
+	.Y(n_37964), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g890861 (
+	.A(n_16987),
+	.B(brqrv_top_brqrv_dec_i0_predict_p_d[43]),
+	.Y(n_33992), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g890862 (
+	.A1(n_1669),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_addr[67]),
+	.B1(n_1887),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[131]),
+	.Y(n_16996), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g890863 (
+	.A1(n_1671),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_addr[42]),
+	.B1(n_1887),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[138]),
+	.Y(n_16995), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g890864 (
+	.A1(n_1669),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_addr[71]),
+	.B1(n_1677),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_613),
+	.Y(n_16994), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g890865 (
+	.A1(n_29552),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_addr[102]),
+	.B1(n_1669),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[70]),
+	.Y(n_16993), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g890866 (
+	.A1(n_1669),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_addr[73]),
+	.B1(n_1671),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[41]),
+	.Y(n_16992), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g890867 (
+	.A1(n_1669),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_addr[69]),
+	.B1(n_1887),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[133]),
+	.Y(n_16991), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g890868 (
+	.A1(n_1671),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_addr[36]),
+	.B1(n_1887),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[132]),
+	.Y(n_16990), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g890869 (
+	.A1(n_1671),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_addr[40]),
+	.B1(n_1887),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[136]),
+	.Y(n_16989), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g890870 (
+	.A1(n_29552),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_addr[107]),
+	.B1(n_1669),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[75]),
+	.Y(n_16988), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g890871 (
+	.A(brqrv_top_brqrv_exu_n_895),
+	.B(n_9980),
+	.Y(n_16986), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g890872 (
+	.A(n_9980),
+	.B(brqrv_top_brqrv_exu_n_892),
+	.Y(n_16985), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g890873 (
+	.A(n_9841),
+	.B(n_35960),
+	.Y(n_16984), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g890874 (
+	.A(n_9980),
+	.B(n_9988),
+	.Y(n_16983), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g890875 (
+	.A(n_34034),
+	.B_N(n_35536),
+	.Y(n_16987), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g890876 (
+	.A(n_9980),
+	.B(brqrv_top_brqrv_exu_n_890),
+	.Y(n_16982), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g890877 (
+	.A(brqrv_top_brqrv_exu_n_894),
+	.B(n_9980),
+	.Y(n_16981), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g890878 (
+	.A(brqrv_top_brqrv_exu_n_896),
+	.B(n_9980),
+	.Y(n_16980), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g890879 (
+	.A(n_9980),
+	.B(brqrv_top_brqrv_exu_n_893),
+	.Y(n_16979), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g890880 (
+	.A(n_9980),
+	.B(brqrv_top_brqrv_exu_n_889),
+	.Y(n_16978), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g890881 (
+	.A(n_9980),
+	.B(brqrv_top_brqrv_exu_n_897),
+	.Y(n_16977), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g890883 (
+	.A(n_32409),
+	.Y(n_16975), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g890895 (
+	.A(n_36243),
+	.Y(n_9841), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_4 g614476 (
+	.A(n_16961),
+	.B(n_16960),
+	.Y(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g614477 (
+	.A(n_16959),
+	.B(n_16955),
+	.C(n_16948),
+	.Y(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g890897 (
+	.A1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_n_4),
+	.A2(n_16957),
+	.B1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_rs1_d[30]),
+	.Y(n_16961), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_2 g890898 (
+	.A1(n_8046),
+	.A2(n_16943),
+	.B1_N(n_16947),
+	.Y(n_16960), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g890899 (
+	.A1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_n_4),
+	.A2(n_16952),
+	.B1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_rs1_d[29]),
+	.Y(n_16959), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_4 g890900 (
+	.A(n_16956),
+	.B(n_43179),
+	.Y(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g890901 (
+	.A(n_33988),
+	.Y(n_8046), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g890902 (
+	.A(n_16953),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_n_88),
+	.Y(n_16957), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g890903 (
+	.A(n_16953),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_65),
+	.Y(n_33988), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g890904 (
+	.A1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_n_4),
+	.A2(n_16951),
+	.B1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_rs1_d[28]),
+	.Y(n_16956), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g890905 (
+	.A(n_16943),
+	.B(n_16953),
+	.Y(n_16955), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_2 g890907 (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_rs1_d[29]),
+	.B(n_16949),
+	.Y(n_16953), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g890908 (
+	.A(n_16950),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_n_88),
+	.Y(n_16952), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g890909 (
+	.A(n_16946),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_n_88),
+	.Y(n_16951), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g890910 (
+	.A(n_16949),
+	.Y(n_16950), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g890911 (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_n_26),
+	.B(n_36867),
+	.Y(n_16948), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g890912 (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_n_26),
+	.B(n_36868),
+	.Y(n_16947), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g890913 (
+	.A(n_16946),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_56),
+	.Y(n_16949), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g890914 (
+	.A(n_34035),
+	.Y(n_16946), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__bufinv_8 g890917 (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_n_88),
+	.Y(n_16943), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g890920 (
+	.A(n_16937),
+	.B(n_16939),
+	.Y(n_354407_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g890921 (
+	.A(n_16938),
+	.B(n_16936),
+	.Y(n_16941), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g890922 (
+	.A1(n_16920),
+	.A2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[29]),
+	.B1(n_42021),
+	.C1(n_16934),
+	.Y(n_295856_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g890923 (
+	.A1(n_16925),
+	.A2(n_16928),
+	.B1(n_16924),
+	.B2(n_16929),
+	.C1(n_16935),
+	.Y(n_16940), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g890924 (
+	.A1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[28]),
+	.A2(n_16927),
+	.B1(n_16925),
+	.B2(n_16931),
+	.C1(n_16932),
+	.Y(n_16939), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32oi_1 g890925 (
+	.A1(n_16922),
+	.A2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[28]),
+	.A3(brqrv_top_brqrv_dec_tlu_mrac_ff_0[7]),
+	.B1(n_16933),
+	.B2(brqrv_top_brqrv_dec_tlu_mrac_ff_0[9]),
+	.Y(n_16938), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g890926 (
+	.A1(n_16933),
+	.A2(brqrv_top_brqrv_dec_tlu_mrac_ff_0[25]),
+	.B1(n_16922),
+	.B2(brqrv_top_brqrv_dec_tlu_mrac_ff_0[23]),
+	.X(n_16937), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g890927 (
+	.A_N(n_34325),
+	.B(brqrv_top_brqrv_dec_tlu_mrac_ff_0[5]),
+	.Y(n_16936), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g890928 (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[29]),
+	.B(n_16919),
+	.C(brqrv_top_brqrv_dec_tlu_mrac_ff_0[13]),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[30]),
+	.Y(n_16935), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g890929 (
+	.A1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[29]),
+	.A2(n_16920),
+	.B1(n_16926),
+	.Y(n_16934), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g890930 (
+	.A(n_16923),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[28]),
+	.C(brqrv_top_brqrv_dec_tlu_mrac_ff_0[19]),
+	.Y(n_16932), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g890931 (
+	.A1(n_16920),
+	.A2(brqrv_top_brqrv_dec_tlu_mrac_ff_0[27]),
+	.B1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[29]),
+	.B2(brqrv_top_brqrv_dec_tlu_mrac_ff_0[31]),
+	.Y(n_16931), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g890932 (
+	.A(n_16922),
+	.B(n_16919),
+	.Y(n_34325), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g890933 (
+	.A(n_16920),
+	.B(n_16919),
+	.C(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[30]),
+	.X(n_16933), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g890935 (
+	.A1(n_16919),
+	.A2(brqrv_top_brqrv_dec_tlu_mrac_ff_0[1]),
+	.B1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[28]),
+	.B2(brqrv_top_brqrv_dec_tlu_mrac_ff_0[3]),
+	.Y(n_16929), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g890936 (
+	.A1(brqrv_top_brqrv_dec_tlu_mrac_ff_0[11]),
+	.A2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[29]),
+	.B1(brqrv_top_brqrv_dec_tlu_mrac_ff_0[15]),
+	.B2(n_16920),
+	.Y(n_16928), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g890937 (
+	.A1(n_16921),
+	.A2(brqrv_top_brqrv_dec_tlu_mrac_ff_0[17]),
+	.B1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[29]),
+	.B2(brqrv_top_brqrv_dec_tlu_mrac_ff_0[29]),
+	.Y(n_16927), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g890938 (
+	.A(n_36612),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[28]),
+	.Y(n_16926), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g890941 (
+	.A(n_16923),
+	.Y(n_16924), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g890942 (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[28]),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[30]),
+	.Y(n_16925), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g890943 (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[30]),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[29]),
+	.Y(n_16923), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g890944 (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[30]),
+	.B(n_16920),
+	.Y(n_16922), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g890945 (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[30]),
+	.Y(n_16921), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g890946 (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[29]),
+	.Y(n_16920), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g890947 (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[28]),
+	.Y(n_16919), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g627997 (
+	.A(n_35222),
+	.B(n_35221),
+	.C(n_16916),
+	.D(n_35223),
+	.X(n_34221), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g627998 (
+	.A1(n_35220),
+	.A2(n_16908),
+	.B1(n_16918),
+	.Y(n_34114), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g627999 (
+	.A1(n_16917),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_adder7_out [36]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_control_ff [2]),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_adder7_out [36]),
+	.C1(n_16595),
+	.Y(n_35223), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g628000 (
+	.A_N(n_35221),
+	.B(n_16916),
+	.Y(n_16918), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g628001 (
+	.A(n_16913),
+	.B(n_37033),
+	.C(n_37034),
+	.D(n_37035),
+	.X(n_16917), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o41ai_1 g628002 (
+	.A1(n_16912),
+	.A2(n_37240),
+	.A3(n_37241),
+	.A4(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_adder4_out [36]),
+	.B1(n_16632),
+	.Y(n_35222), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g628003 (
+	.A1(n_16914),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_adder5_out [36]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_control_ff [2]),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_adder5_out [36]),
+	.C1(n_16596),
+	.Y(n_16916), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g628004 (
+	.A1(n_16915),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_adder6_out [36]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_control_ff [2]),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_adder6_out [36]),
+	.C1(n_16615),
+	.Y(n_35221), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g628005 (
+	.A(n_16911),
+	.B(n_37205),
+	.C(n_37206),
+	.D(n_37207),
+	.X(n_16915), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g628006 (
+	.A(n_16910),
+	.B(n_37069),
+	.C(n_37070),
+	.D(n_37071),
+	.X(n_16914), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g628007 (
+	.A(n_16909),
+	.B(n_37030),
+	.C(n_37031),
+	.D(n_37032),
+	.X(n_16913), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g628008 (
+	.A(n_16906),
+	.B(n_43647),
+	.C(n_43645),
+	.D(n_43643),
+	.X(n_16912), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o41ai_1 g628009 (
+	.A1(n_37138),
+	.A2(n_16902),
+	.A3(n_37139),
+	.A4(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_adder2_out [34]),
+	.B1(n_16631),
+	.Y(n_35220), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g628010 (
+	.A(n_37201),
+	.B(n_16905),
+	.C(n_43675),
+	.D(n_37204),
+	.X(n_16911), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g628011 (
+	.A(n_37065),
+	.B(n_16904),
+	.C(n_43673),
+	.D(n_43671),
+	.X(n_16910), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g628012 (
+	.A(n_16901),
+	.B(n_37027),
+	.C(n_37028),
+	.D(n_37029),
+	.X(n_16909), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g628013 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_adder1_out [33]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_control_ff [2]),
+	.B1(n_16907),
+	.Y(n_16908), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g628014 (
+	.A1(n_16903),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_control_ff [2]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_adder1_out [33]),
+	.Y(n_16907), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g628015 (
+	.A(n_16900),
+	.B(n_37234),
+	.C(n_37235),
+	.D(n_37236),
+	.X(n_16906), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g628016 (
+	.A(n_16899),
+	.B(n_37199),
+	.C(n_37200),
+	.D(n_43677),
+	.X(n_16905), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g628017 (
+	.A(n_16898),
+	.B(n_37063),
+	.C(n_37064),
+	.D(n_37066),
+	.X(n_16904), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g628018 (
+	.A(n_16897),
+	.B(n_37170),
+	.C(n_37171),
+	.D(n_37172),
+	.X(n_16903), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g628019 (
+	.A(n_16801),
+	.B(n_37136),
+	.C(n_16896),
+	.D(n_37137),
+	.X(n_16902), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g628020 (
+	.A(n_16895),
+	.B(n_37024),
+	.C(n_37025),
+	.D(n_37026),
+	.X(n_16901), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g628021 (
+	.A(n_16894),
+	.B(n_37231),
+	.C(n_37232),
+	.D(n_37233),
+	.X(n_16900), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g628022 (
+	.A(n_16892),
+	.B(n_37196),
+	.C(n_37197),
+	.D(n_37198),
+	.X(n_16899), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g628023 (
+	.A(n_16891),
+	.B(n_37060),
+	.C(n_37061),
+	.D(n_37062),
+	.X(n_16898), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g628024 (
+	.A(n_37168),
+	.B(n_16893),
+	.C(n_37169),
+	.X(n_16897), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g628025 (
+	.A(n_16890),
+	.B(n_43637),
+	.C(n_37134),
+	.D(n_37135),
+	.X(n_16896), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g628026 (
+	.A(n_16889),
+	.B(n_37021),
+	.C(n_37022),
+	.D(n_37023),
+	.X(n_16895), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g628027 (
+	.A(n_16887),
+	.B(n_37228),
+	.C(n_37229),
+	.D(n_37230),
+	.X(n_16894), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g628028 (
+	.A(n_16613),
+	.B(n_16888),
+	.C(n_16795),
+	.Y(n_16893), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g628029 (
+	.A(n_37193),
+	.B(n_16886),
+	.C(n_37194),
+	.D(n_37195),
+	.X(n_16892), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g628030 (
+	.A(n_37057),
+	.B(n_16885),
+	.C(n_37058),
+	.D(n_37059),
+	.X(n_16891), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g628031 (
+	.A(n_16883),
+	.B(n_37128),
+	.C(n_37129),
+	.D(n_43641),
+	.X(n_16890), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g628032 (
+	.A(n_16882),
+	.B(n_37018),
+	.C(n_37019),
+	.D(n_37020),
+	.X(n_16889), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g628033 (
+	.A(n_16880),
+	.B(n_37158),
+	.C(n_43635),
+	.D(n_37166),
+	.Y(n_16888), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g628034 (
+	.A(n_16879),
+	.B(n_43669),
+	.C(n_43667),
+	.D(n_43665),
+	.X(n_16887), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g628035 (
+	.A(n_16884),
+	.B(n_16640),
+	.Y(n_16886), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g628036 (
+	.A(n_37053),
+	.B(n_37054),
+	.C(n_37055),
+	.D(n_16881),
+	.X(n_16885), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g628037 (
+	.A(n_16878),
+	.B(n_16864),
+	.C(n_16853),
+	.D(n_16859),
+	.Y(brqrv_top_brqrv_dec_tlu_ce_int), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g628038 (
+	.A(n_16877),
+	.B(n_37187),
+	.C(n_37188),
+	.D(n_37192),
+	.Y(n_16884), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g628039 (
+	.A(n_16876),
+	.B(n_37121),
+	.C(n_37122),
+	.D(n_37124),
+	.X(n_16883), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g628040 (
+	.A(n_16875),
+	.B(n_37015),
+	.C(n_37016),
+	.D(n_37017),
+	.X(n_16882), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g628041 (
+	.A(n_16874),
+	.B(n_37051),
+	.C(n_37052),
+	.D(n_37056),
+	.X(n_16881), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g628042 (
+	.A(n_16873),
+	.B(n_37154),
+	.C(n_37155),
+	.D(n_37156),
+	.X(n_16880), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g628043 (
+	.A(n_16872),
+	.B(n_37222),
+	.C(n_37223),
+	.D(n_37224),
+	.X(n_16879), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111oi_0 g628044 (
+	.A1(n_16806),
+	.A2(n_16622),
+	.B1(n_16858),
+	.C1(n_16860),
+	.D1(n_16871),
+	.Y(n_16878), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g628045 (
+	.A(n_16870),
+	.B(n_37184),
+	.C(n_37185),
+	.D(n_37186),
+	.X(n_16877), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g628046 (
+	.A(n_37117),
+	.B(n_16867),
+	.C(n_37119),
+	.D(n_37120),
+	.X(n_16876), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g628047 (
+	.A(n_16865),
+	.B(n_37012),
+	.C(n_37013),
+	.D(n_37014),
+	.X(n_16875), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g628048 (
+	.A(n_16866),
+	.B(n_37048),
+	.C(n_37049),
+	.D(n_37050),
+	.X(n_16874), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211a_1 g628049 (
+	.A1(n_16647),
+	.A2(n_34671),
+	.B1(n_16862),
+	.C1(n_16863),
+	.X(brqrv_top_brqrv_pic_ctrl_inst_mexintpend_in), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g628050 (
+	.A(n_16861),
+	.B(n_37151),
+	.C(n_37152),
+	.D(n_37153),
+	.X(n_16873), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g628051 (
+	.A(n_37217),
+	.B(n_37219),
+	.C(n_16869),
+	.D(n_37221),
+	.X(n_16872), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g628052 (
+	.A1(brqrv_top_brqrv_dec_tlu_miccmect[30]),
+	.A2(n_16804),
+	.B1(n_16868),
+	.Y(n_16871), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g628053 (
+	.A(n_16847),
+	.B(n_37181),
+	.C(n_43681),
+	.D(n_37183),
+	.X(n_16870), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g628054 (
+	.A(n_37216),
+	.B(n_16846),
+	.C(n_37218),
+	.D(n_37220),
+	.X(n_16869), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g628055 (
+	.A(n_16789),
+	.B(n_16835),
+	.C(n_16851),
+	.D(n_16845),
+	.Y(n_16868), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g628056 (
+	.A(n_16848),
+	.B(n_37115),
+	.C(n_37116),
+	.D(n_37118),
+	.X(n_16867), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g628057 (
+	.A(n_16849),
+	.B(n_37045),
+	.C(n_37046),
+	.D(n_37047),
+	.X(n_16866), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g628058 (
+	.A(n_43180),
+	.B(n_37009),
+	.C(n_43685),
+	.D(n_37011),
+	.X(n_16865), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g628059 (
+	.A(n_16824),
+	.B(n_16823),
+	.C(n_16830),
+	.D(n_16857),
+	.Y(n_16864), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g628060 (
+	.A1_N(n_16647),
+	.A2_N(n_34671),
+	.B1(n_16856),
+	.B2(n_16855),
+	.Y(n_16863), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g628061 (
+	.A(n_34671),
+	.B(n_16854),
+	.C(n_16629),
+	.X(n_16862), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g628062 (
+	.A(n_16838),
+	.B(n_37148),
+	.C(n_37149),
+	.D(n_37150),
+	.X(n_16861), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g628063 (
+	.A1(brqrv_top_brqrv_dec_tlu_micect[31]),
+	.A2(n_16803),
+	.B1(n_16790),
+	.C1(n_16842),
+	.D1(n_16839),
+	.Y(n_16860), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g628064 (
+	.A1(n_16782),
+	.A2(brqrv_top_brqrv_dec_tlu_mdccmect[18]),
+	.B1(n_16730),
+	.B2(brqrv_top_brqrv_dec_tlu_mdccmect[17]),
+	.C1(n_16850),
+	.Y(n_16859), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g628065 (
+	.A1(brqrv_top_brqrv_dec_tlu_micect[30]),
+	.A2(n_16796),
+	.B1(n_16741),
+	.C1(n_16735),
+	.D1(n_16852),
+	.Y(n_16858), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g628066 (
+	.A1(n_16606),
+	.A2(n_16731),
+	.B1(brqrv_top_brqrv_dec_tlu_miccmect[31]),
+	.B2(n_16822),
+	.C1(n_16836),
+	.Y(n_16857), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g628067 (
+	.A1(n_16843),
+	.A2(n_16800),
+	.B1(n_35380),
+	.Y(n_16856), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g628068 (
+	.A1(n_16843),
+	.A2(n_16800),
+	.A3(n_35380),
+	.B1(n_16630),
+	.Y(n_16855), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o32ai_1 g628069 (
+	.A1(n_16829),
+	.A2(n_16794),
+	.A3(n_16821),
+	.B1(n_16646),
+	.B2(n_16642),
+	.Y(n_16854), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g628070 (
+	.A1(n_16619),
+	.A2(brqrv_top_brqrv_dec_tlu_mdccmect[25]),
+	.B1(n_16828),
+	.B2(n_16609),
+	.C1(n_16788),
+	.Y(n_16853), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g628071 (
+	.A1(n_16621),
+	.A2(brqrv_top_brqrv_dec_tlu_miccmect[25]),
+	.B1(n_16837),
+	.Y(n_16852), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g628072 (
+	.A1(n_16606),
+	.A2(n_16819),
+	.B1(brqrv_top_brqrv_dec_tlu_miccmect[31]),
+	.B2(n_16833),
+	.Y(n_16851), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g628073 (
+	.A1(n_16740),
+	.A2(brqrv_top_brqrv_dec_tlu_mdccmect[21]),
+	.B1(n_16774),
+	.B2(brqrv_top_brqrv_dec_tlu_mdccmect[22]),
+	.C1(n_16831),
+	.X(n_16850), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g628074 (
+	.A(n_16818),
+	.B(n_37042),
+	.C(n_37043),
+	.D(n_37044),
+	.X(n_16849), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g628075 (
+	.A(n_35224),
+	.B(n_16815),
+	.C(n_37113),
+	.D(n_37114),
+	.X(n_16848), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g628076 (
+	.A(n_16816),
+	.B(n_37178),
+	.C(n_37179),
+	.D(n_37180),
+	.X(n_16847), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g628077 (
+	.A(n_35224),
+	.B(n_16817),
+	.C(n_37214),
+	.D(n_37215),
+	.X(n_16846), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g628078 (
+	.A1(brqrv_top_brqrv_dec_tlu_mdccmect[31]),
+	.A2(n_16805),
+	.B1(n_16841),
+	.Y(n_16845), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g628080 (
+	.A1(n_16810),
+	.A2(n_16622),
+	.B1(n_16834),
+	.Y(n_16842), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g628081 (
+	.A1(n_16658),
+	.A2(brqrv_top_brqrv_dec_tlu_micect[24]),
+	.B1(n_16832),
+	.Y(n_16841), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g628082 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_n_666),
+	.B(n_16827),
+	.Y(n_35716), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g628083 (
+	.A(n_16825),
+	.B(n_16793),
+	.Y(n_16843), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g628085 (
+	.A1(n_16626),
+	.A2(brqrv_top_brqrv_dec_tlu_miccmect[23]),
+	.B1(n_16826),
+	.Y(n_16839), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g628086 (
+	.A(n_35224),
+	.B(n_16799),
+	.C(n_37146),
+	.D(n_37147),
+	.X(n_16838), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g628087 (
+	.A1(n_16668),
+	.A2(n_16746),
+	.B1(brqrv_top_brqrv_dec_tlu_micect[31]),
+	.B2(n_16807),
+	.C1(n_16745),
+	.Y(n_16837), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g628088 (
+	.A1(n_16776),
+	.A2(brqrv_top_brqrv_dec_tlu_mdccmect[16]),
+	.B1(n_16589),
+	.B2(brqrv_top_brqrv_dec_tlu_miccmect[15]),
+	.C1(n_16820),
+	.Y(n_16836), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g628089 (
+	.A1(n_16809),
+	.A2(n_16753),
+	.B1(brqrv_top_brqrv_dec_tlu_micect[30]),
+	.Y(n_16835), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g628090 (
+	.A1(n_16797),
+	.A2(n_16752),
+	.B1(n_16606),
+	.Y(n_16834), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4b_1 g628091 (
+	.A(brqrv_top_brqrv_dec_tlu_miccmect[17]),
+	.B(brqrv_top_brqrv_dec_tlu_miccmect[19]),
+	.C(brqrv_top_brqrv_dec_tlu_miccmect[18]),
+	.D_N(n_16785),
+	.Y(n_16833), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g628092 (
+	.A1(brqrv_top_brqrv_dec_tlu_micect[31]),
+	.A2(n_16637),
+	.B1(n_16812),
+	.Y(n_16832), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g628093 (
+	.A1(n_16652),
+	.A2(brqrv_top_brqrv_dec_tlu_mdccmect[19]),
+	.B1(n_16780),
+	.B2(brqrv_top_brqrv_dec_tlu_mdccmect[20]),
+	.C1(n_16787),
+	.X(n_16831), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g628094 (
+	.A1(n_16608),
+	.A2(n_16775),
+	.B1(brqrv_top_brqrv_dec_tlu_mdccmect[31]),
+	.B2(n_16765),
+	.C1(n_16655),
+	.Y(n_16830), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g628095 (
+	.A1(brqrv_top_brqrv_dec_tlu_meicurpl[0]),
+	.A2(n_16760),
+	.B1(n_16763),
+	.Y(n_16829), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g628096 (
+	.A(n_16802),
+	.B(n_16768),
+	.C(n_16650),
+	.Y(n_16828), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g628097 (
+	.A(n_34725),
+	.B(n_16813),
+	.C_N(brqrv_top_brqrv_ifu_mem_ctl_n_2294),
+	.Y(n_16827), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g628098 (
+	.A1(brqrv_top_brqrv_dec_tlu_miccmect[30]),
+	.A2(n_16798),
+	.B1(brqrv_top_brqrv_dec_tlu_miccmect[31]),
+	.B2(n_16636),
+	.Y(n_16826), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g628099 (
+	.A1(n_16643),
+	.A2(n_16742),
+	.B1(brqrv_top_brqrv_dec_tlu_meipt[0]),
+	.B2(n_16760),
+	.Y(n_16825), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g628100 (
+	.A1(n_16783),
+	.A2(n_16743),
+	.B1(brqrv_top_brqrv_dec_tlu_mdccmect[31]),
+	.Y(n_16824), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g628101 (
+	.A_N(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[13]),
+	.B(n_16811),
+	.Y(n_34414), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g628102 (
+	.A(n_16811),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[13]),
+	.Y(n_34413), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g628103 (
+	.A1(n_16784),
+	.A2(n_16654),
+	.B1(brqrv_top_brqrv_dec_tlu_mdccmect[31]),
+	.Y(n_16823), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g628104 (
+	.A1(n_16621),
+	.A2(brqrv_top_brqrv_dec_tlu_miccmect[9]),
+	.B1(n_16659),
+	.B2(brqrv_top_brqrv_dec_tlu_miccmect[10]),
+	.C1(n_16772),
+	.Y(n_16822), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g628105 (
+	.A1(n_16786),
+	.A2(n_16791),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_config_reg),
+	.Y(n_16821), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g628106 (
+	.A_N(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[13]),
+	.B(n_16792),
+	.C(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[14]),
+	.Y(n_34415), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g628107 (
+	.A1(brqrv_top_brqrv_dec_tlu_miccmect[31]),
+	.A2(n_16770),
+	.B1(n_16597),
+	.B2(n_16606),
+	.Y(n_16820), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g628108 (
+	.A(brqrv_top_brqrv_dec_tlu_miccmect[7]),
+	.B(brqrv_top_brqrv_dec_tlu_miccmect[8]),
+	.C(n_16769),
+	.Y(n_16819), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g628109 (
+	.A1(n_16764),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_n_10656 ),
+	.B1(n_144),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [6]),
+	.X(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g628110 (
+	.A(n_37039),
+	.B(n_16739),
+	.C(n_37040),
+	.D(n_37041),
+	.X(n_16818), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g628111 (
+	.A(n_16738),
+	.B(n_37211),
+	.C(n_37212),
+	.D(n_37213),
+	.X(n_16817), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g628112 (
+	.A(n_37175),
+	.B(n_16737),
+	.C(n_37176),
+	.D(n_43683),
+	.X(n_16816), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g628113 (
+	.A(n_16736),
+	.B(n_37110),
+	.C(n_37111),
+	.D(n_37112),
+	.X(n_16815), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g628114 (
+	.A(n_16732),
+	.B(n_37003),
+	.C(n_43687),
+	.D(n_37005),
+	.X(n_16814), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g628115 (
+	.A1(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[3]),
+	.A2(n_16762),
+	.B1(n_16808),
+	.Y(n_16813), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g628116 (
+	.A1(n_16657),
+	.A2(brqrv_top_brqrv_dec_tlu_micect[26]),
+	.B1(n_16604),
+	.B2(brqrv_top_brqrv_dec_tlu_micect[25]),
+	.C1(n_16777),
+	.Y(n_16812), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 g628117 (
+	.A0(n_16778),
+	.A1(n_16761),
+	.S(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[3]),
+	.Y(brqrv_top_brqrv_ifu_mem_ctl_n_666), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g628118 (
+	.A(n_16766),
+	.B(n_16781),
+	.Y(n_16810), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g628119 (
+	.A1(brqrv_top_brqrv_dec_tlu_micect[21]),
+	.A2(n_16607),
+	.B1(n_16664),
+	.B2(brqrv_top_brqrv_dec_tlu_micect[20]),
+	.C1(n_16583),
+	.C2(brqrv_top_brqrv_dec_tlu_micect[19]),
+	.Y(n_16809), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g628120 (
+	.A1(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[4]),
+	.A2(n_16648),
+	.B1(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[3]),
+	.C1(n_16598),
+	.D1(n_16599),
+	.Y(n_16808), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g628121 (
+	.A1(n_16667),
+	.A2(brqrv_top_brqrv_dec_tlu_micect[14]),
+	.B1(n_16773),
+	.Y(n_16807), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g628122 (
+	.A(n_16779),
+	.B(n_16756),
+	.Y(n_16806), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g628123 (
+	.A1(n_16749),
+	.A2(brqrv_top_brqrv_dec_tlu_mdccmect[14]),
+	.B1(brqrv_top_brqrv_dec_tlu_mdccmect[15]),
+	.Y(n_16805), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g628124 (
+	.A1(n_16659),
+	.A2(brqrv_top_brqrv_dec_tlu_miccmect[18]),
+	.B1(n_16621),
+	.B2(brqrv_top_brqrv_dec_tlu_miccmect[17]),
+	.C1(n_16771),
+	.Y(n_16804), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g628125 (
+	.A1(n_16657),
+	.A2(brqrv_top_brqrv_dec_tlu_micect[10]),
+	.B1(n_16583),
+	.B2(brqrv_top_brqrv_dec_tlu_micect[11]),
+	.C1(n_16767),
+	.Y(n_16803), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g628126 (
+	.A1(brqrv_top_brqrv_dec_tlu_mdccmect[0]),
+	.A2(n_16660),
+	.B1(n_16588),
+	.B2(brqrv_top_brqrv_dec_tlu_mdccmect[3]),
+	.C1(n_16656),
+	.C2(brqrv_top_brqrv_dec_tlu_mdccmect[2]),
+	.Y(n_16802), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g628127 (
+	.A(n_37127),
+	.B(n_16639),
+	.C(n_43639),
+	.D(n_37133),
+	.X(n_16801), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g628128 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[14]),
+	.B_N(n_16792),
+	.Y(n_16811), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g628129 (
+	.A(n_16638),
+	.B(n_37143),
+	.C(n_37144),
+	.D(n_37145),
+	.X(n_16799), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g628130 (
+	.A1(brqrv_top_brqrv_dec_tlu_miccmect[21]),
+	.A2(n_16625),
+	.B1(n_16666),
+	.B2(brqrv_top_brqrv_dec_tlu_miccmect[20]),
+	.C1(n_16665),
+	.C2(brqrv_top_brqrv_dec_tlu_miccmect[22]),
+	.Y(n_16798), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g628131 (
+	.A1(brqrv_top_brqrv_dec_tlu_miccmect[1]),
+	.A2(n_16621),
+	.B1(n_16666),
+	.B2(brqrv_top_brqrv_dec_tlu_miccmect[4]),
+	.C1(n_16584),
+	.C2(brqrv_top_brqrv_dec_tlu_miccmect[3]),
+	.Y(n_16797), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g628132 (
+	.A1(brqrv_top_brqrv_dec_tlu_micect[17]),
+	.A2(n_16604),
+	.B1(n_16657),
+	.B2(brqrv_top_brqrv_dec_tlu_micect[18]),
+	.C1(n_16658),
+	.C2(brqrv_top_brqrv_dec_tlu_micect[16]),
+	.Y(n_16796), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g628133 (
+	.A(n_37161),
+	.B(n_16641),
+	.C(n_37162),
+	.D(n_43633),
+	.Y(n_16795), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g628134 (
+	.A1(n_16689),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_n_10656 ),
+	.B1(n_144),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [5]),
+	.X(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g628135 (
+	.A1(n_16688),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_n_10656 ),
+	.B1(n_144),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [4]),
+	.X(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g628136 (
+	.A1(n_16687),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_n_10656 ),
+	.B1(n_144),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [3]),
+	.X(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g628137 (
+	.A1(n_16759),
+	.A2(brqrv_top_brqrv_dec_tlu_meicurpl[0]),
+	.B1(brqrv_top_brqrv_dec_tlu_meicurpl[1]),
+	.C1(n_10392),
+	.Y(n_16794), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g628138 (
+	.A1(n_16759),
+	.A2(brqrv_top_brqrv_dec_tlu_meipt[0]),
+	.B1(brqrv_top_brqrv_dec_tlu_meipt[1]),
+	.C1(n_10392),
+	.Y(n_16793), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g628139 (
+	.A1(brqrv_top_brqrv_dec_tlu_meipt[0]),
+	.A2(n_35298),
+	.B1(brqrv_top_brqrv_dec_tlu_meipt[1]),
+	.C1(n_10392),
+	.Y(n_16800), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g628140 (
+	.A(n_16712),
+	.B(n_16711),
+	.Y(n_36391), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g628141 (
+	.A1(brqrv_top_brqrv_dec_tlu_meicurpl[1]),
+	.A2(n_16644),
+	.B1(brqrv_top_brqrv_dec_tlu_meicurpl[0]),
+	.Y(n_16791), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o41ai_1 g628142 (
+	.A1(brqrv_top_brqrv_dec_tlu_micect[10]),
+	.A2(brqrv_top_brqrv_dec_tlu_micect[11]),
+	.A3(brqrv_top_brqrv_dec_tlu_micect[8]),
+	.A4(brqrv_top_brqrv_dec_tlu_micect[9]),
+	.B1(n_16622),
+	.Y(n_16790), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g628143 (
+	.A(brqrv_top_brqrv_dec_tlu_micect[31]),
+	.B(n_16758),
+	.Y(n_16789), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g628144 (
+	.A(n_16757),
+	.B(n_16651),
+	.Y(n_16788), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g628145 (
+	.A(n_16754),
+	.B(n_16653),
+	.Y(n_16787), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g628146 (
+	.A(n_16727),
+	.B(n_16728),
+	.Y(n_36401), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g628147 (
+	.A(n_16725),
+	.B(n_16726),
+	.Y(n_36400), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g628148 (
+	.A(n_16723),
+	.B(n_16724),
+	.Y(n_36399), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g628149 (
+	.A(n_16721),
+	.B(n_16722),
+	.Y(n_36398), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g628150 (
+	.A(n_16719),
+	.B(n_16720),
+	.Y(n_36397), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g628151 (
+	.A(n_16717),
+	.B(n_16718),
+	.Y(n_36396), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g628152 (
+	.A(n_16733),
+	.B(n_16744),
+	.Y(n_36395), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g628153 (
+	.A(n_16747),
+	.B(n_16748),
+	.Y(n_36394), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g628154 (
+	.A(n_16693),
+	.B(n_16715),
+	.Y(n_36393), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g628155 (
+	.A(n_16714),
+	.B(n_16713),
+	.Y(n_36392), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g628156 (
+	.A(n_35298),
+	.B(brqrv_top_brqrv_dec_tlu_meicurpl[1]),
+	.Y(n_16786), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g628157 (
+	.A1(n_16669),
+	.A2(brqrv_top_brqrv_dec_tlu_miccmect[8]),
+	.B1(brqrv_top_brqrv_dec_tlu_miccmect[16]),
+	.Y(n_16785), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g628158 (
+	.A(n_16710),
+	.B(n_16709),
+	.Y(n_36390), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g628159 (
+	.A(n_16708),
+	.B(n_16683),
+	.Y(n_36389), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g628160 (
+	.A(n_16707),
+	.B(n_16705),
+	.Y(n_36388), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g628161 (
+	.A(n_16704),
+	.B(n_16703),
+	.Y(n_36387), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g628162 (
+	.A(n_16706),
+	.B(n_16702),
+	.Y(n_36386), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g628163 (
+	.A(n_16701),
+	.B(n_16700),
+	.Y(n_36385), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g628164 (
+	.A1(n_16582),
+	.A2(n_16656),
+	.B1(brqrv_top_brqrv_dec_tlu_mdccmect[10]),
+	.Y(n_16784), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g628165 (
+	.A(n_16699),
+	.B(n_16698),
+	.Y(n_36384), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g628166 (
+	.A(n_16697),
+	.B(n_16696),
+	.Y(n_36383), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g628167 (
+	.A(n_16695),
+	.B(n_16694),
+	.Y(n_36382), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g628168 (
+	.A(n_16716),
+	.B(n_16692),
+	.Y(n_36381), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g628169 (
+	.A(n_16691),
+	.B(n_16690),
+	.Y(n_36380), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g628170 (
+	.A1(n_16582),
+	.A2(n_16663),
+	.B1(brqrv_top_brqrv_dec_tlu_mdccmect[12]),
+	.Y(n_16783), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g628171 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[12]),
+	.B(n_34416),
+	.Y(n_16792), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g628172 (
+	.A(n_16751),
+	.B(brqrv_top_brqrv_dec_tlu_mdccmect[31]),
+	.Y(n_16782), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g628173 (
+	.A(n_16685),
+	.B(n_16686),
+	.Y(n_36409), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g628174 (
+	.A1(n_16664),
+	.A2(brqrv_top_brqrv_dec_tlu_micect[4]),
+	.B1(brqrv_top_brqrv_dec_tlu_micect[7]),
+	.Y(n_16781), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g628175 (
+	.A(n_16682),
+	.B(n_16684),
+	.Y(n_36408), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g628176 (
+	.A(n_16680),
+	.B(n_16681),
+	.Y(n_36407), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g628177 (
+	.A(n_16678),
+	.B(n_16679),
+	.Y(n_36406), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g628178 (
+	.A(n_16676),
+	.B(n_16677),
+	.Y(n_36405), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g628179 (
+	.A(n_16674),
+	.B(n_16675),
+	.Y(n_36404), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g628180 (
+	.A(n_16672),
+	.B(n_16673),
+	.Y(n_36403), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g628181 (
+	.A(n_16729),
+	.B(n_16671),
+	.Y(n_36402), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g628182 (
+	.A(n_16755),
+	.B(brqrv_top_brqrv_dec_tlu_mdccmect[31]),
+	.Y(n_16780), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g628183 (
+	.A1(brqrv_top_brqrv_dec_tlu_micect[1]),
+	.A2(n_16604),
+	.B1(n_16583),
+	.B2(brqrv_top_brqrv_dec_tlu_micect[3]),
+	.C1(n_16658),
+	.C2(brqrv_top_brqrv_dec_tlu_micect[0]),
+	.Y(n_16779), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g628184 (
+	.A1(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[4]),
+	.A2(n_16649),
+	.B1(n_42946),
+	.B2(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_error[4]),
+	.C1(n_16592),
+	.C2(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_error[0]),
+	.Y(n_16778), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g628185 (
+	.A1(brqrv_top_brqrv_dec_tlu_micect[31]),
+	.A2(brqrv_top_brqrv_dec_tlu_micect[30]),
+	.B1(n_16734),
+	.Y(n_16777), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g628186 (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_config_reg),
+	.A2(n_16644),
+	.B1(n_16760),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_pl_in_q[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g628187 (
+	.A(n_16750),
+	.B(brqrv_top_brqrv_dec_tlu_mdccmect[31]),
+	.Y(n_16776), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g628188 (
+	.A1(n_16661),
+	.A2(brqrv_top_brqrv_dec_tlu_mdccmect[6]),
+	.B1(brqrv_top_brqrv_dec_tlu_mdccmect[7]),
+	.Y(n_16775), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g628189 (
+	.A1(brqrv_top_brqrv_dec_tlu_mdccmect[30]),
+	.A2(n_16662),
+	.B1(brqrv_top_brqrv_dec_tlu_mdccmect[31]),
+	.Y(n_16774), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g628190 (
+	.A1(n_16664),
+	.A2(brqrv_top_brqrv_dec_tlu_micect[12]),
+	.B1(n_16607),
+	.B2(brqrv_top_brqrv_dec_tlu_micect[13]),
+	.C1(brqrv_top_brqrv_dec_tlu_micect[15]),
+	.X(n_16773), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g628191 (
+	.A1(n_16666),
+	.A2(brqrv_top_brqrv_dec_tlu_miccmect[12]),
+	.B1(n_16584),
+	.B2(brqrv_top_brqrv_dec_tlu_miccmect[11]),
+	.X(n_16772), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g628192 (
+	.A1(n_16669),
+	.A2(brqrv_top_brqrv_dec_tlu_miccmect[16]),
+	.B1(n_16584),
+	.B2(brqrv_top_brqrv_dec_tlu_miccmect[19]),
+	.X(n_16771), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g628193 (
+	.A1(n_16665),
+	.A2(brqrv_top_brqrv_dec_tlu_miccmect[14]),
+	.B1(n_16625),
+	.B2(brqrv_top_brqrv_dec_tlu_miccmect[13]),
+	.Y(n_16770), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g628194 (
+	.A1(n_16665),
+	.A2(brqrv_top_brqrv_dec_tlu_miccmect[6]),
+	.B1(n_16625),
+	.B2(brqrv_top_brqrv_dec_tlu_miccmect[5]),
+	.X(n_16769), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g628195 (
+	.A1(n_16663),
+	.A2(brqrv_top_brqrv_dec_tlu_mdccmect[4]),
+	.B1(n_16619),
+	.B2(brqrv_top_brqrv_dec_tlu_mdccmect[1]),
+	.Y(n_16768), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g628196 (
+	.A1(n_10392),
+	.A2(n_35380),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_config_reg),
+	.B2(n_16642),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_pl_in_q[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g628197 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_config_reg),
+	.B(n_16645),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_pl_in_q[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g628198 (
+	.A1(n_16658),
+	.A2(brqrv_top_brqrv_dec_tlu_micect[8]),
+	.B1(n_16604),
+	.B2(brqrv_top_brqrv_dec_tlu_micect[9]),
+	.X(n_16767), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g628199 (
+	.A1(n_16634),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_n_10656 ),
+	.B1(n_144),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [1]),
+	.X(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g628200 (
+	.A1(n_16667),
+	.A2(brqrv_top_brqrv_dec_tlu_micect[6]),
+	.B1(n_16607),
+	.B2(brqrv_top_brqrv_dec_tlu_micect[5]),
+	.Y(n_16766), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g628201 (
+	.A1(n_16660),
+	.A2(brqrv_top_brqrv_dec_tlu_mdccmect[8]),
+	.B1(n_16619),
+	.B2(brqrv_top_brqrv_dec_tlu_mdccmect[9]),
+	.Y(n_16765), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g628202 (
+	.A1_N(n_16628),
+	.A2_N(n_16670),
+	.B1(n_16628),
+	.B2(n_16670),
+	.Y(n_16764), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g628204 (
+	.A1(n_16644),
+	.A2(n_16645),
+	.B1(n_16642),
+	.B2(n_16646),
+	.Y(n_16763), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g628205 (
+	.A(n_16761),
+	.Y(n_16762), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g628206 (
+	.A(n_16759),
+	.Y(n_35298), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g628207 (
+	.A(brqrv_top_brqrv_dec_tlu_micect[20]),
+	.B(brqrv_top_brqrv_dec_tlu_micect[22]),
+	.C(brqrv_top_brqrv_dec_tlu_micect[21]),
+	.D(brqrv_top_brqrv_dec_tlu_micect[19]),
+	.Y(n_16758), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g628208 (
+	.A(n_16656),
+	.B(brqrv_top_brqrv_dec_tlu_mdccmect[26]),
+	.Y(n_16757), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g628209 (
+	.A(n_16657),
+	.B(brqrv_top_brqrv_dec_tlu_micect[2]),
+	.Y(n_16756), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g628210 (
+	.A(n_16663),
+	.B(n_16582),
+	.Y(n_16755), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g628211 (
+	.A(n_16660),
+	.B(brqrv_top_brqrv_dec_tlu_mdccmect[24]),
+	.Y(n_16754), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g628212 (
+	.A(n_16667),
+	.B(brqrv_top_brqrv_dec_tlu_micect[22]),
+	.Y(n_16753), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g628213 (
+	.A(n_16659),
+	.B(brqrv_top_brqrv_dec_tlu_miccmect[2]),
+	.Y(n_16752), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g628214 (
+	.A(n_16656),
+	.B(n_16582),
+	.Y(n_16751), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g628215 (
+	.A(n_16660),
+	.B(n_16582),
+	.Y(n_16750), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g628216 (
+	.A(n_16662),
+	.B(brqrv_top_brqrv_dec_tlu_mdccmect[30]),
+	.Y(n_16749), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g628217 (
+	.A1(n_13653),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [14]),
+	.B1(n_16600),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [92]),
+	.Y(n_16748), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g628218 (
+	.A1(n_13901),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [53]),
+	.B1(n_16602),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [131]),
+	.Y(n_16747), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g628219 (
+	.A1(n_16605),
+	.A2(brqrv_top_brqrv_dec_tlu_miccmect[0]),
+	.B1(brqrv_top_brqrv_dec_tlu_miccmect[24]),
+	.Y(n_16746), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o31ai_1 g628220 (
+	.A1(brqrv_top_brqrv_dec_tlu_micect[12]),
+	.A2(brqrv_top_brqrv_dec_tlu_micect[13]),
+	.A3(brqrv_top_brqrv_dec_tlu_micect[14]),
+	.B1(n_16622),
+	.Y(n_16745), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g628221 (
+	.A1(n_13653),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [15]),
+	.B1(n_16600),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [93]),
+	.Y(n_16744), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g628222 (
+	.A1(n_16582),
+	.A2(n_16624),
+	.B1(brqrv_top_brqrv_dec_tlu_mdccmect[13]),
+	.Y(n_16743), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g628223 (
+	.A1(n_10392),
+	.A2(brqrv_top_brqrv_dec_tlu_meipt[0]),
+	.B1(n_16645),
+	.Y(n_16742), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o31ai_1 g628224 (
+	.A1(brqrv_top_brqrv_dec_tlu_miccmect[24]),
+	.A2(brqrv_top_brqrv_dec_tlu_miccmect[25]),
+	.A3(brqrv_top_brqrv_dec_tlu_miccmect[26]),
+	.B1(n_16626),
+	.Y(n_16741), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g628225 (
+	.A1(brqrv_top_brqrv_dec_tlu_mdccmect[30]),
+	.A2(n_16623),
+	.B1(brqrv_top_brqrv_dec_tlu_mdccmect[31]),
+	.Y(n_16740), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g628226 (
+	.A(n_37036),
+	.B(n_37037),
+	.C(n_37038),
+	.D(n_35224),
+	.X(n_16739), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g628227 (
+	.A(n_35282),
+	.B(n_37208),
+	.C(n_37209),
+	.D(n_37210),
+	.X(n_16738), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g628228 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [30]),
+	.B(n_37173),
+	.C(n_35224),
+	.D(n_37174),
+	.X(n_16737), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g628229 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [30]),
+	.B(n_37107),
+	.C(n_37108),
+	.D(n_37109),
+	.X(n_16736), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g628230 (
+	.A(n_16659),
+	.B(brqrv_top_brqrv_dec_tlu_miccmect[26]),
+	.Y(n_16735), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g628231 (
+	.A(brqrv_top_brqrv_dec_tlu_micect[25]),
+	.B(brqrv_top_brqrv_dec_tlu_micect[26]),
+	.C(brqrv_top_brqrv_dec_tlu_micect[23]),
+	.D(brqrv_top_brqrv_dec_tlu_micect[24]),
+	.Y(n_16734), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g628232 (
+	.A1(n_13901),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [54]),
+	.B1(n_16602),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [132]),
+	.Y(n_16733), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g628233 (
+	.A(n_37000),
+	.B(n_35224),
+	.C(n_37001),
+	.D(n_37002),
+	.X(n_16732), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g628234 (
+	.A(brqrv_top_brqrv_dec_tlu_miccmect[10]),
+	.B(brqrv_top_brqrv_dec_tlu_miccmect[12]),
+	.C(brqrv_top_brqrv_dec_tlu_miccmect[11]),
+	.D(brqrv_top_brqrv_dec_tlu_miccmect[9]),
+	.Y(n_16731), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g628235 (
+	.A1(brqrv_top_brqrv_dec_tlu_mdccmect[30]),
+	.A2(n_16618),
+	.B1(brqrv_top_brqrv_dec_tlu_mdccmect[31]),
+	.Y(n_16730), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g628236 (
+	.A1(n_13653),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [24]),
+	.B1(n_16600),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [102]),
+	.Y(n_16729), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g628237 (
+	.A1(n_13901),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [62]),
+	.B1(n_16602),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [140]),
+	.Y(n_16728), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g628238 (
+	.A1(n_13653),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [23]),
+	.B1(n_16600),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [101]),
+	.Y(n_16727), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g628239 (
+	.A1(n_13901),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [61]),
+	.B1(n_16602),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [139]),
+	.Y(n_16726), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g628240 (
+	.A1(n_13653),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [22]),
+	.B1(n_16600),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [100]),
+	.Y(n_16725), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g628241 (
+	.A1(n_13901),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [60]),
+	.B1(n_16602),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [138]),
+	.Y(n_16724), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g628242 (
+	.A1(n_13653),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [21]),
+	.B1(n_16600),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [99]),
+	.Y(n_16723), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g628243 (
+	.A1(n_13901),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [59]),
+	.B1(n_16602),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [137]),
+	.Y(n_16722), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g628244 (
+	.A1(n_13653),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [20]),
+	.B1(n_16600),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [98]),
+	.Y(n_16721), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g628245 (
+	.A1(n_13901),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [58]),
+	.B1(n_16602),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [136]),
+	.Y(n_16720), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g628246 (
+	.A1(n_13653),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [19]),
+	.B1(n_16600),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [97]),
+	.Y(n_16719), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g628247 (
+	.A1(n_13901),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [57]),
+	.B1(n_16602),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [135]),
+	.Y(n_16718), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g628248 (
+	.A1(n_13653),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [18]),
+	.B1(n_16600),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [96]),
+	.Y(n_16717), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g628249 (
+	.A1(n_16590),
+	.A2(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_error[7]),
+	.B1(n_16592),
+	.B2(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_error[1]),
+	.C1(n_16635),
+	.Y(n_16761), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4b_1 g628250 (
+	.A_N(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[7]),
+	.B(n_16633),
+	.C(brqrv_top_brqrv_pic_ctrl_inst_picm_wren_ff),
+	.D(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[30]),
+	.Y(n_34416), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g628251 (
+	.A(n_16644),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_config_reg),
+	.Y(n_16760), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g628252 (
+	.A(n_16645),
+	.B(n_16644),
+	.Y(n_16759), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g628253 (
+	.A1(n_16600),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [79]),
+	.B1(n_16602),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [118]),
+	.Y(n_16716), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g628254 (
+	.A1(n_13653),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [13]),
+	.B1(n_16600),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [91]),
+	.Y(n_16715), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g628255 (
+	.A1(n_13901),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [51]),
+	.B1(n_16602),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [129]),
+	.Y(n_16714), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g628256 (
+	.A1(n_13653),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [12]),
+	.B1(n_16600),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [90]),
+	.Y(n_16713), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g628257 (
+	.A1(n_13901),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [50]),
+	.B1(n_16602),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [128]),
+	.Y(n_16712), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g628258 (
+	.A1(n_13653),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [11]),
+	.B1(n_16600),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [89]),
+	.Y(n_16711), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g628259 (
+	.A1(n_13901),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [49]),
+	.B1(n_16602),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [127]),
+	.Y(n_16710), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g628260 (
+	.A1(n_13653),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [10]),
+	.B1(n_16600),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [88]),
+	.Y(n_16709), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g628261 (
+	.A1(n_13901),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [48]),
+	.B1(n_16602),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [126]),
+	.Y(n_16708), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g628262 (
+	.A1(n_13901),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [47]),
+	.B1(n_16602),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [125]),
+	.Y(n_16707), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g628263 (
+	.A1(n_13901),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [45]),
+	.B1(n_16602),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [123]),
+	.Y(n_16706), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g628264 (
+	.A1(n_13653),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [8]),
+	.B1(n_16600),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [86]),
+	.Y(n_16705), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g628265 (
+	.A1(n_13901),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [46]),
+	.B1(n_16602),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [124]),
+	.Y(n_16704), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g628266 (
+	.A1(n_13653),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [7]),
+	.B1(n_16600),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [85]),
+	.Y(n_16703), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g628267 (
+	.A1(n_13653),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [6]),
+	.B1(n_16600),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [84]),
+	.Y(n_16702), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g628268 (
+	.A1(n_13901),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [44]),
+	.B1(n_16602),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [122]),
+	.Y(n_16701), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g628269 (
+	.A1(n_13653),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [5]),
+	.B1(n_16600),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [83]),
+	.Y(n_16700), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g628270 (
+	.A1(n_13901),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [43]),
+	.B1(n_16602),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [121]),
+	.Y(n_16699), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g628271 (
+	.A1(n_13653),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [4]),
+	.B1(n_16600),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [82]),
+	.Y(n_16698), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g628272 (
+	.A1(n_13901),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [42]),
+	.B1(n_16602),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [120]),
+	.Y(n_16697), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g628273 (
+	.A1(n_13653),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [3]),
+	.B1(n_16600),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [81]),
+	.Y(n_16696), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g628274 (
+	.A1(n_13901),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [41]),
+	.B1(n_16602),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [119]),
+	.Y(n_16695), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g628275 (
+	.A1(n_13653),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [2]),
+	.B1(n_16600),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [80]),
+	.Y(n_16694), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g628276 (
+	.A1(n_13901),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [52]),
+	.B1(n_16602),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [130]),
+	.Y(n_16693), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g628277 (
+	.A1(n_13653),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [1]),
+	.B1(n_13901),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [40]),
+	.Y(n_16692), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g628278 (
+	.A1(n_16600),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [78]),
+	.B1(n_16602),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [117]),
+	.Y(n_16691), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g628279 (
+	.A1(n_13653),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [0]),
+	.B1(n_13901),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [39]),
+	.Y(n_16690), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g628280 (
+	.A1(n_10392),
+	.A2(n_34671),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_config_reg),
+	.B2(n_16617),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_pl_in_q[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g628281 (
+	.A1_N(n_16627),
+	.A2_N(n_35696),
+	.B1(n_16627),
+	.B2(n_35696),
+	.Y(n_16689), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g628282 (
+	.A1_N(n_16611),
+	.A2_N(n_35695),
+	.B1(n_16611),
+	.B2(n_35695),
+	.Y(n_16688), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g628283 (
+	.A1_N(n_16610),
+	.A2_N(n_35698),
+	.B1(n_16610),
+	.B2(n_35698),
+	.Y(n_16687), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g628284 (
+	.A1(n_13901),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [70]),
+	.B1(n_16602),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [148]),
+	.Y(n_16686), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g628285 (
+	.A1(n_13653),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [31]),
+	.B1(n_16600),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [109]),
+	.Y(n_16685), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g628286 (
+	.A1(n_13901),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [69]),
+	.B1(n_16602),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [147]),
+	.Y(n_16684), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g628287 (
+	.A1(n_13653),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [9]),
+	.B1(n_16600),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [87]),
+	.Y(n_16683), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g628288 (
+	.A1(n_13653),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [30]),
+	.B1(n_16600),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [108]),
+	.Y(n_16682), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g628289 (
+	.A1(n_13901),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [68]),
+	.B1(n_16602),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [146]),
+	.Y(n_16681), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g628290 (
+	.A1(n_13653),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [29]),
+	.B1(n_16600),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [107]),
+	.Y(n_16680), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g628291 (
+	.A1(n_13901),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [67]),
+	.B1(n_16602),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [145]),
+	.Y(n_16679), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g628292 (
+	.A1(n_13653),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [28]),
+	.B1(n_16600),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [106]),
+	.Y(n_16678), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g628293 (
+	.A1(n_13901),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [66]),
+	.B1(n_16602),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [144]),
+	.Y(n_16677), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g628294 (
+	.A1(n_13653),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [27]),
+	.B1(n_16600),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [105]),
+	.Y(n_16676), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g628295 (
+	.A1(n_13901),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [65]),
+	.B1(n_16602),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [143]),
+	.Y(n_16675), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g628296 (
+	.A1(n_13653),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [26]),
+	.B1(n_16600),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [104]),
+	.Y(n_16674), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g628297 (
+	.A1(n_13901),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [64]),
+	.B1(n_16602),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [142]),
+	.Y(n_16673), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g628298 (
+	.A1(n_13653),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [25]),
+	.B1(n_16600),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [103]),
+	.Y(n_16672), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g628299 (
+	.A1(n_13901),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [63]),
+	.B1(n_16602),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [141]),
+	.Y(n_16671), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g628300 (
+	.A(n_16669),
+	.Y(n_16668), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g628301 (
+	.A(n_16661),
+	.Y(n_16662), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g628302 (
+	.A1(brqrv_top_brqrv_dec_tlu_mdccmect[9]),
+	.A2(brqrv_top_brqrv_dec_tlu_mdccmect[8]),
+	.B1(n_16609),
+	.Y(n_16655), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g628303 (
+	.A1(n_16588),
+	.A2(n_16582),
+	.B1(brqrv_top_brqrv_dec_tlu_mdccmect[11]),
+	.Y(n_16654), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g628304 (
+	.A1(brqrv_top_brqrv_dec_tlu_mdccmect[24]),
+	.A2(brqrv_top_brqrv_dec_tlu_mdccmect[23]),
+	.B1(n_16612),
+	.Y(n_16653), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g628305 (
+	.A1(brqrv_top_brqrv_dec_tlu_mdccmect[29]),
+	.A2(brqrv_top_brqrv_dec_tlu_mdccmect[30]),
+	.B1(brqrv_top_brqrv_dec_tlu_mdccmect[31]),
+	.Y(n_16652), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g628306 (
+	.A1(brqrv_top_brqrv_dec_tlu_mdccmect[26]),
+	.A2(brqrv_top_brqrv_dec_tlu_mdccmect[25]),
+	.B1(n_16612),
+	.Y(n_16651), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g628307 (
+	.A(n_16624),
+	.B(brqrv_top_brqrv_dec_tlu_mdccmect[5]),
+	.Y(n_16650), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g628308 (
+	.A(n_16614),
+	.B(n_35699),
+	.Y(n_16670), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g628309 (
+	.A(brqrv_top_brqrv_dec_tlu_miccmect[27]),
+	.B(n_16620),
+	.Y(n_16669), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g628310 (
+	.A_N(n_16607),
+	.B(brqrv_top_brqrv_dec_tlu_micect[27]),
+	.Y(n_16667), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g628311 (
+	.A1(brqrv_top_brqrv_dec_tlu_miccmect[28]),
+	.A2(brqrv_top_brqrv_dec_tlu_miccmect[27]),
+	.B1(brqrv_top_brqrv_dec_tlu_miccmect[29]),
+	.Y(n_16666), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g628312 (
+	.A_N(n_16625),
+	.B(brqrv_top_brqrv_dec_tlu_miccmect[27]),
+	.Y(n_16665), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g628313 (
+	.A1(brqrv_top_brqrv_dec_tlu_micect[28]),
+	.A2(brqrv_top_brqrv_dec_tlu_micect[27]),
+	.B1(brqrv_top_brqrv_dec_tlu_micect[29]),
+	.Y(n_16664), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g628314 (
+	.A1(brqrv_top_brqrv_dec_tlu_mdccmect[28]),
+	.A2(brqrv_top_brqrv_dec_tlu_mdccmect[27]),
+	.B1(brqrv_top_brqrv_dec_tlu_mdccmect[29]),
+	.Y(n_16663), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g628315 (
+	.A(n_16623),
+	.B(brqrv_top_brqrv_dec_tlu_mdccmect[27]),
+	.Y(n_16661), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g628316 (
+	.A(brqrv_top_brqrv_dec_tlu_mdccmect[27]),
+	.B(n_16618),
+	.Y(n_16660), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g628317 (
+	.A1(brqrv_top_brqrv_dec_tlu_miccmect[29]),
+	.A2(brqrv_top_brqrv_dec_tlu_miccmect[27]),
+	.B1(n_16620),
+	.Y(n_16659), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g628318 (
+	.A(brqrv_top_brqrv_dec_tlu_micect[27]),
+	.B(n_16603),
+	.Y(n_16658), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g628319 (
+	.A1(brqrv_top_brqrv_dec_tlu_micect[29]),
+	.A2(brqrv_top_brqrv_dec_tlu_micect[27]),
+	.B1(n_16603),
+	.Y(n_16657), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g628320 (
+	.A1(brqrv_top_brqrv_dec_tlu_mdccmect[29]),
+	.A2(brqrv_top_brqrv_dec_tlu_mdccmect[27]),
+	.B1(n_16618),
+	.Y(n_16656), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g628321 (
+	.A(n_16648),
+	.Y(n_16649), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g628322 (
+	.A(n_16643),
+	.Y(n_16644), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g628323 (
+	.A(n_16642),
+	.Y(n_35380), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g628325 (
+	.A(n_37157),
+	.B(n_37159),
+	.C(n_37160),
+	.X(n_16641), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g628326 (
+	.A(n_37189),
+	.B(n_42226),
+	.C(n_43679),
+	.Y(n_16640), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g628327 (
+	.A(n_37123),
+	.B(n_37125),
+	.C(n_37126),
+	.X(n_16639), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g628328 (
+	.A(n_37140),
+	.B(n_37141),
+	.C(n_37142),
+	.X(n_16638), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g628329 (
+	.A(brqrv_top_brqrv_dec_tlu_micect[17]),
+	.B(brqrv_top_brqrv_dec_tlu_micect[18]),
+	.C(brqrv_top_brqrv_dec_tlu_micect[16]),
+	.Y(n_16637), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g628330 (
+	.A(brqrv_top_brqrv_dec_tlu_miccmect[21]),
+	.B(brqrv_top_brqrv_dec_tlu_miccmect[22]),
+	.C(brqrv_top_brqrv_dec_tlu_miccmect[20]),
+	.Y(n_16636), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g628331 (
+	.A1_N(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_error[5]),
+	.A2_N(n_42946),
+	.B1(n_16593),
+	.B2(n_34732),
+	.Y(n_16635), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g628332 (
+	.A(brqrv_top_brqrv_ifu_ifu_bp_poffset_f[0]),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bp_total_branch_offset_f [1]),
+	.X(n_16634), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g628333 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[10]),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[9]),
+	.C(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[11]),
+	.D(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[8]),
+	.Y(n_16633), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g628334 (
+	.A1_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_control_ff [2]),
+	.A2_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_adder4_out [36]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_control_ff [2]),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_adder4_out [36]),
+	.Y(n_16632), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g628335 (
+	.A1_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_control_ff [2]),
+	.A2_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_adder2_out [34]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_control_ff [2]),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_adder2_out [34]),
+	.Y(n_16631), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g628336 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_meipt[2]),
+	.A2_N(brqrv_top_brqrv_pic_ctrl_inst_config_reg),
+	.B1(brqrv_top_brqrv_dec_tlu_meipt[2]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_config_reg),
+	.Y(n_16630), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g628337 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_meicurpl[3]),
+	.A2_N(brqrv_top_brqrv_pic_ctrl_inst_config_reg),
+	.B1(brqrv_top_brqrv_dec_tlu_meicurpl[3]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_config_reg),
+	.Y(n_16629), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 g628338 (
+	.A0(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_error[2]),
+	.A1(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_error[6]),
+	.S(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[5]),
+	.Y(n_16648), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g628339 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_meipt[3]),
+	.A2_N(n_10392),
+	.B1(brqrv_top_brqrv_dec_tlu_meipt[3]),
+	.B2(n_10392),
+	.Y(n_16647), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g628340 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_meicurpl[2]),
+	.A2_N(brqrv_top_brqrv_pic_ctrl_inst_config_reg),
+	.B1(brqrv_top_brqrv_dec_tlu_meicurpl[2]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_config_reg),
+	.Y(n_16646), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g628341 (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_genblock.level_intpend_id [1364]),
+	.A2(n_34674),
+	.B1(n_34672),
+	.B2(n_34676),
+	.Y(n_16645), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g628342 (
+	.A1_N(n_34675),
+	.A2_N(n_34672),
+	.B1(n_34673),
+	.B2(n_34672),
+	.Y(n_16643), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g628343 (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_genblock.level_intpend_id [1364]),
+	.A2(n_34677),
+	.B1(n_34672),
+	.B2(n_34678),
+	.Y(n_16642), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g628344 (
+	.A(n_16624),
+	.Y(n_16623), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g628345 (
+	.A(n_16621),
+	.Y(n_16620), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g628346 (
+	.A(n_16619),
+	.Y(n_16618), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g628347 (
+	.A(n_16617),
+	.Y(n_34671), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g628349 (
+	.A(n_33852),
+	.B(n_33850),
+	.X(n_34643), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g628350 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_adder6_out [36]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_control_ff [2]),
+	.Y(n_16615), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g628351 (
+	.A(n_35696),
+	.B(n_35122),
+	.Y(n_16614), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g628352 (
+	.A(brqrv_top_brqrv_exu_i0_flush_upper_x),
+	.B(brqrv_top_brqrv_exu_i0_predict_p_x[32]),
+	.Y(n_34668), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g628353 (
+	.A(n_37163),
+	.B(n_37167),
+	.Y(n_16613), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g628354 (
+	.A(n_35472),
+	.B(n_35112),
+	.Y(n_16628), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g628355 (
+	.A(n_35122),
+	.B(n_35699),
+	.Y(n_16627), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g628356 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[3]),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_n_2291),
+	.Y(n_34206), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g628357 (
+	.A(brqrv_top_brqrv_dec_tlu_miccmect[31]),
+	.B(brqrv_top_brqrv_dec_tlu_miccmect[30]),
+	.Y(n_16626), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g628358 (
+	.A(brqrv_top_brqrv_dec_tlu_miccmect[29]),
+	.B(brqrv_top_brqrv_dec_tlu_miccmect[28]),
+	.Y(n_16625), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g628359 (
+	.A(brqrv_top_brqrv_dec_tlu_mdccmect[29]),
+	.B(brqrv_top_brqrv_dec_tlu_mdccmect[28]),
+	.Y(n_16624), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g628360 (
+	.A(brqrv_top_brqrv_dec_tlu_micect[30]),
+	.B(brqrv_top_brqrv_dec_tlu_micect[31]),
+	.Y(n_16622), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g628361 (
+	.A(brqrv_top_brqrv_dec_tlu_miccmect[28]),
+	.B(brqrv_top_brqrv_dec_tlu_miccmect[29]),
+	.Y(n_16621), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g628362 (
+	.A(brqrv_top_brqrv_dec_tlu_mdccmect[28]),
+	.B(brqrv_top_brqrv_dec_tlu_mdccmect[29]),
+	.Y(n_16619), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g628363 (
+	.A(n_34681),
+	.B(n_34679),
+	.Y(n_16617), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g628364 (
+	.A(\brqrv_top_mem_iccm.iccm_n_370 ),
+	.B(\brqrv_top_mem_iccm.iccm_n_371 ),
+	.Y(n_13653), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g628365 (
+	.A(n_16609),
+	.Y(n_16608), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g628366 (
+	.A(n_16605),
+	.Y(n_16606), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g628367 (
+	.A(n_16604),
+	.Y(n_16603), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g628368 (
+	.A(n_34612),
+	.Y(n_16602), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g628370 (
+	.A(n_16600),
+	.Y(n_34614), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g628371 (
+	.A(n_16590),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_error[0]),
+	.Y(n_16599), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g628372 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_n_3477),
+	.B(brqrv_top_brqrv_dec_tlu_force_halt),
+	.X(n_35179), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g628373 (
+	.A_N(n_34732),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_error[4]),
+	.Y(n_16598), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g628374 (
+	.A(brqrv_top_brqrv_dec_tlu_miccmect[13]),
+	.B(brqrv_top_brqrv_dec_tlu_miccmect[14]),
+	.Y(n_16597), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g628375 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_adder5_out [36]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_control_ff [2]),
+	.Y(n_16596), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g628376 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_adder7_out [36]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_control_ff [2]),
+	.Y(n_16595), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g628377 (
+	.A(brqrv_top_brqrv_dec_tlu_mdccmect[30]),
+	.B(brqrv_top_brqrv_dec_tlu_mdccmect[31]),
+	.Y(n_16612), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g628378 (
+	.A(n_35390),
+	.B(n_35272),
+	.Y(n_16611), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g628379 (
+	.A(n_35700),
+	.B(n_35701),
+	.Y(n_16610), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g628380 (
+	.A(brqrv_top_brqrv_dec_tlu_mdccmect[30]),
+	.B(brqrv_top_brqrv_dec_tlu_mdccmect[31]),
+	.Y(n_16609), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g628381 (
+	.A(brqrv_top_brqrv_dec_tlu_micect[29]),
+	.B(brqrv_top_brqrv_dec_tlu_micect[28]),
+	.Y(n_16607), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g628382 (
+	.A(brqrv_top_brqrv_dec_tlu_miccmect[30]),
+	.B(brqrv_top_brqrv_dec_tlu_miccmect[31]),
+	.Y(n_16605), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g628383 (
+	.A(brqrv_top_brqrv_dec_tlu_micect[28]),
+	.B(brqrv_top_brqrv_dec_tlu_micect[29]),
+	.Y(n_16604), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g628384 (
+	.A(\brqrv_top_mem_iccm.iccm_n_371 ),
+	.B(\brqrv_top_mem_iccm.iccm_n_370 ),
+	.Y(n_34612), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g628385 (
+	.A(\brqrv_top_mem_iccm.iccm_n_371 ),
+	.B_N(\brqrv_top_mem_iccm.iccm_n_370 ),
+	.Y(n_13901), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g628386 (
+	.A(\brqrv_top_mem_iccm.iccm_n_370 ),
+	.B_N(\brqrv_top_mem_iccm.iccm_n_371 ),
+	.Y(n_16600), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g628387 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_n_2292),
+	.Y(n_16594), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g628388 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_error[3]),
+	.Y(n_16593), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g628392 (
+	.A(brqrv_top_brqrv_dec_tlu_miccmect[31]),
+	.Y(n_16589), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g628393 (
+	.A(brqrv_top_brqrv_dec_tlu_mdccmect[29]),
+	.Y(n_16588), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g628397 (
+	.A(brqrv_top_brqrv_dec_tlu_miccmect[29]),
+	.Y(n_16584), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g628398 (
+	.A(brqrv_top_brqrv_dec_tlu_micect[29]),
+	.Y(n_16583), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g628399 (
+	.A(brqrv_top_brqrv_dec_tlu_mdccmect[30]),
+	.Y(n_16582), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g698300 (
+	.A(n_16581),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g698301 (
+	.A(n_16580),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g698302 (
+	.A(n_16579),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g698303 (
+	.A(n_16578),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g698304 (
+	.A(n_16577),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g698305 (
+	.A(n_16576),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g698306 (
+	.A(n_16575),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g698307 (
+	.A(n_16574),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g698308 (
+	.A(n_16573),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g698309 (
+	.A1(n_36879),
+	.A2(n_16561),
+	.B1(n_1578),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [55]),
+	.C1(n_16562),
+	.C2(n_43219),
+	.Y(n_16581), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g698310 (
+	.A1(n_36886),
+	.A2(n_16561),
+	.B1(n_1578),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [62]),
+	.C1(n_16562),
+	.C2(n_43227),
+	.Y(n_16580), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g698311 (
+	.A1(n_36885),
+	.A2(n_16561),
+	.B1(n_1578),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [61]),
+	.C1(n_16562),
+	.C2(n_43217),
+	.Y(n_16579), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g698312 (
+	.A1(n_36884),
+	.A2(n_16561),
+	.B1(n_1578),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [60]),
+	.C1(n_16562),
+	.C2(n_43221),
+	.Y(n_16578), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g698313 (
+	.A1(n_36883),
+	.A2(n_16561),
+	.B1(n_1578),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [59]),
+	.C1(n_16562),
+	.C2(n_43229),
+	.Y(n_16577), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g698314 (
+	.A1(n_36882),
+	.A2(n_16561),
+	.B1(n_1578),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [58]),
+	.C1(n_16562),
+	.C2(n_43233),
+	.Y(n_16576), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g698315 (
+	.A1(n_36881),
+	.A2(n_16561),
+	.B1(n_1578),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [57]),
+	.C1(n_16562),
+	.C2(n_43211),
+	.Y(n_16575), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g698316 (
+	.A1(n_36880),
+	.A2(n_16561),
+	.B1(n_1578),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [56]),
+	.C1(n_16562),
+	.C2(n_43213),
+	.Y(n_16574), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g698317 (
+	.A1(n_36887),
+	.A2(n_16561),
+	.B1(n_1578),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [63]),
+	.C1(n_16562),
+	.C2(n_43215),
+	.Y(n_16573), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g698318 (
+	.A(n_16572),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g698319 (
+	.A(n_16571),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g698320 (
+	.A(n_16570),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g698321 (
+	.A(n_16569),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g698322 (
+	.A(n_16568),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g698323 (
+	.A(n_16567),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g698324 (
+	.A(n_16566),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g698325 (
+	.A(n_16565),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g698326 (
+	.A(n_16564),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g698327 (
+	.A1(n_36878),
+	.A2(n_16561),
+	.B1(n_1578),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [54]),
+	.C1(n_16562),
+	.C2(n_43223),
+	.Y(n_16572), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g698328 (
+	.A1(n_36877),
+	.A2(n_16561),
+	.B1(n_1578),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [53]),
+	.C1(n_16562),
+	.C2(n_43225),
+	.Y(n_16571), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g698329 (
+	.A1(n_36876),
+	.A2(n_16561),
+	.B1(n_1578),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [52]),
+	.C1(n_16562),
+	.C2(n_43231),
+	.Y(n_16570), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g698330 (
+	.A1(n_36875),
+	.A2(n_16561),
+	.B1(n_1578),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [51]),
+	.C1(n_16562),
+	.C2(n_43235),
+	.Y(n_16569), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g698331 (
+	.A1(n_36874),
+	.A2(n_16561),
+	.B1(n_1578),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [50]),
+	.C1(n_16562),
+	.C2(n_43237),
+	.Y(n_16568), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g698332 (
+	.A1(n_36873),
+	.A2(n_16561),
+	.B1(n_1578),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [49]),
+	.C1(n_16562),
+	.C2(n_36892),
+	.Y(n_16567), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g698333 (
+	.A1(n_36872),
+	.A2(n_16561),
+	.B1(n_1578),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [48]),
+	.C1(n_16562),
+	.C2(n_36891),
+	.Y(n_16566), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g698334 (
+	.A1(n_36871),
+	.A2(n_16561),
+	.B1(n_1578),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [47]),
+	.C1(n_16562),
+	.C2(n_36890),
+	.Y(n_16565), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g698335 (
+	.A1(n_36870),
+	.A2(n_16561),
+	.B1(n_1578),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [46]),
+	.C1(n_16562),
+	.C2(n_36889),
+	.Y(n_16564), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g698336 (
+	.A1(n_16562),
+	.A2(n_36888),
+	.B1(n_1578),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [45]),
+	.C1(n_16563),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g698337 (
+	.A(n_36888),
+	.B(n_16560),
+	.Y(n_16563), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g698338 (
+	.A1(n_16558),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B1(n_1578),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [44]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g698339 (
+	.A(n_43029),
+	.B(n_16559),
+	.Y(n_16562), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g698340 (
+	.A(n_16560),
+	.Y(n_16561), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698341 (
+	.A(n_16559),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.Y(n_16560), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 g698342 (
+	.A(n_35835),
+	.B(n_16556),
+	.COUT(n_16559),
+	.SUM(n_16558), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g698343 (
+	.A1(n_16557),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B1(n_1578),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [43]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 g698344 (
+	.A(n_35834),
+	.B(n_16554),
+	.COUT(n_16556),
+	.SUM(n_16557), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g698345 (
+	.A1(n_16555),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B1(n_1578),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [42]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 g698346 (
+	.A(n_35833),
+	.B(n_16552),
+	.COUT(n_16554),
+	.SUM(n_16555), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g698347 (
+	.A1(n_16553),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B1(n_1578),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [41]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 g698348 (
+	.A(n_35832),
+	.B(n_16549),
+	.COUT(n_16552),
+	.SUM(n_16553), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g698349 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_count_ff [6]),
+	.B(n_16551),
+	.X(n_356305_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g698350 (
+	.A1(n_16550),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B1(n_1578),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [40]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 g698351 (
+	.A(n_13453),
+	.B(n_14064),
+	.CIN(n_16546),
+	.COUT(n_16551),
+	.SUM(n_356302_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 g698352 (
+	.A(n_35831),
+	.B(n_16547),
+	.COUT(n_16549),
+	.SUM(n_16550), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g698353 (
+	.A1(n_16548),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B1(n_1578),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [39]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698354 (
+	.A1(n_16545),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_valid[1] ),
+	.B1(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[1]),
+	.B2(n_33848),
+	.C1(n_35391),
+	.Y(brqrv_top_brqrv_ifu_ifu_bp_way_f[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 g698355 (
+	.A(n_35830),
+	.B(n_16542),
+	.COUT(n_16547),
+	.SUM(n_16548), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 g698356 (
+	.A(n_14062),
+	.B(n_14191),
+	.CIN(n_16531),
+	.COUT(n_16546),
+	.SUM(n_356299_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698357 (
+	.A1(n_16544),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_vwayhit_f [0]),
+	.B1(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[1]),
+	.B2(n_33847),
+	.C1(n_35104),
+	.Y(brqrv_top_brqrv_ifu_ifu_bp_way_f[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g698358 (
+	.A1(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[1]),
+	.A2(n_16541),
+	.B1(n_13744),
+	.B2(n_16538),
+	.Y(brqrv_top_brqrv_ifu_ifu_bp_hist0_f[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g698359 (
+	.A1(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[1]),
+	.A2(n_16544),
+	.B1(n_13744),
+	.B2(n_16536),
+	.X(n_16545), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g698360 (
+	.A1(n_16543),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B1(n_1578),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [38]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g698361 (
+	.A1(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[1]),
+	.A2(n_16538),
+	.B1(n_13744),
+	.B2(n_16526),
+	.Y(brqrv_top_brqrv_ifu_ifu_bp_hist0_f[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 g698362 (
+	.A(n_35829),
+	.B(n_16534),
+	.COUT(n_16542),
+	.SUM(n_16543), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g698363 (
+	.A1(n_16537),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_exu_mp_way_f ),
+	.B1(n_16540),
+	.Y(n_16544), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g698364 (
+	.A(n_14787),
+	.B(n_14903),
+	.C(n_15068),
+	.D(n_16539),
+	.Y(n_16541), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a41oi_1 g698365 (
+	.A1(n_16518),
+	.A2(n_14901),
+	.A3(n_14748),
+	.A4(n_14781),
+	.B1(n_16537),
+	.Y(n_16540), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g698366 (
+	.A1(n_13840),
+	.A2(n_33725),
+	.B1(n_14783),
+	.C1(n_14791),
+	.D1(n_16529),
+	.Y(n_16539), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g698367 (
+	.A1(n_16535),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B1(n_1578),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [37]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g698368 (
+	.A(n_14220),
+	.B(n_15062),
+	.C(n_15607),
+	.D(n_16532),
+	.Y(n_16538), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g698369 (
+	.A(n_16533),
+	.B(n_34648),
+	.Y(n_16537), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g698370 (
+	.A1(n_16525),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_exu_mp_way_f ),
+	.B1(n_16530),
+	.Y(n_16536), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 g698371 (
+	.A(n_35828),
+	.B(n_16522),
+	.COUT(n_16534),
+	.SUM(n_16535), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g698372 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_f [3]),
+	.A2(n_14049),
+	.B1(brqrv_top_brqrv_ifu_ifc_fetch_req_f),
+	.C1(n_15199),
+	.D1(n_16520),
+	.Y(n_16533), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698373 (
+	.A1(n_13883),
+	.A2(n_33819),
+	.B1(n_13628),
+	.B2(n_33820),
+	.C1(n_16528),
+	.Y(n_16532), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g698374 (
+	.A1(n_16527),
+	.A2(n_14688),
+	.B1(n_14828),
+	.Y(n_16531), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g698375 (
+	.A1(n_16521),
+	.A2(n_16517),
+	.A3(n_14770),
+	.B1(n_16525),
+	.Y(n_16530), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g698376 (
+	.A(n_14382),
+	.B(n_14667),
+	.C(n_14895),
+	.D(n_16524),
+	.Y(n_16529), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g698377 (
+	.A(n_14923),
+	.B(n_16527),
+	.X(n_356301_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111oi_0 g698378 (
+	.A1(n_13884),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [478]),
+	.B1(n_14216),
+	.C1(n_15064),
+	.D1(n_15796),
+	.Y(n_16528), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g698379 (
+	.A1(n_16523),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B1(n_1578),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [36]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 g698380 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [1]),
+	.B(n_14129),
+	.CIN(n_14743),
+	.COUT(n_16527),
+	.SUM(n_34182), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698381 (
+	.A(n_16469),
+	.B(brqrv_top_brqrv_dec_tlu_mcgc_int[9]),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_intenable_clk_enable_grp[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698382 (
+	.A(n_16516),
+	.B(n_15922),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[6].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698383 (
+	.A(n_16515),
+	.B(n_15831),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[6].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698384 (
+	.A(n_16514),
+	.B(n_15830),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[6].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698385 (
+	.A(n_16513),
+	.B(n_15921),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[6].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698386 (
+	.A(n_16512),
+	.B(n_15920),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[6].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698387 (
+	.A(n_16511),
+	.B(n_15829),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[5].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698388 (
+	.A(n_16510),
+	.B(n_15828),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[5].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698389 (
+	.A(n_16509),
+	.B(n_15919),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[5].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698390 (
+	.A(n_16508),
+	.B(n_15918),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[5].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698391 (
+	.A(n_16507),
+	.B(n_15917),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[5].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698392 (
+	.A(n_16506),
+	.B(n_15916),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[5].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698393 (
+	.A(n_16505),
+	.B(n_15827),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[6].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698394 (
+	.A(n_16504),
+	.B(n_15826),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[6].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698395 (
+	.A(n_16503),
+	.B(n_15915),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[6].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698396 (
+	.A(n_16502),
+	.B(n_15914),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[6].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698397 (
+	.A(n_16501),
+	.B(n_15855),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[11].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698398 (
+	.A(n_16500),
+	.B(n_15854),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[11].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698399 (
+	.A(n_16499),
+	.B(n_15913),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[6].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698400 (
+	.A(n_16498),
+	.B(n_15912),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[6].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698401 (
+	.A(n_16497),
+	.B(n_16082),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[11].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698402 (
+	.A(n_16496),
+	.B(n_16081),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[11].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698403 (
+	.A(n_16495),
+	.B(n_15853),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[11].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698404 (
+	.A(n_16494),
+	.B(n_15852),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[11].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698405 (
+	.A(n_16493),
+	.B(n_15947),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[11].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698406 (
+	.A(n_16492),
+	.B(n_15946),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[11].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698407 (
+	.A(n_16491),
+	.B(n_15963),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[11].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698408 (
+	.A(n_16490),
+	.B(n_15962),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[11].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698409 (
+	.A(n_16489),
+	.B(n_16080),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[11].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698410 (
+	.A(n_16488),
+	.B(n_16079),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[11].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698411 (
+	.A(n_16486),
+	.B(n_15867),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[0].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698412 (
+	.A(n_16487),
+	.B(n_15866),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[0].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698413 (
+	.A(n_16485),
+	.B(n_15931),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[15].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698414 (
+	.A(n_16484),
+	.B(n_15930),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[15].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698415 (
+	.A(n_16483),
+	.B(n_15865),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[0].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698416 (
+	.A(n_16482),
+	.B(n_15864),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[0].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698417 (
+	.A(n_16481),
+	.B(n_15835),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[15].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698418 (
+	.A(n_16480),
+	.B(n_15834),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[15].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698419 (
+	.A(n_16479),
+	.B(n_15929),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[15].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698420 (
+	.A(n_16478),
+	.B(n_15928),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[15].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698421 (
+	.A(n_16477),
+	.B(n_15971),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[0].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698422 (
+	.A(n_16476),
+	.B(n_15970),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[0].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698423 (
+	.A(n_16475),
+	.B(n_15825),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[5].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698424 (
+	.A(n_16474),
+	.B(n_15824),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[5].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698425 (
+	.A(n_16473),
+	.B(n_15911),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[5].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698426 (
+	.A(n_16472),
+	.B(n_15910),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[5].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698427 (
+	.A(n_16471),
+	.B(n_15909),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[5].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698428 (
+	.A(n_16470),
+	.B(n_15908),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[5].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698429 (
+	.A(n_16281),
+	.B(n_15891),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[1].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698430 (
+	.A(n_16468),
+	.B(n_15890),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[1].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698431 (
+	.A(n_16467),
+	.B(n_15939),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[1].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698432 (
+	.A(n_16466),
+	.B(n_15938),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[1].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698433 (
+	.A(n_16465),
+	.B(n_15823),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[10].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698434 (
+	.A(n_16464),
+	.B(n_15822),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[10].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698435 (
+	.A(n_16463),
+	.B(n_15907),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[10].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698436 (
+	.A(n_16462),
+	.B(n_15906),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[10].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698437 (
+	.A(n_16461),
+	.B(n_16042),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[1].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698438 (
+	.A(n_16460),
+	.B(n_16041),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[1].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698439 (
+	.A(n_16459),
+	.B(n_15815),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[1].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698440 (
+	.A(n_16458),
+	.B(n_15814),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[1].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698441 (
+	.A(n_16457),
+	.B(n_16040),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[1].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698442 (
+	.A(n_16456),
+	.B(n_16039),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[1].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698443 (
+	.A(n_16455),
+	.B(n_16138),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[1].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698444 (
+	.A(n_16454),
+	.B(n_16137),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[1].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698445 (
+	.A(n_16453),
+	.B(n_15813),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[1].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698446 (
+	.A(n_16452),
+	.B(n_15812),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[1].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698447 (
+	.A(n_16451),
+	.B(n_15811),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[2].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698448 (
+	.A(n_16450),
+	.B(n_15810),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[2].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698449 (
+	.A(n_16449),
+	.B(n_15839),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[3].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698450 (
+	.A(n_16448),
+	.B(n_15838),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[3].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698451 (
+	.A(n_16447),
+	.B(n_16136),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[2].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698452 (
+	.A(n_16446),
+	.B(n_16135),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[2].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698453 (
+	.A(n_16445),
+	.B(n_15859),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[3].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698454 (
+	.A(n_16444),
+	.B(n_15858),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[3].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698455 (
+	.A(n_16443),
+	.B(n_15809),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[2].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698456 (
+	.A(n_16442),
+	.B(n_15808),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[2].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698457 (
+	.A(n_16441),
+	.B(n_15955),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[3].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698458 (
+	.A(n_16440),
+	.B(n_15954),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[3].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698459 (
+	.A(n_16439),
+	.B(n_15889),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[2].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698460 (
+	.A(n_16437),
+	.B(n_15888),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[2].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698461 (
+	.A(n_16438),
+	.B(n_16066),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[3].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698462 (
+	.A(n_16436),
+	.B(n_16065),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[3].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698463 (
+	.A(n_16435),
+	.B(n_16038),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[2].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698464 (
+	.A(n_16434),
+	.B(n_16037),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[2].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698465 (
+	.A(n_16433),
+	.B(n_15851),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[11].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698466 (
+	.A(n_16429),
+	.B(n_15850),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[11].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698467 (
+	.A(n_16432),
+	.B(n_15953),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[3].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698468 (
+	.A(n_16431),
+	.B(n_15952),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[3].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698469 (
+	.A(n_16430),
+	.B(n_15937),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[2].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698470 (
+	.A(n_16427),
+	.B(n_15936),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[2].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698471 (
+	.A(n_16428),
+	.B(n_15849),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[11].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698472 (
+	.A(n_16426),
+	.B(n_15848),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[11].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698473 (
+	.A(n_16425),
+	.B(n_15961),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[11].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698474 (
+	.A(n_16424),
+	.B(n_15960),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[11].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698475 (
+	.A(n_16423),
+	.B(n_16036),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[2].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698476 (
+	.A(n_16422),
+	.B(n_16035),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[2].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698477 (
+	.A(n_16421),
+	.B(n_16078),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[11].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698478 (
+	.A(n_16420),
+	.B(n_16077),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[11].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698479 (
+	.A(n_16419),
+	.B(n_16076),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[11].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698480 (
+	.A(n_16418),
+	.B(n_16075),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[11].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698481 (
+	.A(n_16417),
+	.B(n_15905),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[10].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698482 (
+	.A(n_16416),
+	.B(n_15904),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[10].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698483 (
+	.A(n_16415),
+	.B(n_15821),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[10].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698484 (
+	.A(n_16414),
+	.B(n_15820),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[10].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698485 (
+	.A(n_16413),
+	.B(n_15903),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[10].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698486 (
+	.A(n_16412),
+	.B(n_15902),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[10].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698487 (
+	.A(n_16411),
+	.B(n_15819),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[9].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698488 (
+	.A(n_16410),
+	.B(n_15818),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[9].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698489 (
+	.A(n_16409),
+	.B(n_15847),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[7].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698490 (
+	.A(n_16408),
+	.B(n_15846),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[7].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698491 (
+	.A(n_16407),
+	.B(n_15901),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[9].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698492 (
+	.A(n_16406),
+	.B(n_15900),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[9].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698493 (
+	.A(n_16405),
+	.B(n_16074),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[7].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698494 (
+	.A(n_16404),
+	.B(n_16073),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[7].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698495 (
+	.A(n_16403),
+	.B(n_15844),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[7].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698496 (
+	.A(n_16400),
+	.B(n_15845),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[7].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698497 (
+	.A(n_16402),
+	.B(n_15945),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[7].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698498 (
+	.A(n_16401),
+	.B(n_15944),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[7].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698499 (
+	.A(n_16399),
+	.B(n_15899),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[9].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698500 (
+	.A(n_16398),
+	.B(n_15898),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[9].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698501 (
+	.A(n_16397),
+	.B(n_15817),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[9].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698502 (
+	.A(n_16396),
+	.B(n_15816),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[9].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698503 (
+	.A(n_16395),
+	.B(n_15896),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[9].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698504 (
+	.A(n_16394),
+	.B(n_15897),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[9].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g698505 (
+	.A(n_15066),
+	.B(n_16519),
+	.Y(n_16526), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698506 (
+	.A(n_16393),
+	.B(n_15895),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[9].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698507 (
+	.A(n_16392),
+	.B(n_15894),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[9].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698508 (
+	.A(n_16391),
+	.B(n_15959),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[7].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698509 (
+	.A(n_16390),
+	.B(n_15958),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[7].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698510 (
+	.A(n_16389),
+	.B(n_16072),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[7].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698511 (
+	.A(n_16388),
+	.B(n_16071),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[7].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698512 (
+	.A(n_16386),
+	.B(n_15833),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[15].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698513 (
+	.A(n_16387),
+	.B(n_15832),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[15].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698514 (
+	.A(n_16385),
+	.B(n_15927),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[15].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698515 (
+	.A(n_16384),
+	.B(n_15926),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[15].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698516 (
+	.A(n_16383),
+	.B(n_15837),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[3].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698517 (
+	.A(n_16382),
+	.B(n_15836),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[3].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698518 (
+	.A(n_16381),
+	.B(n_15925),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[15].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698519 (
+	.A1(n_13840),
+	.A2(n_15339),
+	.B1(n_14606),
+	.B2(n_14945),
+	.C1(n_15924),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[15].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698520 (
+	.A(n_16380),
+	.B(n_16064),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[3].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698521 (
+	.A(n_16379),
+	.B(n_16063),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[3].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698522 (
+	.A(n_16378),
+	.B(n_15943),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[7].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698523 (
+	.A(n_16377),
+	.B(n_15942),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[7].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698524 (
+	.A(n_16375),
+	.B(n_15843),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[7].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698525 (
+	.A(n_16376),
+	.B(n_15842),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[7].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698526 (
+	.A(n_16373),
+	.B(n_15950),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[3].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698527 (
+	.A(n_16374),
+	.B(n_15951),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[3].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698528 (
+	.A(n_16372),
+	.B(n_15957),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[7].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698529 (
+	.A(n_16371),
+	.B(n_15956),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[7].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698530 (
+	.A(n_16370),
+	.B(n_16070),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[7].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698531 (
+	.A(n_16369),
+	.B(n_16069),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[7].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698532 (
+	.A(n_16367),
+	.B(n_15857),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[3].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698533 (
+	.A(n_16368),
+	.B(n_15856),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[3].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698534 (
+	.A(n_16366),
+	.B(n_15887),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[1].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698535 (
+	.A(n_16365),
+	.B(n_15886),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[1].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698536 (
+	.A(n_16364),
+	.B(n_15841),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[7].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698537 (
+	.A(n_16363),
+	.B(n_15840),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[7].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698538 (
+	.A(n_16362),
+	.B(n_15949),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[3].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698539 (
+	.A(n_16361),
+	.B(n_15948),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[3].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698540 (
+	.A(n_16360),
+	.B(n_16034),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[1].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698541 (
+	.A(n_16359),
+	.B(n_16033),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[1].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698542 (
+	.A(n_16358),
+	.B(n_16068),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[7].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698543 (
+	.A(n_16357),
+	.B(n_16067),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[7].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698544 (
+	.A(n_16356),
+	.B(n_15807),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[1].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698545 (
+	.A(n_16355),
+	.B(n_15806),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[1].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698546 (
+	.A(n_16354),
+	.B(n_15805),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[1].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698547 (
+	.A(n_16353),
+	.B(n_15804),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[1].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698548 (
+	.A(n_16352),
+	.B(n_16134),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[1].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698549 (
+	.A(n_16351),
+	.B(n_16133),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[1].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698550 (
+	.A(n_16350),
+	.B(n_16032),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[1].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698551 (
+	.A(n_16349),
+	.B(n_16031),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[1].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698552 (
+	.A(n_16348),
+	.B(n_15935),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[1].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698553 (
+	.A(n_16347),
+	.B(n_15934),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[1].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698554 (
+	.A(n_16346),
+	.B(n_15893),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[10].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698555 (
+	.A(n_16345),
+	.B(n_16022),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[10].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698556 (
+	.A(n_16344),
+	.B(n_15863),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[0].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698557 (
+	.A(n_16343),
+	.B(n_15862),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[0].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698558 (
+	.A(n_16342),
+	.B(n_15861),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[0].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698559 (
+	.A(n_16341),
+	.B(n_15860),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[0].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698560 (
+	.A(n_16340),
+	.B(n_15969),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[0].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698561 (
+	.A(n_16339),
+	.B(n_15968),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[0].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698562 (
+	.A(n_16338),
+	.B(n_15803),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[2].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698563 (
+	.A(n_16337),
+	.B(n_15802),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[2].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698564 (
+	.A(n_16335),
+	.B(n_15885),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[2].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698565 (
+	.A(n_16336),
+	.B(n_15884),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[2].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698566 (
+	.A(n_16334),
+	.B(n_15933),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[2].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698567 (
+	.A(n_16333),
+	.B(n_15932),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[2].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698568 (
+	.A(n_16332),
+	.B(n_16030),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[2].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698569 (
+	.A(n_16331),
+	.B(n_16029),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[2].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698570 (
+	.A(n_16330),
+	.B(n_15801),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[2].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698571 (
+	.A(n_16329),
+	.B(n_15800),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[2].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698572 (
+	.A(n_16328),
+	.B(n_16028),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[2].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698573 (
+	.A(n_16327),
+	.B(n_16027),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[2].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698574 (
+	.A(n_16326),
+	.B(n_15941),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[11].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698575 (
+	.A(n_16325),
+	.B(n_15940),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[11].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698576 (
+	.A(n_16324),
+	.B(n_16132),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[2].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698577 (
+	.A(n_16323),
+	.B(n_16131),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[2].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698578 (
+	.A(n_16322),
+	.B(n_15923),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[6].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698579 (
+	.A1(n_14013),
+	.A2(n_15459),
+	.B1(n_14599),
+	.B2(n_14961),
+	.C1(n_16007),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[0].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698580 (
+	.A1(n_13949),
+	.A2(n_15459),
+	.B1(n_14601),
+	.B2(n_14961),
+	.C1(n_16006),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[0].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698581 (
+	.A1(n_13683),
+	.A2(n_15458),
+	.B1(n_14599),
+	.B2(n_14969),
+	.C1(n_16005),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[0].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698582 (
+	.A1(n_13560),
+	.A2(n_15458),
+	.B1(n_14601),
+	.B2(n_14969),
+	.C1(n_16004),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[0].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g698583 (
+	.A1(n_15418),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [417]),
+	.B1(n_14962),
+	.B2(n_14597),
+	.C1(n_15795),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[0].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g698584 (
+	.A1(n_15418),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [416]),
+	.B1(n_14962),
+	.B2(n_14615),
+	.C1(n_15794),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[0].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g698585 (
+	.A1(n_15315),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [160]),
+	.B1(n_14970),
+	.B2(n_14615),
+	.C1(n_15792),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[0].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g698586 (
+	.A1(n_15315),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [161]),
+	.B1(n_14970),
+	.B2(n_14597),
+	.C1(n_15793),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[0].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698587 (
+	.A1(n_13789),
+	.A2(n_15553),
+	.B1(n_14603),
+	.B2(n_14960),
+	.C1(n_16185),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[11].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698588 (
+	.A1(n_13980),
+	.A2(n_15553),
+	.B1(n_14618),
+	.B2(n_14960),
+	.C1(n_16186),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[11].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698589 (
+	.A1(n_13703),
+	.A2(n_15552),
+	.B1(n_14618),
+	.B2(n_14959),
+	.C1(n_16184),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[11].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698590 (
+	.A1(n_13890),
+	.A2(n_15552),
+	.B1(n_14603),
+	.B2(n_14959),
+	.C1(n_16183),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[11].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698591 (
+	.A1(n_13990),
+	.A2(n_15550),
+	.B1(n_14618),
+	.B2(n_14958),
+	.C1(n_16182),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[7].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698592 (
+	.A1(n_13811),
+	.A2(n_15550),
+	.B1(n_14603),
+	.B2(n_14958),
+	.C1(n_16181),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[7].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698593 (
+	.A1(n_13705),
+	.A2(n_15551),
+	.B1(n_14618),
+	.B2(n_14971),
+	.C1(n_16180),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[7].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698594 (
+	.A1(n_13622),
+	.A2(n_15551),
+	.B1(n_14603),
+	.B2(n_14971),
+	.C1(n_16179),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[7].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g698595 (
+	.A1(n_15430),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [182]),
+	.B1(n_13440),
+	.B2(n_14615),
+	.C1(n_15790),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[11].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g698596 (
+	.A1(n_15430),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [183]),
+	.B1(n_13440),
+	.B2(n_14597),
+	.C1(n_15791),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[11].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g698597 (
+	.A1(n_15372),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [438]),
+	.B1(n_13439),
+	.B2(n_14615),
+	.C1(n_15788),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[11].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g698598 (
+	.A1(n_15372),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [439]),
+	.B1(n_13439),
+	.B2(n_14597),
+	.C1(n_15789),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[11].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g698599 (
+	.A1(n_15365),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [175]),
+	.B1(n_13441),
+	.B2(n_14597),
+	.C1(n_15787),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[7].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g698600 (
+	.A1(n_15365),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [174]),
+	.B1(n_13441),
+	.B2(n_14615),
+	.C1(n_15786),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[7].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g698601 (
+	.A1(n_15329),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [431]),
+	.B1(n_13442),
+	.B2(n_14597),
+	.C1(n_15785),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[7].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g698602 (
+	.A1(n_15329),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [430]),
+	.B1(n_13442),
+	.B2(n_14615),
+	.C1(n_15784),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[7].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g698603 (
+	.A1(n_15387),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [294]),
+	.B1(n_14935),
+	.B2(n_14607),
+	.C1(n_15782),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[3].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g698604 (
+	.A1(n_15387),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [295]),
+	.B1(n_14935),
+	.B2(n_14605),
+	.C1(n_15783),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[3].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g698605 (
+	.A1(n_15379),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [390]),
+	.B1(n_14935),
+	.B2(n_14611),
+	.C1(n_15780),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[3].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g698606 (
+	.A1(n_15379),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [391]),
+	.B1(n_14935),
+	.B2(n_14613),
+	.C1(n_15781),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[3].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g698607 (
+	.A1(n_15346),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [135]),
+	.B1(n_14937),
+	.B2(n_14613),
+	.C1(n_15779),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[3].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g698608 (
+	.A1(n_15346),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [134]),
+	.B1(n_14937),
+	.B2(n_14611),
+	.C1(n_15778),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[3].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g698609 (
+	.A1(n_15341),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [39]),
+	.B1(n_14937),
+	.B2(n_14605),
+	.C1(n_15777),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[3].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g698610 (
+	.A1(n_15341),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [38]),
+	.B1(n_14937),
+	.B2(n_14607),
+	.C1(n_15776),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[3].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698611 (
+	.A1(n_13595),
+	.A2(n_15467),
+	.B1(n_14620),
+	.B2(n_14975),
+	.C1(n_15892),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[6].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698612 (
+	.A1(n_13714),
+	.A2(n_15467),
+	.B1(n_14609),
+	.B2(n_14975),
+	.C1(n_16280),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[6].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698613 (
+	.A1(n_13695),
+	.A2(n_15466),
+	.B1(n_14609),
+	.B2(n_14929),
+	.C1(n_16021),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[5].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698614 (
+	.A1(n_13518),
+	.A2(n_15466),
+	.B1(n_14620),
+	.B2(n_14929),
+	.C1(n_16020),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[5].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698615 (
+	.A1(n_14022),
+	.A2(n_15465),
+	.B1(n_14609),
+	.B2(n_14987),
+	.C1(n_16019),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[6].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698616 (
+	.A1(n_13507),
+	.A2(n_15465),
+	.B1(n_14620),
+	.B2(n_14987),
+	.C1(n_16018),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[6].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698617 (
+	.A1(n_13677),
+	.A2(n_15464),
+	.B1(n_14620),
+	.B2(n_14985),
+	.C1(n_16016),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[5].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698618 (
+	.A1(n_13734),
+	.A2(n_15464),
+	.B1(n_14609),
+	.B2(n_14985),
+	.C1(n_16017),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[5].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698619 (
+	.A1(n_13692),
+	.A2(n_15463),
+	.B1(n_14609),
+	.B2(n_14977),
+	.C1(n_16015),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[10].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698620 (
+	.A1(n_13828),
+	.A2(n_15463),
+	.B1(n_14620),
+	.B2(n_14977),
+	.C1(n_16014),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[10].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698621 (
+	.A1(n_13987),
+	.A2(n_15462),
+	.B1(n_14609),
+	.B2(n_14983),
+	.C1(n_16013),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[10].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698622 (
+	.A1(n_13670),
+	.A2(n_15462),
+	.B1(n_14620),
+	.B2(n_14983),
+	.C1(n_16012),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[10].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698623 (
+	.A1(n_13702),
+	.A2(n_15461),
+	.B1(n_14609),
+	.B2(n_14981),
+	.C1(n_16011),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[9].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698624 (
+	.A1(n_13958),
+	.A2(n_15461),
+	.B1(n_14620),
+	.B2(n_14981),
+	.C1(n_16010),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[9].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698625 (
+	.A1(n_13700),
+	.A2(n_15460),
+	.B1(n_14609),
+	.B2(n_14979),
+	.C1(n_16009),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[9].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698626 (
+	.A1(n_13783),
+	.A2(n_15460),
+	.B1(n_14620),
+	.B2(n_14979),
+	.C1(n_16008),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[9].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698627 (
+	.A1(n_14001),
+	.A2(n_15469),
+	.B1(n_14609),
+	.B2(n_14939),
+	.C1(n_16026),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[15].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698628 (
+	.A1(n_13915),
+	.A2(n_15469),
+	.B1(n_14620),
+	.B2(n_14939),
+	.C1(n_16025),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[15].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698629 (
+	.A1(n_14011),
+	.A2(n_15468),
+	.B1(n_14609),
+	.B2(n_14945),
+	.C1(n_16024),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[15].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698630 (
+	.A1(n_13545),
+	.A2(n_15468),
+	.B1(n_14620),
+	.B2(n_14945),
+	.C1(n_16023),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[15].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g698631 (
+	.A1(n_15397),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [419]),
+	.B1(n_14964),
+	.B2(n_14597),
+	.C1(n_15775),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[1].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g698632 (
+	.A1(n_15397),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [418]),
+	.B1(n_14964),
+	.B2(n_14615),
+	.C1(n_15774),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[1].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g698633 (
+	.A1(n_15390),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [165]),
+	.B1(n_14966),
+	.B2(n_14597),
+	.C1(n_15773),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[2].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g698634 (
+	.A1(n_15390),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [164]),
+	.B1(n_14966),
+	.B2(n_14615),
+	.C1(n_15772),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[2].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g698635 (
+	.A1(n_15325),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [162]),
+	.B1(n_14968),
+	.B2(n_14615),
+	.C1(n_15770),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[1].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g698636 (
+	.A1(n_15325),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [163]),
+	.B1(n_14968),
+	.B2(n_14597),
+	.C1(n_15771),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[1].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g698637 (
+	.A1(n_15305),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [421]),
+	.B1(n_14973),
+	.B2(n_14597),
+	.C1(n_15769),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[2].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g698638 (
+	.A1(n_15305),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [420]),
+	.B1(n_14973),
+	.B2(n_14615),
+	.C1(n_15768),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[2].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698639 (
+	.A1(n_13737),
+	.A2(n_15527),
+	.B1(n_14599),
+	.B2(n_14975),
+	.C1(n_16058),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[6].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698640 (
+	.A1(n_13948),
+	.A2(n_15527),
+	.B1(n_14601),
+	.B2(n_14975),
+	.C1(n_16057),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[6].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698641 (
+	.A1(n_13554),
+	.A2(n_15526),
+	.B1(n_14601),
+	.B2(n_14929),
+	.C1(n_16055),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[5].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698642 (
+	.A1(n_13690),
+	.A2(n_15526),
+	.B1(n_14599),
+	.B2(n_14929),
+	.C1(n_16056),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[5].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698643 (
+	.A1(n_14008),
+	.A2(n_15525),
+	.B1(n_14599),
+	.B2(n_14987),
+	.C1(n_16054),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[6].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698644 (
+	.A1(n_13834),
+	.A2(n_15525),
+	.B1(n_14601),
+	.B2(n_14987),
+	.C1(n_16053),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[6].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698645 (
+	.A1(n_13739),
+	.A2(n_15524),
+	.B1(n_14599),
+	.B2(n_14985),
+	.C1(n_16052),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[5].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698646 (
+	.A1(n_13891),
+	.A2(n_15524),
+	.B1(n_14601),
+	.B2(n_14985),
+	.C1(n_16051),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[5].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698647 (
+	.A1(n_14003),
+	.A2(n_15523),
+	.B1(n_14599),
+	.B2(n_14977),
+	.C1(n_16050),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[10].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698648 (
+	.A1(n_13578),
+	.A2(n_15523),
+	.B1(n_14601),
+	.B2(n_14977),
+	.C1(n_16049),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[10].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698649 (
+	.A1(n_13713),
+	.A2(n_15522),
+	.B1(n_14599),
+	.B2(n_14983),
+	.C1(n_16048),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[10].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698650 (
+	.A1(n_13652),
+	.A2(n_15522),
+	.B1(n_14601),
+	.B2(n_14983),
+	.C1(n_16047),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[10].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698651 (
+	.A1(n_13724),
+	.A2(n_15521),
+	.B1(n_14599),
+	.B2(n_14981),
+	.C1(n_16046),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[9].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698652 (
+	.A1(n_13926),
+	.A2(n_15521),
+	.B1(n_14601),
+	.B2(n_14981),
+	.C1(n_16045),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[9].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698653 (
+	.A1(n_13566),
+	.A2(n_15520),
+	.B1(n_14601),
+	.B2(n_14979),
+	.C1(n_16043),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[9].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698654 (
+	.A1(n_13699),
+	.A2(n_15520),
+	.B1(n_14599),
+	.B2(n_14979),
+	.C1(n_16044),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[9].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698655 (
+	.A1(n_13879),
+	.A2(n_15529),
+	.B1(n_14601),
+	.B2(n_14939),
+	.C1(n_16061),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[15].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698656 (
+	.A1(n_13712),
+	.A2(n_15529),
+	.B1(n_14599),
+	.B2(n_14939),
+	.C1(n_16062),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[15].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698657 (
+	.A1(n_13995),
+	.A2(n_15528),
+	.B1(n_14599),
+	.B2(n_14945),
+	.C1(n_16060),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[15].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698658 (
+	.A1(n_13556),
+	.A2(n_15528),
+	.B1(n_14601),
+	.B2(n_14945),
+	.C1(n_16059),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[15].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698659 (
+	.A1(n_13687),
+	.A2(n_15541),
+	.B1(n_14589),
+	.B2(n_14961),
+	.C1(n_16114),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[0].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698660 (
+	.A1(n_13620),
+	.A2(n_15541),
+	.B1(n_14591),
+	.B2(n_14961),
+	.C1(n_16113),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[0].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698661 (
+	.A1(n_13880),
+	.A2(n_15540),
+	.B1(n_14595),
+	.B2(n_14961),
+	.C1(n_16111),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[0].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698662 (
+	.A1(n_14005),
+	.A2(n_15540),
+	.B1(n_14593),
+	.B2(n_14961),
+	.C1(n_16112),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[0].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698663 (
+	.A1(n_13733),
+	.A2(n_15539),
+	.B1(n_14589),
+	.B2(n_14969),
+	.C1(n_16110),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[0].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698664 (
+	.A1(n_13515),
+	.A2(n_15539),
+	.B1(n_14591),
+	.B2(n_14969),
+	.C1(n_16109),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[0].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698665 (
+	.A1(n_13736),
+	.A2(n_15538),
+	.B1(n_14593),
+	.B2(n_14969),
+	.C1(n_16108),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[0].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698666 (
+	.A1(n_13795),
+	.A2(n_15538),
+	.B1(n_14595),
+	.B2(n_14969),
+	.C1(n_16107),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[0].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698667 (
+	.A(n_16321),
+	.B(n_15875),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[8].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698668 (
+	.A(n_16320),
+	.B(n_15874),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[8].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698669 (
+	.A(n_16319),
+	.B(n_15873),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[4].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698670 (
+	.A(n_16318),
+	.B(n_15872),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[4].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698671 (
+	.A(n_16317),
+	.B(n_15871),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[8].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698672 (
+	.A(n_16316),
+	.B(n_15870),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[8].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698673 (
+	.A(n_16315),
+	.B(n_15869),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[4].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698674 (
+	.A(n_16314),
+	.B(n_15868),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[4].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698675 (
+	.A(n_16313),
+	.B(n_15883),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[14].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698676 (
+	.A(n_16312),
+	.B(n_15882),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[14].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698677 (
+	.A(n_16311),
+	.B(n_15881),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[13].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698678 (
+	.A(n_16310),
+	.B(n_15880),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[13].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698679 (
+	.A(n_16309),
+	.B(n_15879),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[14].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698680 (
+	.A(n_16308),
+	.B(n_15878),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[14].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698681 (
+	.A(n_16306),
+	.B(n_15876),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[13].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698682 (
+	.A(n_16307),
+	.B(n_15877),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[13].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g698683 (
+	.A1(n_15383),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [422]),
+	.B1(n_14935),
+	.B2(n_14615),
+	.C1(n_15766),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[3].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g698684 (
+	.A1(n_15383),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [423]),
+	.B1(n_14935),
+	.B2(n_14597),
+	.C1(n_15767),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[3].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g698685 (
+	.A1(n_15347),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [167]),
+	.B1(n_14937),
+	.B2(n_14597),
+	.C1(n_15765),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[3].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g698686 (
+	.A1(n_15347),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [166]),
+	.B1(n_14937),
+	.B2(n_14615),
+	.C1(n_15764),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[3].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698687 (
+	.A1(n_13993),
+	.A2(n_15573),
+	.B1(n_14589),
+	.B2(n_14975),
+	.C1(n_16170),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[6].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698688 (
+	.A1(n_13936),
+	.A2(n_15573),
+	.B1(n_14591),
+	.B2(n_14975),
+	.C1(n_16169),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[6].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698689 (
+	.A1(n_13722),
+	.A2(n_15572),
+	.B1(n_14593),
+	.B2(n_14975),
+	.C1(n_16168),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[6].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698690 (
+	.A1(n_13900),
+	.A2(n_15572),
+	.B1(n_14595),
+	.B2(n_14975),
+	.C1(n_16167),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[6].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698691 (
+	.A1(n_13991),
+	.A2(n_15571),
+	.B1(n_14593),
+	.B2(n_14929),
+	.C1(n_16166),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[5].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698692 (
+	.A1(n_13830),
+	.A2(n_15571),
+	.B1(n_14595),
+	.B2(n_14929),
+	.C1(n_16165),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[5].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698693 (
+	.A1(n_14007),
+	.A2(n_15570),
+	.B1(n_14589),
+	.B2(n_14929),
+	.C1(n_16164),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[5].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698694 (
+	.A1(n_13813),
+	.A2(n_15570),
+	.B1(n_14591),
+	.B2(n_14929),
+	.C1(n_16163),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[5].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698695 (
+	.A1(n_13511),
+	.A2(n_15569),
+	.B1(n_14595),
+	.B2(n_14987),
+	.C1(n_16161),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[6].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698696 (
+	.A1(n_13726),
+	.A2(n_15569),
+	.B1(n_14593),
+	.B2(n_14987),
+	.C1(n_16162),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[6].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698697 (
+	.A1(n_13719),
+	.A2(n_15568),
+	.B1(n_14589),
+	.B2(n_14987),
+	.C1(n_16160),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[6].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698698 (
+	.A1(n_13855),
+	.A2(n_15568),
+	.B1(n_14591),
+	.B2(n_14987),
+	.C1(n_16159),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[6].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698699 (
+	.A1(n_14027),
+	.A2(n_15567),
+	.B1(n_14589),
+	.B2(n_14985),
+	.C1(n_16158),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[5].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698700 (
+	.A1(n_13889),
+	.A2(n_15567),
+	.B1(n_14591),
+	.B2(n_14985),
+	.C1(n_16157),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[5].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698701 (
+	.A1(n_13681),
+	.A2(n_15566),
+	.B1(n_14593),
+	.B2(n_14985),
+	.C1(n_16156),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[5].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698702 (
+	.A1(n_13650),
+	.A2(n_15566),
+	.B1(n_14595),
+	.B2(n_14985),
+	.C1(n_16155),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[5].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698703 (
+	.A1(n_13740),
+	.A2(n_15565),
+	.B1(n_14593),
+	.B2(n_14977),
+	.C1(n_16154),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[10].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698704 (
+	.A1(n_13502),
+	.A2(n_15565),
+	.B1(n_14595),
+	.B2(n_14977),
+	.C1(n_16153),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[10].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698705 (
+	.A1(n_13680),
+	.A2(n_15564),
+	.B1(n_14589),
+	.B2(n_14977),
+	.C1(n_16152),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[10].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698706 (
+	.A1(n_13581),
+	.A2(n_15564),
+	.B1(n_14591),
+	.B2(n_14977),
+	.C1(n_16151),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[10].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698707 (
+	.A1(n_13696),
+	.A2(n_15562),
+	.B1(n_14589),
+	.B2(n_14983),
+	.C1(n_16150),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[10].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698708 (
+	.A1(n_13946),
+	.A2(n_15562),
+	.B1(n_14591),
+	.B2(n_14983),
+	.C1(n_16149),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[10].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698709 (
+	.A1(n_13985),
+	.A2(n_15561),
+	.B1(n_14593),
+	.B2(n_14983),
+	.C1(n_16147),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[10].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698710 (
+	.A1(n_13916),
+	.A2(n_15561),
+	.B1(n_14595),
+	.B2(n_14983),
+	.C1(n_16148),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[10].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698711 (
+	.A1(n_13727),
+	.A2(n_15560),
+	.B1(n_14593),
+	.B2(n_14979),
+	.C1(n_16146),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[9].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698712 (
+	.A1(n_13839),
+	.A2(n_15560),
+	.B1(n_14595),
+	.B2(n_14979),
+	.C1(n_16145),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[9].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698713 (
+	.A1(n_13725),
+	.A2(n_15559),
+	.B1(n_14589),
+	.B2(n_14981),
+	.C1(n_16144),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[9].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698714 (
+	.A1(n_13887),
+	.A2(n_15559),
+	.B1(n_14591),
+	.B2(n_14981),
+	.C1(n_16143),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[9].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698715 (
+	.A1(n_13706),
+	.A2(n_15563),
+	.B1(n_14593),
+	.B2(n_14981),
+	.C1(n_16142),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[9].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698716 (
+	.A1(n_13588),
+	.A2(n_15563),
+	.B1(n_14595),
+	.B2(n_14981),
+	.C1(n_16141),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[9].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698717 (
+	.A1(n_14024),
+	.A2(n_15558),
+	.B1(n_14589),
+	.B2(n_14979),
+	.C1(n_16140),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[9].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698718 (
+	.A1(n_13872),
+	.A2(n_15558),
+	.B1(n_14591),
+	.B2(n_14979),
+	.C1(n_16139),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[9].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698719 (
+	.A1(n_13698),
+	.A2(n_15577),
+	.B1(n_14589),
+	.B2(n_14939),
+	.C1(n_16178),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[15].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698720 (
+	.A1(n_13647),
+	.A2(n_15577),
+	.B1(n_14591),
+	.B2(n_14939),
+	.C1(n_16177),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[15].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698721 (
+	.A1(n_14006),
+	.A2(n_15576),
+	.B1(n_14593),
+	.B2(n_14939),
+	.C1(n_16176),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[15].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698722 (
+	.A1(n_13671),
+	.A2(n_15576),
+	.B1(n_14595),
+	.B2(n_14939),
+	.C1(n_16175),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[15].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698723 (
+	.A1(n_14004),
+	.A2(n_15575),
+	.B1(n_14593),
+	.B2(n_14945),
+	.C1(n_16173),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[15].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698724 (
+	.A1(n_13793),
+	.A2(n_15575),
+	.B1(n_14595),
+	.B2(n_14945),
+	.C1(n_16174),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[15].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698725 (
+	.A1(n_13986),
+	.A2(n_15574),
+	.B1(n_14589),
+	.B2(n_14945),
+	.C1(n_16172),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[15].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698726 (
+	.A1(n_13753),
+	.A2(n_15574),
+	.B1(n_14591),
+	.B2(n_14945),
+	.C1(n_16171),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[15].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698727 (
+	.A1(n_13685),
+	.A2(n_15587),
+	.B1(n_14618),
+	.B2(n_14961),
+	.C1(n_16198),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[0].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698728 (
+	.A1(n_13960),
+	.A2(n_15587),
+	.B1(n_14603),
+	.B2(n_14961),
+	.C1(n_16197),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[0].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698729 (
+	.A1(n_13981),
+	.A2(n_15586),
+	.B1(n_14618),
+	.B2(n_14969),
+	.C1(n_16196),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[0].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698730 (
+	.A1(n_13526),
+	.A2(n_15586),
+	.B1(n_14603),
+	.B2(n_14969),
+	.C1(n_16195),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[0].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698731 (
+	.A(n_16304),
+	.B(n_15987),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[8].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698733 (
+	.A(n_16303),
+	.B(n_15985),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[8].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698734 (
+	.A1(n_13524),
+	.A2(n_15482),
+	.B1(n_14606),
+	.B2(n_14951),
+	.C1(n_15984),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[8].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698735 (
+	.A(n_16302),
+	.B(n_15983),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[4].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698736 (
+	.A1(n_13911),
+	.A2(n_15480),
+	.B1(n_14606),
+	.B2(n_14953),
+	.C1(n_15982),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[4].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698737 (
+	.A(n_16300),
+	.B(n_15980),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[4].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698738 (
+	.A(n_16301),
+	.B(n_15981),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[4].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698739 (
+	.A(n_16298),
+	.B(n_15978),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[8].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698740 (
+	.A(n_16299),
+	.B(n_15979),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[8].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698741 (
+	.A(n_16297),
+	.B(n_15977),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[8].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698742 (
+	.A1(n_13931),
+	.A2(n_15474),
+	.B1(n_14606),
+	.B2(n_14955),
+	.C1(n_15976),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[8].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698743 (
+	.A(n_16295),
+	.B(n_15974),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[4].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698744 (
+	.A(n_16296),
+	.B(n_15975),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[4].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698745 (
+	.A(n_16294),
+	.B(n_15973),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[4].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698746 (
+	.A1(n_13528),
+	.A2(n_15470),
+	.B1(n_14606),
+	.B2(n_14957),
+	.C1(n_15972),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[4].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698747 (
+	.A(n_16293),
+	.B(n_16003),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[14].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698748 (
+	.A1(n_13644),
+	.A2(n_15500),
+	.B1(n_14606),
+	.B2(n_14941),
+	.C1(n_16002),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[14].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698749 (
+	.A(n_16292),
+	.B(n_16001),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[14].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698750 (
+	.A(n_16291),
+	.B(n_16000),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[14].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698751 (
+	.A(n_16290),
+	.B(n_15999),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[13].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698752 (
+	.A1(n_13962),
+	.A2(n_15496),
+	.B1(n_14606),
+	.B2(n_14943),
+	.C1(n_15998),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[13].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698753 (
+	.A(n_16289),
+	.B(n_15997),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[13].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698754 (
+	.A(n_16288),
+	.B(n_15996),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[13].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698755 (
+	.A(n_16287),
+	.B(n_15995),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[14].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698756 (
+	.A(n_16285),
+	.B(n_15993),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[14].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698757 (
+	.A(n_16286),
+	.B(n_15994),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[14].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698758 (
+	.A1(n_13564),
+	.A2(n_15490),
+	.B1(n_14606),
+	.B2(n_14947),
+	.C1(n_15992),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[14].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698759 (
+	.A(n_16284),
+	.B(n_15991),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[13].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698760 (
+	.A(n_16283),
+	.B(n_15990),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[13].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g698761 (
+	.A(n_16282),
+	.B(n_15989),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[13].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698762 (
+	.A1(n_13750),
+	.A2(n_15486),
+	.B1(n_14606),
+	.B2(n_14949),
+	.C1(n_15988),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[13].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698763 (
+	.A1(n_14021),
+	.A2(n_15597),
+	.B1(n_14618),
+	.B2(n_14975),
+	.C1(n_16246),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[6].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698764 (
+	.A1(n_13678),
+	.A2(n_15597),
+	.B1(n_14603),
+	.B2(n_14975),
+	.C1(n_16245),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[6].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698765 (
+	.A1(n_13997),
+	.A2(n_15596),
+	.B1(n_14618),
+	.B2(n_14929),
+	.C1(n_16244),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[5].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698766 (
+	.A1(n_13495),
+	.A2(n_15596),
+	.B1(n_14603),
+	.B2(n_14929),
+	.C1(n_16243),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[5].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698767 (
+	.A1(n_13721),
+	.A2(n_15595),
+	.B1(n_14618),
+	.B2(n_14987),
+	.C1(n_16242),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[6].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698768 (
+	.A1(n_13498),
+	.A2(n_15595),
+	.B1(n_14603),
+	.B2(n_14987),
+	.C1(n_16241),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[6].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698769 (
+	.A1(n_13940),
+	.A2(n_15594),
+	.B1(n_14603),
+	.B2(n_14985),
+	.C1(n_16238),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[5].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698770 (
+	.A1(n_13974),
+	.A2(n_15594),
+	.B1(n_14618),
+	.B2(n_14985),
+	.C1(n_16239),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[5].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698771 (
+	.A1(n_13973),
+	.A2(n_15593),
+	.B1(n_14618),
+	.B2(n_14977),
+	.C1(n_16237),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[10].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698772 (
+	.A1(n_13821),
+	.A2(n_15593),
+	.B1(n_14603),
+	.B2(n_14977),
+	.C1(n_16236),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[10].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698773 (
+	.A1(n_13586),
+	.A2(n_15592),
+	.B1(n_14603),
+	.B2(n_14983),
+	.C1(n_16240),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[10].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698774 (
+	.A1(n_14015),
+	.A2(n_15592),
+	.B1(n_14618),
+	.B2(n_14983),
+	.C1(n_16235),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[10].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698775 (
+	.A1(n_13969),
+	.A2(n_15591),
+	.B1(n_14618),
+	.B2(n_14981),
+	.C1(n_16234),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[9].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698776 (
+	.A1(n_13625),
+	.A2(n_15591),
+	.B1(n_14603),
+	.B2(n_14981),
+	.C1(n_16233),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[9].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698777 (
+	.A1(n_13711),
+	.A2(n_15590),
+	.B1(n_14618),
+	.B2(n_14979),
+	.C1(n_16232),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[9].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698778 (
+	.A1(n_13862),
+	.A2(n_15590),
+	.B1(n_14603),
+	.B2(n_14979),
+	.C1(n_16231),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[9].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698779 (
+	.A1(n_14009),
+	.A2(n_15599),
+	.B1(n_14618),
+	.B2(n_14939),
+	.C1(n_16250),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[15].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698780 (
+	.A1(n_13908),
+	.A2(n_15599),
+	.B1(n_14603),
+	.B2(n_14939),
+	.C1(n_16249),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[15].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698781 (
+	.A1(n_13720),
+	.A2(n_15598),
+	.B1(n_14618),
+	.B2(n_14945),
+	.C1(n_16248),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[15].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698782 (
+	.A1(n_13487),
+	.A2(n_15598),
+	.B1(n_14603),
+	.B2(n_14945),
+	.C1(n_16247),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[15].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698783 (
+	.A1(n_13707),
+	.A2(n_15545),
+	.B1(n_14609),
+	.B2(n_14951),
+	.C1(n_16098),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[8].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698784 (
+	.A1(n_13477),
+	.A2(n_15545),
+	.B1(n_14620),
+	.B2(n_14951),
+	.C1(n_16097),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[8].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698785 (
+	.A1(n_14014),
+	.A2(n_15544),
+	.B1(n_14609),
+	.B2(n_14953),
+	.C1(n_16096),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[4].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698786 (
+	.A1(n_13668),
+	.A2(n_15544),
+	.B1(n_14620),
+	.B2(n_14953),
+	.C1(n_16095),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[4].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698787 (
+	.A1(n_13910),
+	.A2(n_15543),
+	.B1(n_14620),
+	.B2(n_14955),
+	.C1(n_16093),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[8].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698788 (
+	.A1(n_14016),
+	.A2(n_15543),
+	.B1(n_14609),
+	.B2(n_14955),
+	.C1(n_16094),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[8].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698789 (
+	.A1(n_13992),
+	.A2(n_15542),
+	.B1(n_14609),
+	.B2(n_14957),
+	.C1(n_16092),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[4].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698790 (
+	.A1(n_13497),
+	.A2(n_15542),
+	.B1(n_14620),
+	.B2(n_14957),
+	.C1(n_16091),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[4].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698791 (
+	.A1(n_14028),
+	.A2(n_15549),
+	.B1(n_14609),
+	.B2(n_14941),
+	.C1(n_16106),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[14].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698792 (
+	.A1(n_13965),
+	.A2(n_15549),
+	.B1(n_14620),
+	.B2(n_14941),
+	.C1(n_16105),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[14].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698793 (
+	.A1(n_13999),
+	.A2(n_15548),
+	.B1(n_14609),
+	.B2(n_14943),
+	.C1(n_16104),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[13].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698794 (
+	.A1(n_13641),
+	.A2(n_15548),
+	.B1(n_14620),
+	.B2(n_14943),
+	.C1(n_16103),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[13].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698795 (
+	.A1(n_13550),
+	.A2(n_15547),
+	.B1(n_14620),
+	.B2(n_14947),
+	.C1(n_16101),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[14].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698796 (
+	.A1(n_13975),
+	.A2(n_15547),
+	.B1(n_14609),
+	.B2(n_14947),
+	.C1(n_16102),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[14].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698797 (
+	.A1(n_13729),
+	.A2(n_15546),
+	.B1(n_14609),
+	.B2(n_14949),
+	.C1(n_16100),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[13].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698798 (
+	.A1(n_13484),
+	.A2(n_15546),
+	.B1(n_14620),
+	.B2(n_14949),
+	.C1(n_16099),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[13].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698799 (
+	.A1(n_13972),
+	.A2(n_15581),
+	.B1(n_14599),
+	.B2(n_14951),
+	.C1(n_16122),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[8].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698800 (
+	.A1(n_13775),
+	.A2(n_15581),
+	.B1(n_14601),
+	.B2(n_14951),
+	.C1(n_16121),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[8].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698801 (
+	.A1(n_13730),
+	.A2(n_15580),
+	.B1(n_14599),
+	.B2(n_14953),
+	.C1(n_16120),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[4].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698802 (
+	.A1(n_13892),
+	.A2(n_15580),
+	.B1(n_14601),
+	.B2(n_14953),
+	.C1(n_16119),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[4].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698803 (
+	.A1(n_13655),
+	.A2(n_15579),
+	.B1(n_14601),
+	.B2(n_14955),
+	.C1(n_16117),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[8].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698804 (
+	.A1(n_13970),
+	.A2(n_15579),
+	.B1(n_14599),
+	.B2(n_14955),
+	.C1(n_16118),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[8].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698805 (
+	.A1(n_13731),
+	.A2(n_15578),
+	.B1(n_14599),
+	.B2(n_14957),
+	.C1(n_16116),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[4].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698806 (
+	.A1(n_13860),
+	.A2(n_15578),
+	.B1(n_14601),
+	.B2(n_14957),
+	.C1(n_16115),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[4].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698807 (
+	.A1(n_13718),
+	.A2(n_15585),
+	.B1(n_14599),
+	.B2(n_14941),
+	.C1(n_16130),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[14].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698808 (
+	.A1(n_13886),
+	.A2(n_15585),
+	.B1(n_14601),
+	.B2(n_14941),
+	.C1(n_16129),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[14].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698809 (
+	.A1(n_13689),
+	.A2(n_15584),
+	.B1(n_14599),
+	.B2(n_14943),
+	.C1(n_16128),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[13].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698810 (
+	.A1(n_13669),
+	.A2(n_15584),
+	.B1(n_14601),
+	.B2(n_14943),
+	.C1(n_16127),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[13].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698811 (
+	.A1(n_13994),
+	.A2(n_15583),
+	.B1(n_14599),
+	.B2(n_14947),
+	.C1(n_16126),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[14].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698812 (
+	.A1(n_13848),
+	.A2(n_15583),
+	.B1(n_14601),
+	.B2(n_14947),
+	.C1(n_16125),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[14].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698813 (
+	.A1(n_13686),
+	.A2(n_15582),
+	.B1(n_14599),
+	.B2(n_14949),
+	.C1(n_16124),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[13].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698814 (
+	.A1(n_13529),
+	.A2(n_15582),
+	.B1(n_14601),
+	.B2(n_14949),
+	.C1(n_16123),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[13].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698815 (
+	.A1(n_13715),
+	.A2(n_15519),
+	.B1(n_14596),
+	.B2(n_14931),
+	.C1(n_15967),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[12].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698816 (
+	.A1(n_13923),
+	.A2(n_15519),
+	.B1(n_14614),
+	.B2(n_14931),
+	.C1(n_15966),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[12].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698817 (
+	.A1(n_13694),
+	.A2(n_15518),
+	.B1(n_14596),
+	.B2(n_14933),
+	.C1(n_15965),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[12].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698818 (
+	.A1(n_13535),
+	.A2(n_15518),
+	.B1(n_14614),
+	.B2(n_14933),
+	.C1(n_15964),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[12].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698819 (
+	.A1(n_13710),
+	.A2(n_15740),
+	.B1(n_14593),
+	.B2(n_14951),
+	.C1(n_16214),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[8].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698820 (
+	.A1(n_13773),
+	.A2(n_15740),
+	.B1(n_14595),
+	.B2(n_14951),
+	.C1(n_16213),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[8].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698821 (
+	.A1(n_13709),
+	.A2(n_15739),
+	.B1(n_14589),
+	.B2(n_14951),
+	.C1(n_16212),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[8].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698822 (
+	.A1(n_13868),
+	.A2(n_15739),
+	.B1(n_14591),
+	.B2(n_14951),
+	.C1(n_16211),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[8].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698823 (
+	.A1(n_13585),
+	.A2(n_15605),
+	.B1(n_14591),
+	.B2(n_14953),
+	.C1(n_16209),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[4].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698824 (
+	.A1(n_13977),
+	.A2(n_15605),
+	.B1(n_14589),
+	.B2(n_14953),
+	.C1(n_16210),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[4].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698825 (
+	.A1(n_13606),
+	.A2(n_15604),
+	.B1(n_14595),
+	.B2(n_14953),
+	.C1(n_16207),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[4].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698826 (
+	.A1(n_14000),
+	.A2(n_15604),
+	.B1(n_14593),
+	.B2(n_14953),
+	.C1(n_16208),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[4].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698827 (
+	.A1(n_13716),
+	.A2(n_15603),
+	.B1(n_14589),
+	.B2(n_14955),
+	.C1(n_16206),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[8].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698828 (
+	.A1(n_13583),
+	.A2(n_15603),
+	.B1(n_14591),
+	.B2(n_14955),
+	.C1(n_16205),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[8].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698829 (
+	.A1(n_13693),
+	.A2(n_15602),
+	.B1(n_14593),
+	.B2(n_14955),
+	.C1(n_16204),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[8].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698830 (
+	.A1(n_13636),
+	.A2(n_15602),
+	.B1(n_14595),
+	.B2(n_14955),
+	.C1(n_16203),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[8].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698831 (
+	.A1(n_14026),
+	.A2(n_15601),
+	.B1(n_14593),
+	.B2(n_14957),
+	.C1(n_16202),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[4].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698832 (
+	.A1(n_13542),
+	.A2(n_15601),
+	.B1(n_14595),
+	.B2(n_14957),
+	.C1(n_16201),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[4].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698833 (
+	.A1(n_14019),
+	.A2(n_15600),
+	.B1(n_14589),
+	.B2(n_14957),
+	.C1(n_16200),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[4].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698834 (
+	.A1(n_13533),
+	.A2(n_15600),
+	.B1(n_14591),
+	.B2(n_14957),
+	.C1(n_16199),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[4].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698835 (
+	.A1(n_13989),
+	.A2(n_15748),
+	.B1(n_14589),
+	.B2(n_14941),
+	.C1(n_16230),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[14].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698836 (
+	.A1(n_13628),
+	.A2(n_15748),
+	.B1(n_14591),
+	.B2(n_14941),
+	.C1(n_16229),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[14].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698837 (
+	.A1(n_13688),
+	.A2(n_15747),
+	.B1(n_14593),
+	.B2(n_14941),
+	.C1(n_16228),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[14].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698838 (
+	.A1(n_13646),
+	.A2(n_15747),
+	.B1(n_14595),
+	.B2(n_14941),
+	.C1(n_16227),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[14].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698839 (
+	.A1(n_13979),
+	.A2(n_15746),
+	.B1(n_14589),
+	.B2(n_14943),
+	.C1(n_16226),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[13].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698840 (
+	.A1(n_13883),
+	.A2(n_15746),
+	.B1(n_14591),
+	.B2(n_14943),
+	.C1(n_16225),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[13].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698841 (
+	.A1(n_13723),
+	.A2(n_15745),
+	.B1(n_14593),
+	.B2(n_14943),
+	.C1(n_16224),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[13].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698842 (
+	.A1(n_13882),
+	.A2(n_15745),
+	.B1(n_14595),
+	.B2(n_14943),
+	.C1(n_16223),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[13].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698843 (
+	.A1(n_14020),
+	.A2(n_15744),
+	.B1(n_14593),
+	.B2(n_14947),
+	.C1(n_16222),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[14].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698844 (
+	.A1(n_13822),
+	.A2(n_15744),
+	.B1(n_14595),
+	.B2(n_14947),
+	.C1(n_16221),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[14].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698845 (
+	.A1(n_14012),
+	.A2(n_15743),
+	.B1(n_14589),
+	.B2(n_14947),
+	.C1(n_16220),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[14].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698846 (
+	.A1(n_13824),
+	.A2(n_15743),
+	.B1(n_14591),
+	.B2(n_14947),
+	.C1(n_16219),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[14].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698847 (
+	.A1(n_14010),
+	.A2(n_15742),
+	.B1(n_14593),
+	.B2(n_14949),
+	.C1(n_16218),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[13].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698848 (
+	.A1(n_13816),
+	.A2(n_15742),
+	.B1(n_14595),
+	.B2(n_14949),
+	.C1(n_16217),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[13].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698849 (
+	.A1(n_13704),
+	.A2(n_15741),
+	.B1(n_14589),
+	.B2(n_14949),
+	.C1(n_16216),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[13].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698850 (
+	.A1(n_13557),
+	.A2(n_15741),
+	.B1(n_14591),
+	.B2(n_14949),
+	.C1(n_16215),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[13].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698851 (
+	.A1(n_13728),
+	.A2(n_15557),
+	.B1(n_14604),
+	.B2(n_14931),
+	.C1(n_16090),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[12].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698852 (
+	.A1(n_13920),
+	.A2(n_15557),
+	.B1(n_14606),
+	.B2(n_14931),
+	.C1(n_16089),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[12].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698853 (
+	.A1(n_13738),
+	.A2(n_15556),
+	.B1(n_14612),
+	.B2(n_14931),
+	.C1(n_16088),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[12].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698854 (
+	.A1(n_13651),
+	.A2(n_15556),
+	.B1(n_14610),
+	.B2(n_14931),
+	.C1(n_16087),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[12].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698855 (
+	.A1(n_13752),
+	.A2(n_15555),
+	.B1(n_14610),
+	.B2(n_14933),
+	.C1(n_16085),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[12].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698856 (
+	.A1(n_13684),
+	.A2(n_15555),
+	.B1(n_14612),
+	.B2(n_14933),
+	.C1(n_16086),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[12].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698857 (
+	.A1(n_13978),
+	.A2(n_15554),
+	.B1(n_14604),
+	.B2(n_14933),
+	.C1(n_16084),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[12].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698858 (
+	.A1(n_13501),
+	.A2(n_15554),
+	.B1(n_14606),
+	.B2(n_14933),
+	.C1(n_16083),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[12].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698859 (
+	.A1(n_13549),
+	.A2(n_15754),
+	.B1(n_14603),
+	.B2(n_14951),
+	.C1(n_16265),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[8].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698860 (
+	.A1(n_13708),
+	.A2(n_15754),
+	.B1(n_14618),
+	.B2(n_14951),
+	.C1(n_16266),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[8].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698861 (
+	.A1(n_14025),
+	.A2(n_15753),
+	.B1(n_14618),
+	.B2(n_14953),
+	.C1(n_16264),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[4].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698862 (
+	.A1(n_13632),
+	.A2(n_15753),
+	.B1(n_14603),
+	.B2(n_14953),
+	.C1(n_16263),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[4].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698863 (
+	.A1(n_13988),
+	.A2(n_15752),
+	.B1(n_14618),
+	.B2(n_14955),
+	.C1(n_16262),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[8].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698864 (
+	.A1(n_13896),
+	.A2(n_15752),
+	.B1(n_14603),
+	.B2(n_14955),
+	.C1(n_16261),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[8].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698865 (
+	.A1(n_13490),
+	.A2(n_15751),
+	.B1(n_14603),
+	.B2(n_14957),
+	.C1(n_16259),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[4].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698866 (
+	.A1(n_13682),
+	.A2(n_15751),
+	.B1(n_14618),
+	.B2(n_14957),
+	.C1(n_16260),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[4].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698867 (
+	.A1(n_13968),
+	.A2(n_15758),
+	.B1(n_14618),
+	.B2(n_14941),
+	.C1(n_16274),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[14].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698868 (
+	.A1(n_13912),
+	.A2(n_15758),
+	.B1(n_14603),
+	.B2(n_14941),
+	.C1(n_16273),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[14].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698869 (
+	.A1(n_13982),
+	.A2(n_15757),
+	.B1(n_14618),
+	.B2(n_14943),
+	.C1(n_16272),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[13].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698870 (
+	.A1(n_13881),
+	.A2(n_15757),
+	.B1(n_14603),
+	.B2(n_14943),
+	.C1(n_16271),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[13].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698871 (
+	.A1(n_13735),
+	.A2(n_15756),
+	.B1(n_14618),
+	.B2(n_14947),
+	.C1(n_16270),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[14].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698872 (
+	.A1(n_13570),
+	.A2(n_15756),
+	.B1(n_14603),
+	.B2(n_14947),
+	.C1(n_16269),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[14].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698873 (
+	.A1(n_13996),
+	.A2(n_15755),
+	.B1(n_14618),
+	.B2(n_14949),
+	.C1(n_16268),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[13].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698874 (
+	.A1(n_13475),
+	.A2(n_15755),
+	.B1(n_14603),
+	.B2(n_14949),
+	.C1(n_16267),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[13].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698875 (
+	.A1(n_13717),
+	.A2(n_15589),
+	.B1(n_14609),
+	.B2(n_14931),
+	.C1(n_16190),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[12].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698876 (
+	.A1(n_13657),
+	.A2(n_15589),
+	.B1(n_14620),
+	.B2(n_14931),
+	.C1(n_16189),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[12].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698877 (
+	.A1(n_13998),
+	.A2(n_15588),
+	.B1(n_14609),
+	.B2(n_14933),
+	.C1(n_16188),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[12].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698878 (
+	.A1(n_13784),
+	.A2(n_15588),
+	.B1(n_14620),
+	.B2(n_14933),
+	.C1(n_16187),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[12].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698879 (
+	.A1(n_14018),
+	.A2(n_15763),
+	.B1(n_14599),
+	.B2(n_14931),
+	.C1(n_16194),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[12].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698880 (
+	.A1(n_13966),
+	.A2(n_15763),
+	.B1(n_14601),
+	.B2(n_14931),
+	.C1(n_16193),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[12].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698881 (
+	.A1(n_13984),
+	.A2(n_15749),
+	.B1(n_14599),
+	.B2(n_14933),
+	.C1(n_16192),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[12].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698882 (
+	.A1(n_13873),
+	.A2(n_15749),
+	.B1(n_14601),
+	.B2(n_14933),
+	.C1(n_16191),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[12].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698883 (
+	.A1(n_13697),
+	.A2(n_15738),
+	.B1(n_14618),
+	.B2(n_14931),
+	.C1(n_16278),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[12].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698884 (
+	.A1(n_13878),
+	.A2(n_15738),
+	.B1(n_14603),
+	.B2(n_14931),
+	.C1(n_16277),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[12].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698885 (
+	.A1(n_13983),
+	.A2(n_15750),
+	.B1(n_14618),
+	.B2(n_14933),
+	.C1(n_16276),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[12].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698886 (
+	.A1(n_13462),
+	.A2(n_15750),
+	.B1(n_14603),
+	.B2(n_14933),
+	.C1(n_16275),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[12].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698887 (
+	.A1(n_13691),
+	.A2(n_15761),
+	.B1(n_14589),
+	.B2(n_14931),
+	.C1(n_16258),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[12].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698888 (
+	.A1(n_13667),
+	.A2(n_15761),
+	.B1(n_14591),
+	.B2(n_14931),
+	.C1(n_16257),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[12].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698889 (
+	.A1(n_14002),
+	.A2(n_15762),
+	.B1(n_14593),
+	.B2(n_14931),
+	.C1(n_16256),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[12].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698890 (
+	.A1(n_13954),
+	.A2(n_15762),
+	.B1(n_14595),
+	.B2(n_14931),
+	.C1(n_16255),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[12].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698891 (
+	.A1(n_13732),
+	.A2(n_15760),
+	.B1(n_14593),
+	.B2(n_14933),
+	.C1(n_16254),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[12].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698892 (
+	.A1(n_13531),
+	.A2(n_15760),
+	.B1(n_14595),
+	.B2(n_14933),
+	.C1(n_16253),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[12].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698893 (
+	.A1(n_14017),
+	.A2(n_15759),
+	.B1(n_14589),
+	.B2(n_14933),
+	.C1(n_16252),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[12].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698894 (
+	.A1(n_13767),
+	.A2(n_15759),
+	.B1(n_14591),
+	.B2(n_14933),
+	.C1(n_16251),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[12].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g698895 (
+	.A1(n_13559),
+	.A2(n_33798),
+	.B1(n_14463),
+	.C1(n_14506),
+	.D1(n_15799),
+	.Y(n_16524), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111oi_0 g698896 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_p1_f [5]),
+	.A2(n_14102),
+	.B1(n_14384),
+	.C1(n_15798),
+	.D1(n_34648),
+	.Y(n_16525), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 g698897 (
+	.A(n_35827),
+	.B(n_15204),
+	.COUT(n_16522),
+	.SUM(n_16523), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698898 (
+	.A1(n_33468),
+	.A2(n_14388),
+	.B1(n_33402),
+	.B2(n_14389),
+	.C1(n_15736),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [85]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698899 (
+	.A1(n_33467),
+	.A2(n_14388),
+	.B1(n_33403),
+	.B2(n_14389),
+	.C1(n_15735),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [84]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698900 (
+	.A1(n_33464),
+	.A2(n_14388),
+	.B1(n_33406),
+	.B2(n_14389),
+	.C1(n_15733),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [83]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698901 (
+	.A1(n_33461),
+	.A2(n_14388),
+	.B1(n_33407),
+	.B2(n_14389),
+	.C1(n_15732),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [82]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698902 (
+	.A1(n_33460),
+	.A2(n_14388),
+	.B1(n_33409),
+	.B2(n_14389),
+	.C1(n_15731),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [81]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698903 (
+	.A1(n_33459),
+	.A2(n_14388),
+	.B1(n_33410),
+	.B2(n_14389),
+	.C1(n_15730),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [80]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698904 (
+	.A1(n_33494),
+	.A2(n_14388),
+	.B1(n_33416),
+	.B2(n_14389),
+	.C1(n_15729),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [79]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698905 (
+	.A1(n_33497),
+	.A2(n_14388),
+	.B1(n_33419),
+	.B2(n_14389),
+	.C1(n_15727),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [77]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698906 (
+	.A1(n_33495),
+	.A2(n_14388),
+	.B1(n_33417),
+	.B2(n_14389),
+	.C1(n_15728),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [78]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698907 (
+	.A1(n_33498),
+	.A2(n_14388),
+	.B1(n_33420),
+	.B2(n_14389),
+	.C1(n_15725),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [76]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698908 (
+	.A1(n_33501),
+	.A2(n_14388),
+	.B1(n_33423),
+	.B2(n_14389),
+	.C1(n_15726),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [75]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698909 (
+	.A1(n_33502),
+	.A2(n_14388),
+	.B1(n_33424),
+	.B2(n_14389),
+	.C1(n_15724),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [74]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698910 (
+	.A1(n_33504),
+	.A2(n_14388),
+	.B1(n_33426),
+	.B2(n_14389),
+	.C1(n_15723),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [73]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698911 (
+	.A1(n_33510),
+	.A2(n_14388),
+	.B1(n_33432),
+	.B2(n_14389),
+	.C1(n_15720),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [70]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698912 (
+	.A1(n_33505),
+	.A2(n_14388),
+	.B1(n_33427),
+	.B2(n_14389),
+	.C1(n_15722),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [72]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698913 (
+	.A1(n_33509),
+	.A2(n_14388),
+	.B1(n_33431),
+	.B2(n_14389),
+	.C1(n_15721),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [71]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698914 (
+	.A1(n_33512),
+	.A2(n_14388),
+	.B1(n_33434),
+	.B2(n_14389),
+	.C1(n_15719),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [69]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698915 (
+	.A1(n_33513),
+	.A2(n_14388),
+	.B1(n_33435),
+	.B2(n_14389),
+	.C1(n_15718),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [68]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698916 (
+	.A1(n_33516),
+	.A2(n_14388),
+	.B1(n_33438),
+	.B2(n_14389),
+	.C1(n_15717),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [67]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698917 (
+	.A1(n_33517),
+	.A2(n_14388),
+	.B1(n_33439),
+	.B2(n_14389),
+	.C1(n_15716),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [66]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698918 (
+	.A1(n_33519),
+	.A2(n_14388),
+	.B1(n_33441),
+	.B2(n_14389),
+	.C1(n_15715),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [65]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698919 (
+	.A1(n_33520),
+	.A2(n_14388),
+	.B1(n_33442),
+	.B2(n_14389),
+	.C1(n_15714),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [64]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698920 (
+	.A1(n_33527),
+	.A2(n_14388),
+	.B1(n_33384),
+	.B2(n_14389),
+	.C1(n_15713),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [63]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698921 (
+	.A1(n_33526),
+	.A2(n_14388),
+	.B1(n_33383),
+	.B2(n_14389),
+	.C1(n_15712),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [62]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698922 (
+	.A1(n_33532),
+	.A2(n_14388),
+	.B1(n_33382),
+	.B2(n_14389),
+	.C1(n_15711),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [61]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698923 (
+	.A1(n_33536),
+	.A2(n_14388),
+	.B1(n_33379),
+	.B2(n_14389),
+	.C1(n_15708),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [58]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698924 (
+	.A1(n_33533),
+	.A2(n_14388),
+	.B1(n_33381),
+	.B2(n_14389),
+	.C1(n_15710),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [60]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698925 (
+	.A1(n_33535),
+	.A2(n_14388),
+	.B1(n_33380),
+	.B2(n_14389),
+	.C1(n_15709),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [59]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698926 (
+	.A1(n_33528),
+	.A2(n_14388),
+	.B1(n_33378),
+	.B2(n_14389),
+	.C1(n_15707),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [57]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698927 (
+	.A1(n_33540),
+	.A2(n_14388),
+	.B1(n_33377),
+	.B2(n_14389),
+	.C1(n_15706),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [56]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698928 (
+	.A1(n_33823),
+	.A2(n_14388),
+	.B1(n_33376),
+	.B2(n_14389),
+	.C1(n_15705),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [55]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698929 (
+	.A1(n_33541),
+	.A2(n_14388),
+	.B1(n_33375),
+	.B2(n_14389),
+	.C1(n_15704),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [54]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698930 (
+	.A1(n_33544),
+	.A2(n_14388),
+	.B1(n_33373),
+	.B2(n_14389),
+	.C1(n_15702),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [52]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698931 (
+	.A1(n_33543),
+	.A2(n_14388),
+	.B1(n_33374),
+	.B2(n_14389),
+	.C1(n_15703),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [53]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698932 (
+	.A1(n_33547),
+	.A2(n_14388),
+	.B1(n_33372),
+	.B2(n_14389),
+	.C1(n_15700),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [51]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698933 (
+	.A1(n_33548),
+	.A2(n_14388),
+	.B1(n_33371),
+	.B2(n_14389),
+	.C1(n_15701),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [50]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698934 (
+	.A1(n_33822),
+	.A2(n_14388),
+	.B1(n_33370),
+	.B2(n_14389),
+	.C1(n_15699),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [49]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698935 (
+	.A1(n_33550),
+	.A2(n_14388),
+	.B1(n_33369),
+	.B2(n_14389),
+	.C1(n_15698),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [48]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698936 (
+	.A1(n_33827),
+	.A2(n_14388),
+	.B1(n_33367),
+	.B2(n_14389),
+	.C1(n_15696),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [46]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698937 (
+	.A1(n_33492),
+	.A2(n_14388),
+	.B1(n_33368),
+	.B2(n_14389),
+	.C1(n_15697),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [47]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698938 (
+	.A1(n_33493),
+	.A2(n_14388),
+	.B1(n_33366),
+	.B2(n_14389),
+	.C1(n_15695),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [45]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698939 (
+	.A1(n_33828),
+	.A2(n_14388),
+	.B1(n_33365),
+	.B2(n_14389),
+	.C1(n_15694),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [44]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698940 (
+	.A1(n_33490),
+	.A2(n_14388),
+	.B1(n_33364),
+	.B2(n_14389),
+	.C1(n_15693),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [43]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698941 (
+	.A1(n_33829),
+	.A2(n_14388),
+	.B1(n_33363),
+	.B2(n_14389),
+	.C1(n_15692),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [42]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698942 (
+	.A1(n_33491),
+	.A2(n_14388),
+	.B1(n_33362),
+	.B2(n_14389),
+	.C1(n_15691),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [41]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698943 (
+	.A1(n_33830),
+	.A2(n_14388),
+	.B1(n_33361),
+	.B2(n_14389),
+	.C1(n_15690),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [40]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698944 (
+	.A1(n_33826),
+	.A2(n_14388),
+	.B1(n_33360),
+	.B2(n_14389),
+	.C1(n_15689),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [39]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698945 (
+	.A1(n_33489),
+	.A2(n_14388),
+	.B1(n_33359),
+	.B2(n_14389),
+	.C1(n_15688),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [38]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698946 (
+	.A1(n_33486),
+	.A2(n_14388),
+	.B1(n_33358),
+	.B2(n_14389),
+	.C1(n_15687),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [37]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698947 (
+	.A1(n_33483),
+	.A2(n_14388),
+	.B1(n_33357),
+	.B2(n_14389),
+	.C1(n_15629),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [36]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698948 (
+	.A1(n_33831),
+	.A2(n_14388),
+	.B1(n_33354),
+	.B2(n_14389),
+	.C1(n_15684),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [33]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698949 (
+	.A1(n_33500),
+	.A2(n_14388),
+	.B1(n_33356),
+	.B2(n_14389),
+	.C1(n_15686),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [35]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698950 (
+	.A1(n_33499),
+	.A2(n_14388),
+	.B1(n_33355),
+	.B2(n_14389),
+	.C1(n_15685),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [34]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698951 (
+	.A1(n_33496),
+	.A2(n_14388),
+	.B1(n_33353),
+	.B2(n_14389),
+	.C1(n_15683),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [32]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698952 (
+	.A1(n_33462),
+	.A2(n_14388),
+	.B1(n_33447),
+	.B2(n_14389),
+	.C1(n_15682),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698953 (
+	.A1(n_33484),
+	.A2(n_14388),
+	.B1(n_33448),
+	.B2(n_14389),
+	.C1(n_15681),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698954 (
+	.A1(n_33463),
+	.A2(n_14388),
+	.B1(n_33450),
+	.B2(n_14389),
+	.C1(n_15680),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698955 (
+	.A1(n_33465),
+	.A2(n_14388),
+	.B1(n_33453),
+	.B2(n_14389),
+	.C1(n_15678),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698956 (
+	.A1(n_33485),
+	.A2(n_14388),
+	.B1(n_33451),
+	.B2(n_14389),
+	.C1(n_15679),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698957 (
+	.A1(n_33487),
+	.A2(n_14388),
+	.B1(n_33454),
+	.B2(n_14389),
+	.C1(n_15677),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698958 (
+	.A1(n_33466),
+	.A2(n_14388),
+	.B1(n_33352),
+	.B2(n_14389),
+	.C1(n_15676),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698959 (
+	.A1(n_33488),
+	.A2(n_14388),
+	.B1(n_33351),
+	.B2(n_14389),
+	.C1(n_15675),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698960 (
+	.A1(n_33469),
+	.A2(n_14388),
+	.B1(n_33350),
+	.B2(n_14389),
+	.C1(n_15674),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698961 (
+	.A1(n_33470),
+	.A2(n_14388),
+	.B1(n_33349),
+	.B2(n_14389),
+	.C1(n_15673),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698962 (
+	.A1(n_33472),
+	.A2(n_14388),
+	.B1(n_33348),
+	.B2(n_14389),
+	.C1(n_15672),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698963 (
+	.A1(n_33473),
+	.A2(n_14388),
+	.B1(n_33347),
+	.B2(n_14389),
+	.C1(n_15671),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698964 (
+	.A1(n_33477),
+	.A2(n_14388),
+	.B1(n_33346),
+	.B2(n_14389),
+	.C1(n_15670),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698965 (
+	.A1(n_33478),
+	.A2(n_14388),
+	.B1(n_33345),
+	.B2(n_14389),
+	.C1(n_15669),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698966 (
+	.A1(n_33480),
+	.A2(n_14388),
+	.B1(n_33344),
+	.B2(n_14389),
+	.C1(n_15668),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698967 (
+	.A1(n_33481),
+	.A2(n_14388),
+	.B1(n_33343),
+	.B2(n_14389),
+	.C1(n_15667),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698968 (
+	.A1(n_33558),
+	.A2(n_14388),
+	.B1(n_33342),
+	.B2(n_14389),
+	.C1(n_15666),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698969 (
+	.A1(n_33559),
+	.A2(n_14388),
+	.B1(n_33341),
+	.B2(n_14389),
+	.C1(n_15665),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698970 (
+	.A1(n_33561),
+	.A2(n_14388),
+	.B1(n_33340),
+	.B2(n_14389),
+	.C1(n_15664),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698971 (
+	.A1(n_33562),
+	.A2(n_14388),
+	.B1(n_33339),
+	.B2(n_14389),
+	.C1(n_15663),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698972 (
+	.A1(n_33563),
+	.A2(n_14388),
+	.B1(n_33338),
+	.B2(n_14389),
+	.C1(n_15662),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698973 (
+	.A1(n_33564),
+	.A2(n_14388),
+	.B1(n_33337),
+	.B2(n_14389),
+	.C1(n_15661),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698974 (
+	.A1(n_33566),
+	.A2(n_14388),
+	.B1(n_33335),
+	.B2(n_14389),
+	.C1(n_15659),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698975 (
+	.A1(n_33565),
+	.A2(n_14388),
+	.B1(n_33336),
+	.B2(n_14389),
+	.C1(n_15660),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698976 (
+	.A1(n_33824),
+	.A2(n_14388),
+	.B1(n_33334),
+	.B2(n_14389),
+	.C1(n_15658),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698977 (
+	.A1(n_35841),
+	.A2(n_14388),
+	.B1(n_35892),
+	.B2(n_14389),
+	.C1(n_15657),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698978 (
+	.A1(n_35840),
+	.A2(n_14388),
+	.B1(n_35891),
+	.B2(n_14389),
+	.C1(n_15656),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698979 (
+	.A1(n_35839),
+	.A2(n_14388),
+	.B1(n_35890),
+	.B2(n_14389),
+	.C1(n_15633),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698980 (
+	.A1(n_35838),
+	.A2(n_14388),
+	.B1(n_35889),
+	.B2(n_14389),
+	.C1(n_15655),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698981 (
+	.A1(n_35837),
+	.A2(n_14388),
+	.B1(n_35888),
+	.B2(n_14389),
+	.C1(n_15654),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698982 (
+	.A1(n_33825),
+	.A2(n_14388),
+	.B1(n_33333),
+	.B2(n_14389),
+	.C1(n_15734),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698983 (
+	.A1(n_35836),
+	.A2(n_14388),
+	.B1(n_35887),
+	.B2(n_14389),
+	.C1(n_15653),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g698984 (
+	.A(n_15060),
+	.B(n_14137),
+	.C(brqrv_top_brqrv_dec_tlu_mcgc_int[9]),
+	.D(n_14083),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_intenable_clk_enable_grp[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g698985 (
+	.A(n_15059),
+	.B(n_14150),
+	.C(brqrv_top_brqrv_dec_tlu_mcgc_int[9]),
+	.D(n_14088),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_intenable_clk_enable_grp[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g698986 (
+	.A(n_15054),
+	.B(n_14138),
+	.C(brqrv_top_brqrv_dec_tlu_mcgc_int[9]),
+	.D(n_14036),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_intenable_clk_enable_grp[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g698987 (
+	.A(n_15058),
+	.B(n_14148),
+	.C(brqrv_top_brqrv_dec_tlu_mcgc_int[9]),
+	.D(n_14031),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_intenable_clk_enable_grp[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g698988 (
+	.A(n_15057),
+	.B(n_14147),
+	.C(brqrv_top_brqrv_dec_tlu_mcgc_int[9]),
+	.D(n_14086),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_intenable_clk_enable_grp[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g698989 (
+	.A(n_15056),
+	.B(n_14146),
+	.C(brqrv_top_brqrv_dec_tlu_mcgc_int[9]),
+	.D(n_14095),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_intenable_clk_enable_grp[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g698990 (
+	.A(n_15055),
+	.B(n_14145),
+	.C(brqrv_top_brqrv_dec_tlu_mcgc_int[9]),
+	.D(n_14096),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_intenable_clk_enable_grp[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698991 (
+	.A1(n_33534),
+	.A2(n_14388),
+	.B1(n_33421),
+	.B2(n_14389),
+	.C1(n_15652),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [127]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698992 (
+	.A1(n_33560),
+	.A2(n_14388),
+	.B1(n_33452),
+	.B2(n_14389),
+	.C1(n_15651),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [126]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698993 (
+	.A1(n_33557),
+	.A2(n_14388),
+	.B1(n_33449),
+	.B2(n_14389),
+	.C1(n_15650),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [125]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698994 (
+	.A1(n_33556),
+	.A2(n_14388),
+	.B1(n_33446),
+	.B2(n_14389),
+	.C1(n_15649),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [124]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698995 (
+	.A1(n_33555),
+	.A2(n_14388),
+	.B1(n_33445),
+	.B2(n_14389),
+	.C1(n_15648),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [123]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698996 (
+	.A1(n_33554),
+	.A2(n_14388),
+	.B1(n_33444),
+	.B2(n_14389),
+	.C1(n_15647),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [122]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698997 (
+	.A1(n_33552),
+	.A2(n_14388),
+	.B1(n_33440),
+	.B2(n_14389),
+	.C1(n_15645),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [120]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698998 (
+	.A1(n_33553),
+	.A2(n_14388),
+	.B1(n_33443),
+	.B2(n_14389),
+	.C1(n_15646),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [121]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g698999 (
+	.A1(n_33551),
+	.A2(n_14388),
+	.B1(n_33437),
+	.B2(n_14389),
+	.C1(n_15644),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [119]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g699000 (
+	.A1(n_33549),
+	.A2(n_14388),
+	.B1(n_33436),
+	.B2(n_14389),
+	.C1(n_15643),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [118]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g699001 (
+	.A1(n_33546),
+	.A2(n_14388),
+	.B1(n_33433),
+	.B2(n_14389),
+	.C1(n_15642),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [117]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g699002 (
+	.A1(n_33545),
+	.A2(n_14388),
+	.B1(n_33430),
+	.B2(n_14389),
+	.C1(n_15641),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [116]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g699003 (
+	.A1(n_33542),
+	.A2(n_14388),
+	.B1(n_33429),
+	.B2(n_14389),
+	.C1(n_15640),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [115]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g699004 (
+	.A1(n_33539),
+	.A2(n_14388),
+	.B1(n_33428),
+	.B2(n_14389),
+	.C1(n_15639),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [114]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g699005 (
+	.A1(n_33538),
+	.A2(n_14388),
+	.B1(n_33425),
+	.B2(n_14389),
+	.C1(n_15638),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [113]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g699006 (
+	.A1(n_33537),
+	.A2(n_14388),
+	.B1(n_33422),
+	.B2(n_14389),
+	.C1(n_15637),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [112]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g699007 (
+	.A1(n_33531),
+	.A2(n_14388),
+	.B1(n_33418),
+	.B2(n_14389),
+	.C1(n_15636),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [111]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g699008 (
+	.A1(n_33530),
+	.A2(n_14388),
+	.B1(n_33415),
+	.B2(n_14389),
+	.C1(n_15635),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [110]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g699009 (
+	.A1(n_33525),
+	.A2(n_14388),
+	.B1(n_33413),
+	.B2(n_14389),
+	.C1(n_15632),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [108]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g699010 (
+	.A1(n_33524),
+	.A2(n_14388),
+	.B1(n_33412),
+	.B2(n_14389),
+	.C1(n_15631),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [107]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g699011 (
+	.A1(n_33529),
+	.A2(n_14388),
+	.B1(n_33414),
+	.B2(n_14389),
+	.C1(n_15634),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [109]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g699012 (
+	.A1(n_33523),
+	.A2(n_14388),
+	.B1(n_33411),
+	.B2(n_14389),
+	.C1(n_15630),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [106]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g699013 (
+	.A1(n_33522),
+	.A2(n_14388),
+	.B1(n_33408),
+	.B2(n_14389),
+	.C1(n_15628),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [105]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g699014 (
+	.A1(n_33521),
+	.A2(n_14388),
+	.B1(n_33405),
+	.B2(n_14389),
+	.C1(n_15627),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [104]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g699015 (
+	.A1(n_33515),
+	.A2(n_14388),
+	.B1(n_33401),
+	.B2(n_14389),
+	.C1(n_15625),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [102]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g699016 (
+	.A1(n_33518),
+	.A2(n_14388),
+	.B1(n_33404),
+	.B2(n_14389),
+	.C1(n_15626),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [103]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g699017 (
+	.A1(n_33514),
+	.A2(n_14388),
+	.B1(n_33398),
+	.B2(n_14389),
+	.C1(n_15624),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [101]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g699018 (
+	.A1(n_33511),
+	.A2(n_14388),
+	.B1(n_33397),
+	.B2(n_14389),
+	.C1(n_15623),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [100]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g699019 (
+	.A1(n_33508),
+	.A2(n_14388),
+	.B1(n_33396),
+	.B2(n_14389),
+	.C1(n_15622),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [99]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g699020 (
+	.A1(n_33507),
+	.A2(n_14388),
+	.B1(n_33393),
+	.B2(n_14389),
+	.C1(n_15621),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [98]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g699021 (
+	.A1(n_33482),
+	.A2(n_14388),
+	.B1(n_33388),
+	.B2(n_14389),
+	.C1(n_15618),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [95]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g699022 (
+	.A1(n_33506),
+	.A2(n_14388),
+	.B1(n_33390),
+	.B2(n_14389),
+	.C1(n_15620),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [97]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g699023 (
+	.A1(n_33503),
+	.A2(n_14388),
+	.B1(n_33389),
+	.B2(n_14389),
+	.C1(n_15619),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [96]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g699024 (
+	.A1(n_33458),
+	.A2(n_14388),
+	.B1(n_33387),
+	.B2(n_14389),
+	.C1(n_15617),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [94]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g699025 (
+	.A1(n_33479),
+	.A2(n_14388),
+	.B1(n_33386),
+	.B2(n_14389),
+	.C1(n_15616),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [93]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g699026 (
+	.A1(n_33455),
+	.A2(n_14388),
+	.B1(n_33385),
+	.B2(n_14389),
+	.C1(n_15615),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [92]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g699027 (
+	.A1(n_33456),
+	.A2(n_14388),
+	.B1(n_33392),
+	.B2(n_14389),
+	.C1(n_15613),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [90]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g699028 (
+	.A1(n_33476),
+	.A2(n_14388),
+	.B1(n_33391),
+	.B2(n_14389),
+	.C1(n_15614),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [91]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g699029 (
+	.A1(n_33475),
+	.A2(n_14388),
+	.B1(n_33394),
+	.B2(n_14389),
+	.C1(n_15612),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [89]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g699030 (
+	.A1(n_33457),
+	.A2(n_14388),
+	.B1(n_33395),
+	.B2(n_14389),
+	.C1(n_15611),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [88]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g699031 (
+	.A1(n_33474),
+	.A2(n_14388),
+	.B1(n_33399),
+	.B2(n_14389),
+	.C1(n_15610),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [87]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g699032 (
+	.A1(n_33471),
+	.A2(n_14388),
+	.B1(n_33400),
+	.B2(n_14389),
+	.C1(n_15609),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [86]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g699033 (
+	.A(n_14764),
+	.B(n_14762),
+	.C(n_15063),
+	.D(n_16279),
+	.Y(n_16521), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g699034 (
+	.A(n_15606),
+	.B(n_14134),
+	.C(n_14135),
+	.D(n_14151),
+	.Y(brqrv_top_brqrv_dec_tlu_excinfo_wb_ff_en), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g699035 (
+	.A(n_14149),
+	.B(n_14032),
+	.C(n_14678),
+	.D(n_15061),
+	.Y(n_16520), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g699036 (
+	.A(n_15737),
+	.B(n_14900),
+	.C(n_14758),
+	.D(n_14772),
+	.Y(n_16519), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g699037 (
+	.A(n_14899),
+	.B(n_14902),
+	.C(n_15069),
+	.D(n_15067),
+	.Y(n_16518), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g699038 (
+	.A(n_14242),
+	.B(n_14239),
+	.C(n_14897),
+	.D(n_15797),
+	.Y(n_16517), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699039 (
+	.A1(n_15300),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [300]),
+	.B1(n_14974),
+	.B2(n_14607),
+	.Y(n_16516), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699040 (
+	.A1(n_15441),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [429]),
+	.B1(n_14974),
+	.B2(n_14597),
+	.Y(n_16515), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699041 (
+	.A1(n_15441),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [428]),
+	.B1(n_14974),
+	.B2(n_14615),
+	.Y(n_16514), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699042 (
+	.A1(n_15439),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [397]),
+	.B1(n_14974),
+	.B2(n_14613),
+	.Y(n_16513), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699043 (
+	.A1(n_15439),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [396]),
+	.B1(n_14974),
+	.B2(n_14611),
+	.Y(n_16512), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699044 (
+	.A1(n_15436),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [171]),
+	.B1(n_14928),
+	.B2(n_14597),
+	.Y(n_16511), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699045 (
+	.A1(n_15436),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [170]),
+	.B1(n_14928),
+	.B2(n_14615),
+	.Y(n_16510), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699046 (
+	.A1(n_15434),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [139]),
+	.B1(n_14928),
+	.B2(n_14613),
+	.Y(n_16509), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699047 (
+	.A1(n_15434),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [138]),
+	.B1(n_14928),
+	.B2(n_14611),
+	.Y(n_16508), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699048 (
+	.A1(n_15432),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [43]),
+	.B1(n_14928),
+	.B2(n_14605),
+	.Y(n_16507), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699049 (
+	.A1(n_15432),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [42]),
+	.B1(n_14928),
+	.B2(n_14607),
+	.Y(n_16506), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699050 (
+	.A1(n_15431),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [173]),
+	.B1(n_14986),
+	.B2(n_14597),
+	.Y(n_16505), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699051 (
+	.A1(n_15431),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [172]),
+	.B1(n_14986),
+	.B2(n_14615),
+	.Y(n_16504), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699052 (
+	.A1(n_15428),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [141]),
+	.B1(n_14986),
+	.B2(n_14613),
+	.Y(n_16503), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699053 (
+	.A1(n_15428),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [140]),
+	.B1(n_14986),
+	.B2(n_14611),
+	.Y(n_16502), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699054 (
+	.A1(n_15427),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [151]),
+	.B1(n_13440),
+	.B2(n_14613),
+	.Y(n_16501), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699055 (
+	.A1(n_15427),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [150]),
+	.B1(n_13440),
+	.B2(n_14611),
+	.Y(n_16500), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699056 (
+	.A1(n_15425),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [45]),
+	.B1(n_14986),
+	.B2(n_14605),
+	.Y(n_16499), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699057 (
+	.A1(n_15425),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [44]),
+	.B1(n_14986),
+	.B2(n_14607),
+	.Y(n_16498), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699058 (
+	.A1(n_15516),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [119]),
+	.B1(n_13440),
+	.B2(n_14592),
+	.Y(n_16497), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699059 (
+	.A1(n_15516),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [118]),
+	.B1(n_13440),
+	.B2(n_14594),
+	.Y(n_16496), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699060 (
+	.A1(n_15424),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [55]),
+	.B1(n_13440),
+	.B2(n_14605),
+	.Y(n_16495), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699061 (
+	.A1(n_15424),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [54]),
+	.B1(n_13440),
+	.B2(n_14607),
+	.Y(n_16494), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699062 (
+	.A1(n_15422),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [23]),
+	.B1(n_13440),
+	.B2(n_14608),
+	.Y(n_16493), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699063 (
+	.A1(n_15422),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [22]),
+	.B1(n_13440),
+	.B2(n_14619),
+	.Y(n_16492), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699064 (
+	.A1(n_15420),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [247]),
+	.B1(n_13440),
+	.B2(n_14598),
+	.Y(n_16491), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699065 (
+	.A1(n_15420),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [246]),
+	.B1(n_13440),
+	.B2(n_14600),
+	.Y(n_16490), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699066 (
+	.A1(n_15514),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [215]),
+	.B1(n_13440),
+	.B2(n_14588),
+	.Y(n_16489), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699067 (
+	.A1(n_15514),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [214]),
+	.B1(n_13440),
+	.B2(n_14590),
+	.Y(n_16488), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699068 (
+	.A1(n_15419),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [288]),
+	.B1(n_14962),
+	.B2(n_14607),
+	.Y(n_16487), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699069 (
+	.A1(n_15419),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [289]),
+	.B1(n_14962),
+	.B2(n_14605),
+	.Y(n_16486), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699070 (
+	.A1(n_15416),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [319]),
+	.B1(n_14938),
+	.B2(n_14605),
+	.Y(n_16485), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699071 (
+	.A1(n_15416),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [318]),
+	.B1(n_14938),
+	.B2(n_14607),
+	.Y(n_16484), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699072 (
+	.A1(n_15415),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [385]),
+	.B1(n_14962),
+	.B2(n_14613),
+	.Y(n_16483), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699073 (
+	.A1(n_15415),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [384]),
+	.B1(n_14962),
+	.B2(n_14611),
+	.Y(n_16482), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699074 (
+	.A1(n_15414),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [447]),
+	.B1(n_14938),
+	.B2(n_14597),
+	.Y(n_16481), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699075 (
+	.A1(n_15414),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [446]),
+	.B1(n_14938),
+	.B2(n_14615),
+	.Y(n_16480), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699076 (
+	.A1(n_15412),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [415]),
+	.B1(n_14938),
+	.B2(n_14613),
+	.Y(n_16479), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699077 (
+	.A1(n_15412),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [414]),
+	.B1(n_14938),
+	.B2(n_14611),
+	.Y(n_16478), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699078 (
+	.A1(n_15410),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [257]),
+	.B1(n_14962),
+	.B2(n_14608),
+	.Y(n_16477), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699079 (
+	.A1(n_15410),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [256]),
+	.B1(n_14962),
+	.B2(n_14619),
+	.Y(n_16476), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699080 (
+	.A1(n_15409),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [427]),
+	.B1(n_14984),
+	.B2(n_14597),
+	.Y(n_16475), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699081 (
+	.A1(n_15409),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [426]),
+	.B1(n_14984),
+	.B2(n_14615),
+	.Y(n_16474), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699082 (
+	.A1(n_15407),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [299]),
+	.B1(n_14984),
+	.B2(n_14605),
+	.Y(n_16473), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699083 (
+	.A1(n_15407),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [298]),
+	.B1(n_14984),
+	.B2(n_14607),
+	.Y(n_16472), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699084 (
+	.A1(n_15405),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [395]),
+	.B1(n_14984),
+	.B2(n_14613),
+	.Y(n_16471), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699085 (
+	.A1(n_15405),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [394]),
+	.B1(n_14984),
+	.B2(n_14611),
+	.Y(n_16470), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g699086 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[5]),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[7]),
+	.C(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[3]),
+	.D(n_15608),
+	.Y(n_16469), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699087 (
+	.A1(n_15400),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [258]),
+	.B1(n_14964),
+	.B2(n_14619),
+	.Y(n_16468), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699088 (
+	.A1(n_15399),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [483]),
+	.B1(n_14964),
+	.B2(n_14598),
+	.Y(n_16467), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699089 (
+	.A1(n_15399),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [482]),
+	.B1(n_14964),
+	.B2(n_14600),
+	.Y(n_16466), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699090 (
+	.A1(n_15398),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [181]),
+	.B1(n_14976),
+	.B2(n_14597),
+	.Y(n_16465), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699091 (
+	.A1(n_15398),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [180]),
+	.B1(n_14976),
+	.B2(n_14615),
+	.Y(n_16464), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699092 (
+	.A1(n_15395),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [149]),
+	.B1(n_14976),
+	.B2(n_14613),
+	.Y(n_16463), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699093 (
+	.A1(n_15395),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [148]),
+	.B1(n_14976),
+	.B2(n_14611),
+	.Y(n_16462), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699094 (
+	.A1(n_15456),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [451]),
+	.B1(n_14964),
+	.B2(n_14588),
+	.Y(n_16461), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699095 (
+	.A1(n_15456),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [450]),
+	.B1(n_14964),
+	.B2(n_14590),
+	.Y(n_16460), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699096 (
+	.A1(n_15394),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [387]),
+	.B1(n_14964),
+	.B2(n_14613),
+	.Y(n_16459), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699097 (
+	.A1(n_15394),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [386]),
+	.B1(n_14964),
+	.B2(n_14611),
+	.Y(n_16458), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699098 (
+	.A1(n_15454),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [355]),
+	.B1(n_14964),
+	.B2(n_14592),
+	.Y(n_16457), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699099 (
+	.A1(n_15454),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [354]),
+	.B1(n_14964),
+	.B2(n_14594),
+	.Y(n_16456), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699100 (
+	.A1(n_15536),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [323]),
+	.B1(n_14964),
+	.B2(n_14617),
+	.Y(n_16455), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699101 (
+	.A1(n_15536),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [322]),
+	.B1(n_14964),
+	.B2(n_14602),
+	.Y(n_16454), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699102 (
+	.A1(n_15393),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [291]),
+	.B1(n_14964),
+	.B2(n_14605),
+	.Y(n_16453), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699103 (
+	.A1(n_15393),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [290]),
+	.B1(n_14964),
+	.B2(n_14607),
+	.Y(n_16452), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699104 (
+	.A1(n_15389),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [133]),
+	.B1(n_14966),
+	.B2(n_14613),
+	.Y(n_16451), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699105 (
+	.A1(n_15389),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [132]),
+	.B1(n_14966),
+	.B2(n_14611),
+	.Y(n_16450), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699106 (
+	.A1(n_15388),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [263]),
+	.B1(n_14935),
+	.B2(n_14608),
+	.Y(n_16449), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699107 (
+	.A1(n_15388),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [262]),
+	.B1(n_14935),
+	.B2(n_14619),
+	.Y(n_16448), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699108 (
+	.A1(n_15534),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [69]),
+	.B1(n_14966),
+	.B2(n_14617),
+	.Y(n_16447), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699109 (
+	.A1(n_15534),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [68]),
+	.B1(n_14966),
+	.B2(n_14602),
+	.Y(n_16446), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699110 (
+	.A1(n_15386),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [487]),
+	.B1(n_14935),
+	.B2(n_14598),
+	.Y(n_16445), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699111 (
+	.A1(n_15386),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [486]),
+	.B1(n_14935),
+	.B2(n_14600),
+	.Y(n_16444), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699112 (
+	.A1(n_15385),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [37]),
+	.B1(n_14966),
+	.B2(n_14605),
+	.Y(n_16443), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699113 (
+	.A1(n_15385),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [36]),
+	.B1(n_14966),
+	.B2(n_14607),
+	.Y(n_16442), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699114 (
+	.A1(n_15384),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [455]),
+	.B1(n_14935),
+	.B2(n_14588),
+	.Y(n_16441), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699115 (
+	.A1(n_15384),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [454]),
+	.B1(n_14935),
+	.B2(n_14590),
+	.Y(n_16440), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699116 (
+	.A1(n_15382),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [5]),
+	.B1(n_14966),
+	.B2(n_14608),
+	.Y(n_16439), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699117 (
+	.A1(n_15380),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [327]),
+	.B1(n_14935),
+	.B2(n_14617),
+	.Y(n_16438), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699118 (
+	.A1(n_15382),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [4]),
+	.B1(n_14966),
+	.B2(n_14619),
+	.Y(n_16437), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699119 (
+	.A1(n_15380),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [326]),
+	.B1(n_14935),
+	.B2(n_14602),
+	.Y(n_16436), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699120 (
+	.A1(n_15452),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [101]),
+	.B1(n_14966),
+	.B2(n_14592),
+	.Y(n_16435), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699121 (
+	.A1(n_15452),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [100]),
+	.B1(n_14966),
+	.B2(n_14594),
+	.Y(n_16434), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699122 (
+	.A1(n_15378),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [407]),
+	.B1(n_13439),
+	.B2(n_14613),
+	.Y(n_16433), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699123 (
+	.A1(n_15377),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [359]),
+	.B1(n_14935),
+	.B2(n_14592),
+	.Y(n_16432), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699124 (
+	.A1(n_15377),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [358]),
+	.B1(n_14935),
+	.B2(n_14594),
+	.Y(n_16431), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699125 (
+	.A1(n_15376),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [229]),
+	.B1(n_14966),
+	.B2(n_14598),
+	.Y(n_16430), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699126 (
+	.A1(n_15378),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [406]),
+	.B1(n_13439),
+	.B2(n_14611),
+	.Y(n_16429), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699127 (
+	.A1(n_15375),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [311]),
+	.B1(n_13439),
+	.B2(n_14605),
+	.Y(n_16428), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699128 (
+	.A1(n_15376),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [228]),
+	.B1(n_14966),
+	.B2(n_14600),
+	.Y(n_16427), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699129 (
+	.A1(n_15375),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [310]),
+	.B1(n_13439),
+	.B2(n_14607),
+	.Y(n_16426), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699130 (
+	.A1(n_15373),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [503]),
+	.B1(n_13439),
+	.B2(n_14598),
+	.Y(n_16425), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699131 (
+	.A1(n_15373),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [502]),
+	.B1(n_13439),
+	.B2(n_14600),
+	.Y(n_16424), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699132 (
+	.A1(n_15450),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [197]),
+	.B1(n_14966),
+	.B2(n_14588),
+	.Y(n_16423), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699133 (
+	.A1(n_15450),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [196]),
+	.B1(n_14966),
+	.B2(n_14590),
+	.Y(n_16422), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699134 (
+	.A1(n_15512),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [471]),
+	.B1(n_13439),
+	.B2(n_14588),
+	.Y(n_16421), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699135 (
+	.A1(n_15512),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [470]),
+	.B1(n_13439),
+	.B2(n_14590),
+	.Y(n_16420), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699136 (
+	.A1(n_15510),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [375]),
+	.B1(n_13439),
+	.B2(n_14592),
+	.Y(n_16419), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699137 (
+	.A1(n_15510),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [374]),
+	.B1(n_13439),
+	.B2(n_14594),
+	.Y(n_16418), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699138 (
+	.A1(n_15370),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [309]),
+	.B1(n_14982),
+	.B2(n_14605),
+	.Y(n_16417), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699139 (
+	.A1(n_15370),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [308]),
+	.B1(n_14982),
+	.B2(n_14607),
+	.Y(n_16416), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699140 (
+	.A1(n_15368),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [437]),
+	.B1(n_14982),
+	.B2(n_14597),
+	.Y(n_16415), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699141 (
+	.A1(n_15368),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [436]),
+	.B1(n_14982),
+	.B2(n_14615),
+	.Y(n_16414), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699142 (
+	.A1(n_15366),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [405]),
+	.B1(n_14982),
+	.B2(n_14613),
+	.Y(n_16413), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699143 (
+	.A1(n_15366),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [404]),
+	.B1(n_14982),
+	.B2(n_14611),
+	.Y(n_16412), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699144 (
+	.A1(n_15364),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [179]),
+	.B1(n_14978),
+	.B2(n_14597),
+	.Y(n_16411), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699145 (
+	.A1(n_15364),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [178]),
+	.B1(n_14978),
+	.B2(n_14615),
+	.Y(n_16410), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699146 (
+	.A1(n_15363),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [143]),
+	.B1(n_13441),
+	.B2(n_14613),
+	.Y(n_16409), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699147 (
+	.A1(n_15363),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [142]),
+	.B1(n_13441),
+	.B2(n_14611),
+	.Y(n_16408), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699148 (
+	.A1(n_15361),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [147]),
+	.B1(n_14978),
+	.B2(n_14613),
+	.Y(n_16407), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699149 (
+	.A1(n_15361),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [146]),
+	.B1(n_14978),
+	.B2(n_14611),
+	.Y(n_16406), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699150 (
+	.A1(n_15508),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [111]),
+	.B1(n_13441),
+	.B2(n_14592),
+	.Y(n_16405), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699151 (
+	.A1(n_15508),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [110]),
+	.B1(n_13441),
+	.B2(n_14594),
+	.Y(n_16404), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699152 (
+	.A1(n_15360),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [47]),
+	.B1(n_13441),
+	.B2(n_14605),
+	.Y(n_16403), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699153 (
+	.A1(n_15358),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [15]),
+	.B1(n_13441),
+	.B2(n_14608),
+	.Y(n_16402), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699154 (
+	.A1(n_15358),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [14]),
+	.B1(n_13441),
+	.B2(n_14619),
+	.Y(n_16401), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699155 (
+	.A1(n_15360),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [46]),
+	.B1(n_13441),
+	.B2(n_14607),
+	.Y(n_16400), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699156 (
+	.A1(n_15356),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [307]),
+	.B1(n_14980),
+	.B2(n_14605),
+	.Y(n_16399), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699157 (
+	.A1(n_15356),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [306]),
+	.B1(n_14980),
+	.B2(n_14607),
+	.Y(n_16398), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699158 (
+	.A1(n_15369),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [435]),
+	.B1(n_14980),
+	.B2(n_14597),
+	.Y(n_16397), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699159 (
+	.A1(n_15369),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [434]),
+	.B1(n_14980),
+	.B2(n_14615),
+	.Y(n_16396), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699160 (
+	.A1(n_15354),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [403]),
+	.B1(n_14980),
+	.B2(n_14613),
+	.Y(n_16395), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699161 (
+	.A1(n_15354),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [402]),
+	.B1(n_14980),
+	.B2(n_14611),
+	.Y(n_16394), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699162 (
+	.A1(n_15352),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [51]),
+	.B1(n_14978),
+	.B2(n_14605),
+	.Y(n_16393), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699163 (
+	.A1(n_15352),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [50]),
+	.B1(n_14978),
+	.B2(n_14607),
+	.Y(n_16392), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699164 (
+	.A1(n_15350),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [239]),
+	.B1(n_13441),
+	.B2(n_14598),
+	.Y(n_16391), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699165 (
+	.A1(n_15350),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [238]),
+	.B1(n_13441),
+	.B2(n_14600),
+	.Y(n_16390), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699166 (
+	.A1(n_15506),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [207]),
+	.B1(n_13441),
+	.B2(n_14588),
+	.Y(n_16389), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699167 (
+	.A1(n_15506),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [206]),
+	.B1(n_13441),
+	.B2(n_14590),
+	.Y(n_16388), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699168 (
+	.A1(n_15345),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [190]),
+	.B1(n_14944),
+	.B2(n_14615),
+	.Y(n_16387), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699169 (
+	.A1(n_15345),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [191]),
+	.B1(n_14944),
+	.B2(n_14597),
+	.Y(n_16386), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699170 (
+	.A1(n_15343),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [159]),
+	.B1(n_14944),
+	.B2(n_14613),
+	.Y(n_16385), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699171 (
+	.A1(n_15343),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [158]),
+	.B1(n_14944),
+	.B2(n_14611),
+	.Y(n_16384), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699172 (
+	.A1(n_15342),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [7]),
+	.B1(n_14937),
+	.B2(n_14608),
+	.Y(n_16383), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699173 (
+	.A1(n_15342),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [6]),
+	.B1(n_14937),
+	.B2(n_14619),
+	.Y(n_16382), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699174 (
+	.A1(n_15340),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [63]),
+	.B1(n_14944),
+	.B2(n_14605),
+	.Y(n_16381), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699175 (
+	.A1(n_15337),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [71]),
+	.B1(n_14937),
+	.B2(n_14617),
+	.Y(n_16380), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699176 (
+	.A1(n_15337),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [70]),
+	.B1(n_14937),
+	.B2(n_14602),
+	.Y(n_16379), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699177 (
+	.A1(n_15335),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [271]),
+	.B1(n_13442),
+	.B2(n_14608),
+	.Y(n_16378), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699178 (
+	.A1(n_15335),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [270]),
+	.B1(n_13442),
+	.B2(n_14619),
+	.Y(n_16377), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699179 (
+	.A1(n_15334),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [302]),
+	.B1(n_13442),
+	.B2(n_14607),
+	.Y(n_16376), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699180 (
+	.A1(n_15334),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [303]),
+	.B1(n_13442),
+	.B2(n_14605),
+	.Y(n_16375), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699181 (
+	.A1(n_15333),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [102]),
+	.B1(n_14937),
+	.B2(n_14594),
+	.Y(n_16374), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699182 (
+	.A1(n_15333),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [103]),
+	.B1(n_14937),
+	.B2(n_14592),
+	.Y(n_16373), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699183 (
+	.A1(n_15331),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [495]),
+	.B1(n_13442),
+	.B2(n_14598),
+	.Y(n_16372), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699184 (
+	.A1(n_15331),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [494]),
+	.B1(n_13442),
+	.B2(n_14600),
+	.Y(n_16371), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699185 (
+	.A1(n_15504),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [463]),
+	.B1(n_13442),
+	.B2(n_14588),
+	.Y(n_16370), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699186 (
+	.A1(n_15504),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [462]),
+	.B1(n_13442),
+	.B2(n_14590),
+	.Y(n_16369), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699187 (
+	.A1(n_15330),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [230]),
+	.B1(n_14937),
+	.B2(n_14600),
+	.Y(n_16368), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699188 (
+	.A1(n_15330),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [231]),
+	.B1(n_14937),
+	.B2(n_14598),
+	.Y(n_16367), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699189 (
+	.A1(n_15328),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [3]),
+	.B1(n_14968),
+	.B2(n_14608),
+	.Y(n_16366), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699190 (
+	.A1(n_15328),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [2]),
+	.B1(n_14968),
+	.B2(n_14619),
+	.Y(n_16365), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699191 (
+	.A1(n_15327),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [399]),
+	.B1(n_13442),
+	.B2(n_14613),
+	.Y(n_16364), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699192 (
+	.A1(n_15327),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [398]),
+	.B1(n_13442),
+	.B2(n_14611),
+	.Y(n_16363), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699193 (
+	.A1(n_15326),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [199]),
+	.B1(n_14937),
+	.B2(n_14588),
+	.Y(n_16362), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699194 (
+	.A1(n_15326),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [198]),
+	.B1(n_14937),
+	.B2(n_14590),
+	.Y(n_16361), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699195 (
+	.A1(n_15448),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [195]),
+	.B1(n_14968),
+	.B2(n_14588),
+	.Y(n_16360), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699196 (
+	.A1(n_15448),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [194]),
+	.B1(n_14968),
+	.B2(n_14590),
+	.Y(n_16359), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699197 (
+	.A1(n_15502),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [367]),
+	.B1(n_13442),
+	.B2(n_14592),
+	.Y(n_16358), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699198 (
+	.A1(n_15502),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [366]),
+	.B1(n_13442),
+	.B2(n_14594),
+	.Y(n_16357), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699199 (
+	.A1(n_15324),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [131]),
+	.B1(n_14968),
+	.B2(n_14613),
+	.Y(n_16356), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699200 (
+	.A1(n_15324),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [130]),
+	.B1(n_14968),
+	.B2(n_14611),
+	.Y(n_16355), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699201 (
+	.A1(n_15323),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [35]),
+	.B1(n_14968),
+	.B2(n_14605),
+	.Y(n_16354), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699202 (
+	.A1(n_15323),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [34]),
+	.B1(n_14968),
+	.B2(n_14607),
+	.Y(n_16353), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699203 (
+	.A1(n_15532),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [67]),
+	.B1(n_14968),
+	.B2(n_14617),
+	.Y(n_16352), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699204 (
+	.A1(n_15532),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [66]),
+	.B1(n_14968),
+	.B2(n_14602),
+	.Y(n_16351), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699205 (
+	.A1(n_15446),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [99]),
+	.B1(n_14968),
+	.B2(n_14592),
+	.Y(n_16350), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699206 (
+	.A1(n_15446),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [98]),
+	.B1(n_14968),
+	.B2(n_14594),
+	.Y(n_16349), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699207 (
+	.A1(n_15322),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [227]),
+	.B1(n_14968),
+	.B2(n_14598),
+	.Y(n_16348), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699208 (
+	.A1(n_15322),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [226]),
+	.B1(n_14968),
+	.B2(n_14600),
+	.Y(n_16347), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699209 (
+	.A1(n_15318),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [53]),
+	.B1(n_14976),
+	.B2(n_14605),
+	.Y(n_16346), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699210 (
+	.A1(n_15318),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [52]),
+	.B1(n_14976),
+	.B2(n_14607),
+	.Y(n_16345), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699211 (
+	.A1(n_15314),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [129]),
+	.B1(n_14970),
+	.B2(n_14613),
+	.Y(n_16344), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699212 (
+	.A1(n_15314),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [128]),
+	.B1(n_14970),
+	.B2(n_14611),
+	.Y(n_16343), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699213 (
+	.A1(n_15313),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [33]),
+	.B1(n_14970),
+	.B2(n_14605),
+	.Y(n_16342), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699214 (
+	.A1(n_15313),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [32]),
+	.B1(n_14970),
+	.B2(n_14607),
+	.Y(n_16341), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699215 (
+	.A1(n_15309),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [1]),
+	.B1(n_14970),
+	.B2(n_14608),
+	.Y(n_16340), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699216 (
+	.A1(n_15309),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [0]),
+	.B1(n_14970),
+	.B2(n_14619),
+	.Y(n_16339), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699217 (
+	.A1(n_15308),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [293]),
+	.B1(n_14973),
+	.B2(n_14605),
+	.Y(n_16338), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699218 (
+	.A1(n_15308),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [292]),
+	.B1(n_14973),
+	.B2(n_14607),
+	.Y(n_16337), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699219 (
+	.A1(n_15307),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [260]),
+	.B1(n_14973),
+	.B2(n_14619),
+	.Y(n_16336), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699220 (
+	.A1(n_15307),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [261]),
+	.B1(n_14973),
+	.B2(n_14608),
+	.Y(n_16335), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699221 (
+	.A1(n_15306),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [485]),
+	.B1(n_14973),
+	.B2(n_14598),
+	.Y(n_16334), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699222 (
+	.A1(n_15306),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [484]),
+	.B1(n_14973),
+	.B2(n_14600),
+	.Y(n_16333), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699223 (
+	.A1(n_15444),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [453]),
+	.B1(n_14973),
+	.B2(n_14588),
+	.Y(n_16332), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699224 (
+	.A1(n_15444),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [452]),
+	.B1(n_14973),
+	.B2(n_14590),
+	.Y(n_16331), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699225 (
+	.A1(n_15304),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [389]),
+	.B1(n_14973),
+	.B2(n_14613),
+	.Y(n_16330), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699226 (
+	.A1(n_15304),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [388]),
+	.B1(n_14973),
+	.B2(n_14611),
+	.Y(n_16329), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699227 (
+	.A1(n_15442),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [357]),
+	.B1(n_14973),
+	.B2(n_14592),
+	.Y(n_16328), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699228 (
+	.A1(n_15442),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [356]),
+	.B1(n_14973),
+	.B2(n_14594),
+	.Y(n_16327), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699229 (
+	.A1(n_15302),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [279]),
+	.B1(n_13439),
+	.B2(n_14608),
+	.Y(n_16326), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699230 (
+	.A1(n_15302),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [278]),
+	.B1(n_13439),
+	.B2(n_14619),
+	.Y(n_16325), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699231 (
+	.A1(n_15530),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [325]),
+	.B1(n_14973),
+	.B2(n_14617),
+	.Y(n_16324), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699232 (
+	.A1(n_15530),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [324]),
+	.B1(n_14973),
+	.B2(n_14602),
+	.Y(n_16323), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699233 (
+	.A1(n_15300),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [301]),
+	.B1(n_14974),
+	.B2(n_14605),
+	.Y(n_16322), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699234 (
+	.A1(n_15437),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [177]),
+	.B1(n_14950),
+	.B2(n_14597),
+	.Y(n_16321), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699235 (
+	.A1(n_15437),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [176]),
+	.B1(n_14950),
+	.B2(n_14615),
+	.Y(n_16320), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699236 (
+	.A1(n_15401),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [425]),
+	.B1(n_14952),
+	.B2(n_14597),
+	.Y(n_16319), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699237 (
+	.A1(n_15401),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [424]),
+	.B1(n_14952),
+	.B2(n_14615),
+	.Y(n_16318), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699238 (
+	.A1(n_15348),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [433]),
+	.B1(n_14954),
+	.B2(n_14597),
+	.Y(n_16317), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699239 (
+	.A1(n_15348),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [432]),
+	.B1(n_14954),
+	.B2(n_14615),
+	.Y(n_16316), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699240 (
+	.A1(n_15316),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [169]),
+	.B1(n_14956),
+	.B2(n_14597),
+	.Y(n_16315), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699241 (
+	.A1(n_15316),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [168]),
+	.B1(n_14956),
+	.B2(n_14615),
+	.Y(n_16314), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699242 (
+	.A1(n_15403),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [445]),
+	.B1(n_14940),
+	.B2(n_14597),
+	.Y(n_16313), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699243 (
+	.A1(n_15403),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [444]),
+	.B1(n_14940),
+	.B2(n_14615),
+	.Y(n_16312), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699244 (
+	.A1(n_15391),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [443]),
+	.B1(n_14942),
+	.B2(n_14597),
+	.Y(n_16311), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699245 (
+	.A1(n_15391),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [442]),
+	.B1(n_14942),
+	.B2(n_14615),
+	.Y(n_16310), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699246 (
+	.A1(n_15320),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [189]),
+	.B1(n_14946),
+	.B2(n_14597),
+	.Y(n_16309), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699247 (
+	.A1(n_15320),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [188]),
+	.B1(n_14946),
+	.B2(n_14615),
+	.Y(n_16308), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699248 (
+	.A1(n_15311),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [187]),
+	.B1(n_14948),
+	.B2(n_14597),
+	.Y(n_16307), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699249 (
+	.A1(n_15311),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [186]),
+	.B1(n_14948),
+	.B2(n_14615),
+	.Y(n_16306), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699251 (
+	.A1(n_15484),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [145]),
+	.B1(n_14950),
+	.B2(n_14613),
+	.Y(n_16304), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699252 (
+	.A1(n_15483),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [49]),
+	.B1(n_14950),
+	.B2(n_14605),
+	.Y(n_16303), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699253 (
+	.A1(n_15481),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [297]),
+	.B1(n_14952),
+	.B2(n_14605),
+	.Y(n_16302), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699254 (
+	.A1(n_15478),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [393]),
+	.B1(n_14952),
+	.B2(n_14613),
+	.Y(n_16301), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699255 (
+	.A1(n_15478),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [392]),
+	.B1(n_14952),
+	.B2(n_14611),
+	.Y(n_16300), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699256 (
+	.A1(n_15476),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [401]),
+	.B1(n_14954),
+	.B2(n_14613),
+	.Y(n_16299), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699257 (
+	.A1(n_15476),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [400]),
+	.B1(n_14954),
+	.B2(n_14611),
+	.Y(n_16298), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699258 (
+	.A1(n_15475),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [305]),
+	.B1(n_14954),
+	.B2(n_14605),
+	.Y(n_16297), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699259 (
+	.A1(n_15472),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [137]),
+	.B1(n_14956),
+	.B2(n_14613),
+	.Y(n_16296), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699260 (
+	.A1(n_15472),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [136]),
+	.B1(n_14956),
+	.B2(n_14611),
+	.Y(n_16295), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699261 (
+	.A1(n_15471),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [41]),
+	.B1(n_14956),
+	.B2(n_14605),
+	.Y(n_16294), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699262 (
+	.A1(n_15501),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [317]),
+	.B1(n_14940),
+	.B2(n_14605),
+	.Y(n_16293), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699263 (
+	.A1(n_15498),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [413]),
+	.B1(n_14940),
+	.B2(n_14613),
+	.Y(n_16292), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699264 (
+	.A1(n_15498),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [412]),
+	.B1(n_14940),
+	.B2(n_14611),
+	.Y(n_16291), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699265 (
+	.A1(n_15497),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [315]),
+	.B1(n_14942),
+	.B2(n_14605),
+	.Y(n_16290), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699266 (
+	.A1(n_15494),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [411]),
+	.B1(n_14942),
+	.B2(n_14613),
+	.Y(n_16289), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699267 (
+	.A1(n_15494),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [410]),
+	.B1(n_14942),
+	.B2(n_14611),
+	.Y(n_16288), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699268 (
+	.A1(n_15492),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [157]),
+	.B1(n_14946),
+	.B2(n_14613),
+	.Y(n_16287), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699269 (
+	.A1(n_15492),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [156]),
+	.B1(n_14946),
+	.B2(n_14611),
+	.Y(n_16286), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699270 (
+	.A1(n_15491),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [61]),
+	.B1(n_14946),
+	.B2(n_14605),
+	.Y(n_16285), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699271 (
+	.A1(n_15488),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [155]),
+	.B1(n_14948),
+	.B2(n_14613),
+	.Y(n_16284), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699272 (
+	.A1(n_15488),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [154]),
+	.B1(n_14948),
+	.B2(n_14611),
+	.Y(n_16283), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699273 (
+	.A1(n_15487),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [59]),
+	.B1(n_14948),
+	.B2(n_14605),
+	.Y(n_16282), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g699274 (
+	.A1(n_15400),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [259]),
+	.B1(n_14964),
+	.B2(n_14608),
+	.Y(n_16281), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699275 (
+	.A1(n_14540),
+	.A2(n_15293),
+	.B1(n_15467),
+	.Y(n_16280), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g699276 (
+	.A(n_14892),
+	.B(n_14767),
+	.C(n_14492),
+	.D(n_14768),
+	.Y(n_16279), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699277 (
+	.A1(n_14538),
+	.A2(n_15254),
+	.B1(n_15738),
+	.Y(n_16278), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699278 (
+	.A1(n_14539),
+	.A2(n_15255),
+	.B1(n_15738),
+	.Y(n_16277), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699279 (
+	.A1(n_14538),
+	.A2(n_15256),
+	.B1(n_15750),
+	.Y(n_16276), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699280 (
+	.A1(n_14539),
+	.A2(n_15257),
+	.B1(n_15750),
+	.Y(n_16275), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699281 (
+	.A1(n_14538),
+	.A2(n_15279),
+	.B1(n_15758),
+	.Y(n_16274), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699282 (
+	.A1(n_14539),
+	.A2(n_15278),
+	.B1(n_15758),
+	.Y(n_16273), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699283 (
+	.A1(n_14538),
+	.A2(n_15277),
+	.B1(n_15757),
+	.Y(n_16272), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699284 (
+	.A1(n_14539),
+	.A2(n_15276),
+	.B1(n_15757),
+	.Y(n_16271), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699285 (
+	.A1(n_14538),
+	.A2(n_15265),
+	.B1(n_15756),
+	.Y(n_16270), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699286 (
+	.A1(n_14539),
+	.A2(n_15264),
+	.B1(n_15756),
+	.Y(n_16269), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699287 (
+	.A1(n_14538),
+	.A2(n_15259),
+	.B1(n_15755),
+	.Y(n_16268), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699288 (
+	.A1(n_14539),
+	.A2(n_15258),
+	.B1(n_15755),
+	.Y(n_16267), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699289 (
+	.A1(n_14538),
+	.A2(n_15291),
+	.B1(n_15754),
+	.Y(n_16266), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699290 (
+	.A1(n_14539),
+	.A2(n_15290),
+	.B1(n_15754),
+	.Y(n_16265), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699291 (
+	.A1(n_14538),
+	.A2(n_15281),
+	.B1(n_15753),
+	.Y(n_16264), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699292 (
+	.A1(n_14539),
+	.A2(n_15280),
+	.B1(n_15753),
+	.Y(n_16263), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699293 (
+	.A1(n_14538),
+	.A2(n_15271),
+	.B1(n_15752),
+	.Y(n_16262), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699294 (
+	.A1(n_14539),
+	.A2(n_15270),
+	.B1(n_15752),
+	.Y(n_16261), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699295 (
+	.A1(n_14538),
+	.A2(n_15261),
+	.B1(n_15751),
+	.Y(n_16260), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699296 (
+	.A1(n_14539),
+	.A2(n_15260),
+	.B1(n_15751),
+	.Y(n_16259), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699297 (
+	.A1(n_14544),
+	.A2(n_15254),
+	.B1(n_15761),
+	.Y(n_16258), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699298 (
+	.A1(n_14545),
+	.A2(n_15255),
+	.B1(n_15761),
+	.Y(n_16257), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699299 (
+	.A1(n_14546),
+	.A2(n_15254),
+	.B1(n_15762),
+	.Y(n_16256), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699300 (
+	.A1(n_14547),
+	.A2(n_15255),
+	.B1(n_15762),
+	.Y(n_16255), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699301 (
+	.A1(n_14546),
+	.A2(n_15256),
+	.B1(n_15760),
+	.Y(n_16254), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699302 (
+	.A1(n_14547),
+	.A2(n_15257),
+	.B1(n_15760),
+	.Y(n_16253), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699303 (
+	.A1(n_14544),
+	.A2(n_15256),
+	.B1(n_15759),
+	.Y(n_16252), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699304 (
+	.A1(n_14545),
+	.A2(n_15257),
+	.B1(n_15759),
+	.Y(n_16251), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699305 (
+	.A1(n_14538),
+	.A2(n_15285),
+	.B1(n_15599),
+	.Y(n_16250), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699306 (
+	.A1(n_14539),
+	.A2(n_15284),
+	.B1(n_15599),
+	.Y(n_16249), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699307 (
+	.A1(n_14538),
+	.A2(n_15267),
+	.B1(n_15598),
+	.Y(n_16248), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699308 (
+	.A1(n_14539),
+	.A2(n_15266),
+	.B1(n_15598),
+	.Y(n_16247), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699309 (
+	.A1(n_14538),
+	.A2(n_15293),
+	.B1(n_15597),
+	.Y(n_16246), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699310 (
+	.A1(n_14539),
+	.A2(n_15292),
+	.B1(n_15597),
+	.Y(n_16245), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699311 (
+	.A1(n_14538),
+	.A2(n_15289),
+	.B1(n_15596),
+	.Y(n_16244), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699312 (
+	.A1(n_14539),
+	.A2(n_15288),
+	.B1(n_15596),
+	.Y(n_16243), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699313 (
+	.A1(n_14538),
+	.A2(n_15287),
+	.B1(n_15595),
+	.Y(n_16242), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699314 (
+	.A1(n_14539),
+	.A2(n_15286),
+	.B1(n_15595),
+	.Y(n_16241), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699315 (
+	.A1(n_14539),
+	.A2(n_15274),
+	.B1(n_15592),
+	.Y(n_16240), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699316 (
+	.A1(n_14538),
+	.A2(n_15283),
+	.B1(n_15594),
+	.Y(n_16239), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699317 (
+	.A1(n_14539),
+	.A2(n_15282),
+	.B1(n_15594),
+	.Y(n_16238), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699318 (
+	.A1(n_14538),
+	.A2(n_15263),
+	.B1(n_15593),
+	.Y(n_16237), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699319 (
+	.A1(n_14539),
+	.A2(n_15262),
+	.B1(n_15593),
+	.Y(n_16236), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699320 (
+	.A1(n_14538),
+	.A2(n_15275),
+	.B1(n_15592),
+	.Y(n_16235), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699321 (
+	.A1(n_14538),
+	.A2(n_15273),
+	.B1(n_15591),
+	.Y(n_16234), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699322 (
+	.A1(n_14539),
+	.A2(n_15272),
+	.B1(n_15591),
+	.Y(n_16233), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699323 (
+	.A1(n_14538),
+	.A2(n_15269),
+	.B1(n_15590),
+	.Y(n_16232), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699324 (
+	.A1(n_14539),
+	.A2(n_15268),
+	.B1(n_15590),
+	.Y(n_16231), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699325 (
+	.A1(n_14544),
+	.A2(n_15279),
+	.B1(n_15748),
+	.Y(n_16230), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699326 (
+	.A1(n_14545),
+	.A2(n_15278),
+	.B1(n_15748),
+	.Y(n_16229), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699327 (
+	.A1(n_14546),
+	.A2(n_15279),
+	.B1(n_15747),
+	.Y(n_16228), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699328 (
+	.A1(n_14547),
+	.A2(n_15278),
+	.B1(n_15747),
+	.Y(n_16227), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699329 (
+	.A1(n_14544),
+	.A2(n_15277),
+	.B1(n_15746),
+	.Y(n_16226), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699330 (
+	.A1(n_14545),
+	.A2(n_15276),
+	.B1(n_15746),
+	.Y(n_16225), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699331 (
+	.A1(n_14546),
+	.A2(n_15277),
+	.B1(n_15745),
+	.Y(n_16224), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699332 (
+	.A1(n_14547),
+	.A2(n_15276),
+	.B1(n_15745),
+	.Y(n_16223), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699333 (
+	.A1(n_14546),
+	.A2(n_15265),
+	.B1(n_15744),
+	.Y(n_16222), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699334 (
+	.A1(n_14547),
+	.A2(n_15264),
+	.B1(n_15744),
+	.Y(n_16221), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699335 (
+	.A1(n_14544),
+	.A2(n_15265),
+	.B1(n_15743),
+	.Y(n_16220), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699336 (
+	.A1(n_14545),
+	.A2(n_15264),
+	.B1(n_15743),
+	.Y(n_16219), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699337 (
+	.A1(n_14546),
+	.A2(n_15259),
+	.B1(n_15742),
+	.Y(n_16218), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699338 (
+	.A1(n_14547),
+	.A2(n_15258),
+	.B1(n_15742),
+	.Y(n_16217), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699339 (
+	.A1(n_14544),
+	.A2(n_15259),
+	.B1(n_15741),
+	.Y(n_16216), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699340 (
+	.A1(n_14545),
+	.A2(n_15258),
+	.B1(n_15741),
+	.Y(n_16215), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699341 (
+	.A1(n_14546),
+	.A2(n_15291),
+	.B1(n_15740),
+	.Y(n_16214), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699342 (
+	.A1(n_14547),
+	.A2(n_15290),
+	.B1(n_15740),
+	.Y(n_16213), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699343 (
+	.A1(n_14544),
+	.A2(n_15291),
+	.B1(n_15739),
+	.Y(n_16212), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699344 (
+	.A1(n_14545),
+	.A2(n_15290),
+	.B1(n_15739),
+	.Y(n_16211), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699345 (
+	.A1(n_14544),
+	.A2(n_15281),
+	.B1(n_15605),
+	.Y(n_16210), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699346 (
+	.A1(n_14545),
+	.A2(n_15280),
+	.B1(n_15605),
+	.Y(n_16209), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699347 (
+	.A1(n_14546),
+	.A2(n_15281),
+	.B1(n_15604),
+	.Y(n_16208), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699348 (
+	.A1(n_14547),
+	.A2(n_15280),
+	.B1(n_15604),
+	.Y(n_16207), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699349 (
+	.A1(n_14544),
+	.A2(n_15271),
+	.B1(n_15603),
+	.Y(n_16206), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699350 (
+	.A1(n_14545),
+	.A2(n_15270),
+	.B1(n_15603),
+	.Y(n_16205), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699351 (
+	.A1(n_14546),
+	.A2(n_15271),
+	.B1(n_15602),
+	.Y(n_16204), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699352 (
+	.A1(n_14547),
+	.A2(n_15270),
+	.B1(n_15602),
+	.Y(n_16203), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699353 (
+	.A1(n_14546),
+	.A2(n_15261),
+	.B1(n_15601),
+	.Y(n_16202), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699354 (
+	.A1(n_14547),
+	.A2(n_15260),
+	.B1(n_15601),
+	.Y(n_16201), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699355 (
+	.A1(n_14544),
+	.A2(n_15261),
+	.B1(n_15600),
+	.Y(n_16200), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699356 (
+	.A1(n_14545),
+	.A2(n_15260),
+	.B1(n_15600),
+	.Y(n_16199), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699357 (
+	.A1(n_14538),
+	.A2(n_15251),
+	.B1(n_15587),
+	.Y(n_16198), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699358 (
+	.A1(n_14539),
+	.A2(n_15211),
+	.B1(n_15587),
+	.Y(n_16197), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699359 (
+	.A1(n_14538),
+	.A2(n_15297),
+	.B1(n_15586),
+	.Y(n_16196), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699360 (
+	.A1(n_14539),
+	.A2(n_15295),
+	.B1(n_15586),
+	.Y(n_16195), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699361 (
+	.A1(n_14542),
+	.A2(n_15254),
+	.B1(n_15763),
+	.Y(n_16194), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699362 (
+	.A1(n_14543),
+	.A2(n_15255),
+	.B1(n_15763),
+	.Y(n_16193), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699363 (
+	.A1(n_14542),
+	.A2(n_15256),
+	.B1(n_15749),
+	.Y(n_16192), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699364 (
+	.A1(n_14543),
+	.A2(n_15257),
+	.B1(n_15749),
+	.Y(n_16191), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699365 (
+	.A1(n_14540),
+	.A2(n_15254),
+	.B1(n_15589),
+	.Y(n_16190), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699366 (
+	.A1(n_14541),
+	.A2(n_15255),
+	.B1(n_15589),
+	.Y(n_16189), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699367 (
+	.A1(n_14540),
+	.A2(n_15256),
+	.B1(n_15588),
+	.Y(n_16188), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699368 (
+	.A1(n_14541),
+	.A2(n_15257),
+	.B1(n_15588),
+	.Y(n_16187), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699369 (
+	.A1(n_14538),
+	.A2(n_15237),
+	.B1(n_15553),
+	.Y(n_16186), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699370 (
+	.A1(n_14539),
+	.A2(n_15239),
+	.B1(n_15553),
+	.Y(n_16185), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699371 (
+	.A1(n_14538),
+	.A2(n_15249),
+	.B1(n_15552),
+	.Y(n_16184), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699372 (
+	.A1(n_14539),
+	.A2(n_15253),
+	.B1(n_15552),
+	.Y(n_16183), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699373 (
+	.A1(n_14538),
+	.A2(n_15241),
+	.B1(n_15550),
+	.Y(n_16182), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699374 (
+	.A1(n_14539),
+	.A2(n_15243),
+	.B1(n_15550),
+	.Y(n_16181), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699375 (
+	.A1(n_14538),
+	.A2(n_15245),
+	.B1(n_15551),
+	.Y(n_16180), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699376 (
+	.A1(n_14539),
+	.A2(n_15247),
+	.B1(n_15551),
+	.Y(n_16179), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699377 (
+	.A1(n_14544),
+	.A2(n_15285),
+	.B1(n_15577),
+	.Y(n_16178), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699378 (
+	.A1(n_14545),
+	.A2(n_15284),
+	.B1(n_15577),
+	.Y(n_16177), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699379 (
+	.A1(n_14546),
+	.A2(n_15285),
+	.B1(n_15576),
+	.Y(n_16176), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699380 (
+	.A1(n_14547),
+	.A2(n_15284),
+	.B1(n_15576),
+	.Y(n_16175), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699381 (
+	.A1(n_14547),
+	.A2(n_15266),
+	.B1(n_15575),
+	.Y(n_16174), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699382 (
+	.A1(n_14546),
+	.A2(n_15267),
+	.B1(n_15575),
+	.Y(n_16173), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699383 (
+	.A1(n_14544),
+	.A2(n_15267),
+	.B1(n_15574),
+	.Y(n_16172), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699384 (
+	.A1(n_14545),
+	.A2(n_15266),
+	.B1(n_15574),
+	.Y(n_16171), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699385 (
+	.A1(n_14544),
+	.A2(n_15293),
+	.B1(n_15573),
+	.Y(n_16170), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699386 (
+	.A1(n_14545),
+	.A2(n_15292),
+	.B1(n_15573),
+	.Y(n_16169), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699387 (
+	.A1(n_14546),
+	.A2(n_15293),
+	.B1(n_15572),
+	.Y(n_16168), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699388 (
+	.A1(n_14547),
+	.A2(n_15292),
+	.B1(n_15572),
+	.Y(n_16167), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699389 (
+	.A1(n_14546),
+	.A2(n_15289),
+	.B1(n_15571),
+	.Y(n_16166), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699390 (
+	.A1(n_14547),
+	.A2(n_15288),
+	.B1(n_15571),
+	.Y(n_16165), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699391 (
+	.A1(n_14544),
+	.A2(n_15289),
+	.B1(n_15570),
+	.Y(n_16164), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699392 (
+	.A1(n_14545),
+	.A2(n_15288),
+	.B1(n_15570),
+	.Y(n_16163), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699393 (
+	.A1(n_14546),
+	.A2(n_15287),
+	.B1(n_15569),
+	.Y(n_16162), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699394 (
+	.A1(n_14547),
+	.A2(n_15286),
+	.B1(n_15569),
+	.Y(n_16161), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699395 (
+	.A1(n_14544),
+	.A2(n_15287),
+	.B1(n_15568),
+	.Y(n_16160), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699396 (
+	.A1(n_14545),
+	.A2(n_15286),
+	.B1(n_15568),
+	.Y(n_16159), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699397 (
+	.A1(n_14544),
+	.A2(n_15283),
+	.B1(n_15567),
+	.Y(n_16158), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699398 (
+	.A1(n_14545),
+	.A2(n_15282),
+	.B1(n_15567),
+	.Y(n_16157), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699399 (
+	.A1(n_14546),
+	.A2(n_15283),
+	.B1(n_15566),
+	.Y(n_16156), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699400 (
+	.A1(n_14547),
+	.A2(n_15282),
+	.B1(n_15566),
+	.Y(n_16155), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699401 (
+	.A1(n_14546),
+	.A2(n_15263),
+	.B1(n_15565),
+	.Y(n_16154), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699402 (
+	.A1(n_14547),
+	.A2(n_15262),
+	.B1(n_15565),
+	.Y(n_16153), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699403 (
+	.A1(n_14544),
+	.A2(n_15263),
+	.B1(n_15564),
+	.Y(n_16152), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699404 (
+	.A1(n_14545),
+	.A2(n_15262),
+	.B1(n_15564),
+	.Y(n_16151), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699405 (
+	.A1(n_14544),
+	.A2(n_15275),
+	.B1(n_15562),
+	.Y(n_16150), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699406 (
+	.A1(n_14545),
+	.A2(n_15274),
+	.B1(n_15562),
+	.Y(n_16149), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699407 (
+	.A1(n_14547),
+	.A2(n_15274),
+	.B1(n_15561),
+	.Y(n_16148), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699408 (
+	.A1(n_14546),
+	.A2(n_15275),
+	.B1(n_15561),
+	.Y(n_16147), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699409 (
+	.A1(n_14546),
+	.A2(n_15269),
+	.B1(n_15560),
+	.Y(n_16146), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699410 (
+	.A1(n_14547),
+	.A2(n_15268),
+	.B1(n_15560),
+	.Y(n_16145), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699411 (
+	.A1(n_14544),
+	.A2(n_15273),
+	.B1(n_15559),
+	.Y(n_16144), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699412 (
+	.A1(n_14545),
+	.A2(n_15272),
+	.B1(n_15559),
+	.Y(n_16143), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699413 (
+	.A1(n_14546),
+	.A2(n_15273),
+	.B1(n_15563),
+	.Y(n_16142), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699414 (
+	.A1(n_14547),
+	.A2(n_15272),
+	.B1(n_15563),
+	.Y(n_16141), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699415 (
+	.A1(n_14544),
+	.A2(n_15269),
+	.B1(n_15558),
+	.Y(n_16140), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699416 (
+	.A1(n_14545),
+	.A2(n_15268),
+	.B1(n_15558),
+	.Y(n_16139), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699417 (
+	.A1(n_14538),
+	.A2(n_15221),
+	.B1(n_15537),
+	.Y(n_16138), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699418 (
+	.A1(n_14539),
+	.A2(n_15223),
+	.B1(n_15537),
+	.Y(n_16137), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699419 (
+	.A1(n_14538),
+	.A2(n_15225),
+	.B1(n_15535),
+	.Y(n_16136), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699420 (
+	.A1(n_14539),
+	.A2(n_15227),
+	.B1(n_15535),
+	.Y(n_16135), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699421 (
+	.A1(n_14538),
+	.A2(n_15229),
+	.B1(n_15533),
+	.Y(n_16134), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699422 (
+	.A1(n_14539),
+	.A2(n_15231),
+	.B1(n_15533),
+	.Y(n_16133), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699423 (
+	.A1(n_14538),
+	.A2(n_15233),
+	.B1(n_15531),
+	.Y(n_16132), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699424 (
+	.A1(n_14539),
+	.A2(n_15235),
+	.B1(n_15531),
+	.Y(n_16131), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699425 (
+	.A1(n_14542),
+	.A2(n_15279),
+	.B1(n_15585),
+	.Y(n_16130), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699426 (
+	.A1(n_14543),
+	.A2(n_15278),
+	.B1(n_15585),
+	.Y(n_16129), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699427 (
+	.A1(n_14542),
+	.A2(n_15277),
+	.B1(n_15584),
+	.Y(n_16128), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699428 (
+	.A1(n_14543),
+	.A2(n_15276),
+	.B1(n_15584),
+	.Y(n_16127), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699429 (
+	.A1(n_14542),
+	.A2(n_15265),
+	.B1(n_15583),
+	.Y(n_16126), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699430 (
+	.A1(n_14543),
+	.A2(n_15264),
+	.B1(n_15583),
+	.Y(n_16125), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699431 (
+	.A1(n_14542),
+	.A2(n_15259),
+	.B1(n_15582),
+	.Y(n_16124), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699432 (
+	.A1(n_14543),
+	.A2(n_15258),
+	.B1(n_15582),
+	.Y(n_16123), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699433 (
+	.A1(n_14542),
+	.A2(n_15291),
+	.B1(n_15581),
+	.Y(n_16122), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699434 (
+	.A1(n_14543),
+	.A2(n_15290),
+	.B1(n_15581),
+	.Y(n_16121), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699435 (
+	.A1(n_14542),
+	.A2(n_15281),
+	.B1(n_15580),
+	.Y(n_16120), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699436 (
+	.A1(n_14543),
+	.A2(n_15280),
+	.B1(n_15580),
+	.Y(n_16119), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699437 (
+	.A1(n_14542),
+	.A2(n_15271),
+	.B1(n_15579),
+	.Y(n_16118), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699438 (
+	.A1(n_14543),
+	.A2(n_15270),
+	.B1(n_15579),
+	.Y(n_16117), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699439 (
+	.A1(n_14542),
+	.A2(n_15261),
+	.B1(n_15578),
+	.Y(n_16116), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699440 (
+	.A1(n_14543),
+	.A2(n_15260),
+	.B1(n_15578),
+	.Y(n_16115), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699441 (
+	.A1(n_14544),
+	.A2(n_15251),
+	.B1(n_15541),
+	.Y(n_16114), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699442 (
+	.A1(n_14545),
+	.A2(n_15211),
+	.B1(n_15541),
+	.Y(n_16113), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699443 (
+	.A1(n_14546),
+	.A2(n_15251),
+	.B1(n_15540),
+	.Y(n_16112), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699444 (
+	.A1(n_14547),
+	.A2(n_15211),
+	.B1(n_15540),
+	.Y(n_16111), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699445 (
+	.A1(n_14544),
+	.A2(n_15297),
+	.B1(n_15539),
+	.Y(n_16110), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699446 (
+	.A1(n_14545),
+	.A2(n_15295),
+	.B1(n_15539),
+	.Y(n_16109), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699447 (
+	.A1(n_14546),
+	.A2(n_15297),
+	.B1(n_15538),
+	.Y(n_16108), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699448 (
+	.A1(n_14547),
+	.A2(n_15295),
+	.B1(n_15538),
+	.Y(n_16107), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699449 (
+	.A1(n_14540),
+	.A2(n_15279),
+	.B1(n_15549),
+	.Y(n_16106), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699450 (
+	.A1(n_14541),
+	.A2(n_15278),
+	.B1(n_15549),
+	.Y(n_16105), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699451 (
+	.A1(n_14540),
+	.A2(n_15277),
+	.B1(n_15548),
+	.Y(n_16104), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699452 (
+	.A1(n_14541),
+	.A2(n_15276),
+	.B1(n_15548),
+	.Y(n_16103), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699453 (
+	.A1(n_14540),
+	.A2(n_15265),
+	.B1(n_15547),
+	.Y(n_16102), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699454 (
+	.A1(n_14541),
+	.A2(n_15264),
+	.B1(n_15547),
+	.Y(n_16101), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699455 (
+	.A1(n_14540),
+	.A2(n_15259),
+	.B1(n_15546),
+	.Y(n_16100), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699456 (
+	.A1(n_14541),
+	.A2(n_15258),
+	.B1(n_15546),
+	.Y(n_16099), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699457 (
+	.A1(n_14540),
+	.A2(n_15291),
+	.B1(n_15545),
+	.Y(n_16098), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699458 (
+	.A1(n_14541),
+	.A2(n_15290),
+	.B1(n_15545),
+	.Y(n_16097), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699459 (
+	.A1(n_14540),
+	.A2(n_15281),
+	.B1(n_15544),
+	.Y(n_16096), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699460 (
+	.A1(n_14541),
+	.A2(n_15280),
+	.B1(n_15544),
+	.Y(n_16095), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699461 (
+	.A1(n_14540),
+	.A2(n_15271),
+	.B1(n_15543),
+	.Y(n_16094), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699462 (
+	.A1(n_14541),
+	.A2(n_15270),
+	.B1(n_15543),
+	.Y(n_16093), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699463 (
+	.A1(n_14540),
+	.A2(n_15261),
+	.B1(n_15542),
+	.Y(n_16092), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699464 (
+	.A1(n_14541),
+	.A2(n_15260),
+	.B1(n_15542),
+	.Y(n_16091), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699465 (
+	.A1(n_14551),
+	.A2(n_15254),
+	.B1(n_15557),
+	.Y(n_16090), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699466 (
+	.A1(n_14533),
+	.A2(n_15255),
+	.B1(n_15557),
+	.Y(n_16089), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699467 (
+	.A1(n_14535),
+	.A2(n_15254),
+	.B1(n_15556),
+	.Y(n_16088), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699468 (
+	.A1(n_14537),
+	.A2(n_15255),
+	.B1(n_15556),
+	.Y(n_16087), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699469 (
+	.A1(n_14535),
+	.A2(n_15256),
+	.B1(n_15555),
+	.Y(n_16086), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699470 (
+	.A1(n_14537),
+	.A2(n_15257),
+	.B1(n_15555),
+	.Y(n_16085), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699471 (
+	.A1(n_14551),
+	.A2(n_15256),
+	.B1(n_15554),
+	.Y(n_16084), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699472 (
+	.A1(n_14533),
+	.A2(n_15257),
+	.B1(n_15554),
+	.Y(n_16083), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699473 (
+	.A1(n_14546),
+	.A2(n_15237),
+	.B1(n_15517),
+	.Y(n_16082), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699474 (
+	.A1(n_14547),
+	.A2(n_15239),
+	.B1(n_15517),
+	.Y(n_16081), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699475 (
+	.A1(n_14544),
+	.A2(n_15237),
+	.B1(n_15515),
+	.Y(n_16080), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699476 (
+	.A1(n_14545),
+	.A2(n_15239),
+	.B1(n_15515),
+	.Y(n_16079), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699477 (
+	.A1(n_14544),
+	.A2(n_15249),
+	.B1(n_15513),
+	.Y(n_16078), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699478 (
+	.A1(n_14545),
+	.A2(n_15253),
+	.B1(n_15513),
+	.Y(n_16077), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699479 (
+	.A1(n_14546),
+	.A2(n_15249),
+	.B1(n_15511),
+	.Y(n_16076), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699480 (
+	.A1(n_14547),
+	.A2(n_15253),
+	.B1(n_15511),
+	.Y(n_16075), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699481 (
+	.A1(n_14546),
+	.A2(n_15241),
+	.B1(n_15509),
+	.Y(n_16074), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699482 (
+	.A1(n_14547),
+	.A2(n_15243),
+	.B1(n_15509),
+	.Y(n_16073), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699483 (
+	.A1(n_14544),
+	.A2(n_15241),
+	.B1(n_15507),
+	.Y(n_16072), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699484 (
+	.A1(n_14545),
+	.A2(n_15243),
+	.B1(n_15507),
+	.Y(n_16071), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699485 (
+	.A1(n_14544),
+	.A2(n_15245),
+	.B1(n_15505),
+	.Y(n_16070), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699486 (
+	.A1(n_14545),
+	.A2(n_15247),
+	.B1(n_15505),
+	.Y(n_16069), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699487 (
+	.A1(n_14546),
+	.A2(n_15245),
+	.B1(n_15503),
+	.Y(n_16068), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699488 (
+	.A1(n_14547),
+	.A2(n_15247),
+	.B1(n_15503),
+	.Y(n_16067), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699489 (
+	.A1(n_14538),
+	.A2(n_15213),
+	.B1(n_15381),
+	.Y(n_16066), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699490 (
+	.A1(n_14539),
+	.A2(n_15215),
+	.B1(n_15381),
+	.Y(n_16065), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699491 (
+	.A1(n_14538),
+	.A2(n_15217),
+	.B1(n_15338),
+	.Y(n_16064), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699492 (
+	.A1(n_14539),
+	.A2(n_15219),
+	.B1(n_15338),
+	.Y(n_16063), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699493 (
+	.A1(n_14542),
+	.A2(n_15285),
+	.B1(n_15529),
+	.Y(n_16062), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699494 (
+	.A1(n_14543),
+	.A2(n_15284),
+	.B1(n_15529),
+	.Y(n_16061), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699495 (
+	.A1(n_14542),
+	.A2(n_15267),
+	.B1(n_15528),
+	.Y(n_16060), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699496 (
+	.A1(n_14543),
+	.A2(n_15266),
+	.B1(n_15528),
+	.Y(n_16059), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699497 (
+	.A1(n_14542),
+	.A2(n_15293),
+	.B1(n_15527),
+	.Y(n_16058), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699498 (
+	.A1(n_14543),
+	.A2(n_15292),
+	.B1(n_15527),
+	.Y(n_16057), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699499 (
+	.A1(n_14542),
+	.A2(n_15289),
+	.B1(n_15526),
+	.Y(n_16056), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699500 (
+	.A1(n_14543),
+	.A2(n_15288),
+	.B1(n_15526),
+	.Y(n_16055), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699501 (
+	.A1(n_14542),
+	.A2(n_15287),
+	.B1(n_15525),
+	.Y(n_16054), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699502 (
+	.A1(n_14543),
+	.A2(n_15286),
+	.B1(n_15525),
+	.Y(n_16053), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699503 (
+	.A1(n_14542),
+	.A2(n_15283),
+	.B1(n_15524),
+	.Y(n_16052), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699504 (
+	.A1(n_14543),
+	.A2(n_15282),
+	.B1(n_15524),
+	.Y(n_16051), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699505 (
+	.A1(n_14542),
+	.A2(n_15263),
+	.B1(n_15523),
+	.Y(n_16050), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699506 (
+	.A1(n_14543),
+	.A2(n_15262),
+	.B1(n_15523),
+	.Y(n_16049), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699507 (
+	.A1(n_14542),
+	.A2(n_15275),
+	.B1(n_15522),
+	.Y(n_16048), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699508 (
+	.A1(n_14543),
+	.A2(n_15274),
+	.B1(n_15522),
+	.Y(n_16047), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699509 (
+	.A1(n_14542),
+	.A2(n_15273),
+	.B1(n_15521),
+	.Y(n_16046), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699510 (
+	.A1(n_14543),
+	.A2(n_15272),
+	.B1(n_15521),
+	.Y(n_16045), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699511 (
+	.A1(n_14542),
+	.A2(n_15269),
+	.B1(n_15520),
+	.Y(n_16044), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699512 (
+	.A1(n_14543),
+	.A2(n_15268),
+	.B1(n_15520),
+	.Y(n_16043), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699513 (
+	.A1(n_14544),
+	.A2(n_15221),
+	.B1(n_15457),
+	.Y(n_16042), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699514 (
+	.A1(n_14545),
+	.A2(n_15223),
+	.B1(n_15457),
+	.Y(n_16041), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699515 (
+	.A1(n_14546),
+	.A2(n_15221),
+	.B1(n_15455),
+	.Y(n_16040), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699516 (
+	.A1(n_14547),
+	.A2(n_15223),
+	.B1(n_15455),
+	.Y(n_16039), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699517 (
+	.A1(n_14546),
+	.A2(n_15225),
+	.B1(n_15453),
+	.Y(n_16038), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699518 (
+	.A1(n_14547),
+	.A2(n_15227),
+	.B1(n_15453),
+	.Y(n_16037), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699519 (
+	.A1(n_14544),
+	.A2(n_15225),
+	.B1(n_15451),
+	.Y(n_16036), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699520 (
+	.A1(n_14545),
+	.A2(n_15227),
+	.B1(n_15451),
+	.Y(n_16035), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699521 (
+	.A1(n_14544),
+	.A2(n_15229),
+	.B1(n_15449),
+	.Y(n_16034), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699522 (
+	.A1(n_14545),
+	.A2(n_15231),
+	.B1(n_15449),
+	.Y(n_16033), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699523 (
+	.A1(n_14546),
+	.A2(n_15229),
+	.B1(n_15447),
+	.Y(n_16032), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699524 (
+	.A1(n_14547),
+	.A2(n_15231),
+	.B1(n_15447),
+	.Y(n_16031), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699525 (
+	.A1(n_14544),
+	.A2(n_15233),
+	.B1(n_15445),
+	.Y(n_16030), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699526 (
+	.A1(n_14545),
+	.A2(n_15235),
+	.B1(n_15445),
+	.Y(n_16029), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699527 (
+	.A1(n_14546),
+	.A2(n_15233),
+	.B1(n_15443),
+	.Y(n_16028), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699528 (
+	.A1(n_14547),
+	.A2(n_15235),
+	.B1(n_15443),
+	.Y(n_16027), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699529 (
+	.A1(n_14540),
+	.A2(n_15285),
+	.B1(n_15469),
+	.Y(n_16026), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699530 (
+	.A1(n_14541),
+	.A2(n_15284),
+	.B1(n_15469),
+	.Y(n_16025), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699531 (
+	.A1(n_14540),
+	.A2(n_15267),
+	.B1(n_15468),
+	.Y(n_16024), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699532 (
+	.A1(n_14541),
+	.A2(n_15266),
+	.B1(n_15468),
+	.Y(n_16023), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g699533 (
+	.A(n_34327),
+	.B(n_14082),
+	.C(n_15202),
+	.X(brqrv_top_brqrv_dec_decode_pause_state_in), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699534 (
+	.A1(n_14533),
+	.A2(n_15262),
+	.B1(n_15319),
+	.Y(n_16022), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699535 (
+	.A1(n_14540),
+	.A2(n_15289),
+	.B1(n_15466),
+	.Y(n_16021), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699536 (
+	.A1(n_14541),
+	.A2(n_15288),
+	.B1(n_15466),
+	.Y(n_16020), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699537 (
+	.A1(n_14540),
+	.A2(n_15287),
+	.B1(n_15465),
+	.Y(n_16019), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699538 (
+	.A1(n_14541),
+	.A2(n_15286),
+	.B1(n_15465),
+	.Y(n_16018), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699539 (
+	.A1(n_14540),
+	.A2(n_15283),
+	.B1(n_15464),
+	.Y(n_16017), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699540 (
+	.A1(n_14541),
+	.A2(n_15282),
+	.B1(n_15464),
+	.Y(n_16016), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699541 (
+	.A1(n_14540),
+	.A2(n_15263),
+	.B1(n_15463),
+	.Y(n_16015), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699542 (
+	.A1(n_14541),
+	.A2(n_15262),
+	.B1(n_15463),
+	.Y(n_16014), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699543 (
+	.A1(n_14540),
+	.A2(n_15275),
+	.B1(n_15462),
+	.Y(n_16013), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699544 (
+	.A1(n_14541),
+	.A2(n_15274),
+	.B1(n_15462),
+	.Y(n_16012), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699545 (
+	.A1(n_14540),
+	.A2(n_15273),
+	.B1(n_15461),
+	.Y(n_16011), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699546 (
+	.A1(n_14541),
+	.A2(n_15272),
+	.B1(n_15461),
+	.Y(n_16010), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699547 (
+	.A1(n_14540),
+	.A2(n_15269),
+	.B1(n_15460),
+	.Y(n_16009), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699548 (
+	.A1(n_14541),
+	.A2(n_15268),
+	.B1(n_15460),
+	.Y(n_16008), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699549 (
+	.A1(n_14542),
+	.A2(n_15251),
+	.B1(n_15459),
+	.Y(n_16007), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699550 (
+	.A1(n_14543),
+	.A2(n_15211),
+	.B1(n_15459),
+	.Y(n_16006), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699551 (
+	.A1(n_14542),
+	.A2(n_15297),
+	.B1(n_15458),
+	.Y(n_16005), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699552 (
+	.A1(n_14543),
+	.A2(n_15295),
+	.B1(n_15458),
+	.Y(n_16004), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699553 (
+	.A1(n_14551),
+	.A2(n_15279),
+	.B1(n_15500),
+	.Y(n_16003), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699554 (
+	.A1(n_14533),
+	.A2(n_15278),
+	.B1(n_15500),
+	.Y(n_16002), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699555 (
+	.A1(n_14535),
+	.A2(n_15279),
+	.B1(n_15499),
+	.Y(n_16001), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699556 (
+	.A1(n_14537),
+	.A2(n_15278),
+	.B1(n_15499),
+	.Y(n_16000), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699557 (
+	.A1(n_14551),
+	.A2(n_15277),
+	.B1(n_15496),
+	.Y(n_15999), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699558 (
+	.A1(n_14533),
+	.A2(n_15276),
+	.B1(n_15496),
+	.Y(n_15998), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699559 (
+	.A1(n_14535),
+	.A2(n_15277),
+	.B1(n_15495),
+	.Y(n_15997), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699560 (
+	.A1(n_14537),
+	.A2(n_15276),
+	.B1(n_15495),
+	.Y(n_15996), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699561 (
+	.A1(n_14535),
+	.A2(n_15265),
+	.B1(n_15493),
+	.Y(n_15995), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699562 (
+	.A1(n_14537),
+	.A2(n_15264),
+	.B1(n_15493),
+	.Y(n_15994), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699563 (
+	.A1(n_14551),
+	.A2(n_15265),
+	.B1(n_15490),
+	.Y(n_15993), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699564 (
+	.A1(n_14533),
+	.A2(n_15264),
+	.B1(n_15490),
+	.Y(n_15992), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699565 (
+	.A1(n_14535),
+	.A2(n_15259),
+	.B1(n_15489),
+	.Y(n_15991), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699566 (
+	.A1(n_14537),
+	.A2(n_15258),
+	.B1(n_15489),
+	.Y(n_15990), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699567 (
+	.A1(n_14551),
+	.A2(n_15259),
+	.B1(n_15486),
+	.Y(n_15989), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699568 (
+	.A1(n_14533),
+	.A2(n_15258),
+	.B1(n_15486),
+	.Y(n_15988), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699569 (
+	.A1(n_14535),
+	.A2(n_15291),
+	.B1(n_15485),
+	.Y(n_15987), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699570 (
+	.A1(n_14537),
+	.A2(n_15290),
+	.B1(n_15485),
+	.Y(n_15986), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699571 (
+	.A1(n_14551),
+	.A2(n_15291),
+	.B1(n_15482),
+	.Y(n_15985), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699572 (
+	.A1(n_14533),
+	.A2(n_15290),
+	.B1(n_15482),
+	.Y(n_15984), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699573 (
+	.A1(n_14551),
+	.A2(n_15281),
+	.B1(n_15480),
+	.Y(n_15983), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699574 (
+	.A1(n_14533),
+	.A2(n_15280),
+	.B1(n_15480),
+	.Y(n_15982), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699575 (
+	.A1(n_14535),
+	.A2(n_15281),
+	.B1(n_15479),
+	.Y(n_15981), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699576 (
+	.A1(n_14537),
+	.A2(n_15280),
+	.B1(n_15479),
+	.Y(n_15980), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699577 (
+	.A1(n_14535),
+	.A2(n_15271),
+	.B1(n_15477),
+	.Y(n_15979), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699578 (
+	.A1(n_14537),
+	.A2(n_15270),
+	.B1(n_15477),
+	.Y(n_15978), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699579 (
+	.A1(n_14551),
+	.A2(n_15271),
+	.B1(n_15474),
+	.Y(n_15977), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699580 (
+	.A1(n_14533),
+	.A2(n_15270),
+	.B1(n_15474),
+	.Y(n_15976), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699581 (
+	.A1(n_14535),
+	.A2(n_15261),
+	.B1(n_15473),
+	.Y(n_15975), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699582 (
+	.A1(n_14537),
+	.A2(n_15260),
+	.B1(n_15473),
+	.Y(n_15974), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699583 (
+	.A1(n_14551),
+	.A2(n_15261),
+	.B1(n_15470),
+	.Y(n_15973), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699584 (
+	.A1(n_14533),
+	.A2(n_15260),
+	.B1(n_15470),
+	.Y(n_15972), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699585 (
+	.A1(n_14540),
+	.A2(n_15251),
+	.B1(n_15411),
+	.Y(n_15971), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699586 (
+	.A1(n_14541),
+	.A2(n_15211),
+	.B1(n_15411),
+	.Y(n_15970), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699587 (
+	.A1(n_14540),
+	.A2(n_15297),
+	.B1(n_15310),
+	.Y(n_15969), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699588 (
+	.A1(n_14541),
+	.A2(n_15295),
+	.B1(n_15310),
+	.Y(n_15968), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699589 (
+	.A1(n_14531),
+	.A2(n_15254),
+	.B1(n_15519),
+	.Y(n_15967), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699590 (
+	.A1(n_14549),
+	.A2(n_15255),
+	.B1(n_15519),
+	.Y(n_15966), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699591 (
+	.A1(n_14531),
+	.A2(n_15256),
+	.B1(n_15518),
+	.Y(n_15965), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699592 (
+	.A1(n_14549),
+	.A2(n_15257),
+	.B1(n_15518),
+	.Y(n_15964), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699593 (
+	.A1(n_14542),
+	.A2(n_15237),
+	.B1(n_15421),
+	.Y(n_15963), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699594 (
+	.A1(n_14543),
+	.A2(n_15239),
+	.B1(n_15421),
+	.Y(n_15962), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699595 (
+	.A1(n_14542),
+	.A2(n_15249),
+	.B1(n_15374),
+	.Y(n_15961), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699596 (
+	.A1(n_14543),
+	.A2(n_15253),
+	.B1(n_15374),
+	.Y(n_15960), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699597 (
+	.A1(n_14542),
+	.A2(n_15241),
+	.B1(n_15351),
+	.Y(n_15959), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699598 (
+	.A1(n_14543),
+	.A2(n_15243),
+	.B1(n_15351),
+	.Y(n_15958), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699599 (
+	.A1(n_14542),
+	.A2(n_15245),
+	.B1(n_15332),
+	.Y(n_15957), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699600 (
+	.A1(n_14543),
+	.A2(n_15247),
+	.B1(n_15332),
+	.Y(n_15956), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g699601 (
+	.A1(n_14544),
+	.A2(n_15213),
+	.B1_N(n_15384),
+	.Y(n_15955), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g699602 (
+	.A1(n_14545),
+	.A2(n_15215),
+	.B1_N(n_15384),
+	.Y(n_15954), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g699603 (
+	.A1(n_14546),
+	.A2(n_15213),
+	.B1_N(n_15377),
+	.Y(n_15953), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g699604 (
+	.A1(n_14547),
+	.A2(n_15215),
+	.B1_N(n_15377),
+	.Y(n_15952), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g699605 (
+	.A1(n_14547),
+	.A2(n_15219),
+	.B1_N(n_15333),
+	.Y(n_15951), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g699606 (
+	.A1(n_14546),
+	.A2(n_15217),
+	.B1_N(n_15333),
+	.Y(n_15950), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g699607 (
+	.A1(n_14544),
+	.A2(n_15217),
+	.B1_N(n_15326),
+	.Y(n_15949), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g699608 (
+	.A1(n_14545),
+	.A2(n_15219),
+	.B1_N(n_15326),
+	.Y(n_15948), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699609 (
+	.A1(n_14540),
+	.A2(n_15237),
+	.B1(n_15423),
+	.Y(n_15947), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699610 (
+	.A1(n_14541),
+	.A2(n_15239),
+	.B1(n_15423),
+	.Y(n_15946), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699611 (
+	.A1(n_14540),
+	.A2(n_15241),
+	.B1(n_15359),
+	.Y(n_15945), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699612 (
+	.A1(n_14541),
+	.A2(n_15243),
+	.B1(n_15359),
+	.Y(n_15944), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699613 (
+	.A1(n_14540),
+	.A2(n_15245),
+	.B1(n_15336),
+	.Y(n_15943), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699614 (
+	.A1(n_14541),
+	.A2(n_15247),
+	.B1(n_15336),
+	.Y(n_15942), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699615 (
+	.A1(n_14540),
+	.A2(n_15249),
+	.B1(n_15303),
+	.Y(n_15941), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699616 (
+	.A1(n_14541),
+	.A2(n_15253),
+	.B1(n_15303),
+	.Y(n_15940), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g699617 (
+	.A1(n_14542),
+	.A2(n_15221),
+	.B1_N(n_15399),
+	.Y(n_15939), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g699618 (
+	.A1(n_14543),
+	.A2(n_15223),
+	.B1_N(n_15399),
+	.Y(n_15938), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g699619 (
+	.A1(n_14542),
+	.A2(n_15225),
+	.B1_N(n_15376),
+	.Y(n_15937), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g699620 (
+	.A1(n_14543),
+	.A2(n_15227),
+	.B1_N(n_15376),
+	.Y(n_15936), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g699621 (
+	.A1(n_14542),
+	.A2(n_15229),
+	.B1_N(n_15322),
+	.Y(n_15935), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g699622 (
+	.A1(n_14543),
+	.A2(n_15231),
+	.B1_N(n_15322),
+	.Y(n_15934), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g699623 (
+	.A1(n_14542),
+	.A2(n_15233),
+	.B1_N(n_15306),
+	.Y(n_15933), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g699624 (
+	.A1(n_14543),
+	.A2(n_15235),
+	.B1_N(n_15306),
+	.Y(n_15932), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699625 (
+	.A1(n_14551),
+	.A2(n_15285),
+	.B1(n_15417),
+	.Y(n_15931), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699626 (
+	.A1(n_14533),
+	.A2(n_15284),
+	.B1(n_15417),
+	.Y(n_15930), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699627 (
+	.A1(n_14535),
+	.A2(n_15285),
+	.B1(n_15413),
+	.Y(n_15929), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699628 (
+	.A1(n_14537),
+	.A2(n_15284),
+	.B1(n_15413),
+	.Y(n_15928), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699629 (
+	.A1(n_14535),
+	.A2(n_15267),
+	.B1(n_15344),
+	.Y(n_15927), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699630 (
+	.A1(n_14537),
+	.A2(n_15266),
+	.B1(n_15344),
+	.Y(n_15926), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699631 (
+	.A1(n_14551),
+	.A2(n_15267),
+	.B1(n_15339),
+	.Y(n_15925), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699632 (
+	.A1(n_14533),
+	.A2(n_15266),
+	.B1(n_15339),
+	.Y(n_15924), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699633 (
+	.A1(n_14551),
+	.A2(n_15293),
+	.B1(n_15301),
+	.Y(n_15923), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699634 (
+	.A1(n_14533),
+	.A2(n_15292),
+	.B1(n_15301),
+	.Y(n_15922), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699635 (
+	.A1(n_14535),
+	.A2(n_15293),
+	.B1(n_15440),
+	.Y(n_15921), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699636 (
+	.A1(n_14537),
+	.A2(n_15292),
+	.B1(n_15440),
+	.Y(n_15920), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699637 (
+	.A1(n_14535),
+	.A2(n_15289),
+	.B1(n_15435),
+	.Y(n_15919), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699638 (
+	.A1(n_14537),
+	.A2(n_15288),
+	.B1(n_15435),
+	.Y(n_15918), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699639 (
+	.A1(n_14551),
+	.A2(n_15289),
+	.B1(n_15433),
+	.Y(n_15917), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699640 (
+	.A1(n_14533),
+	.A2(n_15288),
+	.B1(n_15433),
+	.Y(n_15916), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699641 (
+	.A1(n_14535),
+	.A2(n_15287),
+	.B1(n_15429),
+	.Y(n_15915), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699642 (
+	.A1(n_14537),
+	.A2(n_15286),
+	.B1(n_15429),
+	.Y(n_15914), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699643 (
+	.A1(n_14551),
+	.A2(n_15287),
+	.B1(n_15426),
+	.Y(n_15913), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699644 (
+	.A1(n_14533),
+	.A2(n_15286),
+	.B1(n_15426),
+	.Y(n_15912), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699645 (
+	.A1(n_14551),
+	.A2(n_15283),
+	.B1(n_15408),
+	.Y(n_15911), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699646 (
+	.A1(n_14533),
+	.A2(n_15282),
+	.B1(n_15408),
+	.Y(n_15910), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699647 (
+	.A1(n_14535),
+	.A2(n_15283),
+	.B1(n_15406),
+	.Y(n_15909), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699648 (
+	.A1(n_14537),
+	.A2(n_15282),
+	.B1(n_15406),
+	.Y(n_15908), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699649 (
+	.A1(n_14535),
+	.A2(n_15263),
+	.B1(n_15396),
+	.Y(n_15907), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699650 (
+	.A1(n_14537),
+	.A2(n_15262),
+	.B1(n_15396),
+	.Y(n_15906), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699651 (
+	.A1(n_14551),
+	.A2(n_15275),
+	.B1(n_15371),
+	.Y(n_15905), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699652 (
+	.A1(n_14533),
+	.A2(n_15274),
+	.B1(n_15371),
+	.Y(n_15904), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699653 (
+	.A1(n_14535),
+	.A2(n_15275),
+	.B1(n_15367),
+	.Y(n_15903), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699654 (
+	.A1(n_14537),
+	.A2(n_15274),
+	.B1(n_15367),
+	.Y(n_15902), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699655 (
+	.A1(n_14535),
+	.A2(n_15269),
+	.B1(n_15362),
+	.Y(n_15901), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699656 (
+	.A1(n_14537),
+	.A2(n_15268),
+	.B1(n_15362),
+	.Y(n_15900), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699657 (
+	.A1(n_14551),
+	.A2(n_15273),
+	.B1(n_15357),
+	.Y(n_15899), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699658 (
+	.A1(n_14533),
+	.A2(n_15272),
+	.B1(n_15357),
+	.Y(n_15898), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699659 (
+	.A1(n_14537),
+	.A2(n_15272),
+	.B1(n_15355),
+	.Y(n_15897), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699660 (
+	.A1(n_14535),
+	.A2(n_15273),
+	.B1(n_15355),
+	.Y(n_15896), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699661 (
+	.A1(n_14551),
+	.A2(n_15269),
+	.B1(n_15353),
+	.Y(n_15895), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699662 (
+	.A1(n_14533),
+	.A2(n_15268),
+	.B1(n_15353),
+	.Y(n_15894), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699663 (
+	.A1(n_14551),
+	.A2(n_15263),
+	.B1(n_15319),
+	.Y(n_15893), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699664 (
+	.A1(n_14541),
+	.A2(n_15292),
+	.B1(n_15467),
+	.Y(n_15892), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g699665 (
+	.A1(n_14540),
+	.A2(n_15221),
+	.B1_N(n_15400),
+	.Y(n_15891), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g699666 (
+	.A1(n_14541),
+	.A2(n_15223),
+	.B1_N(n_15400),
+	.Y(n_15890), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g699667 (
+	.A1(n_14540),
+	.A2(n_15225),
+	.B1_N(n_15382),
+	.Y(n_15889), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g699668 (
+	.A1(n_14541),
+	.A2(n_15227),
+	.B1_N(n_15382),
+	.Y(n_15888), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g699669 (
+	.A1(n_14540),
+	.A2(n_15229),
+	.B1_N(n_15328),
+	.Y(n_15887), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g699670 (
+	.A1(n_14541),
+	.A2(n_15231),
+	.B1_N(n_15328),
+	.Y(n_15886), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g699671 (
+	.A1(n_14540),
+	.A2(n_15233),
+	.B1_N(n_15307),
+	.Y(n_15885), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g699672 (
+	.A1(n_14541),
+	.A2(n_15235),
+	.B1_N(n_15307),
+	.Y(n_15884), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699673 (
+	.A1(n_14531),
+	.A2(n_15279),
+	.B1(n_15404),
+	.Y(n_15883), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699674 (
+	.A1(n_14549),
+	.A2(n_15278),
+	.B1(n_15404),
+	.Y(n_15882), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699675 (
+	.A1(n_14531),
+	.A2(n_15277),
+	.B1(n_15392),
+	.Y(n_15881), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699676 (
+	.A1(n_14549),
+	.A2(n_15276),
+	.B1(n_15392),
+	.Y(n_15880), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699677 (
+	.A1(n_14531),
+	.A2(n_15265),
+	.B1(n_15321),
+	.Y(n_15879), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699678 (
+	.A1(n_14549),
+	.A2(n_15264),
+	.B1(n_15321),
+	.Y(n_15878), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699679 (
+	.A1(n_14531),
+	.A2(n_15259),
+	.B1(n_15312),
+	.Y(n_15877), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699680 (
+	.A1(n_14549),
+	.A2(n_15258),
+	.B1(n_15312),
+	.Y(n_15876), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699681 (
+	.A1(n_14531),
+	.A2(n_15291),
+	.B1(n_15438),
+	.Y(n_15875), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699682 (
+	.A1(n_14549),
+	.A2(n_15290),
+	.B1(n_15438),
+	.Y(n_15874), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699683 (
+	.A1(n_14531),
+	.A2(n_15281),
+	.B1(n_15402),
+	.Y(n_15873), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699684 (
+	.A1(n_14549),
+	.A2(n_15280),
+	.B1(n_15402),
+	.Y(n_15872), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699685 (
+	.A1(n_14531),
+	.A2(n_15271),
+	.B1(n_15349),
+	.Y(n_15871), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699686 (
+	.A1(n_14549),
+	.A2(n_15270),
+	.B1(n_15349),
+	.Y(n_15870), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699687 (
+	.A1(n_14531),
+	.A2(n_15261),
+	.B1(n_15317),
+	.Y(n_15869), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g699688 (
+	.A1(n_14549),
+	.A2(n_15260),
+	.B1(n_15317),
+	.Y(n_15868), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g699689 (
+	.A1(n_14551),
+	.A2(n_15251),
+	.B1_N(n_15419),
+	.Y(n_15867), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g699690 (
+	.A1(n_14533),
+	.A2(n_15211),
+	.B1_N(n_15419),
+	.Y(n_15866), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g699691 (
+	.A1(n_14535),
+	.A2(n_15251),
+	.B1_N(n_15415),
+	.Y(n_15865), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g699692 (
+	.A1(n_14537),
+	.A2(n_15211),
+	.B1_N(n_15415),
+	.Y(n_15864), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g699693 (
+	.A1(n_14535),
+	.A2(n_15297),
+	.B1_N(n_15314),
+	.Y(n_15863), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g699694 (
+	.A1(n_14537),
+	.A2(n_15295),
+	.B1_N(n_15314),
+	.Y(n_15862), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g699695 (
+	.A1(n_14551),
+	.A2(n_15297),
+	.B1_N(n_15313),
+	.Y(n_15861), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g699696 (
+	.A1(n_14533),
+	.A2(n_15295),
+	.B1_N(n_15313),
+	.Y(n_15860), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g699697 (
+	.A1(n_14542),
+	.A2(n_15213),
+	.B1_N(n_15386),
+	.Y(n_15859), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g699698 (
+	.A1(n_14543),
+	.A2(n_15215),
+	.B1_N(n_15386),
+	.Y(n_15858), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g699699 (
+	.A1(n_14542),
+	.A2(n_15217),
+	.B1_N(n_15330),
+	.Y(n_15857), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g699700 (
+	.A1(n_14543),
+	.A2(n_15219),
+	.B1_N(n_15330),
+	.Y(n_15856), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g699701 (
+	.A1(n_14535),
+	.A2(n_15237),
+	.B1_N(n_15427),
+	.Y(n_15855), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g699702 (
+	.A1(n_14537),
+	.A2(n_15239),
+	.B1_N(n_15427),
+	.Y(n_15854), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g699703 (
+	.A1(n_14551),
+	.A2(n_15237),
+	.B1_N(n_15424),
+	.Y(n_15853), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g699704 (
+	.A1(n_14533),
+	.A2(n_15239),
+	.B1_N(n_15424),
+	.Y(n_15852), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g699705 (
+	.A1(n_14535),
+	.A2(n_15249),
+	.B1_N(n_15378),
+	.Y(n_15851), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g699706 (
+	.A1(n_14537),
+	.A2(n_15253),
+	.B1_N(n_15378),
+	.Y(n_15850), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g699707 (
+	.A1(n_14551),
+	.A2(n_15249),
+	.B1_N(n_15375),
+	.Y(n_15849), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g699708 (
+	.A1(n_14533),
+	.A2(n_15253),
+	.B1_N(n_15375),
+	.Y(n_15848), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g699709 (
+	.A1(n_14535),
+	.A2(n_15241),
+	.B1_N(n_15363),
+	.Y(n_15847), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g699710 (
+	.A1(n_14537),
+	.A2(n_15243),
+	.B1_N(n_15363),
+	.Y(n_15846), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g699711 (
+	.A1(n_14533),
+	.A2(n_15243),
+	.B1_N(n_15360),
+	.Y(n_15845), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g699712 (
+	.A1(n_14551),
+	.A2(n_15241),
+	.B1_N(n_15360),
+	.Y(n_15844), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g699713 (
+	.A1(n_14551),
+	.A2(n_15245),
+	.B1_N(n_15334),
+	.Y(n_15843), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g699714 (
+	.A1(n_14533),
+	.A2(n_15247),
+	.B1_N(n_15334),
+	.Y(n_15842), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g699715 (
+	.A1(n_14535),
+	.A2(n_15245),
+	.B1_N(n_15327),
+	.Y(n_15841), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g699716 (
+	.A1(n_14537),
+	.A2(n_15247),
+	.B1_N(n_15327),
+	.Y(n_15840), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g699717 (
+	.A1(n_14540),
+	.A2(n_15213),
+	.B1_N(n_15388),
+	.Y(n_15839), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g699718 (
+	.A1(n_14541),
+	.A2(n_15215),
+	.B1_N(n_15388),
+	.Y(n_15838), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g699719 (
+	.A1(n_14540),
+	.A2(n_15217),
+	.B1_N(n_15342),
+	.Y(n_15837), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g699720 (
+	.A1(n_14541),
+	.A2(n_15219),
+	.B1_N(n_15342),
+	.Y(n_15836), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g699721 (
+	.A1(n_14531),
+	.A2(n_15285),
+	.B1_N(n_15414),
+	.Y(n_15835), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g699722 (
+	.A1(n_14549),
+	.A2(n_15284),
+	.B1_N(n_15414),
+	.Y(n_15834), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g699723 (
+	.A1(n_14531),
+	.A2(n_15267),
+	.B1_N(n_15345),
+	.Y(n_15833), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g699724 (
+	.A1(n_14549),
+	.A2(n_15266),
+	.B1_N(n_15345),
+	.Y(n_15832), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g699725 (
+	.A1(n_14531),
+	.A2(n_15293),
+	.B1_N(n_15441),
+	.Y(n_15831), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g699726 (
+	.A1(n_14549),
+	.A2(n_15292),
+	.B1_N(n_15441),
+	.Y(n_15830), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g699727 (
+	.A1(n_14531),
+	.A2(n_15289),
+	.B1_N(n_15436),
+	.Y(n_15829), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g699728 (
+	.A1(n_14549),
+	.A2(n_15288),
+	.B1_N(n_15436),
+	.Y(n_15828), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g699729 (
+	.A1(n_14531),
+	.A2(n_15287),
+	.B1_N(n_15431),
+	.Y(n_15827), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g699730 (
+	.A1(n_14549),
+	.A2(n_15286),
+	.B1_N(n_15431),
+	.Y(n_15826), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g699731 (
+	.A1(n_14531),
+	.A2(n_15283),
+	.B1_N(n_15409),
+	.Y(n_15825), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g699732 (
+	.A1(n_14549),
+	.A2(n_15282),
+	.B1_N(n_15409),
+	.Y(n_15824), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g699733 (
+	.A1(n_14531),
+	.A2(n_15263),
+	.B1_N(n_15398),
+	.Y(n_15823), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g699734 (
+	.A1(n_14549),
+	.A2(n_15262),
+	.B1_N(n_15398),
+	.Y(n_15822), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g699735 (
+	.A1(n_14531),
+	.A2(n_15275),
+	.B1_N(n_15368),
+	.Y(n_15821), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g699736 (
+	.A1(n_14549),
+	.A2(n_15274),
+	.B1_N(n_15368),
+	.Y(n_15820), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g699737 (
+	.A1(n_14531),
+	.A2(n_15269),
+	.B1_N(n_15364),
+	.Y(n_15819), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g699738 (
+	.A1(n_14549),
+	.A2(n_15268),
+	.B1_N(n_15364),
+	.Y(n_15818), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g699739 (
+	.A1(n_14531),
+	.A2(n_15273),
+	.B1_N(n_15369),
+	.Y(n_15817), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g699740 (
+	.A1(n_14549),
+	.A2(n_15272),
+	.B1_N(n_15369),
+	.Y(n_15816), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g699741 (
+	.A1(n_14535),
+	.A2(n_15221),
+	.B1_N(n_15394),
+	.Y(n_15815), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g699742 (
+	.A1(n_14537),
+	.A2(n_15223),
+	.B1_N(n_15394),
+	.Y(n_15814), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g699743 (
+	.A1(n_14551),
+	.A2(n_15221),
+	.B1_N(n_15393),
+	.Y(n_15813), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g699744 (
+	.A1(n_14533),
+	.A2(n_15223),
+	.B1_N(n_15393),
+	.Y(n_15812), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g699745 (
+	.A1(n_14535),
+	.A2(n_15225),
+	.B1_N(n_15389),
+	.Y(n_15811), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g699746 (
+	.A1(n_14537),
+	.A2(n_15227),
+	.B1_N(n_15389),
+	.Y(n_15810), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g699747 (
+	.A1(n_14551),
+	.A2(n_15225),
+	.B1_N(n_15385),
+	.Y(n_15809), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g699748 (
+	.A1(n_14533),
+	.A2(n_15227),
+	.B1_N(n_15385),
+	.Y(n_15808), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g699749 (
+	.A1(n_14535),
+	.A2(n_15229),
+	.B1_N(n_15324),
+	.Y(n_15807), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g699750 (
+	.A1(n_14537),
+	.A2(n_15231),
+	.B1_N(n_15324),
+	.Y(n_15806), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g699751 (
+	.A1(n_14551),
+	.A2(n_15229),
+	.B1_N(n_15323),
+	.Y(n_15805), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g699752 (
+	.A1(n_14533),
+	.A2(n_15231),
+	.B1_N(n_15323),
+	.Y(n_15804), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g699753 (
+	.A1(n_14551),
+	.A2(n_15233),
+	.B1_N(n_15308),
+	.Y(n_15803), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g699754 (
+	.A1(n_14533),
+	.A2(n_15235),
+	.B1_N(n_15308),
+	.Y(n_15802), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g699755 (
+	.A1(n_14535),
+	.A2(n_15233),
+	.B1_N(n_15304),
+	.Y(n_15801), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g699756 (
+	.A1(n_14537),
+	.A2(n_15235),
+	.B1_N(n_15304),
+	.Y(n_15800), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g699757 (
+	.A(n_14368),
+	.B(n_14259),
+	.C(n_14646),
+	.D(n_15201),
+	.Y(n_15799), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g699758 (
+	.A1(n_14104),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_p1_f [7]),
+	.B1(n_14386),
+	.C1(n_15053),
+	.D1(n_15198),
+	.Y(n_15798), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g699759 (
+	.A(n_14896),
+	.B(n_14802),
+	.C(n_14494),
+	.D(n_14804),
+	.Y(n_15797), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g699760 (
+	.A(n_15200),
+	.B(n_14771),
+	.C(n_14252),
+	.D(n_14467),
+	.Y(n_15796), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g699761 (
+	.A1(n_15250),
+	.A2(n_14530),
+	.B1(n_15418),
+	.Y(n_15795), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g699762 (
+	.A1(n_15210),
+	.A2(n_14548),
+	.B1(n_15418),
+	.Y(n_15794), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g699763 (
+	.A1(n_15296),
+	.A2(n_14530),
+	.B1(n_15315),
+	.Y(n_15793), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g699764 (
+	.A1(n_15294),
+	.A2(n_14548),
+	.B1(n_15315),
+	.Y(n_15792), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g699765 (
+	.A1(n_15236),
+	.A2(n_14530),
+	.B1(n_15430),
+	.Y(n_15791), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g699766 (
+	.A1(n_15238),
+	.A2(n_14548),
+	.B1(n_15430),
+	.Y(n_15790), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g699767 (
+	.A1(n_15248),
+	.A2(n_14530),
+	.B1(n_15372),
+	.Y(n_15789), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g699768 (
+	.A1(n_15252),
+	.A2(n_14548),
+	.B1(n_15372),
+	.Y(n_15788), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g699769 (
+	.A1(n_15240),
+	.A2(n_14530),
+	.B1(n_15365),
+	.Y(n_15787), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g699770 (
+	.A1(n_15242),
+	.A2(n_14548),
+	.B1(n_15365),
+	.Y(n_15786), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g699771 (
+	.A1(n_15244),
+	.A2(n_14530),
+	.B1(n_15329),
+	.Y(n_15785), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g699772 (
+	.A1(n_15246),
+	.A2(n_14548),
+	.B1(n_15329),
+	.Y(n_15784), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g699773 (
+	.A1(n_15212),
+	.A2(n_14550),
+	.B1(n_15387),
+	.Y(n_15783), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g699774 (
+	.A1(n_15214),
+	.A2(n_14532),
+	.B1(n_15387),
+	.Y(n_15782), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g699775 (
+	.A1(n_15212),
+	.A2(n_14534),
+	.B1(n_15379),
+	.Y(n_15781), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g699776 (
+	.A1(n_15214),
+	.A2(n_14536),
+	.B1(n_15379),
+	.Y(n_15780), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g699777 (
+	.A1(n_15216),
+	.A2(n_14534),
+	.B1(n_15346),
+	.Y(n_15779), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g699778 (
+	.A1(n_15218),
+	.A2(n_14536),
+	.B1(n_15346),
+	.Y(n_15778), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g699779 (
+	.A1(n_15216),
+	.A2(n_14550),
+	.B1(n_15341),
+	.Y(n_15777), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g699780 (
+	.A1(n_15218),
+	.A2(n_14532),
+	.B1(n_15341),
+	.Y(n_15776), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g699781 (
+	.A1(n_15220),
+	.A2(n_14530),
+	.B1(n_15397),
+	.Y(n_15775), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g699782 (
+	.A1(n_15222),
+	.A2(n_14548),
+	.B1(n_15397),
+	.Y(n_15774), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g699783 (
+	.A1(n_15224),
+	.A2(n_14530),
+	.B1(n_15390),
+	.Y(n_15773), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g699784 (
+	.A1(n_15226),
+	.A2(n_14548),
+	.B1(n_15390),
+	.Y(n_15772), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g699785 (
+	.A1(n_15228),
+	.A2(n_14530),
+	.B1(n_15325),
+	.Y(n_15771), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g699786 (
+	.A1(n_15230),
+	.A2(n_14548),
+	.B1(n_15325),
+	.Y(n_15770), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g699787 (
+	.A1(n_15232),
+	.A2(n_14530),
+	.B1(n_15305),
+	.Y(n_15769), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g699788 (
+	.A1(n_15234),
+	.A2(n_14548),
+	.B1(n_15305),
+	.Y(n_15768), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g699789 (
+	.A1(n_15212),
+	.A2(n_14530),
+	.B1(n_15383),
+	.Y(n_15767), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g699790 (
+	.A1(n_15214),
+	.A2(n_14548),
+	.B1(n_15383),
+	.Y(n_15766), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g699791 (
+	.A1(n_15216),
+	.A2(n_14530),
+	.B1(n_15347),
+	.Y(n_15765), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g699792 (
+	.A1(n_15218),
+	.A2(n_14548),
+	.B1(n_15347),
+	.Y(n_15764), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g699793 (
+	.A1(n_15205),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B1(n_1578),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [35]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g699794 (
+	.A(n_15070),
+	.B(n_15065),
+	.Y(n_15737), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g699795 (
+	.A(n_14860),
+	.B(n_15298),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6866 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g699796 (
+	.A(n_14860),
+	.B(n_15299),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6867 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g699797 (
+	.A(n_14853),
+	.B(n_15206),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7018 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g699798 (
+	.A(n_14863),
+	.B(n_15299),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6873 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g699799 (
+	.A(n_14848),
+	.B(n_15206),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7034 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g699800 (
+	.A(n_14853),
+	.B(n_15207),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6986 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g699801 (
+	.A(n_14853),
+	.B(n_15209),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7019 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g699802 (
+	.A(n_14853),
+	.B(n_15208),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6987 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g699803 (
+	.A(n_14848),
+	.B(n_15207),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7002 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g699804 (
+	.A(n_15003),
+	.B(n_15208),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6995 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g699805 (
+	.A(n_14851),
+	.B(n_15206),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7020 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g699806 (
+	.A(n_14854),
+	.B(n_15208),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7009 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g699807 (
+	.A(n_14863),
+	.B(n_15298),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6872 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g699808 (
+	.A(n_14848),
+	.B(n_15209),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7035 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g699809 (
+	.A(n_14848),
+	.B(n_15208),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7003 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g699810 (
+	.A(n_15000),
+	.B(n_15206),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7048 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g699811 (
+	.A(n_14852),
+	.B(n_15206),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7022 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g699812 (
+	.A(n_15003),
+	.B(n_15209),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7027 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g699813 (
+	.A(n_15003),
+	.B(n_15207),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6994 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g699814 (
+	.A(n_15003),
+	.B(n_15206),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7026 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g699815 (
+	.A(n_14865),
+	.B(n_15298),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6868 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g699816 (
+	.A(n_14849),
+	.B(n_15206),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7036 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g699817 (
+	.A(n_14999),
+	.B(n_15208),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7011 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g699818 (
+	.A(n_14850),
+	.B(n_15208),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6993 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g699819 (
+	.A(n_15004),
+	.B(n_15208),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6997 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g699820 (
+	.A(n_14851),
+	.B(n_15207),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6988 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g699821 (
+	.A(n_14865),
+	.B(n_15299),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6869 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g699822 (
+	.A(n_14854),
+	.B(n_15207),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7008 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g699823 (
+	.A(n_14854),
+	.B(n_15206),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7040 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g699824 (
+	.A(n_14854),
+	.B(n_15209),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7041 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g699825 (
+	.A(n_15002),
+	.B(n_15206),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7032 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g699826 (
+	.A(n_15001),
+	.B(n_15208),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6999 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g699827 (
+	.A(n_15000),
+	.B(n_15207),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7016 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g699828 (
+	.A(n_14827),
+	.B(n_15206),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7038 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g699829 (
+	.A(n_14905),
+	.B(n_15206),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7046 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g699830 (
+	.A(n_14852),
+	.B(n_15207),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6990 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g699831 (
+	.A(n_14998),
+	.B(n_15206),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7044 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g699832 (
+	.A(n_14999),
+	.B(n_15206),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7042 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g699833 (
+	.A(n_15001),
+	.B(n_15206),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7030 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g699834 (
+	.A(n_15004),
+	.B(n_15206),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7028 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g699835 (
+	.A(n_14850),
+	.B(n_15206),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7024 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g699836 (
+	.A(n_14861),
+	.B(n_15299),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6871 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g699837 (
+	.A(n_14851),
+	.B(n_15209),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7021 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g699838 (
+	.A(n_14851),
+	.B(n_15208),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6989 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g699839 (
+	.A(n_14861),
+	.B(n_15298),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6870 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g699840 (
+	.A(n_15000),
+	.B(n_15209),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7049 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g699841 (
+	.A(n_14999),
+	.B(n_15209),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7043 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g699842 (
+	.A(n_14999),
+	.B(n_15207),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7010 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g699843 (
+	.A(n_15000),
+	.B(n_15208),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7017 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g699844 (
+	.A(n_14849),
+	.B(n_15207),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7004 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g699845 (
+	.A(n_14850),
+	.B(n_15207),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6992 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g699846 (
+	.A(n_14850),
+	.B(n_15209),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7025 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g699847 (
+	.A(n_14998),
+	.B(n_15208),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7013 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g699848 (
+	.A(n_15004),
+	.B(n_15209),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7029 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g699849 (
+	.A(n_15004),
+	.B(n_15207),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6996 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g699850 (
+	.A(n_14852),
+	.B(n_15209),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7023 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g699851 (
+	.A(n_14852),
+	.B(n_15208),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6991 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g699852 (
+	.A(n_14905),
+	.B(n_15208),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7015 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g699853 (
+	.A(n_15002),
+	.B(n_15208),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7001 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g699854 (
+	.A(n_14849),
+	.B(n_15208),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7005 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g699855 (
+	.A(n_14827),
+	.B(n_15208),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7007 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g699856 (
+	.A(n_15002),
+	.B(n_15207),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7000 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g699857 (
+	.A(n_15001),
+	.B(n_15209),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7031 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g699858 (
+	.A(n_15001),
+	.B(n_15207),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6998 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g699859 (
+	.A(n_14827),
+	.B(n_15207),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7006 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g699860 (
+	.A(n_14849),
+	.B(n_15209),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7037 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g699861 (
+	.A(n_14905),
+	.B(n_15207),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7014 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g699862 (
+	.A(n_14998),
+	.B(n_15207),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7012 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g699863 (
+	.A(n_15002),
+	.B(n_15209),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7033 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g699864 (
+	.A(n_14827),
+	.B(n_15209),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7039 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g699865 (
+	.A(n_14905),
+	.B(n_15209),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7047 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g699866 (
+	.A(n_14998),
+	.B(n_15209),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7045 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g699867 (
+	.A1(n_14633),
+	.A2(n_14843),
+	.B1(n_15197),
+	.X(n_15736), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g699868 (
+	.A1(n_14633),
+	.A2(n_14847),
+	.B1(n_15196),
+	.X(n_15735), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g699869 (
+	.A1(n_14842),
+	.A2(n_14622),
+	.B1_N(n_15119),
+	.Y(n_15734), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g699870 (
+	.A1(n_14635),
+	.A2(n_14843),
+	.B1(n_15195),
+	.X(n_15733), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g699871 (
+	.A1(n_14635),
+	.A2(n_14847),
+	.B1(n_15194),
+	.X(n_15732), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g699872 (
+	.A1(n_14557),
+	.A2(n_14843),
+	.B1(n_15193),
+	.X(n_15731), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g699873 (
+	.A1(n_14557),
+	.A2(n_14847),
+	.B1(n_15192),
+	.X(n_15730), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g699874 (
+	.A1(n_14636),
+	.A2(n_14839),
+	.B1(n_15190),
+	.X(n_15729), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g699875 (
+	.A1(n_14636),
+	.A2(n_14841),
+	.B1(n_15189),
+	.X(n_15728), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g699876 (
+	.A1(n_14637),
+	.A2(n_14839),
+	.B1(n_15188),
+	.X(n_15727), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g699877 (
+	.A1(n_14554),
+	.A2(n_14839),
+	.B1(n_15186),
+	.X(n_15726), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g699878 (
+	.A1(n_14637),
+	.A2(n_14841),
+	.B1(n_15187),
+	.X(n_15725), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g699879 (
+	.A1(n_14554),
+	.A2(n_14841),
+	.B1(n_15184),
+	.X(n_15724), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g699880 (
+	.A1(n_14623),
+	.A2(n_14839),
+	.B1(n_15183),
+	.X(n_15723), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g699881 (
+	.A1(n_14623),
+	.A2(n_14841),
+	.B1(n_15182),
+	.X(n_15722), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g699882 (
+	.A1(n_14636),
+	.A2(n_14843),
+	.B1(n_15181),
+	.X(n_15721), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g699883 (
+	.A1(n_14636),
+	.A2(n_14847),
+	.B1(n_15180),
+	.X(n_15720), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g699884 (
+	.A1(n_14637),
+	.A2(n_14843),
+	.B1(n_15179),
+	.X(n_15719), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g699885 (
+	.A1(n_14637),
+	.A2(n_14847),
+	.B1(n_15178),
+	.X(n_15718), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g699886 (
+	.A1(n_14554),
+	.A2(n_14843),
+	.B1(n_15177),
+	.X(n_15717), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g699887 (
+	.A1(n_14554),
+	.A2(n_14847),
+	.B1(n_15176),
+	.X(n_15716), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g699888 (
+	.A1(n_14842),
+	.A2(n_14624),
+	.B1_N(n_15175),
+	.Y(n_15715), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g699889 (
+	.A1(n_14846),
+	.A2(n_14624),
+	.B1_N(n_15174),
+	.Y(n_15714), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g699890 (
+	.A1(n_14634),
+	.A2(n_14845),
+	.B1(n_15185),
+	.X(n_15713), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g699891 (
+	.A1(n_14634),
+	.A2(n_14837),
+	.B1(n_15172),
+	.X(n_15712), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g699892 (
+	.A1(n_14555),
+	.A2(n_14845),
+	.B1(n_15171),
+	.X(n_15711), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g699893 (
+	.A1(n_14555),
+	.A2(n_14837),
+	.B1(n_15170),
+	.X(n_15710), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g699894 (
+	.A1(n_14627),
+	.A2(n_14845),
+	.B1(n_15103),
+	.X(n_15709), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g699895 (
+	.A1(n_14627),
+	.A2(n_14837),
+	.B1(n_15169),
+	.X(n_15708), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g699896 (
+	.A1(n_14844),
+	.A2(n_14629),
+	.B1_N(n_15168),
+	.Y(n_15707), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g699897 (
+	.A1(n_14836),
+	.A2(n_14629),
+	.B1_N(n_15167),
+	.Y(n_15706), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g699898 (
+	.A1(n_14634),
+	.A2(n_14835),
+	.B1(n_15166),
+	.X(n_15705), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g699899 (
+	.A1(n_14634),
+	.A2(n_14833),
+	.B1(n_15100),
+	.X(n_15704), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g699900 (
+	.A1(n_14555),
+	.A2(n_14835),
+	.B1(n_15165),
+	.X(n_15703), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g699901 (
+	.A1(n_14555),
+	.A2(n_14833),
+	.B1(n_15164),
+	.X(n_15702), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g699902 (
+	.A1(n_14627),
+	.A2(n_14833),
+	.B1(n_15162),
+	.X(n_15701), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g699903 (
+	.A1(n_14627),
+	.A2(n_14835),
+	.B1(n_15163),
+	.X(n_15700), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g699904 (
+	.A1(n_14834),
+	.A2(n_14629),
+	.B1_N(n_15160),
+	.Y(n_15699), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g699905 (
+	.A1(n_14832),
+	.A2(n_14629),
+	.B1_N(n_15159),
+	.Y(n_15698), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g699906 (
+	.A1(n_14556),
+	.A2(n_14845),
+	.B1(n_15081),
+	.X(n_15697), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g699907 (
+	.A1(n_14556),
+	.A2(n_14837),
+	.B1(n_15157),
+	.X(n_15696), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g699908 (
+	.A1(n_14844),
+	.A2(n_14631),
+	.B1_N(n_15156),
+	.Y(n_15695), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g699909 (
+	.A1(n_14836),
+	.A2(n_14631),
+	.B1_N(n_15155),
+	.Y(n_15694), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g699910 (
+	.A1(n_14844),
+	.A2(n_14626),
+	.B1_N(n_15154),
+	.Y(n_15693), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g699911 (
+	.A1(n_14836),
+	.A2(n_14626),
+	.B1_N(n_15153),
+	.Y(n_15692), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g699912 (
+	.A1(n_14844),
+	.A2(n_14622),
+	.B1_N(n_15118),
+	.Y(n_15691), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g699913 (
+	.A1(n_14836),
+	.A2(n_14622),
+	.B1_N(n_15152),
+	.Y(n_15690), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g699914 (
+	.A1(n_14556),
+	.A2(n_14835),
+	.B1(n_15151),
+	.X(n_15689), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g699915 (
+	.A1(n_14556),
+	.A2(n_14833),
+	.B1(n_15150),
+	.X(n_15688), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g699916 (
+	.A1(n_14834),
+	.A2(n_14631),
+	.B1_N(n_15149),
+	.Y(n_15687), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g699917 (
+	.A1(n_14834),
+	.A2(n_14626),
+	.B1_N(n_15147),
+	.Y(n_15686), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g699918 (
+	.A1(n_14832),
+	.A2(n_14626),
+	.B1_N(n_15146),
+	.Y(n_15685), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g699919 (
+	.A1(n_14834),
+	.A2(n_14622),
+	.B1_N(n_15145),
+	.Y(n_15684), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g699920 (
+	.A1(n_14832),
+	.A2(n_14622),
+	.B1_N(n_15116),
+	.Y(n_15683), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g699921 (
+	.A1(n_14634),
+	.A2(n_14839),
+	.B1(n_15144),
+	.X(n_15682), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g699922 (
+	.A1(n_14634),
+	.A2(n_14841),
+	.B1(n_15142),
+	.X(n_15681), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g699923 (
+	.A1(n_14555),
+	.A2(n_14839),
+	.B1(n_15203),
+	.X(n_15680), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g699924 (
+	.A1(n_14555),
+	.A2(n_14841),
+	.B1(n_15141),
+	.X(n_15679), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g699925 (
+	.A1(n_14627),
+	.A2(n_14839),
+	.B1(n_15140),
+	.X(n_15678), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g699926 (
+	.A1(n_14627),
+	.A2(n_14841),
+	.B1(n_15139),
+	.X(n_15677), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g699927 (
+	.A1(n_14628),
+	.A2(n_14839),
+	.B1(n_15138),
+	.X(n_15676), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g699928 (
+	.A1(n_14628),
+	.A2(n_14841),
+	.B1(n_15137),
+	.X(n_15675), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g699929 (
+	.A1(n_14634),
+	.A2(n_14843),
+	.B1(n_15136),
+	.X(n_15674), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g699930 (
+	.A1(n_14634),
+	.A2(n_14847),
+	.B1(n_15134),
+	.X(n_15673), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g699931 (
+	.A1(n_14555),
+	.A2(n_14843),
+	.B1(n_15135),
+	.X(n_15672), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g699932 (
+	.A1(n_14555),
+	.A2(n_14847),
+	.B1(n_15143),
+	.X(n_15671), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g699933 (
+	.A1(n_14627),
+	.A2(n_14843),
+	.B1(n_15076),
+	.X(n_15670), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g699934 (
+	.A1(n_14627),
+	.A2(n_14847),
+	.B1(n_15161),
+	.X(n_15669), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g699935 (
+	.A1(n_14842),
+	.A2(n_14629),
+	.B1_N(n_15133),
+	.Y(n_15668), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g699936 (
+	.A1(n_14846),
+	.A2(n_14629),
+	.B1_N(n_15173),
+	.Y(n_15667), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g699937 (
+	.A1(n_14556),
+	.A2(n_14839),
+	.B1(n_15158),
+	.X(n_15666), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g699938 (
+	.A1(n_14556),
+	.A2(n_14841),
+	.B1(n_15120),
+	.X(n_15665), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g699939 (
+	.A1(n_14630),
+	.A2(n_14839),
+	.B1(n_15132),
+	.X(n_15664), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g699940 (
+	.A1(n_14630),
+	.A2(n_14841),
+	.B1(n_15131),
+	.X(n_15663), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g699941 (
+	.A1(n_14625),
+	.A2(n_14839),
+	.B1(n_15130),
+	.X(n_15662), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g699942 (
+	.A1(n_14625),
+	.A2(n_14841),
+	.B1(n_15117),
+	.X(n_15661), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g699943 (
+	.A1(n_14838),
+	.A2(n_14622),
+	.B1_N(n_15129),
+	.Y(n_15660), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g699944 (
+	.A1(n_14840),
+	.A2(n_14622),
+	.B1_N(n_15128),
+	.Y(n_15659), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g699945 (
+	.A1(n_14556),
+	.A2(n_14843),
+	.B1(n_15127),
+	.X(n_15658), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g699946 (
+	.A1(n_14556),
+	.A2(n_14847),
+	.B1(n_15126),
+	.X(n_15657), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g699947 (
+	.A1(n_14842),
+	.A2(n_14631),
+	.B1_N(n_15125),
+	.Y(n_15656), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g699948 (
+	.A1(n_14842),
+	.A2(n_14626),
+	.B1_N(n_15123),
+	.Y(n_15655), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g699949 (
+	.A1(n_14846),
+	.A2(n_14626),
+	.B1_N(n_15122),
+	.Y(n_15654), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g699950 (
+	.A1(n_14846),
+	.A2(n_14622),
+	.B1_N(n_15121),
+	.Y(n_15653), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g699951 (
+	.A1(n_14632),
+	.A2(n_14845),
+	.B1(n_15115),
+	.X(n_15652), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g699952 (
+	.A1(n_14632),
+	.A2(n_14837),
+	.B1(n_15114),
+	.X(n_15651), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g699953 (
+	.A1(n_14633),
+	.A2(n_14845),
+	.B1(n_15113),
+	.X(n_15650), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g699954 (
+	.A1(n_14633),
+	.A2(n_14837),
+	.B1(n_15112),
+	.X(n_15649), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g699955 (
+	.A1(n_14635),
+	.A2(n_14845),
+	.B1(n_15111),
+	.X(n_15648), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g699956 (
+	.A1(n_14635),
+	.A2(n_14837),
+	.B1(n_15110),
+	.X(n_15647), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g699957 (
+	.A1(n_14557),
+	.A2(n_14845),
+	.B1(n_15109),
+	.X(n_15646), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g699958 (
+	.A1(n_14557),
+	.A2(n_14837),
+	.B1(n_15108),
+	.X(n_15645), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g699959 (
+	.A1(n_14632),
+	.A2(n_14835),
+	.B1(n_15107),
+	.X(n_15644), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g699960 (
+	.A1(n_14632),
+	.A2(n_14833),
+	.B1(n_15106),
+	.X(n_15643), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g699961 (
+	.A1(n_14633),
+	.A2(n_14835),
+	.B1(n_15105),
+	.X(n_15642), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g699962 (
+	.A1(n_14633),
+	.A2(n_14833),
+	.B1(n_15104),
+	.X(n_15641), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g699963 (
+	.A1(n_14635),
+	.A2(n_14835),
+	.B1(n_15102),
+	.X(n_15640), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g699964 (
+	.A1(n_14635),
+	.A2(n_14833),
+	.B1(n_15101),
+	.X(n_15639), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g699965 (
+	.A1(n_14557),
+	.A2(n_14835),
+	.B1(n_15099),
+	.X(n_15638), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g699966 (
+	.A1(n_14557),
+	.A2(n_14833),
+	.B1(n_15098),
+	.X(n_15637), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g699967 (
+	.A1(n_14636),
+	.A2(n_14845),
+	.B1(n_15097),
+	.X(n_15636), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g699968 (
+	.A1(n_14636),
+	.A2(n_14837),
+	.B1(n_15096),
+	.X(n_15635), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g699969 (
+	.A1(n_14637),
+	.A2(n_14845),
+	.B1(n_15095),
+	.X(n_15634), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g699970 (
+	.A1(n_14846),
+	.A2(n_14631),
+	.B1_N(n_15124),
+	.Y(n_15633), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g699971 (
+	.A1(n_14637),
+	.A2(n_14837),
+	.B1(n_15094),
+	.X(n_15632), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g699972 (
+	.A1(n_14554),
+	.A2(n_14845),
+	.B1(n_15093),
+	.X(n_15631), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g699973 (
+	.A1(n_14554),
+	.A2(n_14837),
+	.B1(n_15092),
+	.X(n_15630), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g699974 (
+	.A1(n_14832),
+	.A2(n_14631),
+	.B1_N(n_15148),
+	.Y(n_15629), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g699975 (
+	.A1(n_14844),
+	.A2(n_14624),
+	.B1_N(n_15091),
+	.Y(n_15628), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g699976 (
+	.A1(n_14836),
+	.A2(n_14624),
+	.B1_N(n_15089),
+	.Y(n_15627), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g699977 (
+	.A1(n_14636),
+	.A2(n_14835),
+	.B1(n_15090),
+	.X(n_15626), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g699978 (
+	.A1(n_14636),
+	.A2(n_14833),
+	.B1(n_15088),
+	.X(n_15625), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g699979 (
+	.A1(n_14637),
+	.A2(n_14835),
+	.B1(n_15087),
+	.X(n_15624), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g699980 (
+	.A1(n_14637),
+	.A2(n_14833),
+	.B1(n_15086),
+	.X(n_15623), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g699981 (
+	.A1(n_14554),
+	.A2(n_14835),
+	.B1(n_15085),
+	.X(n_15622), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g699982 (
+	.A1(n_14554),
+	.A2(n_14833),
+	.B1(n_15084),
+	.X(n_15621), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g699983 (
+	.A1(n_14834),
+	.A2(n_14624),
+	.B1_N(n_15083),
+	.Y(n_15620), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g699984 (
+	.A1(n_14832),
+	.A2(n_14624),
+	.B1_N(n_15082),
+	.Y(n_15619), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g699985 (
+	.A1(n_14632),
+	.A2(n_14839),
+	.B1(n_15080),
+	.X(n_15618), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g699986 (
+	.A1(n_14632),
+	.A2(n_14841),
+	.B1(n_15079),
+	.X(n_15617), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g699987 (
+	.A1(n_14633),
+	.A2(n_14839),
+	.B1(n_15078),
+	.X(n_15616), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g699988 (
+	.A1(n_14633),
+	.A2(n_14841),
+	.B1(n_15077),
+	.X(n_15615), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g699989 (
+	.A1(n_14635),
+	.A2(n_14839),
+	.B1(n_15075),
+	.X(n_15614), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g699990 (
+	.A1(n_14635),
+	.A2(n_14841),
+	.B1(n_15074),
+	.X(n_15613), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g699991 (
+	.A1(n_14557),
+	.A2(n_14839),
+	.B1(n_15191),
+	.X(n_15612), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g699992 (
+	.A1(n_14557),
+	.A2(n_14841),
+	.B1(n_15073),
+	.X(n_15611), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g699993 (
+	.A1(n_14632),
+	.A2(n_14843),
+	.B1(n_15072),
+	.X(n_15610), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g699994 (
+	.A1(n_14632),
+	.A2(n_14847),
+	.B1(n_15071),
+	.X(n_15609), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g699995 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[2]),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[1]),
+	.C(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[3]),
+	.D(n_14893),
+	.X(n_15608), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g699996 (
+	.A1(n_13583),
+	.A2(n_33814),
+	.B1(n_14777),
+	.C1(n_14786),
+	.D1(n_14904),
+	.Y(n_15607), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g699997 (
+	.A(n_14691),
+	.B(n_14251),
+	.C(n_14162),
+	.D(n_14894),
+	.Y(n_15606), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g699998 (
+	.A1(n_14815),
+	.A2(n_15029),
+	.B1(n_14396),
+	.B2(n_14931),
+	.Y(n_15763), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g699999 (
+	.A1(n_14813),
+	.A2(n_15029),
+	.B1(n_14394),
+	.B2(n_14931),
+	.Y(n_15762), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700000 (
+	.A1(n_14826),
+	.A2(n_15029),
+	.B1(n_14402),
+	.B2(n_14931),
+	.Y(n_15761), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700001 (
+	.A1(n_14813),
+	.A2(n_14915),
+	.B1(n_14394),
+	.B2(n_14933),
+	.Y(n_15760), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700002 (
+	.A1(n_14826),
+	.A2(n_14915),
+	.B1(n_14402),
+	.B2(n_14933),
+	.Y(n_15759), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700003 (
+	.A1(n_14824),
+	.A2(n_15030),
+	.B1(n_14398),
+	.B2(n_14941),
+	.Y(n_15758), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700004 (
+	.A1(n_14824),
+	.A2(n_14916),
+	.B1(n_14398),
+	.B2(n_14943),
+	.Y(n_15757), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700005 (
+	.A1(n_14824),
+	.A2(n_15036),
+	.B1(n_14398),
+	.B2(n_14947),
+	.Y(n_15756), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700006 (
+	.A1(n_14824),
+	.A2(n_14911),
+	.B1(n_14398),
+	.B2(n_14949),
+	.Y(n_15755), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700007 (
+	.A1(n_14824),
+	.A2(n_15016),
+	.B1(n_14398),
+	.B2(n_14951),
+	.Y(n_15754), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700008 (
+	.A1(n_14824),
+	.A2(n_14906),
+	.B1(n_14398),
+	.B2(n_14953),
+	.Y(n_15753), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700009 (
+	.A1(n_14824),
+	.A2(n_15043),
+	.B1(n_14398),
+	.B2(n_14955),
+	.Y(n_15752), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700010 (
+	.A1(n_14824),
+	.A2(n_14912),
+	.B1(n_14398),
+	.B2(n_14957),
+	.Y(n_15751), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700011 (
+	.A1(n_14824),
+	.A2(n_14915),
+	.B1(n_14398),
+	.B2(n_14933),
+	.Y(n_15750), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700012 (
+	.A1(n_14815),
+	.A2(n_14915),
+	.B1(n_14396),
+	.B2(n_14933),
+	.Y(n_15749), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700013 (
+	.A1(n_14826),
+	.A2(n_15030),
+	.B1(n_14402),
+	.B2(n_14941),
+	.Y(n_15748), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700014 (
+	.A1(n_14813),
+	.A2(n_15030),
+	.B1(n_14394),
+	.B2(n_14941),
+	.Y(n_15747), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700015 (
+	.A1(n_14826),
+	.A2(n_14916),
+	.B1(n_14402),
+	.B2(n_14943),
+	.Y(n_15746), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700016 (
+	.A1(n_14813),
+	.A2(n_14916),
+	.B1(n_14394),
+	.B2(n_14943),
+	.Y(n_15745), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700017 (
+	.A1(n_14813),
+	.A2(n_15036),
+	.B1(n_14394),
+	.B2(n_14947),
+	.Y(n_15744), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700018 (
+	.A1(n_14826),
+	.A2(n_15036),
+	.B1(n_14402),
+	.B2(n_14947),
+	.Y(n_15743), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700019 (
+	.A1(n_14813),
+	.A2(n_14911),
+	.B1(n_14394),
+	.B2(n_14949),
+	.Y(n_15742), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700020 (
+	.A1(n_14826),
+	.A2(n_14911),
+	.B1(n_14402),
+	.B2(n_14949),
+	.Y(n_15741), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700021 (
+	.A1(n_14813),
+	.A2(n_15016),
+	.B1(n_14394),
+	.B2(n_14951),
+	.Y(n_15740), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700022 (
+	.A1(n_14826),
+	.A2(n_15016),
+	.B1(n_14402),
+	.B2(n_14951),
+	.Y(n_15739), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700023 (
+	.A1(n_14824),
+	.A2(n_15029),
+	.B1(n_14398),
+	.B2(n_14931),
+	.Y(n_15738), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g700024 (
+	.A(n_15537),
+	.Y(n_15536), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g700025 (
+	.A(n_15535),
+	.Y(n_15534), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g700026 (
+	.A(n_15533),
+	.Y(n_15532), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g700027 (
+	.A(n_15531),
+	.Y(n_15530), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g700028 (
+	.A(n_15517),
+	.Y(n_15516), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g700029 (
+	.A(n_15515),
+	.Y(n_15514), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g700030 (
+	.A(n_15513),
+	.Y(n_15512), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g700031 (
+	.A(n_15511),
+	.Y(n_15510), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g700032 (
+	.A(n_15509),
+	.Y(n_15508), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g700033 (
+	.A(n_15507),
+	.Y(n_15506), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g700034 (
+	.A(n_15505),
+	.Y(n_15504), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g700035 (
+	.A(n_15503),
+	.Y(n_15502), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g700036 (
+	.A(n_15500),
+	.Y(n_15501), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g700037 (
+	.A(n_15499),
+	.Y(n_15498), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g700038 (
+	.A(n_15496),
+	.Y(n_15497), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g700039 (
+	.A(n_15495),
+	.Y(n_15494), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g700040 (
+	.A(n_15493),
+	.Y(n_15492), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g700041 (
+	.A(n_15490),
+	.Y(n_15491), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g700042 (
+	.A(n_15489),
+	.Y(n_15488), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g700043 (
+	.A(n_15486),
+	.Y(n_15487), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g700044 (
+	.A(n_15485),
+	.Y(n_15484), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g700045 (
+	.A(n_15482),
+	.Y(n_15483), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g700046 (
+	.A(n_15480),
+	.Y(n_15481), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g700047 (
+	.A(n_15479),
+	.Y(n_15478), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g700048 (
+	.A(n_15477),
+	.Y(n_15476), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g700049 (
+	.A(n_15474),
+	.Y(n_15475), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g700050 (
+	.A(n_15473),
+	.Y(n_15472), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g700051 (
+	.A(n_15470),
+	.Y(n_15471), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g700052 (
+	.A(n_15457),
+	.Y(n_15456), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g700053 (
+	.A(n_15455),
+	.Y(n_15454), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g700054 (
+	.A(n_15453),
+	.Y(n_15452), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g700055 (
+	.A(n_15451),
+	.Y(n_15450), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g700056 (
+	.A(n_15449),
+	.Y(n_15448), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g700057 (
+	.A(n_15447),
+	.Y(n_15446), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g700058 (
+	.A(n_15445),
+	.Y(n_15444), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g700059 (
+	.A(n_15443),
+	.Y(n_15442), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g700060 (
+	.A(n_15440),
+	.Y(n_15439), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g700061 (
+	.A(n_15438),
+	.Y(n_15437), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g700062 (
+	.A(n_15435),
+	.Y(n_15434), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g700063 (
+	.A(n_15433),
+	.Y(n_15432), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g700064 (
+	.A(n_15429),
+	.Y(n_15428), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g700065 (
+	.A(n_15426),
+	.Y(n_15425), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g700066 (
+	.A(n_15423),
+	.Y(n_15422), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g700067 (
+	.A(n_15421),
+	.Y(n_15420), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g700068 (
+	.A(n_15417),
+	.Y(n_15416), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g700069 (
+	.A(n_15413),
+	.Y(n_15412), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g700070 (
+	.A(n_15411),
+	.Y(n_15410), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g700071 (
+	.A(n_15408),
+	.Y(n_15407), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g700072 (
+	.A(n_15406),
+	.Y(n_15405), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g700073 (
+	.A(n_15404),
+	.Y(n_15403), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g700074 (
+	.A(n_15402),
+	.Y(n_15401), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g700075 (
+	.A(n_15396),
+	.Y(n_15395), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g700076 (
+	.A(n_15392),
+	.Y(n_15391), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g700077 (
+	.A(n_15381),
+	.Y(n_15380), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g700078 (
+	.A(n_15374),
+	.Y(n_15373), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g700079 (
+	.A(n_15371),
+	.Y(n_15370), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g700080 (
+	.A(n_15367),
+	.Y(n_15366), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g700081 (
+	.A(n_15362),
+	.Y(n_15361), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g700082 (
+	.A(n_15359),
+	.Y(n_15358), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g700083 (
+	.A(n_15357),
+	.Y(n_15356), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g700084 (
+	.A(n_15355),
+	.Y(n_15354), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g700085 (
+	.A(n_15353),
+	.Y(n_15352), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g700086 (
+	.A(n_15351),
+	.Y(n_15350), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g700087 (
+	.A(n_15349),
+	.Y(n_15348), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g700088 (
+	.A(n_15344),
+	.Y(n_15343), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g700089 (
+	.A(n_15339),
+	.Y(n_15340), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g700090 (
+	.A(n_15338),
+	.Y(n_15337), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g700091 (
+	.A(n_15336),
+	.Y(n_15335), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g700092 (
+	.A(n_15332),
+	.Y(n_15331), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g700093 (
+	.A(n_15321),
+	.Y(n_15320), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g700094 (
+	.A(n_15319),
+	.Y(n_15318), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g700095 (
+	.A(n_15317),
+	.Y(n_15316), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g700096 (
+	.A(n_15312),
+	.Y(n_15311), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g700097 (
+	.A(n_15310),
+	.Y(n_15309), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g700098 (
+	.A(n_15303),
+	.Y(n_15302), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g700099 (
+	.A(n_15301),
+	.Y(n_15300), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700100 (
+	.A1(n_14826),
+	.A2(n_14906),
+	.B1(n_14402),
+	.B2(n_14953),
+	.Y(n_15605), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700101 (
+	.A1(n_14813),
+	.A2(n_14906),
+	.B1(n_14394),
+	.B2(n_14953),
+	.Y(n_15604), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700102 (
+	.A1(n_14826),
+	.A2(n_15043),
+	.B1(n_14402),
+	.B2(n_14955),
+	.Y(n_15603), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700103 (
+	.A1(n_14813),
+	.A2(n_15043),
+	.B1(n_14394),
+	.B2(n_14955),
+	.Y(n_15602), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700104 (
+	.A1(n_14813),
+	.A2(n_14912),
+	.B1(n_14394),
+	.B2(n_14957),
+	.Y(n_15601), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700105 (
+	.A1(n_14826),
+	.A2(n_14912),
+	.B1(n_14402),
+	.B2(n_14957),
+	.Y(n_15600), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700106 (
+	.A1(n_14824),
+	.A2(n_15026),
+	.B1(n_14398),
+	.B2(n_14939),
+	.Y(n_15599), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700107 (
+	.A1(n_14824),
+	.A2(n_15046),
+	.B1(n_14398),
+	.B2(n_14945),
+	.Y(n_15598), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700108 (
+	.A1(n_14824),
+	.A2(n_15015),
+	.B1(n_14398),
+	.B2(n_14975),
+	.Y(n_15597), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700109 (
+	.A1(n_14824),
+	.A2(n_15018),
+	.B1(n_14398),
+	.B2(n_14929),
+	.Y(n_15596), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700110 (
+	.A1(n_14824),
+	.A2(n_15020),
+	.B1(n_14398),
+	.B2(n_14987),
+	.Y(n_15595), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700111 (
+	.A1(n_14824),
+	.A2(n_14920),
+	.B1(n_14398),
+	.B2(n_14985),
+	.Y(n_15594), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700112 (
+	.A1(n_14824),
+	.A2(n_15032),
+	.B1(n_14398),
+	.B2(n_14977),
+	.Y(n_15593), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700113 (
+	.A1(n_14824),
+	.A2(n_14922),
+	.B1(n_14398),
+	.B2(n_14983),
+	.Y(n_15592), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700114 (
+	.A1(n_14824),
+	.A2(n_15042),
+	.B1(n_14398),
+	.B2(n_14981),
+	.Y(n_15591), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700115 (
+	.A1(n_14824),
+	.A2(n_15052),
+	.B1(n_14398),
+	.B2(n_14979),
+	.Y(n_15590), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700116 (
+	.A1(n_14819),
+	.A2(n_15029),
+	.B1(n_14400),
+	.B2(n_14931),
+	.Y(n_15589), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700117 (
+	.A1(n_14819),
+	.A2(n_14915),
+	.B1(n_14400),
+	.B2(n_14933),
+	.Y(n_15588), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700118 (
+	.A1(n_14824),
+	.A2(n_15024),
+	.B1(n_14398),
+	.B2(n_14961),
+	.Y(n_15587), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700119 (
+	.A1(n_14824),
+	.A2(n_14908),
+	.B1(n_14398),
+	.B2(n_14969),
+	.Y(n_15586), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700120 (
+	.A1(n_14815),
+	.A2(n_15030),
+	.B1(n_14396),
+	.B2(n_14941),
+	.Y(n_15585), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700121 (
+	.A1(n_14815),
+	.A2(n_14916),
+	.B1(n_14396),
+	.B2(n_14943),
+	.Y(n_15584), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700122 (
+	.A1(n_14815),
+	.A2(n_15036),
+	.B1(n_14396),
+	.B2(n_14947),
+	.Y(n_15583), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700123 (
+	.A1(n_14815),
+	.A2(n_14911),
+	.B1(n_14396),
+	.B2(n_14949),
+	.Y(n_15582), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700124 (
+	.A1(n_14815),
+	.A2(n_15016),
+	.B1(n_14396),
+	.B2(n_14951),
+	.Y(n_15581), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700125 (
+	.A1(n_14815),
+	.A2(n_14906),
+	.B1(n_14396),
+	.B2(n_14953),
+	.Y(n_15580), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700126 (
+	.A1(n_14815),
+	.A2(n_15043),
+	.B1(n_14396),
+	.B2(n_14955),
+	.Y(n_15579), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700127 (
+	.A1(n_14815),
+	.A2(n_14912),
+	.B1(n_14396),
+	.B2(n_14957),
+	.Y(n_15578), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700128 (
+	.A1(n_14826),
+	.A2(n_15026),
+	.B1(n_14402),
+	.B2(n_14939),
+	.Y(n_15577), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700129 (
+	.A1(n_14813),
+	.A2(n_15026),
+	.B1(n_14394),
+	.B2(n_14939),
+	.Y(n_15576), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700130 (
+	.A1(n_14813),
+	.A2(n_15046),
+	.B1(n_14394),
+	.B2(n_14945),
+	.Y(n_15575), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700131 (
+	.A1(n_14826),
+	.A2(n_15046),
+	.B1(n_14402),
+	.B2(n_14945),
+	.Y(n_15574), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700132 (
+	.A1(n_14826),
+	.A2(n_15015),
+	.B1(n_14402),
+	.B2(n_14975),
+	.Y(n_15573), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700133 (
+	.A1(n_14813),
+	.A2(n_15015),
+	.B1(n_14394),
+	.B2(n_14975),
+	.Y(n_15572), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700134 (
+	.A1(n_14813),
+	.A2(n_15018),
+	.B1(n_14394),
+	.B2(n_14929),
+	.Y(n_15571), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700135 (
+	.A1(n_14826),
+	.A2(n_15018),
+	.B1(n_14402),
+	.B2(n_14929),
+	.Y(n_15570), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700136 (
+	.A1(n_14813),
+	.A2(n_15020),
+	.B1(n_14394),
+	.B2(n_14987),
+	.Y(n_15569), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700137 (
+	.A1(n_14826),
+	.A2(n_15020),
+	.B1(n_14402),
+	.B2(n_14987),
+	.Y(n_15568), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700138 (
+	.A1(n_14826),
+	.A2(n_14920),
+	.B1(n_14402),
+	.B2(n_14985),
+	.Y(n_15567), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700139 (
+	.A1(n_14813),
+	.A2(n_14920),
+	.B1(n_14394),
+	.B2(n_14985),
+	.Y(n_15566), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700140 (
+	.A1(n_14813),
+	.A2(n_15032),
+	.B1(n_14394),
+	.B2(n_14977),
+	.Y(n_15565), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700141 (
+	.A1(n_14826),
+	.A2(n_15032),
+	.B1(n_14402),
+	.B2(n_14977),
+	.Y(n_15564), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700142 (
+	.A1(n_14813),
+	.A2(n_15042),
+	.B1(n_14394),
+	.B2(n_14981),
+	.Y(n_15563), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700143 (
+	.A1(n_14826),
+	.A2(n_14922),
+	.B1(n_14402),
+	.B2(n_14983),
+	.Y(n_15562), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700144 (
+	.A1(n_14813),
+	.A2(n_14922),
+	.B1(n_14394),
+	.B2(n_14983),
+	.Y(n_15561), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700145 (
+	.A1(n_14813),
+	.A2(n_15052),
+	.B1(n_14394),
+	.B2(n_14979),
+	.Y(n_15560), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700146 (
+	.A1(n_14826),
+	.A2(n_15042),
+	.B1(n_14402),
+	.B2(n_14981),
+	.Y(n_15559), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700147 (
+	.A1(n_14826),
+	.A2(n_15052),
+	.B1(n_14402),
+	.B2(n_14979),
+	.Y(n_15558), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700148 (
+	.A1(n_14821),
+	.A2(n_15029),
+	.B1(n_13430),
+	.B2(n_14931),
+	.Y(n_15557), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700149 (
+	.A1(n_14817),
+	.A2(n_15029),
+	.B1(n_13431),
+	.B2(n_14931),
+	.Y(n_15556), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700150 (
+	.A1(n_14817),
+	.A2(n_14915),
+	.B1(n_13431),
+	.B2(n_14933),
+	.Y(n_15555), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700151 (
+	.A1(n_14821),
+	.A2(n_14915),
+	.B1(n_13430),
+	.B2(n_14933),
+	.Y(n_15554), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700152 (
+	.A1(n_14824),
+	.A2(n_15022),
+	.B1(n_14398),
+	.B2(n_14960),
+	.Y(n_15553), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700153 (
+	.A1(n_14824),
+	.A2(n_14918),
+	.B1(n_14398),
+	.B2(n_14959),
+	.Y(n_15552), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700154 (
+	.A1(n_14824),
+	.A2(n_15048),
+	.B1(n_14398),
+	.B2(n_14971),
+	.Y(n_15551), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700155 (
+	.A1(n_14824),
+	.A2(n_15006),
+	.B1(n_14398),
+	.B2(n_14958),
+	.Y(n_15550), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700156 (
+	.A1(n_14819),
+	.A2(n_15030),
+	.B1(n_14400),
+	.B2(n_14941),
+	.Y(n_15549), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700157 (
+	.A1(n_14819),
+	.A2(n_14916),
+	.B1(n_14400),
+	.B2(n_14943),
+	.Y(n_15548), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700158 (
+	.A1(n_14819),
+	.A2(n_15036),
+	.B1(n_14400),
+	.B2(n_14947),
+	.Y(n_15547), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700159 (
+	.A1(n_14819),
+	.A2(n_14911),
+	.B1(n_14400),
+	.B2(n_14949),
+	.Y(n_15546), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700160 (
+	.A1(n_14819),
+	.A2(n_15016),
+	.B1(n_14400),
+	.B2(n_14951),
+	.Y(n_15545), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700161 (
+	.A1(n_14819),
+	.A2(n_14906),
+	.B1(n_14400),
+	.B2(n_14953),
+	.Y(n_15544), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700162 (
+	.A1(n_14819),
+	.A2(n_15043),
+	.B1(n_14400),
+	.B2(n_14955),
+	.Y(n_15543), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700163 (
+	.A1(n_14819),
+	.A2(n_14912),
+	.B1(n_14400),
+	.B2(n_14957),
+	.Y(n_15542), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700164 (
+	.A1(n_14826),
+	.A2(n_15024),
+	.B1(n_14402),
+	.B2(n_14961),
+	.Y(n_15541), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700165 (
+	.A1(n_14813),
+	.A2(n_15024),
+	.B1(n_14394),
+	.B2(n_14961),
+	.Y(n_15540), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700166 (
+	.A1(n_14826),
+	.A2(n_14908),
+	.B1(n_14402),
+	.B2(n_14969),
+	.Y(n_15539), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700167 (
+	.A1(n_14813),
+	.A2(n_14908),
+	.B1(n_14394),
+	.B2(n_14969),
+	.Y(n_15538), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700168 (
+	.A1(n_14824),
+	.A2(n_15034),
+	.B1(n_14398),
+	.B2(n_14963),
+	.Y(n_15537), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700169 (
+	.A1(n_14824),
+	.A2(n_14910),
+	.B1(n_14398),
+	.B2(n_14965),
+	.Y(n_15535), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700170 (
+	.A1(n_14824),
+	.A2(n_15050),
+	.B1(n_14398),
+	.B2(n_14967),
+	.Y(n_15533), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700171 (
+	.A1(n_14824),
+	.A2(n_14914),
+	.B1(n_14398),
+	.B2(n_14972),
+	.Y(n_15531), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700172 (
+	.A1(n_14815),
+	.A2(n_15026),
+	.B1(n_14396),
+	.B2(n_14939),
+	.Y(n_15529), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700173 (
+	.A1(n_14815),
+	.A2(n_15046),
+	.B1(n_14396),
+	.B2(n_14945),
+	.Y(n_15528), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700174 (
+	.A1(n_14815),
+	.A2(n_15015),
+	.B1(n_14396),
+	.B2(n_14975),
+	.Y(n_15527), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700175 (
+	.A1(n_14815),
+	.A2(n_15018),
+	.B1(n_14396),
+	.B2(n_14929),
+	.Y(n_15526), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700176 (
+	.A1(n_14815),
+	.A2(n_15020),
+	.B1(n_14396),
+	.B2(n_14987),
+	.Y(n_15525), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700177 (
+	.A1(n_14815),
+	.A2(n_14920),
+	.B1(n_14396),
+	.B2(n_14985),
+	.Y(n_15524), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700178 (
+	.A1(n_14815),
+	.A2(n_15032),
+	.B1(n_14396),
+	.B2(n_14977),
+	.Y(n_15523), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700179 (
+	.A1(n_14815),
+	.A2(n_14922),
+	.B1(n_14396),
+	.B2(n_14983),
+	.Y(n_15522), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700180 (
+	.A1(n_14815),
+	.A2(n_15042),
+	.B1(n_14396),
+	.B2(n_14981),
+	.Y(n_15521), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700181 (
+	.A1(n_14815),
+	.A2(n_15052),
+	.B1(n_14396),
+	.B2(n_14979),
+	.Y(n_15520), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700182 (
+	.A1(n_14822),
+	.A2(n_15029),
+	.B1(n_14390),
+	.B2(n_14931),
+	.Y(n_15519), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700183 (
+	.A1(n_14822),
+	.A2(n_14915),
+	.B1(n_14390),
+	.B2(n_14933),
+	.Y(n_15518), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700184 (
+	.A1(n_14813),
+	.A2(n_15022),
+	.B1(n_14394),
+	.B2(n_14960),
+	.Y(n_15517), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700185 (
+	.A1(n_14826),
+	.A2(n_15022),
+	.B1(n_14402),
+	.B2(n_14960),
+	.Y(n_15515), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700186 (
+	.A1(n_14826),
+	.A2(n_14918),
+	.B1(n_14402),
+	.B2(n_14959),
+	.Y(n_15513), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700187 (
+	.A1(n_14813),
+	.A2(n_14918),
+	.B1(n_14394),
+	.B2(n_14959),
+	.Y(n_15511), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700188 (
+	.A1(n_14813),
+	.A2(n_15006),
+	.B1(n_14394),
+	.B2(n_14958),
+	.Y(n_15509), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700189 (
+	.A1(n_14826),
+	.A2(n_15006),
+	.B1(n_14402),
+	.B2(n_14958),
+	.Y(n_15507), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700190 (
+	.A1(n_14826),
+	.A2(n_15048),
+	.B1(n_14402),
+	.B2(n_14971),
+	.Y(n_15505), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700191 (
+	.A1(n_14813),
+	.A2(n_15048),
+	.B1(n_14394),
+	.B2(n_14971),
+	.Y(n_15503), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700192 (
+	.A1(n_14821),
+	.A2(n_15030),
+	.B1(n_13430),
+	.B2(n_14941),
+	.Y(n_15500), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700193 (
+	.A1(n_14817),
+	.A2(n_15030),
+	.B1(n_13431),
+	.B2(n_14941),
+	.Y(n_15499), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700194 (
+	.A1(n_14821),
+	.A2(n_14916),
+	.B1(n_13430),
+	.B2(n_14943),
+	.Y(n_15496), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700195 (
+	.A1(n_14817),
+	.A2(n_14916),
+	.B1(n_13431),
+	.B2(n_14943),
+	.Y(n_15495), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700196 (
+	.A1(n_14817),
+	.A2(n_15036),
+	.B1(n_13431),
+	.B2(n_14947),
+	.Y(n_15493), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700197 (
+	.A1(n_14821),
+	.A2(n_15036),
+	.B1(n_13430),
+	.B2(n_14947),
+	.Y(n_15490), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700198 (
+	.A1(n_14817),
+	.A2(n_14911),
+	.B1(n_13431),
+	.B2(n_14949),
+	.Y(n_15489), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700199 (
+	.A1(n_14821),
+	.A2(n_14911),
+	.B1(n_13430),
+	.B2(n_14949),
+	.Y(n_15486), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700200 (
+	.A1(n_14817),
+	.A2(n_15016),
+	.B1(n_13431),
+	.B2(n_14951),
+	.Y(n_15485), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700201 (
+	.A1(n_14821),
+	.A2(n_15016),
+	.B1(n_13430),
+	.B2(n_14951),
+	.Y(n_15482), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700202 (
+	.A1(n_14821),
+	.A2(n_14906),
+	.B1(n_13430),
+	.B2(n_14953),
+	.Y(n_15480), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700203 (
+	.A1(n_14817),
+	.A2(n_14906),
+	.B1(n_13431),
+	.B2(n_14953),
+	.Y(n_15479), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700204 (
+	.A1(n_14817),
+	.A2(n_15043),
+	.B1(n_13431),
+	.B2(n_14955),
+	.Y(n_15477), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700205 (
+	.A1(n_14821),
+	.A2(n_15043),
+	.B1(n_13430),
+	.B2(n_14955),
+	.Y(n_15474), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700206 (
+	.A1(n_14817),
+	.A2(n_14912),
+	.B1(n_13431),
+	.B2(n_14957),
+	.Y(n_15473), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700207 (
+	.A1(n_14821),
+	.A2(n_14912),
+	.B1(n_13430),
+	.B2(n_14957),
+	.Y(n_15470), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700208 (
+	.A1(n_14819),
+	.A2(n_15026),
+	.B1(n_14400),
+	.B2(n_14939),
+	.Y(n_15469), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700209 (
+	.A1(n_14819),
+	.A2(n_15046),
+	.B1(n_14400),
+	.B2(n_14945),
+	.Y(n_15468), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700210 (
+	.A1(n_14819),
+	.A2(n_15015),
+	.B1(n_14400),
+	.B2(n_14975),
+	.Y(n_15467), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700211 (
+	.A1(n_14819),
+	.A2(n_15018),
+	.B1(n_14400),
+	.B2(n_14929),
+	.Y(n_15466), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700212 (
+	.A1(n_14819),
+	.A2(n_15020),
+	.B1(n_14400),
+	.B2(n_14987),
+	.Y(n_15465), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700213 (
+	.A1(n_14819),
+	.A2(n_14920),
+	.B1(n_14400),
+	.B2(n_14985),
+	.Y(n_15464), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700214 (
+	.A1(n_14819),
+	.A2(n_15032),
+	.B1(n_14400),
+	.B2(n_14977),
+	.Y(n_15463), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700215 (
+	.A1(n_14819),
+	.A2(n_14922),
+	.B1(n_14400),
+	.B2(n_14983),
+	.Y(n_15462), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700216 (
+	.A1(n_14819),
+	.A2(n_15042),
+	.B1(n_14400),
+	.B2(n_14981),
+	.Y(n_15461), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700217 (
+	.A1(n_14819),
+	.A2(n_15052),
+	.B1(n_14400),
+	.B2(n_14979),
+	.Y(n_15460), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700218 (
+	.A1(n_14815),
+	.A2(n_15024),
+	.B1(n_14396),
+	.B2(n_14961),
+	.Y(n_15459), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700219 (
+	.A1(n_14815),
+	.A2(n_14908),
+	.B1(n_14396),
+	.B2(n_14969),
+	.Y(n_15458), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700220 (
+	.A1(n_14826),
+	.A2(n_15034),
+	.B1(n_14402),
+	.B2(n_14963),
+	.Y(n_15457), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700221 (
+	.A1(n_14813),
+	.A2(n_15034),
+	.B1(n_14394),
+	.B2(n_14963),
+	.Y(n_15455), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700222 (
+	.A1(n_14813),
+	.A2(n_14910),
+	.B1(n_14394),
+	.B2(n_14965),
+	.Y(n_15453), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700223 (
+	.A1(n_14826),
+	.A2(n_14910),
+	.B1(n_14402),
+	.B2(n_14965),
+	.Y(n_15451), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700224 (
+	.A1(n_14826),
+	.A2(n_15050),
+	.B1(n_14402),
+	.B2(n_14967),
+	.Y(n_15449), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700225 (
+	.A1(n_14813),
+	.A2(n_15050),
+	.B1(n_14394),
+	.B2(n_14967),
+	.Y(n_15447), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700226 (
+	.A1(n_14826),
+	.A2(n_14914),
+	.B1(n_14402),
+	.B2(n_14972),
+	.Y(n_15445), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700227 (
+	.A1(n_14813),
+	.A2(n_14914),
+	.B1(n_14394),
+	.B2(n_14972),
+	.Y(n_15443), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g700228 (
+	.A1(n_15014),
+	.A2(n_14823),
+	.B1(n_14974),
+	.B2(n_14391),
+	.Y(n_15441), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700229 (
+	.A1(n_14817),
+	.A2(n_15015),
+	.B1(n_13431),
+	.B2(n_14975),
+	.Y(n_15440), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700230 (
+	.A1(n_14822),
+	.A2(n_15016),
+	.B1(n_14390),
+	.B2(n_14951),
+	.Y(n_15438), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g700231 (
+	.A1(n_15017),
+	.A2(n_14823),
+	.B1(n_14928),
+	.B2(n_14391),
+	.Y(n_15436), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700232 (
+	.A1(n_14817),
+	.A2(n_15018),
+	.B1(n_13431),
+	.B2(n_14929),
+	.Y(n_15435), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700233 (
+	.A1(n_14821),
+	.A2(n_15018),
+	.B1(n_13430),
+	.B2(n_14929),
+	.Y(n_15433), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g700234 (
+	.A1(n_15019),
+	.A2(n_14823),
+	.B1(n_14986),
+	.B2(n_14391),
+	.Y(n_15431), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g700235 (
+	.A1(n_15021),
+	.A2(n_14823),
+	.B1(n_13440),
+	.B2(n_14391),
+	.Y(n_15430), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700236 (
+	.A1(n_14817),
+	.A2(n_15020),
+	.B1(n_13431),
+	.B2(n_14987),
+	.Y(n_15429), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g700237 (
+	.A1(n_15021),
+	.A2(n_14816),
+	.B1(n_13440),
+	.B2(n_14403),
+	.Y(n_15427), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700238 (
+	.A1(n_14821),
+	.A2(n_15020),
+	.B1(n_13430),
+	.B2(n_14987),
+	.Y(n_15426), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g700239 (
+	.A1(n_15021),
+	.A2(n_14820),
+	.B1(n_13440),
+	.B2(n_14392),
+	.Y(n_15424), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700240 (
+	.A1(n_14819),
+	.A2(n_15022),
+	.B1(n_14400),
+	.B2(n_14960),
+	.Y(n_15423), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700241 (
+	.A1(n_14815),
+	.A2(n_15022),
+	.B1(n_14396),
+	.B2(n_14960),
+	.Y(n_15421), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g700242 (
+	.A1(n_15023),
+	.A2(n_14820),
+	.B1(n_14962),
+	.B2(n_14392),
+	.Y(n_15419), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g700243 (
+	.A1(n_15023),
+	.A2(n_14823),
+	.B1(n_14962),
+	.B2(n_14391),
+	.Y(n_15418), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700244 (
+	.A1(n_14821),
+	.A2(n_15026),
+	.B1(n_13430),
+	.B2(n_14939),
+	.Y(n_15417), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g700245 (
+	.A1(n_15023),
+	.A2(n_14816),
+	.B1(n_14962),
+	.B2(n_14403),
+	.Y(n_15415), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g700246 (
+	.A1(n_15025),
+	.A2(n_14823),
+	.B1(n_14938),
+	.B2(n_14391),
+	.Y(n_15414), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700247 (
+	.A1(n_14817),
+	.A2(n_15026),
+	.B1(n_13431),
+	.B2(n_14939),
+	.Y(n_15413), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700248 (
+	.A1(n_14819),
+	.A2(n_15024),
+	.B1(n_14400),
+	.B2(n_14961),
+	.Y(n_15411), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g700249 (
+	.A1(n_14919),
+	.A2(n_14823),
+	.B1(n_14984),
+	.B2(n_14391),
+	.Y(n_15409), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700250 (
+	.A1(n_14821),
+	.A2(n_14920),
+	.B1(n_13430),
+	.B2(n_14985),
+	.Y(n_15408), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700251 (
+	.A1(n_14817),
+	.A2(n_14920),
+	.B1(n_13431),
+	.B2(n_14985),
+	.Y(n_15406), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700252 (
+	.A1(n_14822),
+	.A2(n_15030),
+	.B1(n_14390),
+	.B2(n_14941),
+	.Y(n_15404), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700253 (
+	.A1(n_14822),
+	.A2(n_14906),
+	.B1(n_14390),
+	.B2(n_14953),
+	.Y(n_15402), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g700254 (
+	.A1(n_15033),
+	.A2(n_14818),
+	.B1(n_14964),
+	.B2(n_14399),
+	.Y(n_15400), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g700255 (
+	.A1(n_15033),
+	.A2(n_14814),
+	.B1(n_14964),
+	.B2(n_14395),
+	.Y(n_15399), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g700256 (
+	.A1(n_15031),
+	.A2(n_14823),
+	.B1(n_14976),
+	.B2(n_14391),
+	.Y(n_15398), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g700257 (
+	.A1(n_15033),
+	.A2(n_14823),
+	.B1(n_14964),
+	.B2(n_14391),
+	.Y(n_15397), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700258 (
+	.A1(n_14817),
+	.A2(n_15032),
+	.B1(n_13431),
+	.B2(n_14977),
+	.Y(n_15396), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g700259 (
+	.A1(n_15033),
+	.A2(n_14816),
+	.B1(n_14964),
+	.B2(n_14403),
+	.Y(n_15394), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g700260 (
+	.A1(n_15033),
+	.A2(n_14820),
+	.B1(n_14964),
+	.B2(n_14392),
+	.Y(n_15393), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700261 (
+	.A1(n_14822),
+	.A2(n_14916),
+	.B1(n_14390),
+	.B2(n_14943),
+	.Y(n_15392), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g700262 (
+	.A1(n_14909),
+	.A2(n_14823),
+	.B1(n_14966),
+	.B2(n_14391),
+	.Y(n_15390), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g700263 (
+	.A1(n_14909),
+	.A2(n_14816),
+	.B1(n_14966),
+	.B2(n_14403),
+	.Y(n_15389), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g700264 (
+	.A1(n_15040),
+	.A2(n_14818),
+	.B1(n_14935),
+	.B2(n_14399),
+	.Y(n_15388), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g700265 (
+	.A1(n_15040),
+	.A2(n_14820),
+	.B1(n_14935),
+	.B2(n_14392),
+	.Y(n_15387), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g700266 (
+	.A1(n_15040),
+	.A2(n_14814),
+	.B1(n_14935),
+	.B2(n_14395),
+	.Y(n_15386), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g700267 (
+	.A1(n_14909),
+	.A2(n_14820),
+	.B1(n_14966),
+	.B2(n_14392),
+	.Y(n_15385), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g700268 (
+	.A1(n_15040),
+	.A2(n_14825),
+	.B1(n_14935),
+	.B2(n_14401),
+	.Y(n_15384), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g700269 (
+	.A1(n_15040),
+	.A2(n_14823),
+	.B1(n_14935),
+	.B2(n_14391),
+	.Y(n_15383), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g700270 (
+	.A1(n_14909),
+	.A2(n_14818),
+	.B1(n_14966),
+	.B2(n_14399),
+	.Y(n_15382), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700271 (
+	.A1(n_14824),
+	.A2(n_15039),
+	.B1(n_14398),
+	.B2(n_14934),
+	.Y(n_15381), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g700272 (
+	.A1(n_15040),
+	.A2(n_14816),
+	.B1(n_14935),
+	.B2(n_14403),
+	.Y(n_15379), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g700273 (
+	.A1(n_14917),
+	.A2(n_14816),
+	.B1(n_13439),
+	.B2(n_14403),
+	.Y(n_15378), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g700274 (
+	.A1(n_15040),
+	.A2(n_14812),
+	.B1(n_14935),
+	.B2(n_14393),
+	.Y(n_15377), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g700275 (
+	.A1(n_14909),
+	.A2(n_14814),
+	.B1(n_14966),
+	.B2(n_14395),
+	.Y(n_15376), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g700276 (
+	.A1(n_14917),
+	.A2(n_14820),
+	.B1(n_13439),
+	.B2(n_14392),
+	.Y(n_15375), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700277 (
+	.A1(n_14815),
+	.A2(n_14918),
+	.B1(n_14396),
+	.B2(n_14959),
+	.Y(n_15374), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g700278 (
+	.A1(n_14917),
+	.A2(n_14823),
+	.B1(n_13439),
+	.B2(n_14391),
+	.Y(n_15372), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700279 (
+	.A1(n_14821),
+	.A2(n_14922),
+	.B1(n_13430),
+	.B2(n_14983),
+	.Y(n_15371), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g700280 (
+	.A1(n_15041),
+	.A2(n_14823),
+	.B1(n_14980),
+	.B2(n_14391),
+	.Y(n_15369), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g700281 (
+	.A1(n_14921),
+	.A2(n_14823),
+	.B1(n_14982),
+	.B2(n_14391),
+	.Y(n_15368), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700282 (
+	.A1(n_14817),
+	.A2(n_14922),
+	.B1(n_13431),
+	.B2(n_14983),
+	.Y(n_15367), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g700283 (
+	.A1(n_15005),
+	.A2(n_14823),
+	.B1(n_13441),
+	.B2(n_14391),
+	.Y(n_15365), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g700284 (
+	.A1(n_15051),
+	.A2(n_14823),
+	.B1(n_14978),
+	.B2(n_14391),
+	.Y(n_15364), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g700285 (
+	.A1(n_15005),
+	.A2(n_14816),
+	.B1(n_13441),
+	.B2(n_14403),
+	.Y(n_15363), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700286 (
+	.A1(n_14817),
+	.A2(n_15052),
+	.B1(n_13431),
+	.B2(n_14979),
+	.Y(n_15362), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g700287 (
+	.A1(n_15005),
+	.A2(n_14820),
+	.B1(n_13441),
+	.B2(n_14392),
+	.Y(n_15360), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700288 (
+	.A1(n_14819),
+	.A2(n_15006),
+	.B1(n_14400),
+	.B2(n_14958),
+	.Y(n_15359), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700289 (
+	.A1(n_14821),
+	.A2(n_15042),
+	.B1(n_13430),
+	.B2(n_14981),
+	.Y(n_15357), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700290 (
+	.A1(n_14817),
+	.A2(n_15042),
+	.B1(n_13431),
+	.B2(n_14981),
+	.Y(n_15355), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700291 (
+	.A1(n_14821),
+	.A2(n_15052),
+	.B1(n_13430),
+	.B2(n_14979),
+	.Y(n_15353), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700292 (
+	.A1(n_14815),
+	.A2(n_15006),
+	.B1(n_14396),
+	.B2(n_14958),
+	.Y(n_15351), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700293 (
+	.A1(n_14822),
+	.A2(n_15043),
+	.B1(n_14390),
+	.B2(n_14955),
+	.Y(n_15349), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g700294 (
+	.A1(n_15008),
+	.A2(n_14823),
+	.B1(n_14937),
+	.B2(n_14391),
+	.Y(n_15347), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g700295 (
+	.A1(n_15008),
+	.A2(n_14816),
+	.B1(n_14937),
+	.B2(n_14403),
+	.Y(n_15346), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g700296 (
+	.A1(n_15045),
+	.A2(n_14823),
+	.B1(n_14944),
+	.B2(n_14391),
+	.Y(n_15345), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700297 (
+	.A1(n_14817),
+	.A2(n_15046),
+	.B1(n_13431),
+	.B2(n_14945),
+	.Y(n_15344), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g700298 (
+	.A1(n_15008),
+	.A2(n_14818),
+	.B1(n_14937),
+	.B2(n_14399),
+	.Y(n_15342), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g700299 (
+	.A1(n_15008),
+	.A2(n_14820),
+	.B1(n_14937),
+	.B2(n_14392),
+	.Y(n_15341), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700300 (
+	.A1(n_14821),
+	.A2(n_15046),
+	.B1(n_13430),
+	.B2(n_14945),
+	.Y(n_15339), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700301 (
+	.A1(n_14824),
+	.A2(n_15007),
+	.B1(n_14398),
+	.B2(n_14936),
+	.Y(n_15338), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700302 (
+	.A1(n_14819),
+	.A2(n_15048),
+	.B1(n_14400),
+	.B2(n_14971),
+	.Y(n_15336), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g700303 (
+	.A1(n_15047),
+	.A2(n_14820),
+	.B1(n_13442),
+	.B2(n_14392),
+	.Y(n_15334), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g700304 (
+	.A1(n_15008),
+	.A2(n_14812),
+	.B1(n_14937),
+	.B2(n_14393),
+	.Y(n_15333), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700305 (
+	.A1(n_14815),
+	.A2(n_15048),
+	.B1(n_14396),
+	.B2(n_14971),
+	.Y(n_15332), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g700306 (
+	.A1(n_15008),
+	.A2(n_14814),
+	.B1(n_14937),
+	.B2(n_14395),
+	.Y(n_15330), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g700307 (
+	.A1(n_15047),
+	.A2(n_14823),
+	.B1(n_13442),
+	.B2(n_14391),
+	.Y(n_15329), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g700308 (
+	.A1(n_15049),
+	.A2(n_14818),
+	.B1(n_14968),
+	.B2(n_14399),
+	.Y(n_15328), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g700309 (
+	.A1(n_15047),
+	.A2(n_14816),
+	.B1(n_13442),
+	.B2(n_14403),
+	.Y(n_15327), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g700310 (
+	.A1(n_15008),
+	.A2(n_14825),
+	.B1(n_14937),
+	.B2(n_14401),
+	.Y(n_15326), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g700311 (
+	.A1(n_15049),
+	.A2(n_14823),
+	.B1(n_14968),
+	.B2(n_14391),
+	.Y(n_15325), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g700312 (
+	.A1(n_15049),
+	.A2(n_14816),
+	.B1(n_14968),
+	.B2(n_14403),
+	.Y(n_15324), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g700313 (
+	.A1(n_15049),
+	.A2(n_14820),
+	.B1(n_14968),
+	.B2(n_14392),
+	.Y(n_15323), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g700314 (
+	.A1(n_15049),
+	.A2(n_14814),
+	.B1(n_14968),
+	.B2(n_14395),
+	.Y(n_15322), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700315 (
+	.A1(n_14822),
+	.A2(n_15036),
+	.B1(n_14390),
+	.B2(n_14947),
+	.Y(n_15321), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700316 (
+	.A1(n_14821),
+	.A2(n_15032),
+	.B1(n_13430),
+	.B2(n_14977),
+	.Y(n_15319), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700317 (
+	.A1(n_14822),
+	.A2(n_14912),
+	.B1(n_14390),
+	.B2(n_14957),
+	.Y(n_15317), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g700318 (
+	.A1(n_14907),
+	.A2(n_14823),
+	.B1(n_14970),
+	.B2(n_14391),
+	.Y(n_15315), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g700319 (
+	.A1(n_14907),
+	.A2(n_14816),
+	.B1(n_14970),
+	.B2(n_14403),
+	.Y(n_15314), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g700320 (
+	.A1(n_14907),
+	.A2(n_14820),
+	.B1(n_14970),
+	.B2(n_14392),
+	.Y(n_15313), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700321 (
+	.A1(n_14822),
+	.A2(n_14911),
+	.B1(n_14390),
+	.B2(n_14949),
+	.Y(n_15312), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700322 (
+	.A1(n_14819),
+	.A2(n_14908),
+	.B1(n_14400),
+	.B2(n_14969),
+	.Y(n_15310), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g700323 (
+	.A1(n_14913),
+	.A2(n_14820),
+	.B1(n_14973),
+	.B2(n_14392),
+	.Y(n_15308), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g700324 (
+	.A1(n_14913),
+	.A2(n_14818),
+	.B1(n_14973),
+	.B2(n_14399),
+	.Y(n_15307), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g700325 (
+	.A1(n_14913),
+	.A2(n_14814),
+	.B1(n_14973),
+	.B2(n_14395),
+	.Y(n_15306), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g700326 (
+	.A1(n_14913),
+	.A2(n_14823),
+	.B1(n_14973),
+	.B2(n_14391),
+	.Y(n_15305), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g700327 (
+	.A1(n_14913),
+	.A2(n_14816),
+	.B1(n_14973),
+	.B2(n_14403),
+	.Y(n_15304), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700328 (
+	.A1(n_14819),
+	.A2(n_14918),
+	.B1(n_14400),
+	.B2(n_14959),
+	.Y(n_15303), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700329 (
+	.A1(n_14821),
+	.A2(n_15015),
+	.B1(n_13430),
+	.B2(n_14975),
+	.Y(n_15301), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g700330 (
+	.A(n_15297),
+	.Y(n_15296), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g700331 (
+	.A(n_15295),
+	.Y(n_15294), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g700332 (
+	.A(n_15253),
+	.Y(n_15252), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g700333 (
+	.A(n_15251),
+	.Y(n_15250), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g700334 (
+	.A(n_15249),
+	.Y(n_15248), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g700335 (
+	.A(n_15247),
+	.Y(n_15246), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g700336 (
+	.A(n_15245),
+	.Y(n_15244), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g700337 (
+	.A(n_15243),
+	.Y(n_15242), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g700338 (
+	.A(n_15241),
+	.Y(n_15240), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g700339 (
+	.A(n_15239),
+	.Y(n_15238), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g700340 (
+	.A(n_15237),
+	.Y(n_15236), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g700341 (
+	.A(n_15235),
+	.Y(n_15234), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g700342 (
+	.A(n_15233),
+	.Y(n_15232), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g700343 (
+	.A(n_15231),
+	.Y(n_15230), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g700344 (
+	.A(n_15229),
+	.Y(n_15228), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g700345 (
+	.A(n_15227),
+	.Y(n_15226), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g700346 (
+	.A(n_15225),
+	.Y(n_15224), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g700347 (
+	.A(n_15223),
+	.Y(n_15222), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g700348 (
+	.A(n_15221),
+	.Y(n_15220), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g700349 (
+	.A(n_15219),
+	.Y(n_15218), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g700350 (
+	.A(n_15217),
+	.Y(n_15216), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g700351 (
+	.A(n_15215),
+	.Y(n_15214), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g700352 (
+	.A(n_15213),
+	.Y(n_15212), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g700353 (
+	.A(n_15211),
+	.Y(n_15210), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 g700354 (
+	.A(n_35826),
+	.B(n_14692),
+	.COUT(n_15204),
+	.SUM(n_15205), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700355 (
+	.A(n_15003),
+	.B(n_14991),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7059 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700356 (
+	.A(n_14858),
+	.B(n_15037),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6971 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700357 (
+	.A(n_14858),
+	.B(n_15035),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6970 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700358 (
+	.A(n_14858),
+	.B(n_15044),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6923 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700359 (
+	.A(n_14858),
+	.B(n_13445),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6955 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700360 (
+	.A(n_14858),
+	.B(n_15027),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6954 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700361 (
+	.A(n_15000),
+	.B(n_14989),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6920 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700362 (
+	.A(n_14858),
+	.B(n_15009),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6938 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700363 (
+	.A(n_14856),
+	.B(n_15038),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6941 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700364 (
+	.A(n_14856),
+	.B(n_15037),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6973 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700365 (
+	.A(n_14858),
+	.B(n_15012),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6922 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700366 (
+	.A(n_14856),
+	.B(n_15035),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6972 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700367 (
+	.A(n_14855),
+	.B(n_15038),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6943 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700368 (
+	.A(n_14855),
+	.B(n_15037),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6975 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700369 (
+	.A(n_14855),
+	.B(n_15035),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6974 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700370 (
+	.A(n_14856),
+	.B(n_15044),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6925 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700371 (
+	.A(n_14856),
+	.B(n_13445),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6957 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700372 (
+	.A(n_15000),
+	.B(n_14995),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6889 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700373 (
+	.A(n_14856),
+	.B(n_15027),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6956 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700374 (
+	.A(n_14999),
+	.B(n_14991),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7075 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700375 (
+	.A(n_14853),
+	.B(n_14993),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6891 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700376 (
+	.A(n_14853),
+	.B(n_14991),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7051 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700377 (
+	.A(n_14855),
+	.B(n_15044),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6927 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700378 (
+	.A(n_14855),
+	.B(n_13445),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6959 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700379 (
+	.A(n_14856),
+	.B(n_15009),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6940 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700380 (
+	.A(n_14855),
+	.B(n_15027),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6958 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700381 (
+	.A(n_15003),
+	.B(n_14990),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7090 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700382 (
+	.A(n_15013),
+	.B(n_15038),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6953 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700383 (
+	.A(n_15013),
+	.B(n_15037),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6985 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700384 (
+	.A(n_14857),
+	.B(n_15038),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6945 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700385 (
+	.A(n_14857),
+	.B(n_15037),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6977 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700386 (
+	.A(n_15010),
+	.B(n_15038),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6949 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700387 (
+	.A(n_15028),
+	.B(n_15038),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6947 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700388 (
+	.A(n_15028),
+	.B(n_15037),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6979 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700389 (
+	.A(n_15010),
+	.B(n_15037),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6981 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700390 (
+	.A(n_15011),
+	.B(n_15037),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6983 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700391 (
+	.A(n_15011),
+	.B(n_15038),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6951 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700392 (
+	.A(n_14854),
+	.B(n_14997),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6880 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700393 (
+	.A(n_14848),
+	.B(n_14993),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6907 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700394 (
+	.A(n_14848),
+	.B(n_14991),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7067 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700395 (
+	.A(n_14853),
+	.B(n_14989),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6890 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700396 (
+	.A(n_14853),
+	.B(n_14995),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6859 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700397 (
+	.A(n_14853),
+	.B(n_14997),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6858 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700398 (
+	.A(n_14853),
+	.B(n_14988),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7083 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700399 (
+	.A(n_14853),
+	.B(n_14990),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7082 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700400 (
+	.A(n_14853),
+	.B(n_14992),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7050 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700401 (
+	.A(n_14855),
+	.B(n_15009),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6942 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700402 (
+	.A(n_15013),
+	.B(n_15035),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6984 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700403 (
+	.A(n_14854),
+	.B(n_14990),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7104 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700404 (
+	.A(n_15010),
+	.B(n_15035),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6980 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700405 (
+	.A(n_15011),
+	.B(n_15035),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6982 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700406 (
+	.A(n_15028),
+	.B(n_15035),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6978 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700407 (
+	.A(n_14857),
+	.B(n_15035),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6976 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700408 (
+	.A(n_14999),
+	.B(n_14993),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6915 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700409 (
+	.A(n_14999),
+	.B(n_14988),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7107 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700410 (
+	.A(n_14999),
+	.B(n_14995),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6883 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700411 (
+	.A(n_15001),
+	.B(n_14992),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7062 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700412 (
+	.A(n_15028),
+	.B(n_15027),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6962 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700413 (
+	.A(n_15028),
+	.B(n_13445),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6963 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700414 (
+	.A(n_15028),
+	.B(n_15009),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6946 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700415 (
+	.A(n_15028),
+	.B(n_15012),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6930 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700416 (
+	.A(n_15028),
+	.B(n_15044),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6931 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700417 (
+	.A(n_14856),
+	.B(n_15012),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6924 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700418 (
+	.A(n_14999),
+	.B(n_14997),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6882 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700419 (
+	.A(n_14850),
+	.B(n_14997),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6864 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700420 (
+	.A(n_15003),
+	.B(n_14989),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6898 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700421 (
+	.A(n_15003),
+	.B(n_14992),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7058 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700422 (
+	.A(n_14848),
+	.B(n_14995),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6875 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700423 (
+	.A(n_14848),
+	.B(n_14997),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6874 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700424 (
+	.A(n_14848),
+	.B(n_14989),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6906 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700425 (
+	.A(n_14848),
+	.B(n_14988),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7099 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700426 (
+	.A(n_14848),
+	.B(n_14990),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7098 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700427 (
+	.A(n_14848),
+	.B(n_14992),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7066 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700428 (
+	.A(n_15013),
+	.B(n_13445),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6969 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700429 (
+	.A(n_15013),
+	.B(n_15044),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6937 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700430 (
+	.A(n_14999),
+	.B(n_14990),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7106 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700431 (
+	.A(n_14857),
+	.B(n_15044),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6929 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700432 (
+	.A(n_14857),
+	.B(n_13445),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6961 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700433 (
+	.A(n_15011),
+	.B(n_13445),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6967 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700434 (
+	.A(n_15010),
+	.B(n_13445),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6965 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700435 (
+	.A(n_15010),
+	.B(n_15044),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6933 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700436 (
+	.A(n_15011),
+	.B(n_15044),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6935 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700437 (
+	.A(n_14850),
+	.B(n_14990),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7088 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700438 (
+	.A(n_15004),
+	.B(n_14990),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7092 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700439 (
+	.A(n_15001),
+	.B(n_14989),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6902 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700440 (
+	.A(n_15002),
+	.B(n_14991),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7065 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700441 (
+	.A(n_15002),
+	.B(n_14993),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6905 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700442 (
+	.A(n_15011),
+	.B(n_15012),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6934 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700443 (
+	.A(n_14851),
+	.B(n_14992),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7052 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700444 (
+	.A(n_14851),
+	.B(n_14988),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7085 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700445 (
+	.A(n_14851),
+	.B(n_14995),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6861 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700446 (
+	.A(n_14851),
+	.B(n_14989),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6892 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700447 (
+	.A(n_14854),
+	.B(n_14989),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6912 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700448 (
+	.A(n_14854),
+	.B(n_14992),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7072 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700449 (
+	.A(n_14855),
+	.B(n_15012),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6926 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700450 (
+	.A(n_14851),
+	.B(n_14993),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6893 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700451 (
+	.A(n_14851),
+	.B(n_14991),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7053 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700452 (
+	.A(n_15013),
+	.B(n_15027),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6968 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700453 (
+	.A(n_14905),
+	.B(n_14990),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7110 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700454 (
+	.A(n_14857),
+	.B(n_15027),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6960 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700455 (
+	.A(n_15011),
+	.B(n_15027),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6966 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700456 (
+	.A(n_15010),
+	.B(n_15027),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6964 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700457 (
+	.A(n_15003),
+	.B(n_14988),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7091 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700458 (
+	.A(n_15001),
+	.B(n_14990),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7094 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700459 (
+	.A(n_15003),
+	.B(n_14993),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6899 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700460 (
+	.A(n_14858),
+	.B(n_15038),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6939 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700461 (
+	.A(n_15002),
+	.B(n_14990),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7096 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700462 (
+	.A(n_14827),
+	.B(n_14990),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7102 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700463 (
+	.A(n_14849),
+	.B(n_14990),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7100 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700464 (
+	.A(n_14852),
+	.B(n_14990),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7086 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700465 (
+	.A(n_14851),
+	.B(n_14990),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7084 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700466 (
+	.A(n_15000),
+	.B(n_14990),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7112 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700467 (
+	.A(n_15004),
+	.B(n_14992),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7060 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700468 (
+	.A(n_15004),
+	.B(n_14989),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6900 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700469 (
+	.A(n_15000),
+	.B(n_14993),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6921 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700470 (
+	.A(n_15000),
+	.B(n_14991),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7081 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700471 (
+	.A(n_14849),
+	.B(n_14991),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7069 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700472 (
+	.A(n_14849),
+	.B(n_14993),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6909 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700473 (
+	.A(n_14998),
+	.B(n_14990),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7108 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700474 (
+	.A(n_14850),
+	.B(n_14992),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7056 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700475 (
+	.A(n_14854),
+	.B(n_14995),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6881 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700476 (
+	.A(n_14854),
+	.B(n_14988),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7105 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700477 (
+	.A(n_14852),
+	.B(n_14993),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6895 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700478 (
+	.A(n_14852),
+	.B(n_14991),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7055 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700479 (
+	.A(n_14854),
+	.B(n_14993),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6913 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700480 (
+	.A(n_14854),
+	.B(n_14991),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7073 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700481 (
+	.A(n_14998),
+	.B(n_14997),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6884 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700482 (
+	.A(n_14827),
+	.B(n_14997),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6878 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700483 (
+	.A(n_14849),
+	.B(n_14997),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6876 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700484 (
+	.A(n_14905),
+	.B(n_14997),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6886 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700485 (
+	.A(n_15000),
+	.B(n_14997),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6888 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700486 (
+	.A(n_14850),
+	.B(n_14989),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6896 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700487 (
+	.A(n_14851),
+	.B(n_14997),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6860 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700488 (
+	.A(n_14852),
+	.B(n_14997),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6862 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700489 (
+	.A(n_15013),
+	.B(n_15009),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6952 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700490 (
+	.A(n_14857),
+	.B(n_15009),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6944 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700491 (
+	.A(n_15011),
+	.B(n_15009),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6950 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700492 (
+	.A(n_15010),
+	.B(n_15009),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6948 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700493 (
+	.A(n_15010),
+	.B(n_15012),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6932 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700494 (
+	.A(n_14999),
+	.B(n_14989),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6914 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700495 (
+	.A(n_14999),
+	.B(n_14992),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7074 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g700496 (
+	.A(n_14994),
+	.B(n_14553),
+	.Y(n_15299), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g700497 (
+	.A(n_14996),
+	.B(n_14553),
+	.Y(n_15298), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700498 (
+	.A(n_14099),
+	.B(n_14970),
+	.Y(n_15297), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700499 (
+	.A(n_14044),
+	.B(n_14970),
+	.Y(n_15295), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700500 (
+	.A(n_14099),
+	.B(n_14974),
+	.Y(n_15293), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700501 (
+	.A(n_14044),
+	.B(n_14974),
+	.Y(n_15292), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700502 (
+	.A(n_14099),
+	.B(n_14950),
+	.Y(n_15291), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700503 (
+	.A(n_14044),
+	.B(n_14950),
+	.Y(n_15290), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700504 (
+	.A(n_14099),
+	.B(n_14928),
+	.Y(n_15289), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700505 (
+	.A(n_14044),
+	.B(n_14928),
+	.Y(n_15288), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700506 (
+	.A(n_14099),
+	.B(n_14986),
+	.Y(n_15287), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700507 (
+	.A(n_14044),
+	.B(n_14986),
+	.Y(n_15286), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700508 (
+	.A(n_14099),
+	.B(n_14938),
+	.Y(n_15285), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700509 (
+	.A(n_14044),
+	.B(n_14938),
+	.Y(n_15284), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700510 (
+	.A(n_14099),
+	.B(n_14984),
+	.Y(n_15283), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700511 (
+	.A(n_14044),
+	.B(n_14984),
+	.Y(n_15282), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700512 (
+	.A(n_14099),
+	.B(n_14952),
+	.Y(n_15281), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700513 (
+	.A(n_14044),
+	.B(n_14952),
+	.Y(n_15280), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700514 (
+	.A(n_14099),
+	.B(n_14940),
+	.Y(n_15279), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700515 (
+	.A(n_14044),
+	.B(n_14940),
+	.Y(n_15278), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700516 (
+	.A(n_14099),
+	.B(n_14942),
+	.Y(n_15277), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700517 (
+	.A(n_14044),
+	.B(n_14942),
+	.Y(n_15276), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700518 (
+	.A(n_14099),
+	.B(n_14982),
+	.Y(n_15275), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700519 (
+	.A(n_14044),
+	.B(n_14982),
+	.Y(n_15274), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700520 (
+	.A(n_14099),
+	.B(n_14980),
+	.Y(n_15273), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700521 (
+	.A(n_14044),
+	.B(n_14980),
+	.Y(n_15272), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700522 (
+	.A(n_14099),
+	.B(n_14954),
+	.Y(n_15271), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700523 (
+	.A(n_14044),
+	.B(n_14954),
+	.Y(n_15270), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700524 (
+	.A(n_14099),
+	.B(n_14978),
+	.Y(n_15269), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700525 (
+	.A(n_14044),
+	.B(n_14978),
+	.Y(n_15268), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700526 (
+	.A(n_14099),
+	.B(n_14944),
+	.Y(n_15267), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700527 (
+	.A(n_14044),
+	.B(n_14944),
+	.Y(n_15266), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700528 (
+	.A(n_14099),
+	.B(n_14946),
+	.Y(n_15265), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700529 (
+	.A(n_14044),
+	.B(n_14946),
+	.Y(n_15264), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700530 (
+	.A(n_14099),
+	.B(n_14976),
+	.Y(n_15263), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700531 (
+	.A(n_14044),
+	.B(n_14976),
+	.Y(n_15262), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700532 (
+	.A(n_14099),
+	.B(n_14956),
+	.Y(n_15261), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700533 (
+	.A(n_14044),
+	.B(n_14956),
+	.Y(n_15260), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700534 (
+	.A(n_14099),
+	.B(n_14948),
+	.Y(n_15259), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700535 (
+	.A(n_14044),
+	.B(n_14948),
+	.Y(n_15258), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700536 (
+	.A(n_14044),
+	.B(n_14932),
+	.Y(n_15257), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700537 (
+	.A(n_14099),
+	.B(n_14932),
+	.Y(n_15256), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700538 (
+	.A(n_14044),
+	.B(n_14930),
+	.Y(n_15255), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700539 (
+	.A(n_14099),
+	.B(n_14930),
+	.Y(n_15254), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700540 (
+	.A(n_14044),
+	.B(n_13439),
+	.Y(n_15253), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700541 (
+	.A(n_14099),
+	.B(n_14962),
+	.Y(n_15251), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700542 (
+	.A(n_14099),
+	.B(n_13439),
+	.Y(n_15249), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700543 (
+	.A(n_14044),
+	.B(n_13442),
+	.Y(n_15247), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700544 (
+	.A(n_14099),
+	.B(n_13442),
+	.Y(n_15245), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700545 (
+	.A(n_14044),
+	.B(n_13441),
+	.Y(n_15243), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700546 (
+	.A(n_14099),
+	.B(n_13441),
+	.Y(n_15241), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700547 (
+	.A(n_14044),
+	.B(n_13440),
+	.Y(n_15239), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700548 (
+	.A(n_14099),
+	.B(n_13440),
+	.Y(n_15237), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700549 (
+	.A(n_14044),
+	.B(n_14973),
+	.Y(n_15235), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700550 (
+	.A(n_14099),
+	.B(n_14973),
+	.Y(n_15233), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700551 (
+	.A(n_14044),
+	.B(n_14968),
+	.Y(n_15231), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700552 (
+	.A(n_14099),
+	.B(n_14968),
+	.Y(n_15229), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700553 (
+	.A(n_14044),
+	.B(n_14966),
+	.Y(n_15227), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700554 (
+	.A(n_14099),
+	.B(n_14966),
+	.Y(n_15225), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700555 (
+	.A(n_14044),
+	.B(n_14964),
+	.Y(n_15223), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700556 (
+	.A(n_14099),
+	.B(n_14964),
+	.Y(n_15221), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700557 (
+	.A(n_14044),
+	.B(n_14937),
+	.Y(n_15219), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700558 (
+	.A(n_14099),
+	.B(n_14937),
+	.Y(n_15217), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700559 (
+	.A(n_14044),
+	.B(n_14935),
+	.Y(n_15215), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700560 (
+	.A(n_14099),
+	.B(n_14935),
+	.Y(n_15213), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700561 (
+	.A(n_14044),
+	.B(n_14962),
+	.Y(n_15211), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g700562 (
+	.A(n_13444),
+	.B(n_14558),
+	.Y(n_15209), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g700563 (
+	.A(n_13444),
+	.B(n_14559),
+	.Y(n_15208), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g700564 (
+	.A(n_13443),
+	.B(n_14559),
+	.Y(n_15207), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g700565 (
+	.A(n_13443),
+	.B(n_14558),
+	.Y(n_15206), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g700566 (
+	.A1(n_33450),
+	.A2(n_14043),
+	.B1(n_14555),
+	.B2(n_14702),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [29]),
+	.Y(n_15203), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700567 (
+	.A(n_15000),
+	.B(n_14992),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7080 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700568 (
+	.A(n_14850),
+	.B(n_14995),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6865 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700569 (
+	.A(n_14850),
+	.B(n_14988),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7089 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700570 (
+	.A(n_14827),
+	.B(n_14993),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6911 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700571 (
+	.A(n_14827),
+	.B(n_14991),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7071 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700572 (
+	.A(n_14850),
+	.B(n_14993),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6897 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700573 (
+	.A(n_14850),
+	.B(n_14991),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7057 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700574 (
+	.A(n_15004),
+	.B(n_14988),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7093 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700575 (
+	.A(n_14857),
+	.B(n_15012),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6928 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700576 (
+	.A(n_14905),
+	.B(n_14993),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6919 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700577 (
+	.A(n_14905),
+	.B(n_14991),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7079 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700578 (
+	.A(n_15004),
+	.B(n_14993),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6901 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700579 (
+	.A(n_15004),
+	.B(n_14991),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7061 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700580 (
+	.A(n_14852),
+	.B(n_14989),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6894 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700581 (
+	.A(n_14852),
+	.B(n_14995),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6863 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700582 (
+	.A(n_14852),
+	.B(n_14988),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7087 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700583 (
+	.A(n_14852),
+	.B(n_14992),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7054 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700584 (
+	.A(n_14998),
+	.B(n_14993),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6917 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700585 (
+	.A(n_15001),
+	.B(n_14993),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6903 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700586 (
+	.A(n_14998),
+	.B(n_14991),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7077 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700587 (
+	.A(n_15001),
+	.B(n_14991),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7063 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700588 (
+	.A(n_15001),
+	.B(n_14988),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7095 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700589 (
+	.A(n_15013),
+	.B(n_15012),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6936 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700590 (
+	.A(n_14998),
+	.B(n_14989),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6916 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700591 (
+	.A(n_14998),
+	.B(n_14992),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7076 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700592 (
+	.A(n_14849),
+	.B(n_14995),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6877 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700593 (
+	.A(n_14849),
+	.B(n_14989),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6908 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700594 (
+	.A(n_14849),
+	.B(n_14988),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7101 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700595 (
+	.A(n_14849),
+	.B(n_14992),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7068 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700596 (
+	.A(n_14905),
+	.B(n_14989),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6918 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700597 (
+	.A(n_15002),
+	.B(n_14989),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6904 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700598 (
+	.A(n_14827),
+	.B(n_14989),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6910 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700599 (
+	.A(n_14905),
+	.B(n_14992),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7078 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700600 (
+	.A(n_15002),
+	.B(n_14992),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7064 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700601 (
+	.A(n_14827),
+	.B(n_14992),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7070 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700602 (
+	.A(n_15002),
+	.B(n_14988),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7097 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700603 (
+	.A(n_14827),
+	.B(n_14995),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6879 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700604 (
+	.A(n_14827),
+	.B(n_14988),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7103 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700605 (
+	.A(n_14998),
+	.B(n_14995),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6885 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700606 (
+	.A(n_14998),
+	.B(n_14988),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7109 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700607 (
+	.A(n_14905),
+	.B(n_14995),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6887 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700608 (
+	.A(n_14905),
+	.B(n_14988),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7111 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g700609 (
+	.A(n_14810),
+	.B(n_14809),
+	.C(n_14144),
+	.D(n_14141),
+	.Y(n_15202), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g700610 (
+	.A1(n_13512),
+	.A2(n_33766),
+	.B1(n_13467),
+	.B2(n_33773),
+	.C1(n_14898),
+	.Y(n_15201), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111a_1 g700611 (
+	.A1(n_13678),
+	.A2(n_33732),
+	.B1(n_14089),
+	.C1(n_14039),
+	.D1(n_14766),
+	.X(n_15200), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g700612 (
+	.A1(n_14049),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_f [3]),
+	.B1(n_14891),
+	.Y(n_15199), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111oi_0 g700613 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_p1_f [3]),
+	.A2(n_14049),
+	.B1(n_14383),
+	.C1(n_14460),
+	.D1(n_14682),
+	.Y(n_15198), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g700614 (
+	.A(n_14117),
+	.B(n_14660),
+	.C(n_14414),
+	.D(n_34416),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_config_reg_we), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g700615 (
+	.A1(n_33402),
+	.A2(n_14043),
+	.B1(n_14633),
+	.B2(n_14698),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [85]),
+	.Y(n_15197), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g700616 (
+	.A1(n_33403),
+	.A2(n_14043),
+	.B1(n_14633),
+	.B2(n_14710),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [84]),
+	.Y(n_15196), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g700617 (
+	.A1(n_33406),
+	.A2(n_14043),
+	.B1(n_14635),
+	.B2(n_14698),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [83]),
+	.Y(n_15195), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g700618 (
+	.A1(n_33407),
+	.A2(n_14043),
+	.B1(n_14635),
+	.B2(n_14710),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [82]),
+	.Y(n_15194), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g700619 (
+	.A1(n_33409),
+	.A2(n_14043),
+	.B1(n_14557),
+	.B2(n_14698),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [81]),
+	.Y(n_15193), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g700620 (
+	.A1(n_33410),
+	.A2(n_14043),
+	.B1(n_14557),
+	.B2(n_14710),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [80]),
+	.Y(n_15192), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g700621 (
+	.A1(n_33394),
+	.A2(n_14043),
+	.B1(n_14557),
+	.B2(n_14702),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [89]),
+	.Y(n_15191), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g700622 (
+	.A1(n_33416),
+	.A2(n_14043),
+	.B1(n_14636),
+	.B2(n_14702),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [79]),
+	.Y(n_15190), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g700623 (
+	.A1(n_33417),
+	.A2(n_14043),
+	.B1(n_14636),
+	.B2(n_14704),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [78]),
+	.Y(n_15189), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g700624 (
+	.A1(n_33419),
+	.A2(n_14043),
+	.B1(n_14637),
+	.B2(n_14702),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [77]),
+	.Y(n_15188), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g700625 (
+	.A1(n_33420),
+	.A2(n_14043),
+	.B1(n_14637),
+	.B2(n_14704),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [76]),
+	.Y(n_15187), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g700626 (
+	.A1(n_33423),
+	.A2(n_14043),
+	.B1(n_14554),
+	.B2(n_14702),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [75]),
+	.Y(n_15186), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g700627 (
+	.A1(n_33384),
+	.A2(n_14043),
+	.B1(n_14634),
+	.B2(n_14708),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [63]),
+	.Y(n_15185), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g700628 (
+	.A1(n_33424),
+	.A2(n_14043),
+	.B1(n_14554),
+	.B2(n_14704),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [74]),
+	.Y(n_15184), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g700629 (
+	.A1(n_33426),
+	.A2(n_14043),
+	.B1(n_14623),
+	.B2(n_14702),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [73]),
+	.Y(n_15183), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g700630 (
+	.A1(n_33427),
+	.A2(n_14043),
+	.B1(n_14623),
+	.B2(n_14704),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [72]),
+	.Y(n_15182), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g700631 (
+	.A1(n_33431),
+	.A2(n_14043),
+	.B1(n_14636),
+	.B2(n_14698),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [71]),
+	.Y(n_15181), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g700632 (
+	.A1(n_33432),
+	.A2(n_14043),
+	.B1(n_14636),
+	.B2(n_14710),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [70]),
+	.Y(n_15180), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g700633 (
+	.A1(n_33434),
+	.A2(n_14043),
+	.B1(n_14637),
+	.B2(n_14698),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [69]),
+	.Y(n_15179), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g700634 (
+	.A1(n_33435),
+	.A2(n_14043),
+	.B1(n_14637),
+	.B2(n_14710),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [68]),
+	.Y(n_15178), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g700635 (
+	.A1(n_33438),
+	.A2(n_14043),
+	.B1(n_14554),
+	.B2(n_14698),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [67]),
+	.Y(n_15177), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g700636 (
+	.A1(n_33439),
+	.A2(n_14043),
+	.B1(n_14554),
+	.B2(n_14710),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [66]),
+	.Y(n_15176), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g700637 (
+	.A1(n_33441),
+	.A2(n_14043),
+	.B1(n_14623),
+	.B2(n_14698),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [65]),
+	.Y(n_15175), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g700638 (
+	.A1(n_33442),
+	.A2(n_14043),
+	.B1(n_14623),
+	.B2(n_14710),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [64]),
+	.Y(n_15174), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g700639 (
+	.A1(n_33343),
+	.A2(n_14043),
+	.B1(n_14628),
+	.B2(n_14710),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [16]),
+	.Y(n_15173), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g700640 (
+	.A1(n_33383),
+	.A2(n_14043),
+	.B1(n_14634),
+	.B2(n_14696),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [62]),
+	.Y(n_15172), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g700641 (
+	.A1(n_33382),
+	.A2(n_14043),
+	.B1(n_14555),
+	.B2(n_14708),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [61]),
+	.Y(n_15171), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g700642 (
+	.A1(n_33381),
+	.A2(n_14043),
+	.B1(n_14555),
+	.B2(n_14696),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [60]),
+	.Y(n_15170), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g700643 (
+	.A1(n_33379),
+	.A2(n_14043),
+	.B1(n_14627),
+	.B2(n_14696),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [58]),
+	.Y(n_15169), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g700644 (
+	.A1(n_33378),
+	.A2(n_14043),
+	.B1(n_14628),
+	.B2(n_14708),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [57]),
+	.Y(n_15168), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g700645 (
+	.A1(n_33377),
+	.A2(n_14043),
+	.B1(n_14628),
+	.B2(n_14696),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [56]),
+	.Y(n_15167), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g700646 (
+	.A1(n_33376),
+	.A2(n_14043),
+	.B1(n_14634),
+	.B2(n_14700),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [55]),
+	.Y(n_15166), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g700647 (
+	.A1(n_33374),
+	.A2(n_14043),
+	.B1(n_14555),
+	.B2(n_14700),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [53]),
+	.Y(n_15165), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g700648 (
+	.A1(n_33373),
+	.A2(n_14043),
+	.B1(n_14555),
+	.B2(n_14706),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [52]),
+	.Y(n_15164), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g700649 (
+	.A1(n_33372),
+	.A2(n_14043),
+	.B1(n_14627),
+	.B2(n_14700),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [51]),
+	.Y(n_15163), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g700650 (
+	.A1(n_33371),
+	.A2(n_14043),
+	.B1(n_14627),
+	.B2(n_14706),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [50]),
+	.Y(n_15162), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g700651 (
+	.A1(n_33345),
+	.A2(n_14043),
+	.B1(n_14627),
+	.B2(n_14710),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [18]),
+	.Y(n_15161), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g700652 (
+	.A1(n_33370),
+	.A2(n_14043),
+	.B1(n_14628),
+	.B2(n_14700),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [49]),
+	.Y(n_15160), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g700653 (
+	.A1(n_33369),
+	.A2(n_14043),
+	.B1(n_14628),
+	.B2(n_14706),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [48]),
+	.Y(n_15159), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g700654 (
+	.A1(n_33342),
+	.A2(n_14043),
+	.B1(n_14556),
+	.B2(n_14702),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [15]),
+	.Y(n_15158), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g700655 (
+	.A1(n_33367),
+	.A2(n_14043),
+	.B1(n_14556),
+	.B2(n_14696),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [46]),
+	.Y(n_15157), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g700656 (
+	.A1(n_33366),
+	.A2(n_14043),
+	.B1(n_14630),
+	.B2(n_14708),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [45]),
+	.Y(n_15156), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g700657 (
+	.A1(n_33365),
+	.A2(n_14043),
+	.B1(n_14630),
+	.B2(n_14696),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [44]),
+	.Y(n_15155), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g700658 (
+	.A1(n_33364),
+	.A2(n_14043),
+	.B1(n_14625),
+	.B2(n_14708),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [43]),
+	.Y(n_15154), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g700659 (
+	.A1(n_33363),
+	.A2(n_14043),
+	.B1(n_14625),
+	.B2(n_14696),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [42]),
+	.Y(n_15153), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g700660 (
+	.A1(n_33361),
+	.A2(n_14043),
+	.B1(n_14621),
+	.B2(n_14696),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [40]),
+	.Y(n_15152), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g700661 (
+	.A1(n_33360),
+	.A2(n_14043),
+	.B1(n_14556),
+	.B2(n_14700),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [39]),
+	.Y(n_15151), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g700662 (
+	.A1(n_33359),
+	.A2(n_14043),
+	.B1(n_14556),
+	.B2(n_14706),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [38]),
+	.Y(n_15150), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g700663 (
+	.A1(n_33358),
+	.A2(n_14043),
+	.B1(n_14630),
+	.B2(n_14700),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [37]),
+	.Y(n_15149), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g700664 (
+	.A1(n_33357),
+	.A2(n_14043),
+	.B1(n_14630),
+	.B2(n_14706),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [36]),
+	.Y(n_15148), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g700665 (
+	.A1(n_33356),
+	.A2(n_14043),
+	.B1(n_14625),
+	.B2(n_14700),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [35]),
+	.Y(n_15147), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g700666 (
+	.A1(n_33355),
+	.A2(n_14043),
+	.B1(n_14625),
+	.B2(n_14706),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [34]),
+	.Y(n_15146), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g700667 (
+	.A1(n_33354),
+	.A2(n_14043),
+	.B1(n_14621),
+	.B2(n_14700),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [33]),
+	.Y(n_15145), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g700668 (
+	.A1(n_33447),
+	.A2(n_14043),
+	.B1(n_14634),
+	.B2(n_14702),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [31]),
+	.Y(n_15144), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g700669 (
+	.A1(n_33347),
+	.A2(n_14043),
+	.B1(n_14555),
+	.B2(n_14710),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [20]),
+	.Y(n_15143), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g700670 (
+	.A1(n_33448),
+	.A2(n_14043),
+	.B1(n_14634),
+	.B2(n_14704),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [30]),
+	.Y(n_15142), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700671 (
+	.A(n_15000),
+	.B(n_14988),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7113 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g700672 (
+	.A1(n_33451),
+	.A2(n_14043),
+	.B1(n_14555),
+	.B2(n_14704),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [28]),
+	.Y(n_15141), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g700673 (
+	.A1(n_33453),
+	.A2(n_14043),
+	.B1(n_14627),
+	.B2(n_14702),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [27]),
+	.Y(n_15140), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g700674 (
+	.A1(n_33454),
+	.A2(n_14043),
+	.B1(n_14627),
+	.B2(n_14704),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [26]),
+	.Y(n_15139), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g700675 (
+	.A1(n_33352),
+	.A2(n_14043),
+	.B1(n_14628),
+	.B2(n_14702),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [25]),
+	.Y(n_15138), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g700676 (
+	.A1(n_33351),
+	.A2(n_14043),
+	.B1(n_14628),
+	.B2(n_14704),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [24]),
+	.Y(n_15137), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g700677 (
+	.A1(n_33350),
+	.A2(n_14043),
+	.B1(n_14634),
+	.B2(n_14698),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [23]),
+	.Y(n_15136), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g700678 (
+	.A1(n_33348),
+	.A2(n_14043),
+	.B1(n_14555),
+	.B2(n_14698),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [21]),
+	.Y(n_15135), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g700679 (
+	.A1(n_33349),
+	.A2(n_14043),
+	.B1(n_14634),
+	.B2(n_14710),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [22]),
+	.Y(n_15134), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g700680 (
+	.A1(n_33344),
+	.A2(n_14043),
+	.B1(n_14628),
+	.B2(n_14698),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [17]),
+	.Y(n_15133), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g700681 (
+	.A1(n_33340),
+	.A2(n_14043),
+	.B1(n_14630),
+	.B2(n_14702),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [13]),
+	.Y(n_15132), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g700682 (
+	.A1(n_33339),
+	.A2(n_14043),
+	.B1(n_14630),
+	.B2(n_14704),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [12]),
+	.Y(n_15131), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g700683 (
+	.A1(n_33338),
+	.A2(n_14043),
+	.B1(n_14625),
+	.B2(n_14702),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [11]),
+	.Y(n_15130), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g700684 (
+	.A1(n_33336),
+	.A2(n_14043),
+	.B1(n_14621),
+	.B2(n_14702),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [9]),
+	.Y(n_15129), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g700685 (
+	.A1(n_33335),
+	.A2(n_14043),
+	.B1(n_14621),
+	.B2(n_14704),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [8]),
+	.Y(n_15128), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g700686 (
+	.A1(n_33334),
+	.A2(n_14043),
+	.B1(n_14556),
+	.B2(n_14698),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [7]),
+	.Y(n_15127), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g700687 (
+	.A1(n_35892),
+	.A2(n_14043),
+	.B1(n_14556),
+	.B2(n_14710),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [6]),
+	.Y(n_15126), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g700688 (
+	.A1(n_35891),
+	.A2(n_14043),
+	.B1(n_14630),
+	.B2(n_14698),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [5]),
+	.Y(n_15125), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g700689 (
+	.A1(n_35890),
+	.A2(n_14043),
+	.B1(n_14630),
+	.B2(n_14710),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [4]),
+	.Y(n_15124), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g700690 (
+	.A1(n_35889),
+	.A2(n_14043),
+	.B1(n_14625),
+	.B2(n_14698),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [3]),
+	.Y(n_15123), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g700691 (
+	.A1(n_35888),
+	.A2(n_14043),
+	.B1(n_14625),
+	.B2(n_14710),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [2]),
+	.Y(n_15122), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g700692 (
+	.A1(n_35887),
+	.A2(n_14043),
+	.B1(n_14621),
+	.B2(n_14710),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [0]),
+	.Y(n_15121), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g700693 (
+	.A1(n_33341),
+	.A2(n_14043),
+	.B1(n_14556),
+	.B2(n_14704),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [14]),
+	.Y(n_15120), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g700694 (
+	.A1(n_33333),
+	.A2(n_14043),
+	.B1(n_14621),
+	.B2(n_14698),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [1]),
+	.Y(n_15119), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g700695 (
+	.A1(n_33362),
+	.A2(n_14043),
+	.B1(n_14621),
+	.B2(n_14708),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [41]),
+	.Y(n_15118), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g700696 (
+	.A1(n_33337),
+	.A2(n_14043),
+	.B1(n_14625),
+	.B2(n_14704),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [10]),
+	.Y(n_15117), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g700697 (
+	.A1(n_33353),
+	.A2(n_14043),
+	.B1(n_14621),
+	.B2(n_14706),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [32]),
+	.Y(n_15116), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g700698 (
+	.A1(n_33421),
+	.A2(n_14043),
+	.B1(n_14632),
+	.B2(n_14708),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [127]),
+	.Y(n_15115), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g700699 (
+	.A1(n_33452),
+	.A2(n_14043),
+	.B1(n_14632),
+	.B2(n_14696),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [126]),
+	.Y(n_15114), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g700700 (
+	.A1(n_33449),
+	.A2(n_14043),
+	.B1(n_14633),
+	.B2(n_14708),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [125]),
+	.Y(n_15113), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g700701 (
+	.A1(n_33446),
+	.A2(n_14043),
+	.B1(n_14633),
+	.B2(n_14696),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [124]),
+	.Y(n_15112), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g700702 (
+	.A1(n_33445),
+	.A2(n_14043),
+	.B1(n_14635),
+	.B2(n_14708),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [123]),
+	.Y(n_15111), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g700703 (
+	.A1(n_33444),
+	.A2(n_14043),
+	.B1(n_14635),
+	.B2(n_14696),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [122]),
+	.Y(n_15110), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g700704 (
+	.A1(n_33443),
+	.A2(n_14043),
+	.B1(n_14557),
+	.B2(n_14708),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [121]),
+	.Y(n_15109), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g700705 (
+	.A1(n_33440),
+	.A2(n_14043),
+	.B1(n_14557),
+	.B2(n_14696),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [120]),
+	.Y(n_15108), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g700706 (
+	.A1(n_33437),
+	.A2(n_14043),
+	.B1(n_14632),
+	.B2(n_14700),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [119]),
+	.Y(n_15107), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g700707 (
+	.A1(n_33436),
+	.A2(n_14043),
+	.B1(n_14632),
+	.B2(n_14706),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [118]),
+	.Y(n_15106), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g700708 (
+	.A1(n_33433),
+	.A2(n_14043),
+	.B1(n_14633),
+	.B2(n_14700),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [117]),
+	.Y(n_15105), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g700709 (
+	.A1(n_33430),
+	.A2(n_14043),
+	.B1(n_14633),
+	.B2(n_14706),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [116]),
+	.Y(n_15104), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g700710 (
+	.A1(n_33380),
+	.A2(n_14043),
+	.B1(n_14627),
+	.B2(n_14708),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [59]),
+	.Y(n_15103), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g700711 (
+	.A1(n_33429),
+	.A2(n_14043),
+	.B1(n_14635),
+	.B2(n_14700),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [115]),
+	.Y(n_15102), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g700712 (
+	.A1(n_33428),
+	.A2(n_14043),
+	.B1(n_14635),
+	.B2(n_14706),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [114]),
+	.Y(n_15101), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g700713 (
+	.A1(n_33375),
+	.A2(n_14043),
+	.B1(n_14634),
+	.B2(n_14706),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [54]),
+	.Y(n_15100), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g700714 (
+	.A1(n_33425),
+	.A2(n_14043),
+	.B1(n_14557),
+	.B2(n_14700),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [113]),
+	.Y(n_15099), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g700715 (
+	.A1(n_33422),
+	.A2(n_14043),
+	.B1(n_14557),
+	.B2(n_14706),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [112]),
+	.Y(n_15098), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g700716 (
+	.A1(n_33418),
+	.A2(n_14043),
+	.B1(n_14636),
+	.B2(n_14708),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [111]),
+	.Y(n_15097), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g700717 (
+	.A1(n_33415),
+	.A2(n_14043),
+	.B1(n_14636),
+	.B2(n_14696),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [110]),
+	.Y(n_15096), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g700718 (
+	.A1(n_33414),
+	.A2(n_14043),
+	.B1(n_14637),
+	.B2(n_14708),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [109]),
+	.Y(n_15095), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g700719 (
+	.A1(n_33413),
+	.A2(n_14043),
+	.B1(n_14637),
+	.B2(n_14696),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [108]),
+	.Y(n_15094), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g700720 (
+	.A1(n_33412),
+	.A2(n_14043),
+	.B1(n_14554),
+	.B2(n_14708),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [107]),
+	.Y(n_15093), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g700721 (
+	.A1(n_33411),
+	.A2(n_14043),
+	.B1(n_14554),
+	.B2(n_14696),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [106]),
+	.Y(n_15092), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g700722 (
+	.A1(n_33408),
+	.A2(n_14043),
+	.B1(n_14623),
+	.B2(n_14708),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [105]),
+	.Y(n_15091), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g700723 (
+	.A1(n_33404),
+	.A2(n_14043),
+	.B1(n_14636),
+	.B2(n_14700),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [103]),
+	.Y(n_15090), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g700724 (
+	.A1(n_33405),
+	.A2(n_14043),
+	.B1(n_14623),
+	.B2(n_14696),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [104]),
+	.Y(n_15089), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g700725 (
+	.A1(n_33401),
+	.A2(n_14043),
+	.B1(n_14636),
+	.B2(n_14706),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [102]),
+	.Y(n_15088), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g700726 (
+	.A1(n_33398),
+	.A2(n_14043),
+	.B1(n_14637),
+	.B2(n_14700),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [101]),
+	.Y(n_15087), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g700727 (
+	.A1(n_33397),
+	.A2(n_14043),
+	.B1(n_14637),
+	.B2(n_14706),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [100]),
+	.Y(n_15086), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g700728 (
+	.A1(n_33396),
+	.A2(n_14043),
+	.B1(n_14554),
+	.B2(n_14700),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [99]),
+	.Y(n_15085), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g700729 (
+	.A1(n_33393),
+	.A2(n_14043),
+	.B1(n_14554),
+	.B2(n_14706),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [98]),
+	.Y(n_15084), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g700730 (
+	.A1(n_33390),
+	.A2(n_14043),
+	.B1(n_14623),
+	.B2(n_14700),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [97]),
+	.Y(n_15083), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g700731 (
+	.A1(n_33389),
+	.A2(n_14043),
+	.B1(n_14623),
+	.B2(n_14706),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [96]),
+	.Y(n_15082), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g700732 (
+	.A1(n_33368),
+	.A2(n_14043),
+	.B1(n_14556),
+	.B2(n_14708),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [47]),
+	.Y(n_15081), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g700733 (
+	.A1(n_33388),
+	.A2(n_14043),
+	.B1(n_14632),
+	.B2(n_14702),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [95]),
+	.Y(n_15080), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g700734 (
+	.A1(n_33387),
+	.A2(n_14043),
+	.B1(n_14632),
+	.B2(n_14704),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [94]),
+	.Y(n_15079), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g700735 (
+	.A1(n_33386),
+	.A2(n_14043),
+	.B1(n_14633),
+	.B2(n_14702),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [93]),
+	.Y(n_15078), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g700736 (
+	.A1(n_33385),
+	.A2(n_14043),
+	.B1(n_14633),
+	.B2(n_14704),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [92]),
+	.Y(n_15077), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g700737 (
+	.A1(n_33346),
+	.A2(n_14043),
+	.B1(n_14627),
+	.B2(n_14698),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [19]),
+	.Y(n_15076), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g700738 (
+	.A1(n_33391),
+	.A2(n_14043),
+	.B1(n_14635),
+	.B2(n_14702),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [91]),
+	.Y(n_15075), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g700739 (
+	.A1(n_33392),
+	.A2(n_14043),
+	.B1(n_14635),
+	.B2(n_14704),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [90]),
+	.Y(n_15074), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g700740 (
+	.A1(n_33395),
+	.A2(n_14043),
+	.B1(n_14557),
+	.B2(n_14704),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [88]),
+	.Y(n_15073), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g700741 (
+	.A1(n_33399),
+	.A2(n_14043),
+	.B1(n_14632),
+	.B2(n_14698),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [87]),
+	.Y(n_15072), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g700742 (
+	.A1(n_33400),
+	.A2(n_14043),
+	.B1(n_14632),
+	.B2(n_14710),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [86]),
+	.Y(n_15071), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g700743 (
+	.A(n_14790),
+	.B(n_14780),
+	.C(n_14774),
+	.D(n_14775),
+	.Y(n_15070), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g700744 (
+	.A(n_14800),
+	.B(n_14798),
+	.C(n_14789),
+	.D(n_14801),
+	.Y(n_15069), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g700745 (
+	.A(n_14757),
+	.B(n_14782),
+	.C(n_14784),
+	.D(n_14785),
+	.Y(n_15068), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g700746 (
+	.A(n_14795),
+	.B(n_14794),
+	.C(n_14792),
+	.D(n_14779),
+	.Y(n_15067), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g700747 (
+	.A(n_14750),
+	.B(n_14769),
+	.C(n_14793),
+	.D(n_14746),
+	.Y(n_15066), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g700748 (
+	.A(n_14749),
+	.B(n_14744),
+	.C(n_14799),
+	.D(n_14755),
+	.Y(n_15065), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g700749 (
+	.A(n_14763),
+	.B(n_14752),
+	.C(n_14759),
+	.D(n_14754),
+	.Y(n_15064), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g700750 (
+	.A(n_14753),
+	.B(n_14797),
+	.C(n_14756),
+	.D(n_14761),
+	.Y(n_15063), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g700751 (
+	.A(n_14796),
+	.B(n_14776),
+	.C(n_14747),
+	.D(n_14773),
+	.Y(n_15062), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g700752 (
+	.A1(n_34436),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_fetch_rd_tag_f [5]),
+	.B1(n_14528),
+	.C1(n_14527),
+	.D1(n_14652),
+	.Y(n_15061), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g700753 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[12]),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[31]),
+	.C(n_14927),
+	.Y(n_15060), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g700754 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[63]),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[61]),
+	.C(n_14924),
+	.Y(n_15059), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g700755 (
+	.A1(n_14884),
+	.A2(n_14120),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[49]),
+	.C1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[51]),
+	.Y(n_15058), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g700756 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[15]),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[13]),
+	.C(n_14925),
+	.Y(n_15057), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g700757 (
+	.A1(n_14884),
+	.A2(n_14061),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[37]),
+	.C1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[39]),
+	.Y(n_15056), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g700758 (
+	.A1(n_14884),
+	.A2(n_14059),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[21]),
+	.C1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[23]),
+	.Y(n_15055), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g700759 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[20]),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[47]),
+	.C(n_14926),
+	.Y(n_15054), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g700760 (
+	.A(n_14457),
+	.B(n_42047),
+	.C(n_14155),
+	.D(n_14830),
+	.Y(n_15053), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700761 (
+	.A1(n_14824),
+	.A2(n_13433),
+	.B1(n_14398),
+	.B2(n_14684),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clken [10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700762 (
+	.A1(n_14824),
+	.A2(n_14711),
+	.B1(n_14398),
+	.B2(n_13432),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clken [2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700763 (
+	.A1(n_14813),
+	.A2(n_14711),
+	.B1(n_14394),
+	.B2(n_13432),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clken [3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700764 (
+	.A1(n_14826),
+	.A2(n_13433),
+	.B1(n_14402),
+	.B2(n_14684),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clken [14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700765 (
+	.A1(n_14813),
+	.A2(n_13433),
+	.B1(n_14394),
+	.B2(n_14684),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clken [11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700766 (
+	.A1(n_14826),
+	.A2(n_14711),
+	.B1(n_14402),
+	.B2(n_13432),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clken [6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700767 (
+	.A1(n_14815),
+	.A2(n_14711),
+	.B1(n_14396),
+	.B2(n_13432),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clken [7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700768 (
+	.A1(n_14815),
+	.A2(n_13433),
+	.B1(n_14396),
+	.B2(n_14684),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clken [15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700769 (
+	.A1(n_14819),
+	.A2(n_14711),
+	.B1(n_14400),
+	.B2(n_13432),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clken [0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700770 (
+	.A1(n_14819),
+	.A2(n_13433),
+	.B1(n_14400),
+	.B2(n_14684),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clken [8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700771 (
+	.A1(n_14817),
+	.A2(n_14711),
+	.B1(n_13431),
+	.B2(n_13432),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clken [4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700772 (
+	.A1(n_14821),
+	.A2(n_14711),
+	.B1(n_13430),
+	.B2(n_13432),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clken [1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700773 (
+	.A1(n_14821),
+	.A2(n_13433),
+	.B1(n_13430),
+	.B2(n_14684),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clken [9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700774 (
+	.A1(n_14817),
+	.A2(n_13433),
+	.B1(n_13431),
+	.B2(n_14684),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clken [12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700775 (
+	.A1(n_14822),
+	.A2(n_13433),
+	.B1(n_14390),
+	.B2(n_14684),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clken [13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g700776 (
+	.A1(n_14822),
+	.A2(n_14711),
+	.B1(n_14390),
+	.B2(n_13432),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clken [5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g700777 (
+	.A(n_15052),
+	.Y(n_15051), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g700778 (
+	.A(n_15050),
+	.Y(n_15049), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g700779 (
+	.A(n_15048),
+	.Y(n_15047), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g700780 (
+	.A(n_15046),
+	.Y(n_15045), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g700781 (
+	.A(n_15042),
+	.Y(n_15041), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g700782 (
+	.A(n_15039),
+	.Y(n_15040), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g700783 (
+	.A(n_15034),
+	.Y(n_15033), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g700784 (
+	.A(n_15032),
+	.Y(n_15031), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g700785 (
+	.A(n_15026),
+	.Y(n_15025), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g700786 (
+	.A(n_15024),
+	.Y(n_15023), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g700787 (
+	.A(n_15022),
+	.Y(n_15021), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g700788 (
+	.A(n_15020),
+	.Y(n_15019), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g700789 (
+	.A(n_15018),
+	.Y(n_15017), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g700790 (
+	.A(n_15015),
+	.Y(n_15014), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g700791 (
+	.A(n_15007),
+	.Y(n_15008), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g700792 (
+	.A(n_15006),
+	.Y(n_15005), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g700793 (
+	.A(n_14997),
+	.Y(n_14996), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g700794 (
+	.A(n_14994),
+	.Y(n_14995), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g700795 (
+	.A(n_14987),
+	.Y(n_14986), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g700796 (
+	.A(n_14985),
+	.Y(n_14984), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g700797 (
+	.A(n_14983),
+	.Y(n_14982), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g700798 (
+	.A(n_14981),
+	.Y(n_14980), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g700799 (
+	.A(n_14979),
+	.Y(n_14978), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g700800 (
+	.A(n_14977),
+	.Y(n_14976), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g700801 (
+	.A(n_14975),
+	.Y(n_14974), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g700802 (
+	.A(n_14973),
+	.Y(n_14972), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g700803 (
+	.A(n_13442),
+	.Y(n_14971), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g700804 (
+	.A(n_14970),
+	.Y(n_14969), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g700805 (
+	.A(n_14968),
+	.Y(n_14967), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g700806 (
+	.A(n_14966),
+	.Y(n_14965), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g700807 (
+	.A(n_14964),
+	.Y(n_14963), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g700808 (
+	.A(n_14962),
+	.Y(n_14961), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g700809 (
+	.A(n_13440),
+	.Y(n_14960), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g700810 (
+	.A(n_13439),
+	.Y(n_14959), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g700811 (
+	.A(n_13441),
+	.Y(n_14958), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g700812 (
+	.A(n_14957),
+	.Y(n_14956), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g700813 (
+	.A(n_14955),
+	.Y(n_14954), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g700814 (
+	.A(n_14953),
+	.Y(n_14952), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g700815 (
+	.A(n_14951),
+	.Y(n_14950), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g700816 (
+	.A(n_14949),
+	.Y(n_14948), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g700817 (
+	.A(n_14947),
+	.Y(n_14946), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g700818 (
+	.A(n_14945),
+	.Y(n_14944), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g700819 (
+	.A(n_14943),
+	.Y(n_14942), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g700820 (
+	.A(n_14941),
+	.Y(n_14940), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g700821 (
+	.A(n_14939),
+	.Y(n_14938), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g700822 (
+	.A(n_14937),
+	.Y(n_14936), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g700823 (
+	.A(n_14935),
+	.Y(n_14934), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g700824 (
+	.A(n_14933),
+	.Y(n_14932), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g700825 (
+	.A(n_14931),
+	.Y(n_14930), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g700826 (
+	.A(n_14929),
+	.Y(n_14928), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g700827 (
+	.A1(n_14583),
+	.A2(n_35198),
+	.B1(n_13654),
+	.B2(n_35196),
+	.C1(n_14805),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[44]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g700828 (
+	.A1(n_14586),
+	.A2(n_35195),
+	.B1(n_13951),
+	.B2(n_35193),
+	.C1(n_14808),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700829 (
+	.A(n_14058),
+	.B(n_14871),
+	.Y(n_14927), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700830 (
+	.A(n_14060),
+	.B(n_14871),
+	.Y(n_14926), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700831 (
+	.A(n_14117),
+	.B(n_14871),
+	.Y(n_14925), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700832 (
+	.A(n_14119),
+	.B(n_14871),
+	.Y(n_14924), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g700833 (
+	.A1(n_14586),
+	.A2(n_35198),
+	.B1(n_13951),
+	.B2(n_35196),
+	.C1(n_14806),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[40]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g700834 (
+	.A1(n_14583),
+	.A2(n_35195),
+	.B1(n_13654),
+	.B2(n_35193),
+	.C1(n_14807),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g700837 (
+	.A_N(n_14828),
+	.B(n_14688),
+	.Y(n_14923), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g700838 (
+	.A(n_14876),
+	.B(n_14713),
+	.Y(n_15052), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g700839 (
+	.A(n_14881),
+	.B(n_14713),
+	.Y(n_15050), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g700840 (
+	.A(n_14867),
+	.B(n_14717),
+	.Y(n_15048), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g700841 (
+	.A(n_14882),
+	.B(n_14717),
+	.Y(n_15046), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g700842 (
+	.A(n_14889),
+	.B(n_14553),
+	.Y(n_15044), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g700844 (
+	.A(n_14875),
+	.B(n_14718),
+	.Y(n_15043), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g700845 (
+	.A(n_14875),
+	.B(n_14713),
+	.Y(n_15042), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g700846 (
+	.A(n_14869),
+	.B(n_14717),
+	.Y(n_15039), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g700847 (
+	.A(n_14889),
+	.B(n_14552),
+	.Y(n_15038), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g700848 (
+	.A(n_14553),
+	.B(n_14890),
+	.X(n_15037), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g700849 (
+	.A(n_14882),
+	.B(n_14712),
+	.Y(n_15036), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g700850 (
+	.A(n_14888),
+	.B(n_14552),
+	.Y(n_15035), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g700851 (
+	.A(n_14869),
+	.B(n_14713),
+	.Y(n_15034), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g700852 (
+	.A(n_14876),
+	.B(n_14712),
+	.Y(n_15032), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g700853 (
+	.A(n_14880),
+	.B(n_14712),
+	.Y(n_15030), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g700854 (
+	.A(n_14880),
+	.B(n_14718),
+	.Y(n_15029), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g700855 (
+	.A(n_14859),
+	.B(n_14560),
+	.Y(n_15028), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g700856 (
+	.A(n_14888),
+	.B(n_14553),
+	.Y(n_15027), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g700857 (
+	.A(n_14880),
+	.B(n_14717),
+	.Y(n_15026), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g700858 (
+	.A(n_14869),
+	.B(n_14718),
+	.Y(n_15024), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g700859 (
+	.A(n_14876),
+	.B(n_14717),
+	.Y(n_15022), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g700860 (
+	.A(n_14879),
+	.B(n_14712),
+	.Y(n_15020), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g700861 (
+	.A(n_14879),
+	.B(n_14713),
+	.Y(n_15018), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g700862 (
+	.A(n_14876),
+	.B(n_14718),
+	.Y(n_15016), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g700863 (
+	.A(n_14867),
+	.B(n_14712),
+	.Y(n_15015), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g700864 (
+	.A(n_14862),
+	.B(n_14560),
+	.Y(n_15013), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g700865 (
+	.A(n_14886),
+	.B(n_14553),
+	.Y(n_15012), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g700866 (
+	.A(n_13437),
+	.B(n_14560),
+	.Y(n_15011), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g700867 (
+	.A(n_14864),
+	.B(n_14560),
+	.Y(n_15010), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g700868 (
+	.A(n_14886),
+	.B(n_14552),
+	.Y(n_15009), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g700869 (
+	.A(n_14881),
+	.B(n_14717),
+	.Y(n_15007), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g700870 (
+	.A(n_14879),
+	.B(n_14717),
+	.Y(n_15006), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g700871 (
+	.A(n_14864),
+	.B(n_14553),
+	.Y(n_15004), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g700872 (
+	.A(n_14859),
+	.B(n_14553),
+	.Y(n_15003), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g700873 (
+	.A(n_14862),
+	.B(n_14553),
+	.Y(n_15002), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g700874 (
+	.A(n_13437),
+	.B(n_14553),
+	.Y(n_15001), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g700875 (
+	.A(n_14553),
+	.B(n_14863),
+	.X(n_15000), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g700876 (
+	.A(n_14859),
+	.B(n_14552),
+	.Y(n_14999), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g700877 (
+	.A(n_14864),
+	.B(n_14552),
+	.Y(n_14998), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g700878 (
+	.A(n_14885),
+	.B(n_14559),
+	.Y(n_14997), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700879 (
+	.A(n_14558),
+	.B(n_13438),
+	.Y(n_14994), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g700880 (
+	.A(n_14887),
+	.B(n_14558),
+	.Y(n_14993), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g700881 (
+	.A(n_14883),
+	.B(n_14730),
+	.Y(n_14992), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g700882 (
+	.A(n_13436),
+	.B(n_14730),
+	.Y(n_14991), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g700883 (
+	.A(n_14883),
+	.B(n_14722),
+	.Y(n_14990), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g700884 (
+	.A(n_14885),
+	.B(n_14558),
+	.Y(n_14989), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g700885 (
+	.A(n_13436),
+	.B(n_14722),
+	.Y(n_14988), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g700886 (
+	.A(n_14872),
+	.B(n_14111),
+	.Y(n_14987), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g700887 (
+	.A(n_14874),
+	.B(n_14115),
+	.Y(n_14985), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g700888 (
+	.A(n_14866),
+	.B(n_14111),
+	.Y(n_14983), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g700889 (
+	.A(n_14866),
+	.B(n_14115),
+	.Y(n_14981), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g700890 (
+	.A(n_14870),
+	.B(n_14115),
+	.Y(n_14979), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g700891 (
+	.A(n_14870),
+	.B(n_14111),
+	.Y(n_14977), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g700892 (
+	.A(n_14874),
+	.B(n_14111),
+	.Y(n_14975), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700893 (
+	.A(n_14112),
+	.B(n_14878),
+	.Y(n_14973), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700895 (
+	.A(n_14054),
+	.B(n_14877),
+	.Y(n_14970), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700896 (
+	.A(n_14116),
+	.B(n_14877),
+	.Y(n_14968), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700897 (
+	.A(n_14112),
+	.B(n_14877),
+	.Y(n_14966), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700898 (
+	.A(n_14116),
+	.B(n_14878),
+	.Y(n_14964), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700899 (
+	.A(n_14054),
+	.B(n_14878),
+	.Y(n_14962), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g700903 (
+	.A(n_14872),
+	.B(n_14055),
+	.Y(n_14957), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g700904 (
+	.A(n_14866),
+	.B(n_14055),
+	.Y(n_14955), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g700905 (
+	.A(n_14874),
+	.B(n_14055),
+	.Y(n_14953), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g700906 (
+	.A(n_14870),
+	.B(n_14055),
+	.Y(n_14951), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g700907 (
+	.A(n_14873),
+	.B(n_14115),
+	.Y(n_14949), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g700908 (
+	.A(n_14873),
+	.B(n_14111),
+	.Y(n_14947), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g700909 (
+	.A(n_14873),
+	.B(n_14052),
+	.Y(n_14945), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g700910 (
+	.A(n_14868),
+	.B(n_14115),
+	.Y(n_14943), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g700911 (
+	.A(n_14868),
+	.B(n_14111),
+	.Y(n_14941), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g700912 (
+	.A(n_14868),
+	.B(n_14052),
+	.Y(n_14939), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700913 (
+	.A(n_14053),
+	.B(n_14877),
+	.Y(n_14937), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g700914 (
+	.A(n_14053),
+	.B(n_14878),
+	.Y(n_14935), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g700915 (
+	.A(n_14873),
+	.B(n_14055),
+	.Y(n_14933), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g700916 (
+	.A(n_14868),
+	.B(n_14055),
+	.Y(n_14931), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g700917 (
+	.A(n_14872),
+	.B(n_14115),
+	.Y(n_14929), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g700918 (
+	.A(n_14922),
+	.Y(n_14921), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g700919 (
+	.A(n_14920),
+	.Y(n_14919), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g700920 (
+	.A(n_14918),
+	.Y(n_14917), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g700921 (
+	.A(n_14914),
+	.Y(n_14913), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g700922 (
+	.A(n_14910),
+	.Y(n_14909), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g700923 (
+	.A(n_14908),
+	.Y(n_14907), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g700924 (
+	.A1(n_36769),
+	.A2(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_mem_addr_ff [2]),
+	.B1(n_36380),
+	.B2(n_13742),
+	.X(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.iccm_dma_rdata_1_muxed [0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g700925 (
+	.A1(n_36770),
+	.A2(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_mem_addr_ff [2]),
+	.B1(n_36381),
+	.B2(n_13742),
+	.X(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.iccm_dma_rdata_1_muxed [1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g700926 (
+	.A1(n_36771),
+	.A2(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_mem_addr_ff [2]),
+	.B1(n_36382),
+	.B2(n_13742),
+	.X(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.iccm_dma_rdata_1_muxed [2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g700927 (
+	.A1(n_36772),
+	.A2(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_mem_addr_ff [2]),
+	.B1(n_36383),
+	.B2(n_13742),
+	.X(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.iccm_dma_rdata_1_muxed [3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g700928 (
+	.A1(n_36773),
+	.A2(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_mem_addr_ff [2]),
+	.B1(n_36384),
+	.B2(n_13742),
+	.X(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.iccm_dma_rdata_1_muxed [4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g700929 (
+	.A1(n_36774),
+	.A2(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_mem_addr_ff [2]),
+	.B1(n_36385),
+	.B2(n_13742),
+	.X(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.iccm_dma_rdata_1_muxed [5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g700930 (
+	.A1(n_36775),
+	.A2(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_mem_addr_ff [2]),
+	.B1(n_36386),
+	.B2(n_13742),
+	.X(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.iccm_dma_rdata_1_muxed [6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g700931 (
+	.A1(n_36776),
+	.A2(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_mem_addr_ff [2]),
+	.B1(n_36387),
+	.B2(n_13742),
+	.X(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.iccm_dma_rdata_1_muxed [7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g700932 (
+	.A1(n_36777),
+	.A2(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_mem_addr_ff [2]),
+	.B1(n_36388),
+	.B2(n_13742),
+	.X(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.iccm_dma_rdata_1_muxed [8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g700933 (
+	.A1(n_36778),
+	.A2(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_mem_addr_ff [2]),
+	.B1(n_36389),
+	.B2(n_13742),
+	.X(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.iccm_dma_rdata_1_muxed [9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g700934 (
+	.A1(n_36779),
+	.A2(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_mem_addr_ff [2]),
+	.B1(n_36390),
+	.B2(n_13742),
+	.X(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.iccm_dma_rdata_1_muxed [10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g700935 (
+	.A1(n_36780),
+	.A2(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_mem_addr_ff [2]),
+	.B1(n_36391),
+	.B2(n_13742),
+	.X(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.iccm_dma_rdata_1_muxed [11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g700936 (
+	.A(n_14299),
+	.B(n_14179),
+	.C(n_14668),
+	.D(n_14653),
+	.Y(n_14904), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g700937 (
+	.A1(n_13542),
+	.A2(n_33746),
+	.B1(n_14091),
+	.C1(n_14675),
+	.D1(n_14788),
+	.Y(n_14903), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g700938 (
+	.A1(n_13499),
+	.A2(n_33439),
+	.B1(n_14093),
+	.C1(n_14676),
+	.D1(n_14760),
+	.Y(n_14902), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g700939 (
+	.A(n_14290),
+	.B(n_14213),
+	.C(n_14650),
+	.D(n_14649),
+	.Y(n_14901), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111a_1 g700940 (
+	.A1(n_13773),
+	.A2(n_33637),
+	.B1(n_14042),
+	.C1(n_14661),
+	.D1(n_14765),
+	.X(n_14900), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g700941 (
+	.A1(n_13504),
+	.A2(n_33443),
+	.B1(n_14038),
+	.C1(n_14647),
+	.D1(n_14745),
+	.Y(n_14899), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g700942 (
+	.A(n_14236),
+	.B(n_14229),
+	.C(n_14246),
+	.D(n_14666),
+	.Y(n_14898), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g700943 (
+	.A1(n_13838),
+	.A2(n_33557),
+	.B1(n_13530),
+	.B2(n_33556),
+	.C1(n_14811),
+	.Y(n_14897), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g700944 (
+	.A1(n_13604),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [22]),
+	.B1(n_13928),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [19]),
+	.C1(n_14803),
+	.Y(n_14896), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g700945 (
+	.A1(n_13524),
+	.A2(n_33718),
+	.B1(n_14324),
+	.C1(n_14503),
+	.D1(n_14778),
+	.Y(n_14895), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g700946 (
+	.A1(brqrv_top_brqrv_dec_tlu_take_nmi_r_d1),
+	.A2(n_43110),
+	.B1(n_14644),
+	.C1(n_14081),
+	.D1(n_14132),
+	.Y(n_14894), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o311a_1 g700947 (
+	.A1(n_14406),
+	.A2(n_14404),
+	.A3(n_14408),
+	.B1(n_14118),
+	.C1(n_13435),
+	.X(n_14893), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111oi_0 g700948 (
+	.A1(n_13592),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [36]),
+	.B1(n_14085),
+	.C1(n_14689),
+	.D1(n_14690),
+	.Y(n_14892), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g700949 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_f [4]),
+	.A2(n_14108),
+	.B1(n_33126),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_fetch_rd_tag_f [3]),
+	.C1(n_14751),
+	.Y(n_14891), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g700950 (
+	.A1_N(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_mem_addr_ff [2]),
+	.A2_N(n_36786),
+	.B1(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_mem_addr_ff [2]),
+	.B2(n_14488),
+	.Y(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.iccm_dma_rdata_1_muxed [17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g700951 (
+	.A1_N(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_mem_addr_ff [2]),
+	.A2_N(n_36785),
+	.B1(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_mem_addr_ff [2]),
+	.B2(n_14486),
+	.Y(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.iccm_dma_rdata_1_muxed [16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g700952 (
+	.A1(n_14694),
+	.A2(lsu_axi_wdata[38]),
+	.B1(n_14616),
+	.B2(lsu_axi_wdata[6]),
+	.X(io_out[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g700953 (
+	.A1(n_14694),
+	.A2(lsu_axi_wdata[53]),
+	.B1(n_14616),
+	.B2(lsu_axi_wdata[21]),
+	.X(io_out[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g700954 (
+	.A1(n_14694),
+	.A2(lsu_axi_wdata[39]),
+	.B1(n_14616),
+	.B2(lsu_axi_wdata[7]),
+	.X(io_out[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g700955 (
+	.A1(n_14694),
+	.A2(lsu_axi_wdata[51]),
+	.B1(n_14616),
+	.B2(lsu_axi_wdata[19]),
+	.X(io_out[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g700956 (
+	.A1(n_14694),
+	.A2(lsu_axi_wdata[34]),
+	.B1(n_14616),
+	.B2(lsu_axi_wdata[2]),
+	.X(io_out[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g700957 (
+	.A1(n_14694),
+	.A2(lsu_axi_wdata[33]),
+	.B1(n_14616),
+	.B2(lsu_axi_wdata[1]),
+	.X(io_out[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g700958 (
+	.A1(n_14694),
+	.A2(lsu_axi_wdata[59]),
+	.B1(n_14616),
+	.B2(lsu_axi_wdata[27]),
+	.X(io_out[35]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g700959 (
+	.A1(n_14694),
+	.A2(lsu_axi_wdata[36]),
+	.B1(n_14616),
+	.B2(lsu_axi_wdata[4]),
+	.X(io_out[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g700960 (
+	.A1(n_14694),
+	.A2(lsu_axi_wdata[32]),
+	.B1(n_14616),
+	.B2(lsu_axi_wdata[0]),
+	.X(io_out[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g700961 (
+	.A1(n_14694),
+	.A2(lsu_axi_wdata[52]),
+	.B1(n_14616),
+	.B2(lsu_axi_wdata[20]),
+	.X(io_out[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g700962 (
+	.A1(n_14694),
+	.A2(lsu_axi_wdata[37]),
+	.B1(n_14616),
+	.B2(lsu_axi_wdata[5]),
+	.X(io_out[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g700963 (
+	.A1(n_14694),
+	.A2(lsu_axi_wdata[54]),
+	.B1(n_14616),
+	.B2(lsu_axi_wdata[22]),
+	.X(io_out[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g700966 (
+	.A1(n_14694),
+	.A2(lsu_axi_wdata[50]),
+	.B1(n_14616),
+	.B2(lsu_axi_wdata[18]),
+	.X(io_out[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g700967 (
+	.A1(n_14694),
+	.A2(lsu_axi_wdata[63]),
+	.B1(n_14616),
+	.B2(lsu_axi_wdata[31]),
+	.X(la_data_out[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g700968 (
+	.A1(n_14694),
+	.A2(lsu_axi_wdata[60]),
+	.B1(n_14616),
+	.B2(lsu_axi_wdata[28]),
+	.X(la_data_out[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g700969 (
+	.A1(n_14694),
+	.A2(lsu_axi_wdata[58]),
+	.B1(n_14616),
+	.B2(lsu_axi_wdata[26]),
+	.X(io_out[34]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g700970 (
+	.A1(n_14694),
+	.A2(lsu_axi_wdata[49]),
+	.B1(n_14616),
+	.B2(lsu_axi_wdata[17]),
+	.X(io_out[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g700971 (
+	.A1(n_14694),
+	.A2(lsu_axi_wdata[48]),
+	.B1(n_14616),
+	.B2(lsu_axi_wdata[16]),
+	.X(io_out[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g700972 (
+	.A1(n_14694),
+	.A2(lsu_axi_wdata[47]),
+	.B1(n_14616),
+	.B2(lsu_axi_wdata[15]),
+	.X(io_out[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g700973 (
+	.A1(n_14693),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B1(n_1578),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [34]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g700974 (
+	.A1(n_14694),
+	.A2(lsu_axi_wdata[46]),
+	.B1(n_14616),
+	.B2(lsu_axi_wdata[14]),
+	.X(io_out[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g700975 (
+	.A1(n_14694),
+	.A2(lsu_axi_wdata[56]),
+	.B1(n_14616),
+	.B2(lsu_axi_wdata[24]),
+	.X(io_out[32]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g700976 (
+	.A1(n_14694),
+	.A2(lsu_axi_wdata[45]),
+	.B1(n_14616),
+	.B2(lsu_axi_wdata[13]),
+	.X(io_out[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g700977 (
+	.A1(n_14694),
+	.A2(lsu_axi_wdata[57]),
+	.B1(n_14616),
+	.B2(lsu_axi_wdata[25]),
+	.X(io_out[33]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g700978 (
+	.A1(n_14694),
+	.A2(lsu_axi_wdata[43]),
+	.B1(n_14616),
+	.B2(lsu_axi_wdata[11]),
+	.X(io_out[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g700979 (
+	.A1(n_14694),
+	.A2(lsu_axi_wdata[55]),
+	.B1(n_14616),
+	.B2(lsu_axi_wdata[23]),
+	.X(io_out[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g700980 (
+	.A1(n_14694),
+	.A2(lsu_axi_wdata[42]),
+	.B1(n_14616),
+	.B2(lsu_axi_wdata[10]),
+	.X(io_out[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g700981 (
+	.A1(n_14694),
+	.A2(lsu_axi_wdata[35]),
+	.B1(n_14616),
+	.B2(lsu_axi_wdata[3]),
+	.X(io_out[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g700982 (
+	.A1(n_14694),
+	.A2(lsu_axi_wdata[41]),
+	.B1(n_14616),
+	.B2(lsu_axi_wdata[9]),
+	.X(io_out[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g700983 (
+	.A1(n_14694),
+	.A2(lsu_axi_wdata[62]),
+	.B1(n_14616),
+	.B2(lsu_axi_wdata[30]),
+	.X(la_data_out[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g700984 (
+	.A1(n_14694),
+	.A2(lsu_axi_wdata[61]),
+	.B1(n_14616),
+	.B2(lsu_axi_wdata[29]),
+	.X(la_data_out[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g700985 (
+	.A1(n_36800),
+	.A2(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_mem_addr_ff [2]),
+	.B1(n_36409),
+	.B2(n_13742),
+	.X(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.iccm_dma_rdata_1_muxed [31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g700986 (
+	.A1(n_36799),
+	.A2(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_mem_addr_ff [2]),
+	.B1(n_36408),
+	.B2(n_13742),
+	.X(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.iccm_dma_rdata_1_muxed [30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g700987 (
+	.A1(n_36798),
+	.A2(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_mem_addr_ff [2]),
+	.B1(n_36407),
+	.B2(n_13742),
+	.X(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.iccm_dma_rdata_1_muxed [29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g700988 (
+	.A1(n_36797),
+	.A2(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_mem_addr_ff [2]),
+	.B1(n_36406),
+	.B2(n_13742),
+	.X(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.iccm_dma_rdata_1_muxed [28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g700989 (
+	.A1(n_36796),
+	.A2(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_mem_addr_ff [2]),
+	.B1(n_36405),
+	.B2(n_13742),
+	.X(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.iccm_dma_rdata_1_muxed [27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g700990 (
+	.A1(n_36795),
+	.A2(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_mem_addr_ff [2]),
+	.B1(n_36404),
+	.B2(n_13742),
+	.X(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.iccm_dma_rdata_1_muxed [26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g700991 (
+	.A1(n_36794),
+	.A2(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_mem_addr_ff [2]),
+	.B1(n_36403),
+	.B2(n_13742),
+	.X(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.iccm_dma_rdata_1_muxed [25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g700992 (
+	.A1(n_36793),
+	.A2(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_mem_addr_ff [2]),
+	.B1(n_36402),
+	.B2(n_13742),
+	.X(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.iccm_dma_rdata_1_muxed [24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g700993 (
+	.A1(n_36792),
+	.A2(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_mem_addr_ff [2]),
+	.B1(n_36401),
+	.B2(n_13742),
+	.X(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.iccm_dma_rdata_1_muxed [23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g700994 (
+	.A1(n_36791),
+	.A2(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_mem_addr_ff [2]),
+	.B1(n_36400),
+	.B2(n_13742),
+	.X(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.iccm_dma_rdata_1_muxed [22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g700995 (
+	.A1(n_36790),
+	.A2(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_mem_addr_ff [2]),
+	.B1(n_36399),
+	.B2(n_13742),
+	.X(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.iccm_dma_rdata_1_muxed [21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g700996 (
+	.A1(n_36789),
+	.A2(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_mem_addr_ff [2]),
+	.B1(n_36398),
+	.B2(n_13742),
+	.X(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.iccm_dma_rdata_1_muxed [20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g700997 (
+	.A1(n_36788),
+	.A2(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_mem_addr_ff [2]),
+	.B1(n_36397),
+	.B2(n_13742),
+	.X(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.iccm_dma_rdata_1_muxed [19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g700998 (
+	.A1(n_36787),
+	.A2(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_mem_addr_ff [2]),
+	.B1(n_36396),
+	.B2(n_13742),
+	.X(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.iccm_dma_rdata_1_muxed [18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g700999 (
+	.A1(n_36783),
+	.A2(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_mem_addr_ff [2]),
+	.B1(n_36394),
+	.B2(n_13742),
+	.X(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.iccm_dma_rdata_1_muxed [14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g701000 (
+	.A1(n_36784),
+	.A2(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_mem_addr_ff [2]),
+	.B1(n_36395),
+	.B2(n_13742),
+	.X(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.iccm_dma_rdata_1_muxed [15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g701001 (
+	.A1(n_36782),
+	.A2(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_mem_addr_ff [2]),
+	.B1(n_36393),
+	.B2(n_13742),
+	.X(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.iccm_dma_rdata_1_muxed [13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g701002 (
+	.A1(n_36781),
+	.A2(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_mem_addr_ff [2]),
+	.B1(n_36392),
+	.B2(n_13742),
+	.X(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.iccm_dma_rdata_1_muxed [12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g701003 (
+	.A(n_14875),
+	.B(n_14712),
+	.Y(n_14922), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g701004 (
+	.A(n_14867),
+	.B(n_14713),
+	.Y(n_14920), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g701005 (
+	.A(n_14875),
+	.B(n_14717),
+	.Y(n_14918), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g701006 (
+	.A(n_14880),
+	.B(n_14713),
+	.Y(n_14916), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g701007 (
+	.A(n_14882),
+	.B(n_14718),
+	.Y(n_14915), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g701008 (
+	.A(n_14869),
+	.B(n_14712),
+	.Y(n_14914), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g701009 (
+	.A(n_14879),
+	.B(n_14718),
+	.Y(n_14912), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g701010 (
+	.A(n_14882),
+	.B(n_14713),
+	.Y(n_14911), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g701011 (
+	.A(n_14881),
+	.B(n_14712),
+	.Y(n_14910), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g701012 (
+	.A(n_14881),
+	.B(n_14718),
+	.Y(n_14908), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g701013 (
+	.A(n_14867),
+	.B(n_14718),
+	.Y(n_14906), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g701014 (
+	.A(n_13437),
+	.B(n_14552),
+	.Y(n_14905), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g701016 (
+	.A(n_13438),
+	.Y(n_14887), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g701023 (
+	.A(n_14864),
+	.Y(n_14865), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g701024 (
+	.A(n_14863),
+	.Y(n_14862), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g701025 (
+	.A(n_13437),
+	.Y(n_14861), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g701026 (
+	.A(n_14859),
+	.Y(n_14860), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g701027 (
+	.A(n_14847),
+	.Y(n_14846), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g701028 (
+	.A(n_14845),
+	.Y(n_14844), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g701029 (
+	.A(n_14843),
+	.Y(n_14842), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g701030 (
+	.A(n_14841),
+	.Y(n_14840), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g701031 (
+	.A(n_14839),
+	.Y(n_14838), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g701032 (
+	.A(n_14837),
+	.Y(n_14836), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g701033 (
+	.A(n_14835),
+	.Y(n_14834), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g701034 (
+	.A(n_14833),
+	.Y(n_14832), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701035 (
+	.A(n_14410),
+	.B(n_14719),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_gw_clear_reg_we[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701037 (
+	.A(n_14410),
+	.B(n_14714),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_gw_clear_reg_we[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701038 (
+	.A(n_14410),
+	.B(n_14715),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_gw_clear_reg_we[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701039 (
+	.A(n_14405),
+	.B(n_14715),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_gw_clear_reg_we[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701040 (
+	.A(n_14407),
+	.B(n_14715),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_gw_clear_reg_we[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701041 (
+	.A(n_14405),
+	.B(n_14714),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_gw_clear_reg_we[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701042 (
+	.A(n_14407),
+	.B(n_14714),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_gw_clear_reg_we[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701043 (
+	.A(n_14412),
+	.B(n_14716),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_gw_clear_reg_we[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701044 (
+	.A(n_14413),
+	.B(n_14716),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_gw_clear_reg_we[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701045 (
+	.A(n_14414),
+	.B(n_14715),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_gw_clear_reg_we[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701046 (
+	.A(n_14414),
+	.B(n_14714),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_gw_clear_reg_we[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701047 (
+	.A(n_14405),
+	.B(n_14716),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_gw_clear_reg_we[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g701048 (
+	.A1(n_14106),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_p1_f [6]),
+	.B1(brqrv_top_brqrv_ifu_ifc_fetch_req_f),
+	.C1(n_14385),
+	.D1(n_14455),
+	.Y(n_14830), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701049 (
+	.A(n_14407),
+	.B(n_14716),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_gw_clear_reg_we[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701050 (
+	.A(n_14412),
+	.B(n_14715),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_gw_clear_reg_we[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701051 (
+	.A(n_14412),
+	.B(n_14714),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_gw_clear_reg_we[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701052 (
+	.A(n_14413),
+	.B(n_14714),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_gw_clear_reg_we[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701053 (
+	.A(n_14413),
+	.B(n_14715),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_gw_clear_reg_we[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701054 (
+	.A(n_14409),
+	.B(n_14715),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_gw_clear_reg_we[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701055 (
+	.A(n_14409),
+	.B(n_14714),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_gw_clear_reg_we[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701056 (
+	.A(n_14411),
+	.B(n_14716),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_gw_clear_reg_we[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701057 (
+	.A(n_14407),
+	.B(n_14719),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_gw_clear_reg_we[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701058 (
+	.A(n_14405),
+	.B(n_14719),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_gw_clear_reg_we[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701059 (
+	.A(n_14411),
+	.B(n_14719),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_gw_clear_reg_we[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701060 (
+	.A(n_14409),
+	.B(n_14719),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_gw_clear_reg_we[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701062 (
+	.A(n_14409),
+	.B(n_14716),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_gw_clear_reg_we[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701063 (
+	.A(n_14411),
+	.B(n_14715),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_gw_clear_reg_we[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701064 (
+	.A(n_14411),
+	.B(n_14714),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_gw_clear_reg_we[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701065 (
+	.A(n_14413),
+	.B(n_14719),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_gw_clear_reg_we[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701066 (
+	.A(n_14412),
+	.B(n_14719),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_gw_clear_reg_we[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g701067 (
+	.A(n_14723),
+	.B(n_14722),
+	.Y(n_14890), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g701068 (
+	.A(n_14723),
+	.B(n_14730),
+	.X(n_14889), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g701069 (
+	.A(n_14687),
+	.B(n_14722),
+	.X(n_14888), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g701071 (
+	.A(n_14687),
+	.B(n_14730),
+	.X(n_14886), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701072 (
+	.A(n_14742),
+	.B(n_14686),
+	.Y(n_14885), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g701074 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[4]),
+	.B_N(n_13435),
+	.Y(n_14884), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701075 (
+	.A(n_14560),
+	.B(n_14686),
+	.Y(n_14883), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701076 (
+	.A(n_14741),
+	.B(n_14711),
+	.Y(n_14882), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701077 (
+	.A(n_14740),
+	.B(n_14711),
+	.Y(n_14881), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701078 (
+	.A(n_14741),
+	.B(n_13433),
+	.Y(n_14880), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701079 (
+	.A(n_14739),
+	.B(n_14711),
+	.Y(n_14879), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g701080 (
+	.A(n_14683),
+	.B(n_14068),
+	.Y(n_14878), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g701081 (
+	.A(n_14685),
+	.B(n_14068),
+	.Y(n_14877), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701082 (
+	.A(n_14738),
+	.B(n_14711),
+	.Y(n_14876), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701083 (
+	.A(n_14738),
+	.B(n_13433),
+	.Y(n_14875), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701084 (
+	.A(n_14121),
+	.B(n_14684),
+	.Y(n_14874), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701085 (
+	.A(n_14066),
+	.B(n_13432),
+	.Y(n_14873), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701086 (
+	.A(n_14121),
+	.B(n_13432),
+	.Y(n_14872), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g701087 (
+	.A(n_13435),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[4]),
+	.Y(n_14871), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701088 (
+	.A(n_14076),
+	.B(n_13432),
+	.Y(n_14870), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701089 (
+	.A(n_14740),
+	.B(n_13433),
+	.Y(n_14869), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701090 (
+	.A(n_14066),
+	.B(n_14684),
+	.Y(n_14868), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701091 (
+	.A(n_14739),
+	.B(n_13433),
+	.Y(n_14867), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701092 (
+	.A(n_14076),
+	.B(n_14684),
+	.Y(n_14866), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701093 (
+	.A(n_14564),
+	.B(n_14724),
+	.Y(n_14864), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g701094 (
+	.A(n_14729),
+	.B(n_14563),
+	.Y(n_14863), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701096 (
+	.A(n_14564),
+	.B(n_14727),
+	.Y(n_14859), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g701097 (
+	.A(n_14727),
+	.B(n_14732),
+	.X(n_14858), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g701098 (
+	.A(n_14733),
+	.B(n_14729),
+	.Y(n_14857), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g701099 (
+	.A(n_14733),
+	.B(n_14725),
+	.Y(n_14856), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g701100 (
+	.A(n_14733),
+	.B(n_14726),
+	.Y(n_14855), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g701101 (
+	.A(n_14731),
+	.B(n_14729),
+	.Y(n_14854), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g701102 (
+	.A(n_14727),
+	.B(n_14720),
+	.X(n_14853), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g701103 (
+	.A(n_14721),
+	.B(n_14726),
+	.Y(n_14852), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g701104 (
+	.A(n_14721),
+	.B(n_14725),
+	.Y(n_14851), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g701105 (
+	.A(n_14721),
+	.B(n_14729),
+	.Y(n_14850), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g701106 (
+	.A(n_14731),
+	.B(n_14725),
+	.Y(n_14849), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g701107 (
+	.A(n_14731),
+	.B(n_14728),
+	.Y(n_14848), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g701108 (
+	.A(n_14709),
+	.B(n_34668),
+	.Y(n_14847), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g701109 (
+	.A(n_14707),
+	.B(n_34668),
+	.Y(n_14845), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g701110 (
+	.A(n_14697),
+	.B(n_34668),
+	.Y(n_14843), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g701111 (
+	.A(n_14703),
+	.B(n_34668),
+	.Y(n_14841), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g701112 (
+	.A(n_14701),
+	.B(n_34668),
+	.Y(n_14839), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g701113 (
+	.A(n_14695),
+	.B(n_34668),
+	.Y(n_14837), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g701114 (
+	.A(n_14699),
+	.B(n_34668),
+	.Y(n_14835), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g701115 (
+	.A(n_14705),
+	.B(n_34668),
+	.Y(n_14833), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g701116 (
+	.A(n_14826),
+	.Y(n_14825), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g701117 (
+	.A(n_14823),
+	.Y(n_14822), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g701118 (
+	.A(n_14821),
+	.Y(n_14820), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g701119 (
+	.A(n_14819),
+	.Y(n_14818), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g701120 (
+	.A(n_14817),
+	.Y(n_14816), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g701121 (
+	.A(n_14815),
+	.Y(n_14814), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g701122 (
+	.A(n_14813),
+	.Y(n_14812), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g701123 (
+	.A(n_14223),
+	.B(n_14184),
+	.C(n_14178),
+	.D(n_14233),
+	.Y(n_14811), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701124 (
+	.A(n_14410),
+	.B(n_14716),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_gw_clear_reg_we[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701125 (
+	.A(n_14414),
+	.B(n_14716),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_gw_clear_reg_we[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g701126 (
+	.A1_N(n_14065),
+	.A2_N(n_14445),
+	.B1(n_14065),
+	.B2(n_14445),
+	.Y(n_356298_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g701127 (
+	.A(brqrv_top_brqrv_dec_decode_write_csr_data[7]),
+	.B(brqrv_top_brqrv_dec_decode_write_csr_data[8]),
+	.C(n_14681),
+	.Y(n_14810), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g701128 (
+	.A(brqrv_top_brqrv_dec_decode_write_csr_data[19]),
+	.B(brqrv_top_brqrv_dec_decode_write_csr_data[20]),
+	.C(n_14680),
+	.Y(n_14809), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g701129 (
+	.A1(n_14585),
+	.A2(n_35194),
+	.B1(n_13888),
+	.B2(n_34374),
+	.X(n_14808), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g701130 (
+	.A1(n_14584),
+	.A2(n_35194),
+	.B1(n_1926),
+	.B2(n_34374),
+	.X(n_14807), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g701131 (
+	.A1(n_14585),
+	.A2(n_35197),
+	.B1(n_13888),
+	.B2(n_34365),
+	.X(n_14806), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g701132 (
+	.A1(n_14584),
+	.A2(n_35197),
+	.B1(n_1926),
+	.B2(n_34365),
+	.X(n_14805), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g701133 (
+	.A1(n_13902),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [27]),
+	.B1(n_13924),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [25]),
+	.C1(n_14677),
+	.Y(n_14804), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g701134 (
+	.A1(n_13534),
+	.A2(n_33478),
+	.B1(n_14041),
+	.C1(n_14469),
+	.D1(n_14468),
+	.Y(n_14803), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g701135 (
+	.A1(n_13914),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [115]),
+	.B1(n_13619),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [117]),
+	.C1(n_14645),
+	.Y(n_14802), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g701136 (
+	.A(n_14327),
+	.B(n_14326),
+	.C(n_14254),
+	.D(n_14329),
+	.Y(n_14801), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g701137 (
+	.A(n_14163),
+	.B(n_14196),
+	.C(n_14325),
+	.D(n_14194),
+	.Y(n_14800), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g701138 (
+	.A(n_14250),
+	.B(n_14307),
+	.C(n_14276),
+	.D(n_14284),
+	.Y(n_14799), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g701139 (
+	.A(n_14263),
+	.B(n_14283),
+	.C(n_14167),
+	.D(n_14322),
+	.Y(n_14798), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g701140 (
+	.A(n_14357),
+	.B(n_14289),
+	.C(n_14361),
+	.D(n_14175),
+	.Y(n_14797), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221a_1 g701141 (
+	.A1(n_13670),
+	.A2(n_33704),
+	.B1(n_13645),
+	.B2(n_33705),
+	.C1(n_14651),
+	.X(n_14796), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g701142 (
+	.A(n_14312),
+	.B(n_14302),
+	.C(n_14314),
+	.D(n_14297),
+	.Y(n_14795), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g701143 (
+	.A(n_14288),
+	.B(n_14274),
+	.C(n_14255),
+	.D(n_14356),
+	.Y(n_14794), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g701144 (
+	.A(n_14192),
+	.B(n_14281),
+	.C(n_14260),
+	.D(n_14253),
+	.Y(n_14793), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g701145 (
+	.A(n_14296),
+	.B(n_14270),
+	.C(n_14293),
+	.D(n_14271),
+	.Y(n_14792), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221a_1 g701146 (
+	.A1(n_13502),
+	.A2(n_33752),
+	.B1(n_13820),
+	.B2(n_33753),
+	.C1(n_14670),
+	.X(n_14791), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221a_1 g701147 (
+	.A1(n_13828),
+	.A2(n_33684),
+	.B1(n_13786),
+	.B2(n_33683),
+	.C1(n_14673),
+	.X(n_14790), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g701148 (
+	.A(n_14166),
+	.B(n_14230),
+	.C(n_14301),
+	.D(n_14218),
+	.Y(n_14789), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221a_1 g701149 (
+	.A1(n_13821),
+	.A2(n_33736),
+	.B1(n_13789),
+	.B2(n_33737),
+	.C1(n_14674),
+	.X(n_14788), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g701150 (
+	.A1(n_13526),
+	.A2(n_33726),
+	.B1(n_14033),
+	.C1(n_14376),
+	.D1(n_14482),
+	.Y(n_14787), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g701151 (
+	.A(n_14266),
+	.B(n_14245),
+	.C(n_14176),
+	.D(n_14248),
+	.Y(n_14786), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g701152 (
+	.A(n_14243),
+	.B(n_14244),
+	.C(n_14257),
+	.D(n_14235),
+	.Y(n_14785), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221a_1 g701153 (
+	.A1(n_13848),
+	.A2(n_33788),
+	.B1(n_13556),
+	.B2(n_33789),
+	.C1(n_14671),
+	.X(n_14784), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g701154 (
+	.A(n_14308),
+	.B(n_14333),
+	.C(n_14330),
+	.D(n_14234),
+	.Y(n_14783), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g701155 (
+	.A(n_14279),
+	.B(n_14269),
+	.C(n_14341),
+	.D(n_14286),
+	.Y(n_14782), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g701156 (
+	.A(n_14188),
+	.B(n_14186),
+	.C(n_14300),
+	.D(n_14187),
+	.Y(n_14781), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g701157 (
+	.A(n_14182),
+	.B(n_14273),
+	.C(n_14316),
+	.D(n_14320),
+	.Y(n_14780), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g701158 (
+	.A(n_14171),
+	.B(n_14278),
+	.C(n_14378),
+	.D(n_14364),
+	.Y(n_14779), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221a_1 g701159 (
+	.A1(n_13828),
+	.A2(n_33704),
+	.B1(n_13786),
+	.B2(n_33705),
+	.C1(n_14669),
+	.X(n_14778), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g701160 (
+	.A(n_14183),
+	.B(n_14160),
+	.C(n_14287),
+	.D(n_14168),
+	.Y(n_14777), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g701161 (
+	.A(n_14277),
+	.B(n_14374),
+	.C(n_14338),
+	.D(n_14247),
+	.Y(n_14776), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221a_1 g701162 (
+	.A1(n_13581),
+	.A2(n_33587),
+	.B1(n_13536),
+	.B2(n_33586),
+	.C1(n_14665),
+	.X(n_14775), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221a_1 g701163 (
+	.A1(n_13578),
+	.A2(n_33571),
+	.B1(n_13835),
+	.B2(n_33570),
+	.C1(n_14662),
+	.X(n_14774), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g701164 (
+	.A(n_14292),
+	.B(n_14334),
+	.C(n_14332),
+	.D(n_14164),
+	.Y(n_14773), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g701165 (
+	.A(n_14221),
+	.B(n_14217),
+	.C(n_14215),
+	.D(n_14211),
+	.Y(n_14772), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g701166 (
+	.A(n_14210),
+	.B(n_14207),
+	.C(n_14335),
+	.D(n_14214),
+	.Y(n_14771), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g701167 (
+	.A(n_14206),
+	.B(n_14280),
+	.C(n_14208),
+	.D(n_14209),
+	.Y(n_14770), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g701168 (
+	.A(n_14199),
+	.B(n_14294),
+	.C(n_14205),
+	.D(n_14272),
+	.Y(n_14769), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g701169 (
+	.A(n_14159),
+	.B(n_14173),
+	.C(n_14219),
+	.D(n_14204),
+	.Y(n_14768), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g701170 (
+	.A(n_14201),
+	.B(n_14200),
+	.C(n_14197),
+	.D(n_14203),
+	.Y(n_14767), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221a_1 g701171 (
+	.A1(n_13916),
+	.A2(n_33752),
+	.B1(n_13598),
+	.B2(n_33753),
+	.C1(n_14659),
+	.X(n_14766), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221a_1 g701172 (
+	.A1(n_13821),
+	.A2(n_33651),
+	.B1(n_13789),
+	.B2(n_33650),
+	.C1(n_14657),
+	.X(n_14765), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g701173 (
+	.A1(n_13546),
+	.A2(n_33541),
+	.B1(n_13779),
+	.B2(n_33540),
+	.C1(n_14658),
+	.Y(n_14764), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g701174 (
+	.A(n_14342),
+	.B(n_14343),
+	.C(n_14348),
+	.D(n_14328),
+	.Y(n_14763), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g701175 (
+	.A1(n_13457),
+	.A2(n_33526),
+	.B1(n_13769),
+	.B2(n_33536),
+	.C1(n_14656),
+	.Y(n_14762), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g701176 (
+	.A(n_14249),
+	.B(n_14354),
+	.C(n_14355),
+	.D(n_14350),
+	.Y(n_14761), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g701177 (
+	.A(n_14346),
+	.B(n_14318),
+	.C(n_14306),
+	.D(n_14161),
+	.Y(n_14760), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221a_1 g701178 (
+	.A1(n_13652),
+	.A2(n_33784),
+	.B1(n_13913),
+	.B2(n_33785),
+	.C1(n_14655),
+	.X(n_14759), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g701179 (
+	.A(n_14365),
+	.B(n_14352),
+	.C(n_14225),
+	.D(n_14227),
+	.Y(n_14758), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221a_1 g701180 (
+	.A1(n_13581),
+	.A2(n_33816),
+	.B1(n_13536),
+	.B2(n_33817),
+	.C1(n_14672),
+	.X(n_14757), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g701181 (
+	.A(n_14337),
+	.B(n_14261),
+	.C(n_14366),
+	.D(n_14363),
+	.Y(n_14756), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g701182 (
+	.A(n_14379),
+	.B(n_14375),
+	.C(n_14226),
+	.D(n_14309),
+	.Y(n_14755), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221a_1 g701183 (
+	.A1(n_13586),
+	.A2(n_33736),
+	.B1(n_13890),
+	.B2(n_33737),
+	.C1(n_14663),
+	.X(n_14754), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g701184 (
+	.A(n_14371),
+	.B(n_14372),
+	.C(n_14373),
+	.D(n_14370),
+	.Y(n_14753), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g701185 (
+	.A(n_14344),
+	.B(n_14377),
+	.C(n_14380),
+	.D(n_14381),
+	.Y(n_14752), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g701186 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_fetch_rd_tag_f [2]),
+	.A2(n_33122),
+	.B1(n_14679),
+	.Y(n_14751), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221a_1 g701187 (
+	.A1(n_13811),
+	.A2(n_33654),
+	.B1(n_13490),
+	.B2(n_33657),
+	.C1(n_14654),
+	.X(n_14750), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g701188 (
+	.A(n_14181),
+	.B(n_14264),
+	.C(n_14172),
+	.D(n_14305),
+	.Y(n_14749), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g701189 (
+	.A(n_14295),
+	.B(n_14185),
+	.C(n_14180),
+	.D(n_14315),
+	.Y(n_14748), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221a_1 g701190 (
+	.A1(n_13920),
+	.A2(n_33722),
+	.B1(n_13962),
+	.B2(n_33723),
+	.C1(n_14648),
+	.X(n_14747), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221a_1 g701191 (
+	.A1(n_13855),
+	.A2(n_33591),
+	.B1(n_13813),
+	.B2(n_33592),
+	.C1(n_14664),
+	.X(n_14746), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g701192 (
+	.A(n_14387),
+	.B(n_14165),
+	.C(n_14275),
+	.D(n_14321),
+	.Y(n_14745), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g701193 (
+	.A(n_14317),
+	.B(n_14310),
+	.C(n_14202),
+	.D(n_14311),
+	.Y(n_14744), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g701194 (
+	.A(n_14072),
+	.B(n_14063),
+	.C(n_14126),
+	.Y(n_14828), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g701195 (
+	.A1(n_14127),
+	.A2(n_14065),
+	.B1(n_14075),
+	.Y(n_14743), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g701196 (
+	.A(n_14731),
+	.B(n_14726),
+	.Y(n_14827), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g701197 (
+	.A(n_14735),
+	.B(n_14562),
+	.Y(n_14826), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g701198 (
+	.A(n_14735),
+	.B(n_14561),
+	.Y(n_14824), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g701199 (
+	.A(n_14736),
+	.B(n_14562),
+	.X(n_14823), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g701200 (
+	.A(n_14736),
+	.B(n_14561),
+	.Y(n_14821), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g701201 (
+	.A(n_14734),
+	.B(n_14561),
+	.Y(n_14819), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g701202 (
+	.A(n_14734),
+	.B(n_14562),
+	.Y(n_14817), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g701203 (
+	.A(n_14737),
+	.B(n_14562),
+	.Y(n_14815), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g701204 (
+	.A(n_14737),
+	.B(n_14561),
+	.Y(n_14813), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g701206 (
+	.A(n_14732),
+	.Y(n_14733), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g701207 (
+	.A(n_14727),
+	.Y(n_14728), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g701209 (
+	.A(n_14724),
+	.Y(n_14725), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g701211 (
+	.A(n_14720),
+	.Y(n_14721), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g701212 (
+	.A(n_14710),
+	.Y(n_14709), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g701213 (
+	.A(n_14707),
+	.Y(n_14708), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g701214 (
+	.A(n_14706),
+	.Y(n_14705), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g701215 (
+	.A(n_14703),
+	.Y(n_14704), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g701216 (
+	.A(n_14701),
+	.Y(n_14702), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g701217 (
+	.A(n_14700),
+	.Y(n_14699), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g701218 (
+	.A(n_14698),
+	.Y(n_14697), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g701219 (
+	.A(n_14696),
+	.Y(n_14695), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g701220 (
+	.A(n_14694),
+	.Y(n_35362), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 g701221 (
+	.A(n_14029),
+	.B(n_35825),
+	.COUT(n_14692),
+	.SUM(n_14693), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g701222 (
+	.A1(brqrv_top_brqrv_dec_tlu_interrupt_valid_r_d1),
+	.A2(n_32507),
+	.B1(n_14472),
+	.Y(n_14691), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g701223 (
+	.A1(n_13503),
+	.A2(n_33829),
+	.B1(n_13561),
+	.B2(n_33830),
+	.C1(n_14464),
+	.Y(n_14690), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g701224 (
+	.A(brqrv_top_dmi_wrapper_i_jtag_tap_n_958),
+	.B(n_34712),
+	.X(brqrv_top_dmi_wrapper_i_jtag_tap_n_716), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g701225 (
+	.A1(n_13796),
+	.A2(n_33500),
+	.B1(n_13757),
+	.B2(n_33828),
+	.C1(n_14466),
+	.Y(n_14689), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g701226 (
+	.A1(n_14047),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [18]),
+	.B1(n_14100),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [96]),
+	.C1(n_14496),
+	.X(n_36787), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g701227 (
+	.A1(n_14047),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [19]),
+	.B1(n_14100),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [97]),
+	.C1(n_14493),
+	.X(n_36788), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g701228 (
+	.A1(n_14047),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [12]),
+	.B1(n_14100),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [90]),
+	.C1(n_14513),
+	.X(n_36781), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g701229 (
+	.A1(n_14047),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [20]),
+	.B1(n_14100),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [98]),
+	.C1(n_14484),
+	.X(n_36789), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g701230 (
+	.A1(n_14047),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [21]),
+	.B1(n_14100),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [99]),
+	.C1(n_14462),
+	.X(n_36790), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g701231 (
+	.A1(n_14047),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [22]),
+	.B1(n_14100),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [100]),
+	.C1(n_14459),
+	.X(n_36791), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g701232 (
+	.A1(n_14047),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [23]),
+	.B1(n_14100),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [101]),
+	.C1(n_14458),
+	.X(n_36792), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g701233 (
+	.A1(n_14047),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [24]),
+	.B1(n_14100),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [102]),
+	.C1(n_14461),
+	.X(n_36793), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g701234 (
+	.A1(n_14047),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [25]),
+	.B1(n_14100),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [103]),
+	.C1(n_14449),
+	.X(n_36794), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g701235 (
+	.A(n_14566),
+	.B(n_14560),
+	.Y(n_14742), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g701236 (
+	.A1(n_14047),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [26]),
+	.B1(n_14100),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [104]),
+	.C1(n_14450),
+	.X(n_36795), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g701237 (
+	.A1(n_14047),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [27]),
+	.B1(n_14100),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [105]),
+	.C1(n_14451),
+	.X(n_36796), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g701238 (
+	.A1(n_14047),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [28]),
+	.B1(n_14100),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [106]),
+	.C1(n_14526),
+	.X(n_36797), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g701239 (
+	.A1(n_14047),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [29]),
+	.B1(n_14100),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [107]),
+	.C1(n_14452),
+	.X(n_36798), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g701240 (
+	.A1(n_14047),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [30]),
+	.B1(n_14100),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [108]),
+	.C1(n_14453),
+	.X(n_36799), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g701241 (
+	.A1(n_14047),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [31]),
+	.B1(n_14100),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [109]),
+	.C1(n_14454),
+	.X(n_36800), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g701242 (
+	.A1(n_14047),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [13]),
+	.B1(n_14100),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [91]),
+	.C1(n_14512),
+	.X(n_36782), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g701243 (
+	.A(n_14582),
+	.B(n_14572),
+	.Y(n_14741), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g701244 (
+	.A(n_14581),
+	.B(n_14571),
+	.Y(n_14740), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g701245 (
+	.A(n_14571),
+	.B(n_14582),
+	.Y(n_14739), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g701246 (
+	.A(n_14581),
+	.B(n_14572),
+	.Y(n_14738), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g701247 (
+	.A1(n_14047),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [14]),
+	.B1(n_14100),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [92]),
+	.C1(n_14511),
+	.X(n_36783), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701248 (
+	.A(n_14577),
+	.B(n_14575),
+	.Y(n_14737), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701249 (
+	.A(n_14578),
+	.B(n_14575),
+	.Y(n_14736), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701250 (
+	.A(n_14576),
+	.B(n_14577),
+	.Y(n_14735), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701251 (
+	.A(n_14578),
+	.B(n_14576),
+	.Y(n_14734), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g701252 (
+	.A1(n_14047),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [15]),
+	.B1(n_14100),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [93]),
+	.C1(n_14510),
+	.X(n_36784), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g701254 (
+	.A1(n_14047),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [16]),
+	.B1(n_14046),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [55]),
+	.C1(n_14499),
+	.X(n_36785), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g701255 (
+	.A1(n_14047),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [17]),
+	.B1(n_14046),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [56]),
+	.C1(n_14497),
+	.X(n_36786), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g701256 (
+	.A(n_14564),
+	.B(n_14560),
+	.Y(n_14732), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701257 (
+	.A(n_14553),
+	.B(n_14563),
+	.Y(n_14731), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701258 (
+	.A(n_14566),
+	.B(n_14558),
+	.Y(n_14730), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701259 (
+	.A(n_14569),
+	.B(n_14570),
+	.Y(n_14729), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g701260 (
+	.A(n_14570),
+	.B(n_14569),
+	.Y(n_14727), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701261 (
+	.A(n_14568),
+	.B(n_14570),
+	.Y(n_14726), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g701262 (
+	.A(n_14570),
+	.B(n_14568),
+	.Y(n_14724), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g701263 (
+	.A1(n_34668),
+	.A2(n_14448),
+	.B1(n_33300),
+	.Y(n_14723), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701264 (
+	.A(n_14566),
+	.B(n_14559),
+	.Y(n_14722), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g701265 (
+	.A(n_14564),
+	.B(n_14553),
+	.Y(n_14720), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g701266 (
+	.A(n_14565),
+	.B(n_14118),
+	.Y(n_14719), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701267 (
+	.A(n_14573),
+	.B(n_14579),
+	.Y(n_14718), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701268 (
+	.A(n_14574),
+	.B(n_14580),
+	.Y(n_14717), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g701269 (
+	.A(n_14565),
+	.B(n_14120),
+	.Y(n_14716), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g701270 (
+	.A(n_14565),
+	.B(n_14059),
+	.Y(n_14715), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g701271 (
+	.A(n_14565),
+	.B(n_14061),
+	.Y(n_14714), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701272 (
+	.A(n_14574),
+	.B(n_14579),
+	.Y(n_14713), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701273 (
+	.A(n_14573),
+	.B(n_14580),
+	.Y(n_14712), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g701274 (
+	.A(n_13745),
+	.B(n_14190),
+	.C(n_42046),
+	.Y(n_14711), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g701276 (
+	.A(n_14643),
+	.B(n_14102),
+	.Y(n_14710), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701277 (
+	.A(n_14102),
+	.B(n_14641),
+	.Y(n_14707), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g701278 (
+	.A(n_14640),
+	.B(n_14102),
+	.Y(n_14706), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701279 (
+	.A(n_14102),
+	.B(n_13434),
+	.Y(n_14703), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701280 (
+	.A(n_14102),
+	.B(n_14638),
+	.Y(n_14701), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g701281 (
+	.A(n_14642),
+	.B(n_14102),
+	.Y(n_14700), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g701282 (
+	.A(n_14639),
+	.B(n_14102),
+	.Y(n_14698), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g701283 (
+	.A(n_14640),
+	.B(n_14101),
+	.Y(n_14696), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701284 (
+	.A(n_14616),
+	.B(n_14587),
+	.Y(n_14694), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g701285 (
+	.A(n_14687),
+	.Y(n_14686), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g701286 (
+	.A(n_13432),
+	.Y(n_14685), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g701287 (
+	.A(n_14684),
+	.Y(n_14683), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux4_2 g701288 (
+	.A0(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_valid[1]),
+	.A1(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_valid[5]),
+	.A2(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_valid[3]),
+	.A3(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_valid[7]),
+	.S0(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[5]),
+	.S1(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[4]),
+	.X(n_34183), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g701289 (
+	.A(n_14157),
+	.B(n_14152),
+	.C(n_14133),
+	.D(n_14131),
+	.Y(n_14682), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g701290 (
+	.A1(n_14426),
+	.A2(brqrv_top_brqrv_exu_ghr_d[6]),
+	.B1(n_14427),
+	.B2(brqrv_top_brqrv_exu_ghr_x[6]),
+	.Y(n_323486_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g701291 (
+	.A1(n_14426),
+	.A2(brqrv_top_brqrv_exu_ghr_d[3]),
+	.B1(n_14427),
+	.B2(brqrv_top_brqrv_exu_ghr_x[3]),
+	.Y(n_323534_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g701292 (
+	.A1(n_14426),
+	.A2(brqrv_top_brqrv_exu_ghr_d[2]),
+	.B1(n_14427),
+	.B2(brqrv_top_brqrv_exu_ghr_x[2]),
+	.Y(n_323558_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g701293 (
+	.A1(n_14426),
+	.A2(brqrv_top_brqrv_exu_ghr_d[4]),
+	.B1(n_14427),
+	.B2(brqrv_top_brqrv_exu_ghr_x[4]),
+	.Y(n_323582_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g701294 (
+	.A1(n_14426),
+	.A2(brqrv_top_brqrv_exu_ghr_d[5]),
+	.B1(n_14427),
+	.B2(brqrv_top_brqrv_exu_ghr_x[5]),
+	.Y(n_323510_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g701295 (
+	.A1(n_14426),
+	.A2(brqrv_top_brqrv_exu_ghr_d[0]),
+	.B1(n_14427),
+	.B2(brqrv_top_brqrv_exu_ghr_x[0]),
+	.X(n_34960), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g701297 (
+	.A(n_14140),
+	.B(n_14139),
+	.C(n_14040),
+	.Y(n_14681), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g701298 (
+	.A(n_14142),
+	.B(n_14143),
+	.C(n_14094),
+	.Y(n_14680), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g701299 (
+	.A1(n_14102),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_f [5]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_fetch_rd_tag_f [3]),
+	.B2(n_33126),
+	.C1(n_14525),
+	.X(n_14679), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g701300 (
+	.A1(n_35320),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_fetch_rd_tag_f [4]),
+	.B1(n_14465),
+	.Y(n_14678), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g701301 (
+	.A1(n_13825),
+	.A2(n_33480),
+	.B1(n_14470),
+	.Y(n_14677), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111oi_0 g701302 (
+	.A1(n_13798),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [65]),
+	.B1(n_14097),
+	.C1(n_14331),
+	.D1(n_14313),
+	.Y(n_14676), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111oi_0 g701303 (
+	.A1(n_13617),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [100]),
+	.B1(n_14034),
+	.C1(n_14291),
+	.D1(n_14358),
+	.Y(n_14675), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221a_1 g701304 (
+	.A1(n_13549),
+	.A2(n_33734),
+	.B1(n_13862),
+	.B2(n_33735),
+	.C1(n_14477),
+	.X(n_14674), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221a_1 g701305 (
+	.A1(n_13783),
+	.A2(n_33685),
+	.B1(n_13477),
+	.B2(n_33686),
+	.C1(n_14498),
+	.X(n_14673), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221a_1 g701306 (
+	.A1(n_13868),
+	.A2(n_33814),
+	.B1(n_13872),
+	.B2(n_33815),
+	.C1(n_14487),
+	.X(n_14672), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221a_1 g701307 (
+	.A1(n_13873),
+	.A2(n_33786),
+	.B1(n_13529),
+	.B2(n_33787),
+	.C1(n_14502),
+	.X(n_14671), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221a_1 g701308 (
+	.A1(n_13773),
+	.A2(n_33750),
+	.B1(n_13839),
+	.B2(n_33751),
+	.C1(n_14505),
+	.X(n_14670), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221a_1 g701309 (
+	.A1(n_13477),
+	.A2(n_33702),
+	.B1(n_13783),
+	.B2(n_33703),
+	.C1(n_14508),
+	.X(n_14669), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g701310 (
+	.A1(n_13608),
+	.A2(n_33766),
+	.B1(n_13923),
+	.B2(n_33770),
+	.C1(n_14507),
+	.Y(n_14668), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g701311 (
+	.A1(n_13528),
+	.A2(n_33714),
+	.B1(n_14084),
+	.C1(n_14262),
+	.D1(n_14351),
+	.Y(n_14667), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g701312 (
+	.A1(n_13537),
+	.A2(n_33790),
+	.B1(n_13517),
+	.B2(n_33791),
+	.C1(n_14501),
+	.Y(n_14666), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221a_1 g701313 (
+	.A1(n_13872),
+	.A2(n_33588),
+	.B1(n_13868),
+	.B2(n_33589),
+	.C1(n_14500),
+	.X(n_14665), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221a_1 g701314 (
+	.A1(n_13515),
+	.A2(n_33597),
+	.B1(n_13568),
+	.B2(n_33595),
+	.C1(n_14509),
+	.X(n_14664), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221a_1 g701315 (
+	.A1(n_13896),
+	.A2(n_33734),
+	.B1(n_13625),
+	.B2(n_33735),
+	.C1(n_14483),
+	.X(n_14663), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221a_1 g701316 (
+	.A1(n_13566),
+	.A2(n_33572),
+	.B1(n_13775),
+	.B2(n_33573),
+	.C1(n_14495),
+	.X(n_14662), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221a_1 g701317 (
+	.A1(n_13531),
+	.A2(n_33633),
+	.B1(n_13816),
+	.B2(n_33632),
+	.C1(n_14491),
+	.X(n_14661), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g701318 (
+	.A(n_14336),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[12]),
+	.C(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[13]),
+	.Y(n_14660), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221a_1 g701319 (
+	.A1(n_13636),
+	.A2(n_33750),
+	.B1(n_13588),
+	.B2(n_33751),
+	.C1(n_14490),
+	.X(n_14659), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111oi_0 g701320 (
+	.A1(n_13919),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [55]),
+	.B1(n_14090),
+	.C1(n_14339),
+	.D1(n_14303),
+	.Y(n_14658), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221a_1 g701321 (
+	.A1(n_13862),
+	.A2(n_33652),
+	.B1(n_13549),
+	.B2(n_33653),
+	.C1(n_14481),
+	.X(n_14657), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111oi_0 g701322 (
+	.A1(n_13623),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [63]),
+	.B1(n_14037),
+	.C1(n_14345),
+	.D1(n_14323),
+	.Y(n_14656), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221a_1 g701323 (
+	.A1(n_13655),
+	.A2(n_33782),
+	.B1(n_13926),
+	.B2(n_33783),
+	.C1(n_14485),
+	.X(n_14655), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221a_1 g701324 (
+	.A1(n_13526),
+	.A2(n_33661),
+	.B1(n_13510),
+	.B2(n_33659),
+	.C1(n_14479),
+	.X(n_14654), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g701325 (
+	.A1(n_13934),
+	.A2(n_33758),
+	.B1(n_13893),
+	.B2(n_33759),
+	.C1(n_14504),
+	.Y(n_14653), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g701326 (
+	.A1(n_14110),
+	.A2(n_43159),
+	.B1(n_14529),
+	.C1(n_14369),
+	.Y(n_14652), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221a_1 g701327 (
+	.A1(n_13910),
+	.A2(n_33702),
+	.B1(n_13958),
+	.B2(n_33703),
+	.C1(n_14478),
+	.X(n_14651), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g701328 (
+	.A1(n_13555),
+	.A2(n_33402),
+	.B1(n_13491),
+	.B2(n_33388),
+	.C1(n_14476),
+	.Y(n_14650), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g701329 (
+	.A1(n_13473),
+	.A2(n_33406),
+	.B1(n_13853),
+	.B2(n_33409),
+	.C1(n_14475),
+	.Y(n_14649), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221a_1 g701330 (
+	.A1(n_13644),
+	.A2(n_33724),
+	.B1(n_13591),
+	.B2(n_33725),
+	.C1(n_14474),
+	.X(n_14648), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221a_1 g701331 (
+	.A1(n_13530),
+	.A2(n_33446),
+	.B1(n_13843),
+	.B2(n_33445),
+	.C1(n_14489),
+	.X(n_14647), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g701332 (
+	.A1(n_13481),
+	.A2(n_33758),
+	.B1(n_13577),
+	.B2(n_33759),
+	.C1(n_14480),
+	.Y(n_14646), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g701333 (
+	.A1(n_13758),
+	.A2(n_33549),
+	.B1(n_14471),
+	.Y(n_14645), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g701334 (
+	.A1(n_13605),
+	.A2(brqrv_top_brqrv_dec_tlu_trigger_hit_r_d1),
+	.B1(n_34619),
+	.B2(brqrv_top_brqrv_dec_tlu_excinfo_wb_ff_n_19),
+	.C1(n_14473),
+	.Y(n_14644), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g701335 (
+	.A1(n_14047),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [10]),
+	.B1(n_14100),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [88]),
+	.C1(n_14515),
+	.X(n_36779), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g701336 (
+	.A1(n_14047),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [11]),
+	.B1(n_14100),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [89]),
+	.C1(n_14514),
+	.X(n_36780), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g701337 (
+	.A1(n_14063),
+	.A2(n_14126),
+	.B1(n_14072),
+	.Y(n_14688), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g701338 (
+	.A1(n_14047),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [9]),
+	.B1(n_14100),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [87]),
+	.C1(n_14516),
+	.X(n_36778), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g701339 (
+	.A1(n_14047),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [8]),
+	.B1(n_14100),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [86]),
+	.C1(n_14517),
+	.X(n_36777), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g701340 (
+	.A1(n_14047),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [2]),
+	.B1(n_14100),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [80]),
+	.C1(n_14522),
+	.X(n_36771), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g701341 (
+	.A1(n_14047),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [3]),
+	.B1(n_14100),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [81]),
+	.C1(n_14521),
+	.X(n_36772), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g701342 (
+	.A1(n_14047),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [4]),
+	.B1(n_14100),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [82]),
+	.C1(n_14520),
+	.X(n_36773), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g701343 (
+	.A1(n_14047),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [5]),
+	.B1(n_14100),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [83]),
+	.C1(n_14519),
+	.X(n_36774), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g701344 (
+	.A1(n_14047),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [6]),
+	.B1(n_14100),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [84]),
+	.C1(n_14518),
+	.X(n_36775), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g701345 (
+	.A1(n_14047),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [7]),
+	.B1(n_14100),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [85]),
+	.C1(n_14456),
+	.X(n_36776), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g701346 (
+	.A1(n_14047),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [0]),
+	.B1(n_14046),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [39]),
+	.C1(n_14524),
+	.X(n_36769), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g701347 (
+	.A1(n_14047),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [1]),
+	.B1(n_14046),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [40]),
+	.C1(n_14523),
+	.X(n_36770), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701348 (
+	.A1(brqrv_top_brqrv_exu_mp_pkt[32]),
+	.A2(n_14448),
+	.B1(n_35573),
+	.B2(brqrv_top_brqrv_exu_i0_br_way_r),
+	.Y(n_14687), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g701350 (
+	.A(n_14447),
+	.B(brqrv_top_brqrv_exu_i0_br_valid_r),
+	.C(n_14189),
+	.Y(n_14684), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g701351 (
+	.A(n_13434),
+	.Y(n_14643), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g701352 (
+	.A(n_14641),
+	.Y(n_14642), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g701353 (
+	.A(n_14638),
+	.Y(n_14639), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g701354 (
+	.A(n_14631),
+	.Y(n_14630), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g701355 (
+	.A(n_14629),
+	.Y(n_14628), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g701356 (
+	.A(n_14626),
+	.Y(n_14625), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g701357 (
+	.A(n_14624),
+	.Y(n_14623), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g701358 (
+	.A(n_14622),
+	.Y(n_14621), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g701359 (
+	.A(n_14620),
+	.Y(n_14619), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g701360 (
+	.A(n_14618),
+	.Y(n_14617), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g701361 (
+	.A(n_35363),
+	.Y(n_14616), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g701362 (
+	.A(n_14615),
+	.Y(n_14614), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g701363 (
+	.A(n_14613),
+	.Y(n_14612), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g701364 (
+	.A(n_14611),
+	.Y(n_14610), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g701365 (
+	.A(n_14609),
+	.Y(n_14608), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g701366 (
+	.A(n_14607),
+	.Y(n_14606), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g701367 (
+	.A(n_14605),
+	.Y(n_14604), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g701368 (
+	.A(n_14603),
+	.Y(n_14602), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g701369 (
+	.A(n_14601),
+	.Y(n_14600), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g701370 (
+	.A(n_14599),
+	.Y(n_14598), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g701371 (
+	.A(n_14597),
+	.Y(n_14596), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g701372 (
+	.A(n_14595),
+	.Y(n_14594), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g701373 (
+	.A(n_14593),
+	.Y(n_14592), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g701374 (
+	.A(n_14591),
+	.Y(n_14590), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g701375 (
+	.A(n_14589),
+	.Y(n_14588), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701376 (
+	.A(n_14405),
+	.B(n_14420),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg_we[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g701377 (
+	.A(rst),
+	.B_N(io_in[0]),
+	.Y(n_35661), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701378 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[4]),
+	.B(n_14158),
+	.Y(n_309059_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g701379 (
+	.A_N(rst),
+	.B(io_in[2]),
+	.Y(brqrv_top_dmi_wrapper_i_jtag_tap_n_451), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701380 (
+	.A(n_14407),
+	.B(n_14424),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg_we[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701381 (
+	.A(n_14405),
+	.B(n_14424),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg_we[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701382 (
+	.A(n_14409),
+	.B(n_14419),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701383 (
+	.A(n_14409),
+	.B(n_14418),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701384 (
+	.A(n_14409),
+	.B(n_14423),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701385 (
+	.A(n_14409),
+	.B(n_14417),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701386 (
+	.A(n_14409),
+	.B(n_14415),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701387 (
+	.A(n_14409),
+	.B(n_14416),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701388 (
+	.A(n_14409),
+	.B(n_14421),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg_we[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701389 (
+	.A(n_14413),
+	.B(n_14428),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701390 (
+	.A(n_14412),
+	.B(n_14428),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701391 (
+	.A(n_14411),
+	.B(n_14428),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701392 (
+	.A(n_14412),
+	.B(n_14425),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701393 (
+	.A(n_14413),
+	.B(n_14425),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701394 (
+	.A(n_14411),
+	.B(n_14425),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701395 (
+	.A(n_14410),
+	.B(n_14428),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701396 (
+	.A(n_14410),
+	.B(n_14425),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701397 (
+	.A(n_14412),
+	.B(n_14420),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg_we[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701398 (
+	.A(n_14414),
+	.B(n_14420),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg_we[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701399 (
+	.A(n_14411),
+	.B(n_14420),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg_we[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701400 (
+	.A(n_14414),
+	.B(n_14422),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg_we[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o41ai_1 g701401 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteen[4]),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteen[5]),
+	.A3(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteen[7]),
+	.A4(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteen[6]),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_write),
+	.Y(n_14587), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701402 (
+	.A(n_14411),
+	.B(n_14422),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg_we[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g701403 (
+	.A1(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_valid[4]),
+	.A2(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[5]),
+	.B1(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_valid[0]),
+	.B2(n_13447),
+	.C1(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[4]),
+	.Y(n_35027), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701404 (
+	.A(n_14409),
+	.B(n_14422),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg_we[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701405 (
+	.A(n_14409),
+	.B(n_14420),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg_we[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701406 (
+	.A(n_14413),
+	.B(n_14422),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg_we[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701407 (
+	.A(n_14413),
+	.B(n_14420),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg_we[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701408 (
+	.A(n_14405),
+	.B(n_14419),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701409 (
+	.A(n_14407),
+	.B(n_14419),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701410 (
+	.A(n_14405),
+	.B(n_14418),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701411 (
+	.A(n_14405),
+	.B(n_14423),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701412 (
+	.A(n_14407),
+	.B(n_14423),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701413 (
+	.A(n_14405),
+	.B(n_14417),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701414 (
+	.A(n_14407),
+	.B(n_14418),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701415 (
+	.A(n_14407),
+	.B(n_14415),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701416 (
+	.A(n_14405),
+	.B(n_14415),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701417 (
+	.A(n_14405),
+	.B(n_14416),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701418 (
+	.A(n_14407),
+	.B(n_14416),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701419 (
+	.A(n_14405),
+	.B(n_14421),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg_we[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701420 (
+	.A(n_14407),
+	.B(n_14421),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg_we[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701421 (
+	.A(n_14407),
+	.B(n_14417),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701422 (
+	.A(n_14409),
+	.B(n_14425),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701423 (
+	.A(n_14409),
+	.B(n_14428),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701424 (
+	.A(n_14412),
+	.B(n_14422),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg_we[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701425 (
+	.A(n_14410),
+	.B(n_14420),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg_we[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701426 (
+	.A(n_14410),
+	.B(n_14422),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg_we[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701427 (
+	.A(n_14407),
+	.B(n_14428),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701428 (
+	.A(n_14410),
+	.B(n_14419),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701429 (
+	.A(n_14410),
+	.B(n_14418),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701430 (
+	.A(n_14410),
+	.B(n_14421),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg_we[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701431 (
+	.A(n_14410),
+	.B(n_14423),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701432 (
+	.A(n_14410),
+	.B(n_14417),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701433 (
+	.A(n_14410),
+	.B(n_14415),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701434 (
+	.A(n_14410),
+	.B(n_14416),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701435 (
+	.A(n_14407),
+	.B(n_14425),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701436 (
+	.A(n_14409),
+	.B(n_14424),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg_we[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701437 (
+	.A(n_14407),
+	.B(n_14422),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg_we[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701438 (
+	.A(n_14405),
+	.B(n_14422),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg_we[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701439 (
+	.A(n_14405),
+	.B(n_14428),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701440 (
+	.A(n_14407),
+	.B(n_14420),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg_we[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701441 (
+	.A(n_14414),
+	.B(n_14416),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701442 (
+	.A(n_14412),
+	.B(n_14419),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701443 (
+	.A(n_14414),
+	.B(n_14417),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701444 (
+	.A(n_14414),
+	.B(n_14419),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701445 (
+	.A(n_14411),
+	.B(n_14419),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701446 (
+	.A(n_14414),
+	.B(n_14418),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701447 (
+	.A(n_14411),
+	.B(n_14418),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701448 (
+	.A(n_14412),
+	.B(n_14418),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701449 (
+	.A(n_14413),
+	.B(n_14418),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701450 (
+	.A(n_14411),
+	.B(n_14423),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701451 (
+	.A(n_14413),
+	.B(n_14423),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701452 (
+	.A(n_14412),
+	.B(n_14423),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701453 (
+	.A(n_14411),
+	.B(n_14417),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701454 (
+	.A(n_14413),
+	.B(n_14419),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701455 (
+	.A(n_14413),
+	.B(n_14417),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701456 (
+	.A(n_14412),
+	.B(n_14417),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701457 (
+	.A(n_14414),
+	.B(n_14415),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701458 (
+	.A(n_14411),
+	.B(n_14415),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701459 (
+	.A(n_14413),
+	.B(n_14415),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701460 (
+	.A(n_14412),
+	.B(n_14415),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701461 (
+	.A(n_14411),
+	.B(n_14416),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701462 (
+	.A(n_14412),
+	.B(n_14416),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701463 (
+	.A(n_14411),
+	.B(n_14421),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg_we[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701464 (
+	.A(n_14413),
+	.B(n_14421),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg_we[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701465 (
+	.A(n_14414),
+	.B(n_14421),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg_we[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701466 (
+	.A(n_14413),
+	.B(n_14416),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701467 (
+	.A(n_14414),
+	.B(n_14423),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701468 (
+	.A(n_14405),
+	.B(n_14425),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701469 (
+	.A(n_14412),
+	.B(n_14421),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg_we[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g701471 (
+	.A(n_14444),
+	.B(n_14103),
+	.Y(n_14641), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701472 (
+	.A(n_14104),
+	.B(n_14443),
+	.Y(n_14640), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g701473 (
+	.A(n_14444),
+	.B(n_14104),
+	.Y(n_14638), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g701474 (
+	.A(n_14430),
+	.B(n_14438),
+	.Y(n_14637), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g701475 (
+	.A(n_14430),
+	.B(n_14436),
+	.Y(n_14636), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g701476 (
+	.A(n_14434),
+	.B(n_14429),
+	.Y(n_14635), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g701477 (
+	.A(n_14440),
+	.B(n_14429),
+	.Y(n_14634), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g701478 (
+	.A(n_14430),
+	.B(n_14442),
+	.Y(n_14633), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g701479 (
+	.A(n_14430),
+	.B(n_14429),
+	.Y(n_14632), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701480 (
+	.A(n_14437),
+	.B(n_14439),
+	.Y(n_14631), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701481 (
+	.A(n_14441),
+	.B(n_14431),
+	.Y(n_14629), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g701482 (
+	.A(n_14429),
+	.B(n_14432),
+	.Y(n_14627), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701483 (
+	.A(n_14431),
+	.B(n_14435),
+	.Y(n_14626), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701484 (
+	.A(n_14437),
+	.B(n_14433),
+	.Y(n_14624), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701485 (
+	.A(n_14437),
+	.B(n_14431),
+	.Y(n_14622), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g701486 (
+	.A(n_14400),
+	.B(n_14057),
+	.X(n_14620), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g701487 (
+	.A(n_14113),
+	.B(n_14397),
+	.Y(n_14618), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o41ai_1 g701488 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteen[0]),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteen[1]),
+	.A3(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteen[3]),
+	.A4(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteen[2]),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_write),
+	.Y(n_35363), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701489 (
+	.A(n_14390),
+	.B(n_14057),
+	.Y(n_14615), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701490 (
+	.A(n_13431),
+	.B(n_14114),
+	.Y(n_14613), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701491 (
+	.A(n_13431),
+	.B(n_14057),
+	.Y(n_14611), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g701492 (
+	.A(n_14400),
+	.B(n_14114),
+	.X(n_14609), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701493 (
+	.A(n_13430),
+	.B(n_14057),
+	.Y(n_14607), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701494 (
+	.A(n_13430),
+	.B(n_14114),
+	.Y(n_14605), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g701495 (
+	.A(n_14056),
+	.B(n_14397),
+	.Y(n_14603), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g701496 (
+	.A(n_14056),
+	.B(n_14395),
+	.Y(n_14601), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g701497 (
+	.A(n_14113),
+	.B(n_14395),
+	.Y(n_14599), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701498 (
+	.A(n_14390),
+	.B(n_14114),
+	.Y(n_14597), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g701499 (
+	.A(n_14056),
+	.B(n_14393),
+	.Y(n_14595), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g701500 (
+	.A(n_14113),
+	.B(n_14393),
+	.Y(n_14593), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g701501 (
+	.A(n_14056),
+	.B(n_14401),
+	.Y(n_14591), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g701502 (
+	.A(n_14113),
+	.B(n_14401),
+	.Y(n_14589), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g701503 (
+	.A(n_14582),
+	.Y(n_14581), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g701504 (
+	.A(n_14579),
+	.Y(n_14580), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g701505 (
+	.A(n_14578),
+	.Y(n_14577), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g701506 (
+	.A(n_14576),
+	.Y(n_14575), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g701507 (
+	.A(n_14573),
+	.Y(n_14574), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g701508 (
+	.A(n_14572),
+	.Y(n_14571), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g701509 (
+	.A(n_14569),
+	.Y(n_14568), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g701510 (
+	.A(n_14566),
+	.Y(n_14567), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g701512 (
+	.A(n_14564),
+	.Y(n_14563), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g701513 (
+	.A(n_14562),
+	.Y(n_14561), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g701514 (
+	.A(n_14559),
+	.Y(n_14558), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g701515 (
+	.A(n_14553),
+	.Y(n_14552), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g701516 (
+	.A(n_14551),
+	.Y(n_14550), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g701517 (
+	.A(n_14549),
+	.Y(n_14548), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g701518 (
+	.A(n_14537),
+	.Y(n_14536), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g701519 (
+	.A(n_14535),
+	.Y(n_14534), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g701520 (
+	.A(n_14533),
+	.Y(n_14532), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g701521 (
+	.A(n_14531),
+	.Y(n_14530), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g701522 (
+	.A(n_34737),
+	.B(n_34206),
+	.C(n_35052),
+	.D(n_34731),
+	.X(n_34103), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g701523 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_f [7]),
+	.B(n_14103),
+	.X(n_14529), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g701524 (
+	.A1_N(n_43157),
+	.A2_N(n_14106),
+	.B1(n_43157),
+	.B2(n_14106),
+	.Y(n_14528), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701525 (
+	.A(n_14410),
+	.B(n_14424),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg_we[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g701526 (
+	.A1_N(n_43158),
+	.A2_N(n_14051),
+	.B1(n_43158),
+	.B2(n_14051),
+	.Y(n_14527), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g701527 (
+	.A1(n_14046),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [67]),
+	.B1(n_14045),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [145]),
+	.X(n_14526), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g701528 (
+	.A1_N(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_f [4]),
+	.A2_N(n_14108),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_f [5]),
+	.B2(n_14102),
+	.Y(n_14525), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g701529 (
+	.A1(n_14100),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [78]),
+	.B1(n_14045),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [117]),
+	.X(n_14524), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701530 (
+	.A(n_14413),
+	.B(n_14424),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg_we[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701531 (
+	.A(n_14412),
+	.B(n_14424),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg_we[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g701532 (
+	.A1(n_14100),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [79]),
+	.B1(n_14045),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [118]),
+	.X(n_14523), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g701533 (
+	.A1(n_14046),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [41]),
+	.B1(n_14045),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [119]),
+	.X(n_14522), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g701534 (
+	.A1(n_14046),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [42]),
+	.B1(n_14045),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [120]),
+	.X(n_14521), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g701535 (
+	.A1(n_14046),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [43]),
+	.B1(n_14045),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [121]),
+	.X(n_14520), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g701536 (
+	.A1(n_14046),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [44]),
+	.B1(n_14045),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [122]),
+	.X(n_14519), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g701537 (
+	.A1(n_14046),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [45]),
+	.B1(n_14045),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [123]),
+	.X(n_14518), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g701538 (
+	.A1(n_14046),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [47]),
+	.B1(n_14045),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [125]),
+	.X(n_14517), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g701539 (
+	.A1(n_14046),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [48]),
+	.B1(n_14045),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [126]),
+	.X(n_14516), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g701540 (
+	.A1(n_14046),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [49]),
+	.B1(n_14045),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [127]),
+	.X(n_14515), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g701541 (
+	.A1(n_14046),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [50]),
+	.B1(n_14045),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [128]),
+	.X(n_14514), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g701542 (
+	.A1(n_14046),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [51]),
+	.B1(n_14045),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [129]),
+	.X(n_14513), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g701543 (
+	.A1(n_14046),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [52]),
+	.B1(n_14045),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [130]),
+	.X(n_14512), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701544 (
+	.A(n_14136),
+	.B(n_14153),
+	.Y(n_337676_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g701545 (
+	.A1(n_14046),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [53]),
+	.B1(n_14045),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [131]),
+	.X(n_14511), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g701546 (
+	.A1(n_14046),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [54]),
+	.B1(n_14045),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [132]),
+	.X(n_14510), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221a_1 g701547 (
+	.A1(n_13804),
+	.A2(n_33590),
+	.B1(n_13533),
+	.B2(n_33593),
+	.C1(n_14282),
+	.X(n_14509), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221a_1 g701548 (
+	.A1(n_13784),
+	.A2(n_33706),
+	.B1(n_13484),
+	.B2(n_33707),
+	.C1(n_14347),
+	.X(n_14508), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g701549 (
+	.A1(n_13666),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [444]),
+	.B1(n_13658),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [446]),
+	.C1(n_14298),
+	.Y(n_14507), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g701550 (
+	.A1(n_13932),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [156]),
+	.B1(n_13942),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [158]),
+	.C1(n_14238),
+	.Y(n_14506), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221a_1 g701551 (
+	.A1(n_13531),
+	.A2(n_33754),
+	.B1(n_13816),
+	.B2(n_33755),
+	.C1(n_14367),
+	.X(n_14505), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g701552 (
+	.A1(n_13630),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [424]),
+	.B1(n_13956),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [430]),
+	.C1(n_14268),
+	.Y(n_14504), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221a_1 g701553 (
+	.A1(n_13799),
+	.A2(n_33721),
+	.B1(n_13501),
+	.B2(n_33722),
+	.C1(n_14193),
+	.X(n_14503), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221a_1 g701554 (
+	.A1(n_13775),
+	.A2(n_33782),
+	.B1(n_13566),
+	.B2(n_33783),
+	.C1(n_14267),
+	.X(n_14502), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g701555 (
+	.A1(n_13959),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [136]),
+	.B1(n_13589),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [142]),
+	.C1(n_14228),
+	.Y(n_14501), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221a_1 g701556 (
+	.A1(n_13753),
+	.A2(n_33582),
+	.B1(n_13824),
+	.B2(n_33583),
+	.C1(n_14198),
+	.X(n_14500), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g701557 (
+	.A1(n_14100),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [94]),
+	.B1(n_14045),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [133]),
+	.X(n_14499), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221a_1 g701558 (
+	.A1(n_13545),
+	.A2(n_33678),
+	.B1(n_13550),
+	.B2(n_33680),
+	.C1(n_14231),
+	.X(n_14498), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g701559 (
+	.A1(n_14100),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [95]),
+	.B1(n_14045),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [134]),
+	.X(n_14497), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g701560 (
+	.A1(n_14046),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [57]),
+	.B1(n_14045),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [135]),
+	.X(n_14496), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221a_1 g701561 (
+	.A1(n_13556),
+	.A2(n_35119),
+	.B1(n_13848),
+	.B2(n_33567),
+	.C1(n_14224),
+	.X(n_14495), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221a_1 g701562 (
+	.A1(n_13504),
+	.A2(n_33553),
+	.B1(n_13833),
+	.B2(n_33552),
+	.C1(n_14212),
+	.X(n_14494), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g701563 (
+	.A1(n_14046),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [58]),
+	.B1(n_14045),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [136]),
+	.X(n_14493), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g701564 (
+	.A1(n_13609),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [45]),
+	.B1(n_13663),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [33]),
+	.C1(n_14195),
+	.Y(n_14492), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221a_1 g701565 (
+	.A1(n_13502),
+	.A2(n_33635),
+	.B1(n_13820),
+	.B2(n_33634),
+	.C1(n_14222),
+	.X(n_14491), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221a_1 g701566 (
+	.A1(n_13954),
+	.A2(n_33754),
+	.B1(n_13882),
+	.B2(n_33755),
+	.C1(n_14349),
+	.X(n_14490), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g701567 (
+	.A1(n_13791),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [113]),
+	.B1(n_13845),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [114]),
+	.C1(n_14169),
+	.Y(n_14489), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g701568 (
+	.A1(n_13901),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [56]),
+	.B1(n_13653),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [17]),
+	.C1(n_14359),
+	.Y(n_14488), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221a_1 g701569 (
+	.A1(n_13824),
+	.A2(n_33820),
+	.B1(n_13753),
+	.B2(n_33821),
+	.C1(n_14285),
+	.X(n_14487), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g701570 (
+	.A1(n_13901),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [55]),
+	.B1(n_13653),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [16]),
+	.C1(n_14340),
+	.Y(n_14486), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221a_1 g701571 (
+	.A1(n_13966),
+	.A2(n_33786),
+	.B1(n_13669),
+	.B2(n_33787),
+	.C1(n_14360),
+	.X(n_14485), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g701572 (
+	.A1(n_14046),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [59]),
+	.B1(n_14045),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [137]),
+	.X(n_14484), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221a_1 g701573 (
+	.A1(n_13912),
+	.A2(n_33740),
+	.B1(n_13908),
+	.B2(n_33741),
+	.C1(n_14170),
+	.X(n_14483), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221a_1 g701574 (
+	.A1(n_13490),
+	.A2(n_33730),
+	.B1(n_13811),
+	.B2(n_33733),
+	.C1(n_14362),
+	.X(n_14482), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221a_1 g701575 (
+	.A1(n_13487),
+	.A2(n_33646),
+	.B1(n_13570),
+	.B2(n_33647),
+	.C1(n_14353),
+	.X(n_14481), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g701576 (
+	.A1(n_13630),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [168]),
+	.B1(n_13956),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [174]),
+	.C1(n_14258),
+	.Y(n_14480), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221a_1 g701577 (
+	.A1(n_13498),
+	.A2(n_33655),
+	.B1(n_13495),
+	.B2(n_33656),
+	.C1(n_14304),
+	.X(n_14479), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221a_1 g701578 (
+	.A1(n_13657),
+	.A2(n_33706),
+	.B1(n_13641),
+	.B2(n_33707),
+	.C1(n_14265),
+	.X(n_14478), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221a_1 g701579 (
+	.A1(n_13570),
+	.A2(n_33740),
+	.B1(n_13487),
+	.B2(n_33741),
+	.C1(n_14319),
+	.X(n_14477), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g701580 (
+	.A1(n_13474),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [80]),
+	.B1(n_13772),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [87]),
+	.C1(n_14177),
+	.Y(n_14476), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g701581 (
+	.A1(n_13794),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [90]),
+	.B1(n_13869),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [94]),
+	.C1(n_14174),
+	.Y(n_14475), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221a_1 g701582 (
+	.A1(n_13931),
+	.A2(n_33718),
+	.B1(n_13649),
+	.B2(n_33719),
+	.C1(n_14256),
+	.X(n_14474), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g701583 (
+	.A1(brqrv_top_brqrv_dec_tlu_excinfo_wb_ff_n_19),
+	.A2(n_34619),
+	.B1(brqrv_top_brqrv_dec_tlu_trigger_hit_r_d1),
+	.B2(n_13605),
+	.C1(n_14154),
+	.Y(n_14473), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g701584 (
+	.A1(n_13642),
+	.A2(brqrv_top_brqrv_dec_tlu_exc_cause_r[0]),
+	.B1(n_32507),
+	.B2(brqrv_top_brqrv_dec_tlu_interrupt_valid_r_d1),
+	.C1(n_33834),
+	.C2(brqrv_top_brqrv_dec_tlu_excinfo_wb_ff_n_26),
+	.Y(n_14472), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701585 (
+	.A(n_14411),
+	.B(n_14424),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg_we[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g701586 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [114]),
+	.A2(n_13941),
+	.B1(n_13907),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [113]),
+	.C1(n_13909),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [112]),
+	.Y(n_14471), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g701587 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [20]),
+	.A2(n_13593),
+	.B1(n_13922),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [21]),
+	.C1(n_13584),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [23]),
+	.Y(n_14470), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g701588 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [31]),
+	.A2(n_13626),
+	.B1(n_13611),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [29]),
+	.C1(n_13648),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [24]),
+	.Y(n_14469), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g701589 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [26]),
+	.A2(n_13639),
+	.B1(n_13627),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [28]),
+	.C1(n_13631),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [30]),
+	.Y(n_14468), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221a_1 g701590 (
+	.A1(n_13632),
+	.A2(n_33730),
+	.B1(n_13622),
+	.B2(n_33733),
+	.C1(n_14087),
+	.X(n_14467), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g701591 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [41]),
+	.A2(n_13918),
+	.B1(n_13659),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [43]),
+	.C1(n_13660),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [38]),
+	.Y(n_14466), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g701592 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_fetch_rd_tag_f [4]),
+	.A2(n_35320),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_fetch_rd_tag_f [5]),
+	.B2(n_34436),
+	.C1(n_14130),
+	.Y(n_14465), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g701593 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [32]),
+	.A2(n_13656),
+	.B1(n_13582),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [34]),
+	.C1(n_13963),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [46]),
+	.Y(n_14464), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g701594 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [146]),
+	.A2(n_13590),
+	.B1(n_13664),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [152]),
+	.C1(n_13601),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [154]),
+	.Y(n_14463), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g701595 (
+	.A1(n_14046),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [60]),
+	.B1(n_14045),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [138]),
+	.X(n_14462), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g701596 (
+	.A1(n_14046),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [63]),
+	.B1(n_14045),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [141]),
+	.X(n_14461), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g701597 (
+	.A1_N(n_14108),
+	.A2_N(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_p1_f [4]),
+	.B1(n_14108),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_p1_f [4]),
+	.Y(n_14460), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g701598 (
+	.A1(n_14046),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [61]),
+	.B1(n_14045),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [139]),
+	.X(n_14459), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g701599 (
+	.A1(n_14046),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [62]),
+	.B1(n_14045),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [140]),
+	.X(n_14458), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701600 (
+	.A1(n_14110),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_p1_f [2]),
+	.B1(n_14051),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_p1_f [8]),
+	.Y(n_14457), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g701601 (
+	.A1(n_14046),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [46]),
+	.B1(n_14045),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [124]),
+	.X(n_14456), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g701602 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_p1_f [6]),
+	.A2(n_14106),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_p1_f [8]),
+	.B2(n_14051),
+	.Y(n_14455), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g701603 (
+	.A1(n_14046),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [70]),
+	.B1(n_14045),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [148]),
+	.X(n_14454), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g701604 (
+	.A1(n_14046),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [69]),
+	.B1(n_14045),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [147]),
+	.X(n_14453), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g701605 (
+	.A1(n_14046),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [68]),
+	.B1(n_14045),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [146]),
+	.X(n_14452), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g701606 (
+	.A1(n_14046),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [66]),
+	.B1(n_14045),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [144]),
+	.X(n_14451), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g701607 (
+	.A1(n_14046),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [65]),
+	.B1(n_14045),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [143]),
+	.X(n_14450), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g701608 (
+	.A1(n_14046),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [64]),
+	.B1(n_14045),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [142]),
+	.X(n_14449), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g701609 (
+	.A1(n_1562),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[104]),
+	.B1(n_1789),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[8]),
+	.C1(n_14240),
+	.Y(n_14586), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g701610 (
+	.A1(n_13073),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[8]),
+	.B1(n_13076),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[40]),
+	.C1(n_14232),
+	.Y(n_14585), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g701611 (
+	.A1(n_13073),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[12]),
+	.B1(n_13076),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[44]),
+	.C1(n_14237),
+	.Y(n_14584), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g701612 (
+	.A1(n_1562),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[108]),
+	.B1(n_1563),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[44]),
+	.C1(n_14241),
+	.Y(n_14583), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4b_2 g701613 (
+	.A(brqrv_top_dmi_wrapper_i_jtag_tap_ir[3]),
+	.B(brqrv_top_dmi_wrapper_i_jtag_tap_ir[2]),
+	.C(n_35064),
+	.D_N(brqrv_top_dmi_wrapper_i_jtag_tap_ir[4]),
+	.X(n_34712), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g701614 (
+	.A(n_14078),
+	.B(n_14108),
+	.X(n_14582), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g701615 (
+	.A(n_14030),
+	.B(n_14049),
+	.X(n_14579), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g701616 (
+	.A1_N(n_14070),
+	.A2_N(n_14103),
+	.B1(n_14070),
+	.B2(n_14103),
+	.Y(n_14578), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g701617 (
+	.A(n_14077),
+	.B(n_14106),
+	.X(n_14576), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g701618 (
+	.A(n_14080),
+	.B(n_14110),
+	.X(n_14573), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g701619 (
+	.A1_N(n_14074),
+	.A2_N(n_14101),
+	.B1(n_14074),
+	.B2(n_14101),
+	.Y(n_14572), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g701620 (
+	.A1(n_14048),
+	.A2(n_35573),
+	.B1(brqrv_top_brqrv_exu_i0_br_index_r[3]),
+	.B2(n_203),
+	.Y(n_14570), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g701621 (
+	.A1(n_14109),
+	.A2(n_35573),
+	.B1(n_203),
+	.B2(brqrv_top_brqrv_exu_i0_br_index_r[2]),
+	.Y(n_14569), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g701622 (
+	.A1(n_14103),
+	.A2(n_35573),
+	.B1(n_203),
+	.B2(brqrv_top_brqrv_exu_i0_br_index_r[7]),
+	.Y(n_14566), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4bb_1 g701623 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[13]),
+	.B(n_34416),
+	.C_N(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[14]),
+	.D_N(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[12]),
+	.Y(n_14565), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g701624 (
+	.A1(n_14107),
+	.A2(n_35573),
+	.B1(n_203),
+	.B2(brqrv_top_brqrv_exu_i0_br_index_r[4]),
+	.Y(n_14564), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g701625 (
+	.A(n_14079),
+	.B(n_14051),
+	.X(n_14562), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g701626 (
+	.A1(n_14050),
+	.A2(n_35573),
+	.B1(n_203),
+	.B2(brqrv_top_brqrv_exu_i0_br_index_r[8]),
+	.Y(n_14560), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g701627 (
+	.A1(n_14105),
+	.A2(n_35573),
+	.B1(n_203),
+	.B2(brqrv_top_brqrv_exu_i0_br_index_r[6]),
+	.Y(n_14559), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g701628 (
+	.A(n_14434),
+	.B(n_14442),
+	.Y(n_14557), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g701629 (
+	.A(n_14440),
+	.B(n_14436),
+	.Y(n_14556), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g701630 (
+	.A(n_14440),
+	.B(n_14442),
+	.Y(n_14555), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g701631 (
+	.A(n_14434),
+	.B(n_14436),
+	.Y(n_14554), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g701632 (
+	.A1(n_14101),
+	.A2(n_35573),
+	.B1(n_203),
+	.B2(brqrv_top_brqrv_exu_i0_br_index_r[5]),
+	.Y(n_14553), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701633 (
+	.A(n_14392),
+	.B(n_14099),
+	.Y(n_14551), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701634 (
+	.A(n_14391),
+	.B(n_14044),
+	.Y(n_14549), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701635 (
+	.A(n_14393),
+	.B(n_14044),
+	.Y(n_14547), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701636 (
+	.A(n_14393),
+	.B(n_14099),
+	.Y(n_14546), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701637 (
+	.A(n_14401),
+	.B(n_14044),
+	.Y(n_14545), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701638 (
+	.A(n_14401),
+	.B(n_14099),
+	.Y(n_14544), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701639 (
+	.A(n_14395),
+	.B(n_14044),
+	.Y(n_14543), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701640 (
+	.A(n_14395),
+	.B(n_14099),
+	.Y(n_14542), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701641 (
+	.A(n_14399),
+	.B(n_14044),
+	.Y(n_14541), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701642 (
+	.A(n_14399),
+	.B(n_14099),
+	.Y(n_14540), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701643 (
+	.A(n_14397),
+	.B(n_14044),
+	.Y(n_14539), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701644 (
+	.A(n_14397),
+	.B(n_14099),
+	.Y(n_14538), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701645 (
+	.A(n_14403),
+	.B(n_14044),
+	.Y(n_14537), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701646 (
+	.A(n_14403),
+	.B(n_14099),
+	.Y(n_14535), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701647 (
+	.A(n_14392),
+	.B(n_14044),
+	.Y(n_14533), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701648 (
+	.A(n_14391),
+	.B(n_14099),
+	.Y(n_14531), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g701650 (
+	.A(n_14441),
+	.Y(n_14442), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g701651 (
+	.A(n_14439),
+	.Y(n_14440), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g701652 (
+	.A(n_14437),
+	.Y(n_14438), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g701653 (
+	.A(n_14435),
+	.Y(n_14436), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g701654 (
+	.A(n_14433),
+	.Y(n_14434), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g701655 (
+	.A(n_14431),
+	.Y(n_14432), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g701656 (
+	.A(n_14408),
+	.Y(n_14409), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g701657 (
+	.A(n_14407),
+	.Y(n_14406), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g701658 (
+	.A(n_14405),
+	.Y(n_14404), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g701659 (
+	.A(n_13431),
+	.Y(n_14403), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g701660 (
+	.A(n_14402),
+	.Y(n_14401), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g701661 (
+	.A(n_14400),
+	.Y(n_14399), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g701662 (
+	.A(n_14398),
+	.Y(n_14397), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g701663 (
+	.A(n_14396),
+	.Y(n_14395), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g701664 (
+	.A(n_14394),
+	.Y(n_14393), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g701665 (
+	.A(n_13430),
+	.Y(n_14392), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g701666 (
+	.A(n_14391),
+	.Y(n_14390), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701667 (
+	.A1(n_13838),
+	.A2(n_33449),
+	.B1(n_13513),
+	.B2(n_33437),
+	.Y(n_14387), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g701668 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_p1_f [7]),
+	.B(n_14104),
+	.Y(n_14386), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g701669 (
+	.A(brqrv_top_brqrv_dec_decode_i0_pipe_en[1]),
+	.B(brqrv_top_brqrv_dec_data_en[0]),
+	.X(brqrv_top_brqrv_dec_ctl_en[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g701670 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_p1_f [2]),
+	.B(n_14110),
+	.Y(n_14385), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701671 (
+	.A(n_14102),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_p1_f [5]),
+	.Y(n_14384), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701672 (
+	.A(n_14049),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_p1_f [3]),
+	.Y(n_14383), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701673 (
+	.A1(n_13558),
+	.A2(n_33712),
+	.B1(n_13486),
+	.B2(n_33713),
+	.Y(n_14382), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701674 (
+	.A1(n_13597),
+	.A2(n_33781),
+	.B1(n_13892),
+	.B2(n_33778),
+	.Y(n_14381), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g701675 (
+	.A_N(n_34737),
+	.B(n_34731),
+	.Y(n_35066), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701676 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_miss_state[0]),
+	.B(n_35425),
+	.Y(brqrv_top_brqrv_ifu_mem_ctl_n_1836), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701677 (
+	.A1(n_13948),
+	.A2(n_33780),
+	.B1(n_13891),
+	.B2(n_33779),
+	.Y(n_14380), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701678 (
+	.A1(n_13805),
+	.A2(n_33614),
+	.B1(n_13749),
+	.B2(n_33615),
+	.Y(n_14379), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701679 (
+	.A1(n_13831),
+	.A2(n_33453),
+	.B1(n_13534),
+	.B2(n_33345),
+	.Y(n_14378), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_0 g701680 (
+	.A(brqrv_top_brqrv_dec_data_en[0]),
+	.B(brqrv_top_brqrv_exu_i0_branch_x),
+	.X(brqrv_top_brqrv_exu_r_data_en_q2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701681 (
+	.A1(n_13949),
+	.A2(n_33774),
+	.B1(n_13885),
+	.B2(n_33775),
+	.Y(n_14377), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g701682 (
+	.A1(n_13510),
+	.A2(n_33728),
+	.B1(n_13463),
+	.B2(n_33729),
+	.X(n_14376), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701683 (
+	.A1(n_13752),
+	.A2(n_33617),
+	.B1(n_13832),
+	.B2(n_33616),
+	.Y(n_14375), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701684 (
+	.A1(n_13633),
+	.A2(n_33796),
+	.B1(n_13675),
+	.B2(n_33795),
+	.Y(n_14374), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701685 (
+	.A1(n_13841),
+	.A2(n_35839),
+	.B1(n_13464),
+	.B2(n_35836),
+	.Y(n_14373), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701686 (
+	.A1(n_13527),
+	.A2(n_35838),
+	.B1(n_13521),
+	.B2(n_35837),
+	.Y(n_14372), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701687 (
+	.A1(n_13819),
+	.A2(n_35840),
+	.B1(n_13856),
+	.B2(n_35841),
+	.Y(n_14371), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701688 (
+	.A1(n_13803),
+	.A2(n_33561),
+	.B1(n_13466),
+	.B2(n_33558),
+	.Y(n_14370), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701689 (
+	.A(n_43159),
+	.B(n_14110),
+	.Y(n_14369), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g701690 (
+	.A1_N(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [188]),
+	.A2_N(n_13666),
+	.B1(n_13456),
+	.B2(n_33771),
+	.Y(n_14368), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g701691 (
+	.A1(n_13822),
+	.A2(n_33756),
+	.B1(n_13793),
+	.B2(n_33757),
+	.X(n_14367), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701692 (
+	.A1(n_13763),
+	.A2(n_33504),
+	.B1(n_13761),
+	.B2(n_33505),
+	.Y(n_14366), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701693 (
+	.A1(n_13496),
+	.A2(n_33693),
+	.B1(n_13747),
+	.B2(n_33691),
+	.Y(n_14365), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701694 (
+	.A1(n_13825),
+	.A2(n_33344),
+	.B1(n_13755),
+	.B2(n_33346),
+	.Y(n_14364), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701695 (
+	.A1(n_13492),
+	.A2(n_33519),
+	.B1(n_13844),
+	.B2(n_33520),
+	.Y(n_14363), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g701696 (
+	.A1(n_13498),
+	.A2(n_33732),
+	.B1(n_13495),
+	.B2(n_33731),
+	.X(n_14362), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701697 (
+	.A1(n_13846),
+	.A2(n_33525),
+	.B1(n_13859),
+	.B2(n_33529),
+	.Y(n_14361), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g701698 (
+	.A1(n_13886),
+	.A2(n_33788),
+	.B1(n_13879),
+	.B2(n_33789),
+	.X(n_14360), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701699 (
+	.A1(n_13938),
+	.A2(n_34612),
+	.B1(n_13676),
+	.B2(n_34614),
+	.Y(n_14359), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701700 (
+	.A1(n_13575),
+	.A2(n_33749),
+	.B1(n_13511),
+	.B2(n_33748),
+	.Y(n_14358), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701701 (
+	.A1(n_13544),
+	.A2(n_33521),
+	.B1(n_13777),
+	.B2(n_33523),
+	.Y(n_14357), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701702 (
+	.A1(n_13748),
+	.A2(n_33350),
+	.B1(n_13485),
+	.B2(n_33347),
+	.Y(n_14356), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701703 (
+	.A1(n_13567),
+	.A2(n_33512),
+	.B1(n_13506),
+	.B2(n_33498),
+	.Y(n_14355), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701704 (
+	.A1(n_13814),
+	.A2(n_33513),
+	.B1(n_13572),
+	.B2(n_33516),
+	.Y(n_14354), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g701705 (
+	.A1(n_13462),
+	.A2(n_33649),
+	.B1(n_13475),
+	.B2(n_33648),
+	.X(n_14353), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701706 (
+	.A1(n_13507),
+	.A2(n_33688),
+	.B1(n_13518),
+	.B2(n_33689),
+	.Y(n_14352), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g701707 (
+	.A1(n_13638),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [32]),
+	.B1(n_13618),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [34]),
+	.Y(n_14351), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701708 (
+	.A(n_314459_BAR),
+	.B(n_14043),
+	.Y(n_34185), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701709 (
+	.A1(n_13867),
+	.A2(n_33510),
+	.B1(n_13509),
+	.B2(n_33509),
+	.Y(n_14350), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g701710 (
+	.A1(n_13646),
+	.A2(n_33756),
+	.B1(n_13671),
+	.B2(n_33757),
+	.X(n_14349), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701711 (
+	.A1(n_13585),
+	.A2(n_33810),
+	.B1(n_13640),
+	.B2(n_33813),
+	.Y(n_14348), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g701712 (
+	.A1(n_13550),
+	.A2(n_33708),
+	.B1(n_13545),
+	.B2(n_33709),
+	.X(n_14347), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701713 (
+	.A1(n_13508),
+	.A2(n_33417),
+	.B1(n_13864),
+	.B2(n_33416),
+	.Y(n_14346), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701714 (
+	.A1(n_13778),
+	.A2(n_33547),
+	.B1(n_13460),
+	.B2(n_33532),
+	.Y(n_14345), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701715 (
+	.A1(n_13964),
+	.A2(n_33776),
+	.B1(n_13952),
+	.B2(n_33777),
+	.Y(n_14344), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701716 (
+	.A1(n_13936),
+	.A2(n_33812),
+	.B1(n_13889),
+	.B2(n_33811),
+	.Y(n_14343), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701717 (
+	.A1(n_13620),
+	.A2(n_33806),
+	.B1(n_13587),
+	.B2(n_33807),
+	.Y(n_14342), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701718 (
+	.A1(n_13834),
+	.A2(n_33780),
+	.B1(n_13554),
+	.B2(n_33779),
+	.Y(n_14341), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701719 (
+	.A1(n_13679),
+	.A2(n_34612),
+	.B1(n_13939),
+	.B2(n_34614),
+	.Y(n_14340), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g701720 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [32]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701721 (
+	.A(n_14035),
+	.B(n_35298),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_mhwakeup_in), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g701722 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_count_ff [0]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [0]),
+	.B1(n_14065),
+	.Y(n_356303_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g701723 (
+	.A1(brqrv_top_brqrv_ifu_mem_ctl_reset_ic_ff),
+	.A2(brqrv_top_brqrv_ifu_mem_ctl_reset_all_tags),
+	.B1(brqrv_top_brqrv_ifu_mem_ctl_miss_pending),
+	.X(brqrv_top_brqrv_ifu_mem_ctl_reset_ic_in), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701724 (
+	.A1(n_13759),
+	.A2(n_33543),
+	.B1(n_13525),
+	.B2(n_33544),
+	.Y(n_14339), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g701725 (
+	.A1(n_13959),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [392]),
+	.B1(n_13589),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [398]),
+	.X(n_14338), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701726 (
+	.A1(n_13499),
+	.A2(n_33517),
+	.B1(n_13508),
+	.B2(n_33495),
+	.Y(n_14337), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g701727 (
+	.A(brqrv_top_brqrv_dec_decode_i0_pipe_en[0]),
+	.B(brqrv_top_brqrv_dec_decode_i0_pipe_en[1]),
+	.C(brqrv_top_brqrv_dec_dec_tlu_dec_clk_override),
+	.X(brqrv_top_brqrv_dec_decode_i0_wb_ctl_en), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g701728 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[1]),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[14]),
+	.C(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[0]),
+	.Y(n_14336), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701730 (
+	.A1(n_13606),
+	.A2(n_33746),
+	.B1(n_13945),
+	.B2(n_33749),
+	.Y(n_14335), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g701731 (
+	.A1(n_13932),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [412]),
+	.B1(n_13942),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [414]),
+	.X(n_14334), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701732 (
+	.A1(n_13507),
+	.A2(n_33700),
+	.B1(n_13518),
+	.B2(n_33699),
+	.Y(n_14333), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g701733 (
+	.A1_N(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [410]),
+	.A2_N(n_13601),
+	.B1(n_13651),
+	.B2(n_33802),
+	.Y(n_14332), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701734 (
+	.A1(n_13761),
+	.A2(n_33427),
+	.B1(n_13763),
+	.B2(n_33426),
+	.Y(n_14331), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701735 (
+	.A1(n_13497),
+	.A2(n_33698),
+	.B1(n_13849),
+	.B2(n_33701),
+	.Y(n_14330), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701736 (
+	.A1(n_13546),
+	.A2(n_33375),
+	.B1(n_13759),
+	.B2(n_33374),
+	.Y(n_14329), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701737 (
+	.A1(n_13877),
+	.A2(n_33808),
+	.B1(n_13672),
+	.B2(n_33809),
+	.Y(n_14328), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701738 (
+	.A1(n_13779),
+	.A2(n_33377),
+	.B1(n_13863),
+	.B2(n_33378),
+	.Y(n_14327), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701739 (
+	.A1(n_13459),
+	.A2(n_33369),
+	.B1(n_13807),
+	.B2(n_33384),
+	.Y(n_14326), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701740 (
+	.A1(n_13470),
+	.A2(n_33353),
+	.B1(n_13455),
+	.B2(n_33359),
+	.Y(n_14325), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g701741 (
+	.A1(n_13750),
+	.A2(n_33723),
+	.B1(n_13564),
+	.B2(n_33724),
+	.X(n_14324), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701742 (
+	.A1(n_13488),
+	.A2(n_33535),
+	.B1(n_13858),
+	.B2(n_33533),
+	.Y(n_14323), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701743 (
+	.A1(n_13540),
+	.A2(n_33354),
+	.B1(n_13796),
+	.B2(n_33356),
+	.Y(n_14322), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701744 (
+	.A1(n_13758),
+	.A2(n_33436),
+	.B1(n_13476),
+	.B2(n_33430),
+	.Y(n_14321), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701745 (
+	.A1(n_13465),
+	.A2(n_33667),
+	.B1(n_13799),
+	.B2(n_33666),
+	.Y(n_14320), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g701746 (
+	.A1(n_13462),
+	.A2(n_33738),
+	.B1(n_13475),
+	.B2(n_33739),
+	.X(n_14319), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701747 (
+	.A1(n_13876),
+	.A2(n_33423),
+	.B1(n_13506),
+	.B2(n_33420),
+	.Y(n_14318), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701748 (
+	.A1(n_13756),
+	.A2(n_33623),
+	.B1(n_13852),
+	.B2(n_33624),
+	.Y(n_14317), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701749 (
+	.A1(n_13472),
+	.A2(n_33668),
+	.B1(n_13524),
+	.B2(n_33669),
+	.Y(n_14316), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701750 (
+	.A1(n_13808),
+	.A2(n_33396),
+	.B1(n_13829),
+	.B2(n_33401),
+	.Y(n_14315), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701751 (
+	.A1(n_13819),
+	.A2(n_35891),
+	.B1(n_13841),
+	.B2(n_35890),
+	.Y(n_14314), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701752 (
+	.A1(n_13509),
+	.A2(n_33431),
+	.B1(n_13572),
+	.B2(n_33438),
+	.Y(n_14313), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701753 (
+	.A1(n_13466),
+	.A2(n_33342),
+	.B1(n_13782),
+	.B2(n_33341),
+	.Y(n_14312), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701754 (
+	.A1(n_13537),
+	.A2(n_33629),
+	.B1(n_13505),
+	.B2(n_33627),
+	.Y(n_14311), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701755 (
+	.A1(n_13776),
+	.A2(n_33622),
+	.B1(n_13454),
+	.B2(n_33625),
+	.Y(n_14310), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701756 (
+	.A1(n_13471),
+	.A2(n_33619),
+	.B1(n_13836),
+	.B2(n_33618),
+	.Y(n_14309), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701757 (
+	.A1(n_13532),
+	.A2(n_33694),
+	.B1(n_13496),
+	.B2(n_33695),
+	.Y(n_14308), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701758 (
+	.A1(n_13535),
+	.A2(n_33601),
+	.B1(n_13456),
+	.B2(n_33600),
+	.Y(n_14307), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701759 (
+	.A1(n_13766),
+	.A2(n_33419),
+	.B1(n_13844),
+	.B2(n_33442),
+	.Y(n_14306), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701760 (
+	.A1(n_13754),
+	.A2(n_33607),
+	.B1(n_13751),
+	.B2(n_33608),
+	.Y(n_14305), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g701761 (
+	.A1(n_13976),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [66]),
+	.B1(n_13967),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [70]),
+	.Y(n_14304), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701762 (
+	.A1(n_13563),
+	.A2(n_33548),
+	.B1(n_13459),
+	.B2(n_33550),
+	.Y(n_14303), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701763 (
+	.A1(n_13541),
+	.A2(n_33334),
+	.B1(n_13527),
+	.B2(n_35889),
+	.Y(n_14302), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701764 (
+	.A1(n_13457),
+	.A2(n_33383),
+	.B1(n_13778),
+	.B2(n_33372),
+	.Y(n_14301), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701765 (
+	.A1(n_13544),
+	.A2(n_33405),
+	.B1(n_13777),
+	.B2(n_33411),
+	.Y(n_14300), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701766 (
+	.A1(n_13615),
+	.A2(n_33767),
+	.B1(n_13594),
+	.B2(n_33768),
+	.Y(n_14299), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701767 (
+	.A1(n_13616),
+	.A2(n_33769),
+	.B1(n_13600),
+	.B2(n_33771),
+	.Y(n_14298), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701768 (
+	.A1(n_13800),
+	.A2(n_33333),
+	.B1(n_13856),
+	.B2(n_35892),
+	.Y(n_14297), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701769 (
+	.A1(n_13803),
+	.A2(n_33340),
+	.B1(n_13768),
+	.B2(n_33339),
+	.Y(n_14296), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701770 (
+	.A1(n_13859),
+	.A2(n_33414),
+	.B1(n_13478),
+	.B2(n_33415),
+	.Y(n_14295), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701771 (
+	.A1(n_13575),
+	.A2(n_33638),
+	.B1(n_13511),
+	.B2(n_33639),
+	.Y(n_14294), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701772 (
+	.A1(n_13493),
+	.A2(n_33338),
+	.B1(n_13523),
+	.B2(n_33337),
+	.Y(n_14293), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g701773 (
+	.A1_N(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [402]),
+	.A2_N(n_13590),
+	.B1(n_13937),
+	.B2(n_33798),
+	.Y(n_14292), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701774 (
+	.A1(n_13795),
+	.A2(n_33742),
+	.B1(n_13580),
+	.B2(n_33743),
+	.Y(n_14291), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701775 (
+	.A1(n_13543),
+	.A2(n_33391),
+	.B1(n_13746),
+	.B2(n_33407),
+	.Y(n_14290), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701776 (
+	.A1(n_13478),
+	.A2(n_33530),
+	.B1(n_13781),
+	.B2(n_33531),
+	.Y(n_14289), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701777 (
+	.A1(n_13494),
+	.A2(n_33343),
+	.B1(n_13818),
+	.B2(n_33450),
+	.Y(n_14288), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701778 (
+	.A1(n_13668),
+	.A2(n_33698),
+	.B1(n_13930),
+	.B2(n_33701),
+	.Y(n_14287), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701779 (
+	.A1(n_13771),
+	.A2(n_33781),
+	.B1(n_13860),
+	.B2(n_33778),
+	.Y(n_14286), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g701780 (
+	.A1(n_13767),
+	.A2(n_33818),
+	.B1(n_13557),
+	.B2(n_33819),
+	.X(n_14285), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701781 (
+	.A1(n_13489),
+	.A2(n_33603),
+	.B1(n_13874),
+	.B2(n_33602),
+	.Y(n_14284), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701782 (
+	.A1(n_13787),
+	.A2(n_33367),
+	.B1(n_13802),
+	.B2(n_33364),
+	.Y(n_14283), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g701783 (
+	.A1(n_14023),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [194]),
+	.B1(n_13701),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [198]),
+	.Y(n_14282), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701784 (
+	.A1(n_13834),
+	.A2(n_33575),
+	.B1(n_13554),
+	.B2(n_33576),
+	.Y(n_14281), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701785 (
+	.A1(n_13469),
+	.A2(n_33471),
+	.B1(n_13555),
+	.B2(n_33468),
+	.Y(n_14280), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701786 (
+	.A1(n_13760),
+	.A2(n_33776),
+	.B1(n_13774),
+	.B2(n_33777),
+	.Y(n_14279), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701787 (
+	.A1(n_13790),
+	.A2(n_33454),
+	.B1(n_13823),
+	.B2(n_33451),
+	.Y(n_14278), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701788 (
+	.A1(n_13957),
+	.A2(n_33790),
+	.B1(n_13953),
+	.B2(n_33791),
+	.Y(n_14277), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701789 (
+	.A1(n_13574),
+	.A2(n_33604),
+	.B1(n_13512),
+	.B2(n_33605),
+	.Y(n_14276), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701790 (
+	.A1(n_13552),
+	.A2(n_33421),
+	.B1(n_13565),
+	.B2(n_33433),
+	.Y(n_14275), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701791 (
+	.A1(n_13842),
+	.A2(n_33447),
+	.B1(n_13571),
+	.B2(n_33448),
+	.Y(n_14274), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701792 (
+	.A1(n_13501),
+	.A2(n_33665),
+	.B1(n_13750),
+	.B2(n_33664),
+	.Y(n_14273), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701793 (
+	.A1(n_13542),
+	.A2(n_33641),
+	.B1(n_13830),
+	.B2(n_33640),
+	.Y(n_14272), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701794 (
+	.A1(n_13521),
+	.A2(n_35888),
+	.B1(n_13464),
+	.B2(n_35887),
+	.Y(n_14271), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701795 (
+	.A1(n_13522),
+	.A2(n_33335),
+	.B1(n_13569),
+	.B2(n_33336),
+	.Y(n_14270), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701796 (
+	.A1(n_13560),
+	.A2(n_33774),
+	.B1(n_13483),
+	.B2(n_33775),
+	.Y(n_14269), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701797 (
+	.A1(n_13602),
+	.A2(n_33764),
+	.B1(n_13637),
+	.B2(n_33763),
+	.Y(n_14268), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g701798 (
+	.A1(n_13578),
+	.A2(n_33784),
+	.B1(n_13835),
+	.B2(n_33785),
+	.X(n_14267), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701799 (
+	.A1(n_13610),
+	.A2(n_33710),
+	.B1(n_13607),
+	.B2(n_33711),
+	.Y(n_14266), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g701800 (
+	.A1(n_13965),
+	.A2(n_33708),
+	.B1(n_13915),
+	.B2(n_33709),
+	.X(n_14265), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701801 (
+	.A1(n_13551),
+	.A2(n_33606),
+	.B1(n_13827),
+	.B2(n_33609),
+	.Y(n_14264), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701802 (
+	.A1(n_13503),
+	.A2(n_33363),
+	.B1(n_13757),
+	.B2(n_33365),
+	.Y(n_14263), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g701803 (
+	.A1(n_13673),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [44]),
+	.B1(n_13895),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [42]),
+	.Y(n_14262), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701804 (
+	.A1(n_13851),
+	.A2(n_33502),
+	.B1(n_13876),
+	.B2(n_33501),
+	.Y(n_14261), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701805 (
+	.A1(n_13771),
+	.A2(n_33574),
+	.B1(n_13860),
+	.B2(n_33577),
+	.Y(n_14260), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701806 (
+	.A1(n_13754),
+	.A2(n_33764),
+	.B1(n_13751),
+	.B2(n_33763),
+	.Y(n_14259), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701807 (
+	.A1(n_13539),
+	.A2(n_33760),
+	.B1(n_13770),
+	.B2(n_33761),
+	.Y(n_14258), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701808 (
+	.A1(n_13533),
+	.A2(n_33810),
+	.B1(n_13804),
+	.B2(n_33813),
+	.Y(n_14257), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g701809 (
+	.A1(n_13621),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [308]),
+	.B1(n_13904),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [310]),
+	.Y(n_14256), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701810 (
+	.A1(n_13850),
+	.A2(n_33349),
+	.B1(n_13797),
+	.B2(n_33348),
+	.Y(n_14255), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701811 (
+	.A1(n_13525),
+	.A2(n_33373),
+	.B1(n_13792),
+	.B2(n_33376),
+	.Y(n_14254), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701812 (
+	.A1(n_13483),
+	.A2(n_33580),
+	.B1(n_13774),
+	.B2(n_33578),
+	.Y(n_14253), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g701813 (
+	.A1(n_13960),
+	.A2(n_33726),
+	.B1(n_13950),
+	.B2(n_33729),
+	.X(n_14252), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701814 (
+	.A1(brqrv_top_brqrv_dec_tlu_excinfo_wb_ff_n_26),
+	.A2(n_33834),
+	.B1(brqrv_top_brqrv_dec_tlu_excinfo_wb_ff_n_21),
+	.B2(n_13635),
+	.Y(n_14251), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701815 (
+	.A1(n_13467),
+	.A2(n_33598),
+	.B1(n_13871),
+	.B2(n_33599),
+	.Y(n_14250), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701816 (
+	.A1(n_13766),
+	.A2(n_33497),
+	.B1(n_13864),
+	.B2(n_33494),
+	.Y(n_14249), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701817 (
+	.A1(n_13674),
+	.A2(n_33712),
+	.B1(n_13894),
+	.B2(n_33713),
+	.Y(n_14248), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701818 (
+	.A1(n_13614),
+	.A2(n_33792),
+	.B1(n_13903),
+	.B2(n_33793),
+	.Y(n_14247), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701819 (
+	.A1(n_13505),
+	.A2(n_33792),
+	.B1(n_13809),
+	.B2(n_33793),
+	.Y(n_14246), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701820 (
+	.A1(n_13603),
+	.A2(n_33716),
+	.B1(n_13905),
+	.B2(n_33715),
+	.Y(n_14245), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701821 (
+	.A1(n_13855),
+	.A2(n_33812),
+	.B1(n_13813),
+	.B2(n_33811),
+	.Y(n_14244), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701822 (
+	.A1(n_13515),
+	.A2(n_33806),
+	.B1(n_13480),
+	.B2(n_33807),
+	.Y(n_14243), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701823 (
+	.A1(n_13552),
+	.A2(n_33534),
+	.B1(n_13476),
+	.B2(n_33545),
+	.Y(n_14242), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g701824 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[12]),
+	.A2_N(n_1789),
+	.B1(n_13897),
+	.B2(n_34576),
+	.Y(n_14241), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g701825 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[40]),
+	.A2_N(n_1563),
+	.B1(n_13906),
+	.B2(n_34576),
+	.Y(n_14240), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701826 (
+	.A1(n_13826),
+	.A2(n_33560),
+	.B1(n_13843),
+	.B2(n_33555),
+	.Y(n_14239), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701827 (
+	.A1(n_13471),
+	.A2(n_33800),
+	.B1(n_13836),
+	.B2(n_33801),
+	.Y(n_14238), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701828 (
+	.A1(n_13643),
+	.A2(n_34369),
+	.B1(n_13897),
+	.B2(n_34370),
+	.Y(n_14237), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701829 (
+	.A1(n_13574),
+	.A2(n_33767),
+	.B1(n_13489),
+	.B2(n_33768),
+	.Y(n_14236), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701830 (
+	.A1(n_13568),
+	.A2(n_33808),
+	.B1(n_13538),
+	.B2(n_33809),
+	.Y(n_14235), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701831 (
+	.A1(n_13461),
+	.A2(n_33696),
+	.B1(n_13747),
+	.B2(n_33697),
+	.Y(n_14234), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701832 (
+	.A1(n_13847),
+	.A2(n_33458),
+	.B1(n_13482),
+	.B2(n_33455),
+	.Y(n_14233), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701833 (
+	.A1(n_13944),
+	.A2(n_34369),
+	.B1(n_13906),
+	.B2(n_34370),
+	.Y(n_14232), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g701834 (
+	.A1(n_13784),
+	.A2(n_33682),
+	.B1(n_13484),
+	.B2(n_33681),
+	.X(n_14231), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701835 (
+	.A1(n_13488),
+	.A2(n_33380),
+	.B1(n_13460),
+	.B2(n_33382),
+	.Y(n_14230), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701836 (
+	.A1(n_13874),
+	.A2(n_33769),
+	.B1(n_13535),
+	.B2(n_33770),
+	.Y(n_14229), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701837 (
+	.A1(n_13756),
+	.A2(n_33796),
+	.B1(n_13852),
+	.B2(n_33795),
+	.Y(n_14228), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701838 (
+	.A1(n_13532),
+	.A2(n_33679),
+	.B1(n_13461),
+	.B2(n_33692),
+	.Y(n_14227), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701839 (
+	.A1(n_13785),
+	.A2(n_33620),
+	.B1(n_13559),
+	.B2(n_33621),
+	.Y(n_14226), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701840 (
+	.A1(n_13849),
+	.A2(n_33687),
+	.B1(n_13497),
+	.B2(n_33690),
+	.Y(n_14225), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g701841 (
+	.A1(n_13873),
+	.A2(n_33569),
+	.B1(n_13529),
+	.B2(n_33568),
+	.X(n_14224), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701842 (
+	.A1(n_13491),
+	.A2(n_33482),
+	.B1(n_13762),
+	.B2(n_33479),
+	.Y(n_14223), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g701843 (
+	.A1(n_13793),
+	.A2(n_33630),
+	.B1(n_13822),
+	.B2(n_33631),
+	.X(n_14222), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701844 (
+	.A1(n_13573),
+	.A2(n_33671),
+	.B1(n_13815),
+	.B2(n_33672),
+	.Y(n_14221), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701845 (
+	.A1(n_13887),
+	.A2(n_33815),
+	.B1(n_13946),
+	.B2(n_33816),
+	.Y(n_14220), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701846 (
+	.A1(n_13522),
+	.A2(n_33566),
+	.B1(n_13782),
+	.B2(n_33559),
+	.Y(n_14219), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701847 (
+	.A1(n_13500),
+	.A2(n_33370),
+	.B1(n_13563),
+	.B2(n_33371),
+	.Y(n_14218), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701848 (
+	.A1(n_13861),
+	.A2(n_33677),
+	.B1(n_13558),
+	.B2(n_33675),
+	.Y(n_14217), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701849 (
+	.A1(n_13947),
+	.A2(n_33817),
+	.B1(n_13667),
+	.B2(n_33818),
+	.Y(n_14216), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701850 (
+	.A1(n_13562),
+	.A2(n_33670),
+	.B1(n_13528),
+	.B2(n_33673),
+	.Y(n_14215), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g701851 (
+	.A1_N(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [356]),
+	.A2_N(n_13617),
+	.B1(n_13612),
+	.B2(n_33745),
+	.Y(n_14214), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701852 (
+	.A1(n_13870),
+	.A2(n_33403),
+	.B1(n_13469),
+	.B2(n_33400),
+	.Y(n_14213), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g701853 (
+	.A1(n_13629),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [122]),
+	.B1(n_13927),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [119]),
+	.Y(n_14212), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701854 (
+	.A1(n_13865),
+	.A2(n_33676),
+	.B1(n_13486),
+	.B2(n_33674),
+	.Y(n_14211), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701855 (
+	.A1(n_13880),
+	.A2(n_33742),
+	.B1(n_13613),
+	.B2(n_33743),
+	.Y(n_14210), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701856 (
+	.A1(n_13543),
+	.A2(n_33476),
+	.B1(n_13520),
+	.B2(n_33474),
+	.Y(n_14209), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701857 (
+	.A1(n_13547),
+	.A2(n_33475),
+	.B1(n_13853),
+	.B2(n_33460),
+	.Y(n_14208), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701858 (
+	.A1(n_13900),
+	.A2(n_33748),
+	.B1(n_13650),
+	.B2(n_33747),
+	.Y(n_14207), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701859 (
+	.A1(n_13473),
+	.A2(n_33464),
+	.B1(n_13870),
+	.B2(n_33467),
+	.Y(n_14206), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701860 (
+	.A1(n_13580),
+	.A2(n_33644),
+	.B1(n_13812),
+	.B2(n_33642),
+	.Y(n_14205), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701861 (
+	.A1(n_13768),
+	.A2(n_33562),
+	.B1(n_13523),
+	.B2(n_33564),
+	.Y(n_14204), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701862 (
+	.A1(n_13808),
+	.A2(n_33508),
+	.B1(n_13829),
+	.B2(n_33515),
+	.Y(n_14203), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701863 (
+	.A1(n_13517),
+	.A2(n_33628),
+	.B1(n_13809),
+	.B2(n_33626),
+	.Y(n_14202), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701864 (
+	.A1(n_13553),
+	.A2(n_33524),
+	.B1(n_13514),
+	.B2(n_33518),
+	.Y(n_14201), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701865 (
+	.A1(n_13479),
+	.A2(n_33514),
+	.B1(n_13817),
+	.B2(n_33511),
+	.Y(n_14200), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701866 (
+	.A1(n_13795),
+	.A2(n_33645),
+	.B1(n_13458),
+	.B2(n_33643),
+	.Y(n_14199), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g701867 (
+	.A1(n_13767),
+	.A2(n_33585),
+	.B1(n_13557),
+	.B2(n_33584),
+	.X(n_14198), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701868 (
+	.A1(n_13788),
+	.A2(n_33506),
+	.B1(n_13468),
+	.B2(n_33522),
+	.Y(n_14197), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701869 (
+	.A1(n_13561),
+	.A2(n_33361),
+	.B1(n_13857),
+	.B2(n_33362),
+	.Y(n_14196), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701870 (
+	.A1(n_13519),
+	.A2(n_33492),
+	.B1(n_13837),
+	.B2(n_33826),
+	.Y(n_14195), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701871 (
+	.A1(n_13837),
+	.A2(n_33360),
+	.B1(n_13764),
+	.B2(n_33357),
+	.Y(n_14194), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g701872 (
+	.A1(n_13955),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [50]),
+	.B1(n_13621),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [52]),
+	.Y(n_14193), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701873 (
+	.A1(n_13560),
+	.A2(n_33581),
+	.B1(n_13760),
+	.B2(n_33579),
+	.Y(n_14192), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3b_1 g701874 (
+	.A(brqrv_top_brqrv_exu_i0_predict_p_x[37]),
+	.B(n_35315),
+	.C_N(brqrv_top_brqrv_exu_i0_predict_p_x[54]),
+	.X(n_14448), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g701875 (
+	.A1(brqrv_top_brqrv_exu_pmu_i0_br_ataken),
+	.A2(brqrv_top_brqrv_exu_i0_br_mp_r),
+	.B1(n_35615),
+	.Y(n_14447), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g701877 (
+	.A_N(n_14127),
+	.B(n_14075),
+	.Y(n_14445), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701878 (
+	.A(n_14110),
+	.B(n_34648),
+	.Y(n_14444), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g701879 (
+	.A(n_13745),
+	.B(n_14110),
+	.Y(n_14443), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g701880 (
+	.A(n_14049),
+	.B(n_14105),
+	.Y(n_14441), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g701881 (
+	.A(n_14107),
+	.B(n_14051),
+	.Y(n_14439), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g701882 (
+	.A(n_14049),
+	.B(n_14106),
+	.Y(n_14437), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g701883 (
+	.A(n_14048),
+	.B(n_14106),
+	.Y(n_14435), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g701884 (
+	.A(n_14050),
+	.B(n_14108),
+	.Y(n_14433), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g701885 (
+	.A(n_14108),
+	.B(n_14051),
+	.Y(n_14431), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g701886 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [4]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_count_ff [4]),
+	.B1(n_14064),
+	.Y(n_14191), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701887 (
+	.A(n_14051),
+	.B(n_14108),
+	.Y(n_14430), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701888 (
+	.A(n_14106),
+	.B(n_14049),
+	.Y(n_14429), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g701889 (
+	.A_N(n_34414),
+	.B(n_14118),
+	.Y(n_14428), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g701890 (
+	.A(n_13429),
+	.B_N(\brqrv_top_brqrv_ifu_bpred.bp_n_10401 ),
+	.Y(n_14427), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g701891 (
+	.A(n_13429),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_n_10401 ),
+	.X(n_14426), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g701892 (
+	.A_N(n_34415),
+	.B(n_14118),
+	.Y(n_14425), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g701893 (
+	.A(n_13451),
+	.B(n_14118),
+	.Y(n_14424), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g701894 (
+	.A_N(n_34415),
+	.B(n_14120),
+	.Y(n_14423), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g701895 (
+	.A(n_13451),
+	.B(n_14061),
+	.Y(n_14422), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g701896 (
+	.A(n_13451),
+	.B(n_14120),
+	.Y(n_14421), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g701897 (
+	.A(n_13451),
+	.B(n_14059),
+	.Y(n_14420), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g701898 (
+	.A_N(n_34415),
+	.B(n_14059),
+	.Y(n_14419), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g701899 (
+	.A_N(n_34415),
+	.B(n_14061),
+	.Y(n_14418), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g701900 (
+	.A_N(n_34414),
+	.B(n_14059),
+	.Y(n_14417), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g701901 (
+	.A_N(n_34414),
+	.B(n_14120),
+	.Y(n_14416), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g701902 (
+	.A_N(n_34414),
+	.B(n_14061),
+	.Y(n_14415), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g701903 (
+	.A(n_14073),
+	.B(n_13448),
+	.Y(n_14414), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g701904 (
+	.A(n_14128),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[4]),
+	.Y(n_14413), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g701905 (
+	.A(n_14069),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[4]),
+	.Y(n_14412), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g701906 (
+	.A(n_14073),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[4]),
+	.Y(n_14411), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g701907 (
+	.A_N(n_14125),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[4]),
+	.Y(n_14410), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g701908 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[4]),
+	.B(n_14125),
+	.Y(n_14408), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g701909 (
+	.A(n_14069),
+	.B(n_13448),
+	.Y(n_14407), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g701910 (
+	.A(n_14128),
+	.B(n_13448),
+	.Y(n_14405), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g701912 (
+	.A(n_14122),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_br0_hashed_wb [7]),
+	.Y(n_14402), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g701913 (
+	.A(n_14071),
+	.B(n_13449),
+	.Y(n_14400), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g701914 (
+	.A(n_14071),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_br0_hashed_wb [7]),
+	.Y(n_14398), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g701915 (
+	.A(n_14124),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_br0_hashed_wb [7]),
+	.Y(n_14396), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g701916 (
+	.A(n_14067),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_br0_hashed_wb [7]),
+	.Y(n_14394), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g701918 (
+	.A(n_14123),
+	.B_N(n_13449),
+	.Y(n_14391), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_4 g701919 (
+	.A(n_33846),
+	.B(n_14043),
+	.X(n_14389), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_4 g701920 (
+	.A(n_33845),
+	.B(n_14043),
+	.X(n_14388), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701923 (
+	.A1(n_13468),
+	.A2(n_33408),
+	.B1(n_13781),
+	.B2(n_33418),
+	.Y(n_14188), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701924 (
+	.A1(n_13817),
+	.A2(n_33397),
+	.B1(n_13765),
+	.B2(n_33389),
+	.Y(n_14187), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701925 (
+	.A1(n_13479),
+	.A2(n_33398),
+	.B1(n_13553),
+	.B2(n_33412),
+	.Y(n_14186), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701926 (
+	.A1(n_13846),
+	.A2(n_33413),
+	.B1(n_13514),
+	.B2(n_33404),
+	.Y(n_14185), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701927 (
+	.A1(n_13746),
+	.A2(n_33461),
+	.B1(n_13810),
+	.B2(n_33459),
+	.Y(n_14184), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701928 (
+	.A1(n_13899),
+	.A2(n_33694),
+	.B1(n_13925),
+	.B2(n_33695),
+	.Y(n_14183), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701929 (
+	.A1(n_13840),
+	.A2(n_33662),
+	.B1(n_13564),
+	.B2(n_33663),
+	.Y(n_14182), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701930 (
+	.A1(n_13481),
+	.A2(n_33613),
+	.B1(n_13539),
+	.B2(n_33611),
+	.Y(n_14181), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701931 (
+	.A1(n_13576),
+	.A2(n_33393),
+	.B1(n_13788),
+	.B2(n_33390),
+	.Y(n_14180), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701932 (
+	.A1(n_13921),
+	.A2(n_33760),
+	.B1(n_13596),
+	.B2(n_33761),
+	.Y(n_14179), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701933 (
+	.A1(n_13579),
+	.A2(n_33456),
+	.B1(n_13516),
+	.B2(n_33457),
+	.Y(n_14178), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701934 (
+	.A1(n_13482),
+	.A2(n_33385),
+	.B1(n_13762),
+	.B2(n_33386),
+	.Y(n_14177), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701935 (
+	.A1(n_13911),
+	.A2(n_33714),
+	.B1(n_13898),
+	.B2(n_33717),
+	.Y(n_14176), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701936 (
+	.A1(n_13765),
+	.A2(n_33503),
+	.B1(n_13576),
+	.B2(n_33507),
+	.Y(n_14175), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701937 (
+	.A1(n_13547),
+	.A2(n_33394),
+	.B1(n_13516),
+	.B2(n_33395),
+	.Y(n_14174), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701938 (
+	.A1(n_13569),
+	.A2(n_33565),
+	.B1(n_13493),
+	.B2(n_33563),
+	.Y(n_14173), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701939 (
+	.A1(n_13577),
+	.A2(n_33612),
+	.B1(n_13770),
+	.B2(n_33610),
+	.Y(n_14172), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701940 (
+	.A1(n_13866),
+	.A2(n_33351),
+	.B1(n_13780),
+	.B2(n_33352),
+	.Y(n_14171), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g701941 (
+	.A1(n_13878),
+	.A2(n_33738),
+	.B1(n_13881),
+	.B2(n_33739),
+	.X(n_14170), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701942 (
+	.A1(n_13548),
+	.A2(n_33429),
+	.B1(n_13833),
+	.B2(n_33440),
+	.Y(n_14169), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701943 (
+	.A1(n_13961),
+	.A2(n_33696),
+	.B1(n_13634),
+	.B2(n_33697),
+	.Y(n_14168), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701944 (
+	.A1(n_13801),
+	.A2(n_33366),
+	.B1(n_13854),
+	.B2(n_33355),
+	.Y(n_14167), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701945 (
+	.A1(n_13858),
+	.A2(n_33381),
+	.B1(n_13769),
+	.B2(n_33379),
+	.Y(n_14166), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701946 (
+	.A1(n_13875),
+	.A2(n_33422),
+	.B1(n_13826),
+	.B2(n_33452),
+	.Y(n_14165), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701947 (
+	.A1(n_13943),
+	.A2(n_33800),
+	.B1(n_13665),
+	.B2(n_33801),
+	.Y(n_14164), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701948 (
+	.A1(n_13806),
+	.A2(n_33358),
+	.B1(n_13519),
+	.B2(n_33368),
+	.Y(n_14163), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g701949 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_excinfo_wb_ff_n_21),
+	.A2_N(n_13635),
+	.B1(n_13642),
+	.B2(brqrv_top_brqrv_dec_tlu_exc_cause_r[0]),
+	.Y(n_14162), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701950 (
+	.A1(n_13814),
+	.A2(n_33435),
+	.B1(n_13567),
+	.B2(n_33434),
+	.Y(n_14161), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701951 (
+	.A1(n_13595),
+	.A2(n_33700),
+	.B1(n_13677),
+	.B2(n_33699),
+	.Y(n_14160), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g701952 (
+	.A1(n_13800),
+	.A2(n_33825),
+	.B1(n_13541),
+	.B2(n_33824),
+	.Y(n_14159), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g701953 (
+	.A1(n_13447),
+	.A2(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_valid[2]),
+	.B1(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[5]),
+	.B2(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_valid[6]),
+	.X(n_34184), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g701954 (
+	.A1(n_13447),
+	.A2(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_valid[0]),
+	.B1(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[5]),
+	.B2(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_valid[4]),
+	.Y(n_14158), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g701955 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [191]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [127]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [159]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g701956 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [171]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [107]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [139]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g701957 (
+	.A1_N(n_34436),
+	.A2_N(\brqrv_top_brqrv_ifu_bpred.bp_fetch_rd_tag_p1_f [5]),
+	.B1(n_34436),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_fetch_rd_tag_p1_f [5]),
+	.Y(n_14157), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g701959 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [192]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [128]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [160]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g701960 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [193]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [129]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [161]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g701961 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [202]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [138]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [170]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g701962 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [112]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [48]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [80]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g701963 (
+	.A1_N(n_33122),
+	.A2_N(\brqrv_top_brqrv_ifu_bpred.bp_fetch_rd_tag_p1_f [2]),
+	.B1(n_33122),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_fetch_rd_tag_p1_f [2]),
+	.Y(n_14155), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g701964 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [180]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [116]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [148]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g701965 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [127]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [63]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [95]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g701966 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [172]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [108]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [140]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g701967 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [118]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [54]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [86]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g701968 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [166]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [102]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [134]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g701969 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [113]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [49]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [81]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g701970 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [168]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [104]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [136]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g701971 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [169]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [105]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [137]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g701972 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [185]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [121]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [153]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g701973 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [173]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [109]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [141]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g701974 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [189]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [125]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [157]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g701975 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [187]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [123]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [155]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g701976 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [184]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [120]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [152]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g701977 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [182]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [118]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [150]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g701978 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [181]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [117]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [149]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g701979 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [179]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [115]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [147]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g701980 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [176]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [112]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [144]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g701981 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [170]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [106]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [138]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g701982 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [167]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [103]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [135]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g701983 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_pause_expired_wb),
+	.A2_N(n_33838),
+	.B1(brqrv_top_brqrv_dec_tlu_pause_expired_wb),
+	.B2(n_33838),
+	.Y(n_14154), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g701984 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [164]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [100]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [132]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g701985 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [161]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [97]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [129]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g701986 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [223]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [159]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [191]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g701987 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [220]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [156]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [188]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g701988 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [219]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [155]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [187]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g701989 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [217]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [153]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [185]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g701990 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [216]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [152]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [184]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g701991 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [215]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [151]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [183]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g701992 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [214]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [150]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [182]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g701993 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [212]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [148]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [180]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g701994 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [210]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [146]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [178]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g701995 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [209]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [145]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [177]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g701996 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [208]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [144]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [176]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g701997 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [175]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [111]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [143]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g701998 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [207]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [143]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [175]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g701999 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [206]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [142]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [174]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g702000 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [204]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [140]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [172]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g702001 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [200]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [136]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [168]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g702002 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [213]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [149]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [181]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g702003 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [198]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [134]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [166]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g702004 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [195]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [131]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [163]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g702005 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [194]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [130]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [162]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g702006 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [183]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [119]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [151]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g702007 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [126]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [62]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [94]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g702008 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [125]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [61]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [93]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g702009 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [124]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [60]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [92]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g702010 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [123]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [59]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [91]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g702011 (
+	.A1_N(brqrv_top_brqrv_ifu_mem_ctl_reset_all_tags),
+	.A2_N(n_33311),
+	.B1(brqrv_top_brqrv_ifu_mem_ctl_reset_all_tags),
+	.B2(n_33311),
+	.Y(n_35824), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g702012 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [122]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [58]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [90]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g702013 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [121]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [57]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [89]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g702014 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [120]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [56]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [88]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g702015 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [119]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [55]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [87]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g702016 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [116]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [52]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [84]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g702017 (
+	.A1_N(brqrv_top_brqrv_ifu_pmu_bus_busy),
+	.A2_N(n_35180),
+	.B1(brqrv_top_brqrv_ifu_pmu_bus_busy),
+	.B2(n_35180),
+	.Y(n_34226), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g702018 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [115]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [51]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [83]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g702019 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [190]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [126]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [158]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g702020 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [114]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [50]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [82]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g702021 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [111]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [47]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [79]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g702022 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [107]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [43]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [75]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g702023 (
+	.A1_N(\brqrv_top_brqrv_ifu_bpred.bp_leak_one_f_d1 ),
+	.A2_N(n_36410),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_leak_one_f_d1 ),
+	.B2(n_36410),
+	.Y(n_14153), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g702024 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [101]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [37]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [69]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g702025 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [102]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [38]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [70]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g702026 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [100]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [36]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [68]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g702027 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [98]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [34]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [66]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g702028 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [97]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [33]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [65]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g702029 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [255]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [191]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [223]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g702030 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [254]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [190]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [222]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g702031 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [106]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [42]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [74]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g702032 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [251]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [187]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [219]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g702033 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [250]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [186]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [218]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g702034 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [249]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [185]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [217]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g702035 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [248]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [184]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [216]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g702036 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [247]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [183]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [215]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g702037 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [246]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [182]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [214]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g702038 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [245]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [181]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [213]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g702039 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [244]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [180]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [212]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g702040 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [243]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [179]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [211]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g702041 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [242]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [178]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [210]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g702042 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [241]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [177]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [209]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g702043 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [240]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [176]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [208]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g702044 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [239]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [175]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [207]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g702045 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [238]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [174]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [206]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g702046 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [237]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [173]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [205]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g702047 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [236]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [172]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [204]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g702048 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [235]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [171]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [203]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g702049 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [234]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [170]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [202]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g702050 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [233]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [169]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [201]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g702051 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [232]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [168]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [200]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g702052 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [231]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [167]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [199]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g702053 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [230]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [166]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [198]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g702054 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [229]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [165]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [197]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g702055 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [228]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [164]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [196]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g702056 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [227]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [163]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [195]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g702057 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [226]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [162]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [194]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g702058 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [225]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [161]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [193]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g702059 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [224]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [160]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [192]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g702060 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [159]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [95]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [127]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g702061 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [158]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [94]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [126]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g702062 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [157]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [93]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [125]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g702063 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [156]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [92]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [124]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g702064 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [155]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [91]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [123]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g702065 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [154]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [90]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [122]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g702066 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [153]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [89]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [121]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g702067 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [152]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [88]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [120]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g702068 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [151]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [87]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [119]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g702069 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [150]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [86]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [118]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g702070 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [149]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [85]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [117]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g702071 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [148]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [84]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [116]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g702072 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [147]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [83]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [115]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g702073 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [146]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [82]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [114]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g702074 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [145]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [81]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [113]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g702075 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [144]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [80]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [112]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g702076 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [143]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [79]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [111]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g702077 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [142]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [78]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [110]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g702078 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [141]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [77]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [109]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g702079 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [140]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [76]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [108]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g702080 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [138]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [74]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [106]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g702081 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [137]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [73]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [105]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g702082 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [136]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [72]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [104]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g702083 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [134]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [70]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [102]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g702084 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [133]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [69]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [101]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g702085 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [132]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [68]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [100]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g702086 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [131]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [67]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [99]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g702087 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [130]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [66]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [98]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g702088 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [129]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [65]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [97]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g702089 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [128]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [64]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [96]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g702090 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [95]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [31]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [63]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g702091 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [94]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [30]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [62]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g702092 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [93]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [29]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [61]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g702093 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [92]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [28]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [60]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g702094 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [104]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [40]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [72]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g702095 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [91]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [27]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [59]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g702096 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [90]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [26]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [58]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g702097 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [89]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [25]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [57]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g702098 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [88]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [24]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [56]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g702099 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [87]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [23]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [55]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g702100 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [86]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [22]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [54]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g702101 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [85]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [21]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [53]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g702102 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [84]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [20]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [52]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g702103 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [83]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [19]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [51]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g702104 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [82]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [18]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [50]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g702105 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [81]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [17]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [49]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g702106 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [80]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [16]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [48]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g702107 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [79]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [15]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [47]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g702108 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [78]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [14]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [46]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g702109 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [77]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [13]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [45]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g702110 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [253]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [189]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [221]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g702111 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [76]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [12]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [44]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g702112 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [74]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [10]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [42]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g702113 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [73]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [9]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [41]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g702114 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [72]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [8]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [40]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g702115 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [70]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [6]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [38]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g702116 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [69]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [5]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [37]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g702117 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [68]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [4]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [36]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g702118 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [67]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [3]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [35]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g702119 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [66]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [2]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [34]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g702120 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [65]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [1]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [33]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g702121 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [64]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [0]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [32]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 g702122 (
+	.A0(la_data_in[1]),
+	.A1(io_in[5]),
+	.S(la_oenb[1]),
+	.X(rx_i), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g702123 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [99]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [35]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [67]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g702124 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [186]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [122]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [154]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g702125 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [252]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [188]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [220]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g702126 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [177]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [113]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [145]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g702127 (
+	.A1_N(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [33]),
+	.A2_N(n_1578),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_bloc_f [1]),
+	.B2(n_43029),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g702128 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [160]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [96]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [128]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g702129 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [96]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [32]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [64]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g702130 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [196]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [132]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [164]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g702131 (
+	.A1_N(n_35320),
+	.A2_N(\brqrv_top_brqrv_ifu_bpred.bp_fetch_rd_tag_p1_f [4]),
+	.B1(n_35320),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_fetch_rd_tag_p1_f [4]),
+	.Y(n_14152), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g702132 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [165]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [101]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [133]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g702133 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [110]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [46]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [78]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g702134 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [218]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [154]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [186]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g702135 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [103]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [39]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [71]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g702136 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [201]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [137]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [169]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g702137 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [222]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [158]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [190]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g702138 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [105]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [41]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [73]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g702139 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [174]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [110]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [142]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g702140 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [205]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [141]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [173]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g702141 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [221]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [157]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [189]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g702142 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [197]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [133]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [165]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g702143 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [163]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [99]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [131]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g702144 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [108]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [44]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [76]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g702145 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [109]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [45]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [77]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g702146 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [188]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [124]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [156]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g702147 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [117]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [53]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [85]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g702148 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [162]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [98]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [130]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g702149 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [178]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [114]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [146]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g702150 (
+	.A1(n_1578),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [211]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [147]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [179]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g702151 (
+	.A(brqrv_top_brqrv_dec_tlu_exc_or_int_valid_r_d1),
+	.B(brqrv_top_brqrv_dec_tlu_exc_or_int_valid_r),
+	.Y(n_14151), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g702152 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[29]),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[31]),
+	.C(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[30]),
+	.D(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[28]),
+	.Y(n_14150), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g702153 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_fetch_rd_tag_f [0]),
+	.B(brqrv_top_brqrv_exu_mp_btag[0]),
+	.X(n_14149), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g702154 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[27]),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[26]),
+	.C(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[53]),
+	.D(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[55]),
+	.Y(n_14148), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g702155 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[5]),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[7]),
+	.C(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[6]),
+	.D(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[4]),
+	.Y(n_14147), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g702156 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[19]),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[18]),
+	.C(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[33]),
+	.D(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[35]),
+	.Y(n_14146), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g702157 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[11]),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[10]),
+	.C(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[17]),
+	.D(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[19]),
+	.Y(n_14145), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g702158 (
+	.A(brqrv_top_brqrv_dec_decode_write_csr_data[28]),
+	.B(brqrv_top_brqrv_dec_decode_write_csr_data[27]),
+	.C(brqrv_top_brqrv_dec_decode_write_csr_data[18]),
+	.D(brqrv_top_brqrv_dec_decode_write_csr_data[17]),
+	.Y(n_14144), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4b_1 g702159 (
+	.A(brqrv_top_brqrv_dec_decode_write_csr_data[30]),
+	.B(brqrv_top_brqrv_dec_decode_write_csr_data[29]),
+	.C(brqrv_top_brqrv_dec_decode_write_csr_data[31]),
+	.D_N(brqrv_top_brqrv_dec_dec_pause_state),
+	.Y(n_14143), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g702160 (
+	.A(brqrv_top_brqrv_dec_decode_write_csr_data[23]),
+	.B(brqrv_top_brqrv_dec_decode_write_csr_data[22]),
+	.C(brqrv_top_brqrv_dec_decode_write_csr_data[21]),
+	.D(brqrv_top_brqrv_dec_decode_write_csr_data[24]),
+	.Y(n_14142), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g702161 (
+	.A(brqrv_top_brqrv_dec_decode_write_csr_data[5]),
+	.B(brqrv_top_brqrv_dec_decode_write_csr_data[4]),
+	.C(brqrv_top_brqrv_dec_decode_write_csr_data[3]),
+	.D(brqrv_top_brqrv_dec_decode_write_csr_data[6]),
+	.Y(n_14141), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g702162 (
+	.A(brqrv_top_brqrv_dec_decode_write_csr_data[15]),
+	.B(brqrv_top_brqrv_dec_decode_write_csr_data[14]),
+	.C(brqrv_top_brqrv_dec_decode_write_csr_data[13]),
+	.D(brqrv_top_brqrv_dec_decode_write_csr_data[16]),
+	.Y(n_14140), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g702163 (
+	.A(brqrv_top_brqrv_dec_decode_write_csr_data[11]),
+	.B(brqrv_top_brqrv_dec_decode_write_csr_data[10]),
+	.C(brqrv_top_brqrv_dec_decode_write_csr_data[9]),
+	.D(brqrv_top_brqrv_dec_decode_write_csr_data[12]),
+	.Y(n_14139), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g702164 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[23]),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[22]),
+	.C(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[45]),
+	.D(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[21]),
+	.Y(n_14138), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g702165 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[15]),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[14]),
+	.C(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[29]),
+	.D(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[13]),
+	.Y(n_14137), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g702166 (
+	.A1_N(\brqrv_top_brqrv_ifu_bpred.bp_exu_mp_way_f ),
+	.A2_N(brqrv_top_brqrv_exu_mp_pkt[32]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_exu_mp_way_f ),
+	.B2(brqrv_top_brqrv_exu_mp_pkt[32]),
+	.Y(n_14136), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g702167 (
+	.A(brqrv_top_brqrv_dec_tlu_excinfo_wb_ff_n_24),
+	.B(brqrv_top_brqrv_dec_tlu_exc_cause_r[4]),
+	.Y(n_14135), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g702168 (
+	.A(brqrv_top_brqrv_dec_tlu_excinfo_wb_ff_n_23),
+	.B(brqrv_top_brqrv_dec_tlu_exc_cause_r[3]),
+	.Y(n_14134), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g702169 (
+	.A(brqrv_top_brqrv_exu_mp_btag[1]),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_fetch_rd_tag_p1_f [1]),
+	.Y(n_14133), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g702170 (
+	.A(brqrv_top_brqrv_dec_tlu_excinfo_wb_ff_n_22),
+	.B(brqrv_top_brqrv_dec_tlu_exc_cause_r[2]),
+	.Y(n_14132), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g702171 (
+	.A(brqrv_top_brqrv_exu_mp_btag[0]),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_fetch_rd_tag_p1_f [0]),
+	.Y(n_14131), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g702172 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_fetch_rd_tag_f [1]),
+	.B(brqrv_top_brqrv_exu_mp_btag[1]),
+	.X(n_14130), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g702173 (
+	.A(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.B(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Y(n_14190), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g702174 (
+	.A(brqrv_top_brqrv_exu_n_1748),
+	.B(brqrv_top_brqrv_exu_pmu_i0_pc4),
+	.X(n_14189), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4b_2 g702175 (
+	.A(brqrv_top_dmi_wrapper_i_jtag_tap_state[2]),
+	.B(brqrv_top_dmi_wrapper_i_jtag_tap_state[1]),
+	.C(brqrv_top_dmi_wrapper_i_jtag_tap_state[0]),
+	.D_N(brqrv_top_dmi_wrapper_i_jtag_tap_state[3]),
+	.X(brqrv_top_dmi_wrapper_i_jtag_tap_n_958), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_4 g702176 (
+	.A(n_14092),
+	.B(n_14098),
+	.Y(rst), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g702177 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [2]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_count_ff [2]),
+	.X(n_14129), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702178 (
+	.A(n_14123),
+	.Y(n_14124), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g702180 (
+	.A(n_14119),
+	.Y(n_14120), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702181 (
+	.A(n_14118),
+	.Y(n_14117), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g702182 (
+	.A(n_14116),
+	.Y(n_14115), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702183 (
+	.A(n_14114),
+	.Y(n_14113), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g702184 (
+	.A(n_14112),
+	.Y(n_14111), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702185 (
+	.A(n_14110),
+	.Y(n_14109), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702186 (
+	.A(n_14108),
+	.Y(n_14107), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702187 (
+	.A(n_14106),
+	.Y(n_14105), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g702188 (
+	.A(n_14104),
+	.Y(n_14103), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702189 (
+	.A(n_14102),
+	.Y(n_14101), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_4 g702190 (
+	.A(n_13971),
+	.B(la_oenb[64]),
+	.Y(n_14098), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g702191 (
+	.A(n_13851),
+	.B(n_33424),
+	.Y(n_14097), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g702192 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[9]),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[8]),
+	.Y(n_14096), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g702193 (
+	.A(brqrv_top_brqrv_dec_tlu_bpred_disable),
+	.B_N(\brqrv_top_brqrv_ifu_bpred.bp_vwayhit_f [0]),
+	.Y(brqrv_top_brqrv_ifu_ifu_bp_valid_f[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g702194 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[17]),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[16]),
+	.Y(n_14095), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g702195 (
+	.A(brqrv_top_brqrv_dec_decode_write_csr_data[25]),
+	.B(brqrv_top_brqrv_dec_decode_write_csr_data[26]),
+	.Y(n_14094), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g702196 (
+	.A_N(n_33432),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [70]),
+	.Y(n_14093), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g702197 (
+	.A_N(brqrv_top_brqrv_dec_tlu_force_halt),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_bus_cmd_req_hold),
+	.Y(n_35051), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_4 g702198 (
+	.A(n_44446),
+	.B(la_data_in[64]),
+	.Y(n_14092), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g702199 (
+	.A(brqrv_top_brqrv_dec_tlu_bpred_disable),
+	.B_N(\brqrv_top_brqrv_ifu_bpred.bp_valid[1] ),
+	.Y(brqrv_top_brqrv_ifu_ifu_bp_valid_f[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g702200 (
+	.A(n_13830),
+	.B(n_33747),
+	.X(n_14091), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g702201 (
+	.A(n_13500),
+	.B(n_33822),
+	.Y(n_14090), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g702202 (
+	.A(n_13940),
+	.B(n_33731),
+	.X(n_14089), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g702203 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[59]),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[57]),
+	.Y(n_14088), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g702204 (
+	.A(n_33900),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_miss_state[0]),
+	.Y(n_34957), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g702205 (
+	.A_N(n_33727),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [322]),
+	.Y(n_14087), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g702206 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[9]),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[11]),
+	.Y(n_14086), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g702207 (
+	.A(n_13806),
+	.B(n_33486),
+	.Y(n_14085), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g702208 (
+	.A(n_13562),
+	.B(n_33717),
+	.X(n_14084), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g702209 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[25]),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[27]),
+	.Y(n_14083), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g702210 (
+	.A(n_33843),
+	.B(n_32502),
+	.Y(n_14082), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g702211 (
+	.A(n_43110),
+	.B(brqrv_top_brqrv_dec_tlu_take_nmi_r_d1),
+	.Y(n_14081), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g702212 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[3]),
+	.B_N(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[2]),
+	.Y(n_14128), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g702213 (
+	.A(brqrv_top_brqrv_exu_i0_flush_upper_x),
+	.B(brqrv_top_brqrv_exu_predpipe_x[13]),
+	.Y(n_14080), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g702214 (
+	.A(brqrv_top_brqrv_exu_i0_flush_upper_x),
+	.B(brqrv_top_brqrv_exu_predpipe_x[19]),
+	.Y(n_14079), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g702215 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_count_ff [1]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_5 ),
+	.Y(n_14127), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g702216 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_count_ff [3]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [3]),
+	.Y(n_14126), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g702217 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[3]),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[2]),
+	.Y(n_14125), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g702218 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_br0_hashed_wb [8]),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_br0_hashed_wb [6]),
+	.Y(n_14123), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g702219 (
+	.A(brqrv_top_brqrv_exu_i0_flush_upper_x),
+	.B(brqrv_top_brqrv_exu_predpipe_x[15]),
+	.Y(n_14078), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g702220 (
+	.A_N(brqrv_top_dmi_wrapper_i_jtag_tap_ir[1]),
+	.B(brqrv_top_dmi_wrapper_i_jtag_tap_ir[0]),
+	.Y(n_35064), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g702221 (
+	.A_N(brqrv_top_brqrv_ifu_mem_ctl_miss_state[2]),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_miss_state[1]),
+	.Y(n_35425), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g702222 (
+	.A(brqrv_top_brqrv_exu_i0_flush_upper_x),
+	.B(brqrv_top_brqrv_exu_predpipe_x[17]),
+	.Y(n_14077), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g702223 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_br0_hashed_wb [6]),
+	.B_N(\brqrv_top_brqrv_ifu_bpred.bp_br0_hashed_wb [8]),
+	.Y(n_14122), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g702224 (
+	.A_N(\brqrv_top_brqrv_ifu_bpred.bp_br0_hashed_wb [5]),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_br0_hashed_wb [4]),
+	.Y(n_14121), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g702225 (
+	.A(brqrv_top_brqrv_dec_decode_i0_pipe_en[2]),
+	.B(brqrv_top_brqrv_dec_dec_tlu_dec_clk_override),
+	.X(brqrv_top_brqrv_dec_data_en[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g702226 (
+	.A_N(n_34738),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_miss_state[1]),
+	.Y(n_34737), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g702227 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[6]),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[5]),
+	.Y(n_14119), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g702228 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[5]),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[6]),
+	.Y(n_14118), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g702229 (
+	.A_N(\brqrv_top_brqrv_ifu_bpred.bp_br0_hashed_wb [3]),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_br0_hashed_wb [2]),
+	.Y(n_14116), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g702230 (
+	.A(brqrv_top_brqrv_exu_i0_br_valid_r),
+	.B(brqrv_top_brqrv_exu_n_1746),
+	.Y(n_14114), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g702231 (
+	.A_N(\brqrv_top_brqrv_ifu_bpred.bp_br0_hashed_wb [2]),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_br0_hashed_wb [3]),
+	.Y(n_14112), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g702232 (
+	.A(brqrv_top_brqrv_exu_i0_flush_upper_x),
+	.B(brqrv_top_brqrv_exu_predpipe_x[6]),
+	.Y(n_14110), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g702233 (
+	.A(brqrv_top_brqrv_exu_i0_flush_upper_x),
+	.B(brqrv_top_brqrv_exu_predpipe_x[8]),
+	.Y(n_14108), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g702234 (
+	.A(brqrv_top_brqrv_exu_i0_flush_upper_x),
+	.B(brqrv_top_brqrv_exu_predpipe_x[10]),
+	.Y(n_14106), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g702235 (
+	.A(brqrv_top_brqrv_exu_i0_flush_upper_x),
+	.B(brqrv_top_brqrv_exu_predpipe_x[11]),
+	.Y(n_14104), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g702236 (
+	.A(brqrv_top_brqrv_exu_i0_flush_upper_x),
+	.B(brqrv_top_brqrv_exu_predpipe_x[9]),
+	.Y(n_14102), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g702237 (
+	.A(\brqrv_top_mem_iccm.iccm_iccm_rd_addr_lo_q [2]),
+	.B_N(\brqrv_top_mem_iccm.iccm_iccm_rd_addr_lo_q [3]),
+	.Y(n_14100), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g702238 (
+	.A(brqrv_top_brqrv_exu_i0_flush_upper_x),
+	.B(brqrv_top_brqrv_exu_i0_predict_p_x[51]),
+	.Y(n_14099), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g702240 (
+	.A(n_14062),
+	.Y(n_14063), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g702241 (
+	.A(n_14060),
+	.Y(n_14061), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g702242 (
+	.A(n_14058),
+	.Y(n_14059), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702243 (
+	.A(n_14057),
+	.Y(n_14056), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g702244 (
+	.A(n_14055),
+	.Y(n_14054), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702245 (
+	.A(n_14053),
+	.Y(n_14052), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702246 (
+	.A(n_14051),
+	.Y(n_14050), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702247 (
+	.A(n_14049),
+	.Y(n_14048), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g702249 (
+	.A(n_13839),
+	.B(n_33636),
+	.X(n_14042), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g702250 (
+	.A(n_13494),
+	.B(n_33481),
+	.X(n_14041), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g702251 (
+	.A(brqrv_top_brqrv_dbg_n_1024),
+	.B(brqrv_top_brqrv_dbg_n_4269),
+	.Y(brqrv_top_brqrv_dbg_command_postexec_din), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g702252 (
+	.A(n_34643),
+	.B(n_43029),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_rsenable [1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g702254 (
+	.A(brqrv_top_brqrv_dec_decode_write_csr_data[1]),
+	.B(brqrv_top_brqrv_dec_decode_write_csr_data[2]),
+	.Y(n_14040), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g702255 (
+	.A_N(brqrv_top_brqrv_dec_tlu_force_halt),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_ifu_bus_cmd_valid),
+	.Y(n_35029), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g702256 (
+	.A_N(n_33728),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [324]),
+	.Y(n_14039), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g702257 (
+	.A_N(n_33444),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [122]),
+	.Y(n_14038), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g702258 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[1]),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[2]),
+	.Y(n_34961), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g702259 (
+	.A(n_13863),
+	.B(n_33528),
+	.Y(n_14037), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g702260 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[43]),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[41]),
+	.Y(n_14036), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g702261 (
+	.A(n_35380),
+	.B(n_34671),
+	.Y(n_14035), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g702262 (
+	.A_N(brqrv_top_brqrv_ifu_ifc_fetch_req_f),
+	.B(n_34648),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6610 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g702263 (
+	.A_N(n_35179),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_uncacheable_miss_ff),
+	.Y(n_35057), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g702264 (
+	.A(n_13812),
+	.B(n_33745),
+	.Y(n_14034), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g702265 (
+	.A_N(n_33727),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [66]),
+	.Y(n_14033), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g702266 (
+	.A(n_33122),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_fetch_rd_tag_f [2]),
+	.Y(n_14032), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g702267 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[25]),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[24]),
+	.Y(n_14031), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g702268 (
+	.A_N(\brqrv_top_brqrv_ifu_bpred.bp_br0_hashed_wb [4]),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_br0_hashed_wb [5]),
+	.Y(n_14076), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g702269 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_5 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_count_ff [1]),
+	.Y(n_14075), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g702270 (
+	.A(brqrv_top_brqrv_exu_i0_flush_upper_x),
+	.B(brqrv_top_brqrv_exu_predpipe_x[14]),
+	.Y(n_14030), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g702271 (
+	.A(brqrv_top_brqrv_exu_i0_flush_upper_x),
+	.B(brqrv_top_brqrv_exu_predpipe_x[16]),
+	.Y(n_14074), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g702272 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[2]),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[3]),
+	.Y(n_14073), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g702273 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [2]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_count_ff [2]),
+	.Y(n_14072), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g702275 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_br0_hashed_wb [6]),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_br0_hashed_wb [8]),
+	.Y(n_14071), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g702276 (
+	.A(brqrv_top_brqrv_exu_i0_flush_upper_x),
+	.B(brqrv_top_brqrv_exu_predpipe_x[18]),
+	.Y(n_14070), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g702277 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[2]),
+	.B_N(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[3]),
+	.Y(n_14069), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g702278 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_br0_hashed_wb [4]),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_br0_hashed_wb [5]),
+	.Y(n_14068), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g702279 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_br0_hashed_wb [8]),
+	.B_N(\brqrv_top_brqrv_ifu_bpred.bp_br0_hashed_wb [6]),
+	.Y(n_14067), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g702280 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_valid[1] ),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_vwayhit_f [0]),
+	.X(n_314459_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g702281 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_br0_hashed_wb [5]),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_br0_hashed_wb [4]),
+	.Y(n_14066), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g702282 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_sel_data_f [4]),
+	.B_N(\brqrv_top_brqrv_ifu_bpred.bp_bp_total_branch_offset_f [1]),
+	.Y(n_14029), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g702283 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [0]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_count_ff [0]),
+	.Y(n_14065), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g702284 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_count_ff [4]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [4]),
+	.Y(n_14064), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g702285 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [3]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_count_ff [3]),
+	.Y(n_14062), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g702286 (
+	.A_N(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[5]),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[6]),
+	.Y(n_14060), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g702287 (
+	.A_N(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[6]),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[5]),
+	.Y(n_14058), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g702288 (
+	.A(brqrv_top_brqrv_exu_i0_br_valid_r),
+	.B(brqrv_top_brqrv_exu_n_1745),
+	.Y(n_14057), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g702289 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_br0_hashed_wb [2]),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_br0_hashed_wb [3]),
+	.Y(n_14055), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g702290 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_br0_hashed_wb [3]),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_br0_hashed_wb [2]),
+	.Y(n_14053), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g702291 (
+	.A(brqrv_top_brqrv_exu_i0_flush_upper_x),
+	.B(brqrv_top_brqrv_exu_predpipe_x[12]),
+	.Y(n_14051), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g702292 (
+	.A(brqrv_top_brqrv_exu_i0_flush_upper_x),
+	.B(brqrv_top_brqrv_exu_predpipe_x[7]),
+	.Y(n_14049), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g702293 (
+	.A(\brqrv_top_mem_iccm.iccm_iccm_rd_addr_lo_q [2]),
+	.B(\brqrv_top_mem_iccm.iccm_iccm_rd_addr_lo_q [3]),
+	.Y(n_14047), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g702294 (
+	.A(\brqrv_top_mem_iccm.iccm_iccm_rd_addr_lo_q [3]),
+	.B_N(\brqrv_top_mem_iccm.iccm_iccm_rd_addr_lo_q [2]),
+	.Y(n_14046), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g702295 (
+	.A(\brqrv_top_mem_iccm.iccm_iccm_rd_addr_lo_q [2]),
+	.B(\brqrv_top_mem_iccm.iccm_iccm_rd_addr_lo_q [3]),
+	.X(n_14045), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g702296 (
+	.A(brqrv_top_brqrv_exu_i0_flush_upper_x),
+	.B(brqrv_top_brqrv_exu_i0_predict_p_x[50]),
+	.Y(n_14044), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2b_4 g702297 (
+	.A_N(\brqrv_top_brqrv_ifu_bpred.bp_valid[1] ),
+	.B(n_13452),
+	.X(n_14043), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702298 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [285]),
+	.Y(n_14028), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702299 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [459]),
+	.Y(n_14027), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702300 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [105]),
+	.Y(n_14026), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702301 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [329]),
+	.Y(n_14025), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702302 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [211]),
+	.Y(n_14024), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702303 (
+	.A(n_33596),
+	.Y(n_14023), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702304 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [13]),
+	.Y(n_14022), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702305 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [333]),
+	.Y(n_14021), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702306 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [125]),
+	.Y(n_14020), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702307 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [201]),
+	.Y(n_14019), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702308 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [505]),
+	.Y(n_14018), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702309 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [217]),
+	.Y(n_14017), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702310 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [273]),
+	.Y(n_14016), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702311 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [341]),
+	.Y(n_14015), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702312 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [265]),
+	.Y(n_14014), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702313 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [481]),
+	.Y(n_14013), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702314 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [221]),
+	.Y(n_14012), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702315 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [31]),
+	.Y(n_14011), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702316 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [123]),
+	.Y(n_14010), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702317 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [351]),
+	.Y(n_14009), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702318 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [237]),
+	.Y(n_14008), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702319 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [203]),
+	.Y(n_14007), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702320 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [383]),
+	.Y(n_14006), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702321 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [353]),
+	.Y(n_14005), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702322 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [127]),
+	.Y(n_14004), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702323 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [245]),
+	.Y(n_14003), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702324 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [377]),
+	.Y(n_14002), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702325 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [287]),
+	.Y(n_14001), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702326 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [361]),
+	.Y(n_14000), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702327 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [283]),
+	.Y(n_13999), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702328 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [25]),
+	.Y(n_13998), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702329 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [75]),
+	.Y(n_13997), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702330 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [91]),
+	.Y(n_13996), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702331 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [255]),
+	.Y(n_13995), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702332 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [253]),
+	.Y(n_13994), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702333 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [461]),
+	.Y(n_13993), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702334 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [9]),
+	.Y(n_13992), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702335 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [107]),
+	.Y(n_13991), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702336 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [79]),
+	.Y(n_13990), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702337 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [477]),
+	.Y(n_13989), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702338 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [337]),
+	.Y(n_13988), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702339 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [277]),
+	.Y(n_13987), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702340 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [223]),
+	.Y(n_13986), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702341 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [373]),
+	.Y(n_13985), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702342 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [249]),
+	.Y(n_13984), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702343 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [89]),
+	.Y(n_13983), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702344 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [347]),
+	.Y(n_13982), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702345 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [65]),
+	.Y(n_13981), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702346 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [87]),
+	.Y(n_13980), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702347 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [475]),
+	.Y(n_13979), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702348 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [57]),
+	.Y(n_13978), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702349 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [457]),
+	.Y(n_13977), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702350 (
+	.A(n_33660),
+	.Y(n_13976), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702351 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [29]),
+	.Y(n_13975), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702352 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [331]),
+	.Y(n_13974), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702353 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [85]),
+	.Y(n_13973), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702354 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [241]),
+	.Y(n_13972), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_12 g702355 (
+	.A(wb_rst_i),
+	.Y(n_13971), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702356 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [497]),
+	.Y(n_13970), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702357 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [339]),
+	.Y(n_13969), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702358 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [349]),
+	.Y(n_13968), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702359 (
+	.A(n_33658),
+	.Y(n_13967), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702360 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [504]),
+	.Y(n_13966), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702361 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [284]),
+	.Y(n_13965), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702362 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [484]),
+	.Y(n_13964), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702364 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [314]),
+	.Y(n_13962), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702365 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [260]),
+	.Y(n_13961), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702366 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [320]),
+	.Y(n_13960), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702367 (
+	.A(n_33794),
+	.Y(n_13959), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702368 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [274]),
+	.Y(n_13958), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702369 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [384]),
+	.Y(n_13957), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702370 (
+	.A(n_33765),
+	.Y(n_13956), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702371 (
+	.A(n_33719),
+	.Y(n_13955), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702372 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [376]),
+	.Y(n_13954), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702373 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [386]),
+	.Y(n_13953), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702374 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [486]),
+	.Y(n_13952), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702375 (
+	.A(n_36073),
+	.Y(n_13951), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702376 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [326]),
+	.Y(n_13950), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702377 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [480]),
+	.Y(n_13949), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702378 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [492]),
+	.Y(n_13948), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702379 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [470]),
+	.Y(n_13947), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702380 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [468]),
+	.Y(n_13946), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702381 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [366]),
+	.Y(n_13945), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702382 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[104]),
+	.Y(n_13944), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702383 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [404]),
+	.Y(n_13943), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702384 (
+	.A(n_33805),
+	.Y(n_13942), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702386 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [330]),
+	.Y(n_13940), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702387 (
+	.A(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [94]),
+	.Y(n_13939), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702388 (
+	.A(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [134]),
+	.Y(n_13938), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702389 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [400]),
+	.Y(n_13937), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702390 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [460]),
+	.Y(n_13936), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702392 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [416]),
+	.Y(n_13934), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702394 (
+	.A(n_33804),
+	.Y(n_13932), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702395 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [304]),
+	.Y(n_13931), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702396 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [270]),
+	.Y(n_13930), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702400 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [498]),
+	.Y(n_13926), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702401 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [258]),
+	.Y(n_13925), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702403 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [440]),
+	.Y(n_13923), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702405 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [420]),
+	.Y(n_13921), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702406 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [312]),
+	.Y(n_13920), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702410 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [372]),
+	.Y(n_13916), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702411 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [286]),
+	.Y(n_13915), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702413 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [502]),
+	.Y(n_13913), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702414 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [348]),
+	.Y(n_13912), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702415 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [296]),
+	.Y(n_13911), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702416 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [272]),
+	.Y(n_13910), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702418 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [350]),
+	.Y(n_13908), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702420 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[72]),
+	.Y(n_13906), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702421 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [298]),
+	.Y(n_13905), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702422 (
+	.A(n_33721),
+	.Y(n_13904), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702423 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [390]),
+	.Y(n_13903), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702426 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [364]),
+	.Y(n_13900), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702427 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [256]),
+	.Y(n_13899), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702428 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [302]),
+	.Y(n_13898), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702429 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[76]),
+	.Y(n_13897), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702430 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [336]),
+	.Y(n_13896), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702431 (
+	.A(n_33715),
+	.Y(n_13895), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702432 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [294]),
+	.Y(n_13894), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702433 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [418]),
+	.Y(n_13893), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702434 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [488]),
+	.Y(n_13892), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702435 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [490]),
+	.Y(n_13891), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702436 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [342]),
+	.Y(n_13890), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702437 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [458]),
+	.Y(n_13889), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702439 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [466]),
+	.Y(n_13887), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702440 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [508]),
+	.Y(n_13886), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702441 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [482]),
+	.Y(n_13885), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702442 (
+	.A(n_33821),
+	.Y(n_13884), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702443 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [474]),
+	.Y(n_13883), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702444 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [378]),
+	.Y(n_13882), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702445 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [346]),
+	.Y(n_13881), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702446 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [352]),
+	.Y(n_13880), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702447 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [510]),
+	.Y(n_13879), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702448 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [344]),
+	.Y(n_13878), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702449 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [452]),
+	.Y(n_13877), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702450 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [75]),
+	.Y(n_13876), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702451 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [112]),
+	.Y(n_13875), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702452 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [182]),
+	.Y(n_13874), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702453 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [248]),
+	.Y(n_13873), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702454 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [210]),
+	.Y(n_13872), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702455 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [188]),
+	.Y(n_13871), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702456 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [84]),
+	.Y(n_13870), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702458 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [208]),
+	.Y(n_13868), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702459 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [70]),
+	.Y(n_13867), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702460 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [24]),
+	.Y(n_13866), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702461 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [34]),
+	.Y(n_13865), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702462 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [79]),
+	.Y(n_13864), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702463 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [57]),
+	.Y(n_13863), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702464 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [82]),
+	.Y(n_13862), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702465 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [32]),
+	.Y(n_13861), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702466 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [232]),
+	.Y(n_13860), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702467 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [109]),
+	.Y(n_13859), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702468 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [60]),
+	.Y(n_13858), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702469 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [41]),
+	.Y(n_13857), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702470 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [6]),
+	.Y(n_13856), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702471 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [204]),
+	.Y(n_13855), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702472 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [34]),
+	.Y(n_13854), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702473 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [81]),
+	.Y(n_13853), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702474 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [138]),
+	.Y(n_13852), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702475 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [74]),
+	.Y(n_13851), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702476 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [22]),
+	.Y(n_13850), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702477 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [14]),
+	.Y(n_13849), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702478 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [252]),
+	.Y(n_13848), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702479 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [94]),
+	.Y(n_13847), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702480 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [108]),
+	.Y(n_13846), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702482 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [64]),
+	.Y(n_13844), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702483 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [123]),
+	.Y(n_13843), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702484 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [31]),
+	.Y(n_13842), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702485 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [4]),
+	.Y(n_13841), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702486 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [62]),
+	.Y(n_13840), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702487 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [114]),
+	.Y(n_13839), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702488 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [125]),
+	.Y(n_13838), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702489 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [39]),
+	.Y(n_13837), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702490 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [150]),
+	.Y(n_13836), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702491 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [246]),
+	.Y(n_13835), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702492 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [236]),
+	.Y(n_13834), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702493 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [120]),
+	.Y(n_13833), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702494 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [154]),
+	.Y(n_13832), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702495 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [27]),
+	.Y(n_13831), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702496 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [106]),
+	.Y(n_13830), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702497 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [102]),
+	.Y(n_13829), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702498 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [20]),
+	.Y(n_13828), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702499 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [168]),
+	.Y(n_13827), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702500 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [126]),
+	.Y(n_13826), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702501 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [17]),
+	.Y(n_13825), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702502 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [220]),
+	.Y(n_13824), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702503 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [28]),
+	.Y(n_13823), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702504 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [124]),
+	.Y(n_13822), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702505 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [84]),
+	.Y(n_13821), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702506 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [118]),
+	.Y(n_13820), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702507 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [5]),
+	.Y(n_13819), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702508 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [29]),
+	.Y(n_13818), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702509 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [100]),
+	.Y(n_13817), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702510 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [122]),
+	.Y(n_13816), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702511 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [42]),
+	.Y(n_13815), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702512 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [68]),
+	.Y(n_13814), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702513 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [202]),
+	.Y(n_13813), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702514 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [102]),
+	.Y(n_13812), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702515 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [78]),
+	.Y(n_13811), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702516 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [80]),
+	.Y(n_13810), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702517 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [134]),
+	.Y(n_13809), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702518 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [99]),
+	.Y(n_13808), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702519 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [63]),
+	.Y(n_13807), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702520 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [37]),
+	.Y(n_13806), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702521 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [158]),
+	.Y(n_13805), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702522 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [206]),
+	.Y(n_13804), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702523 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [13]),
+	.Y(n_13803), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702524 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [43]),
+	.Y(n_13802), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702525 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [45]),
+	.Y(n_13801), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702526 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [1]),
+	.Y(n_13800), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702527 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [54]),
+	.Y(n_13799), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702529 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [21]),
+	.Y(n_13797), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702530 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [35]),
+	.Y(n_13796), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702531 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [96]),
+	.Y(n_13795), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702533 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [126]),
+	.Y(n_13793), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702534 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [55]),
+	.Y(n_13792), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702535 (
+	.A(n_33425),
+	.Y(n_13791), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702536 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [26]),
+	.Y(n_13790), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702537 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [86]),
+	.Y(n_13789), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702538 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [97]),
+	.Y(n_13788), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702539 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [46]),
+	.Y(n_13787), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702540 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [22]),
+	.Y(n_13786), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702541 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [146]),
+	.Y(n_13785), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702542 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [24]),
+	.Y(n_13784), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702543 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [18]),
+	.Y(n_13783), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702544 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [14]),
+	.Y(n_13782), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702545 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [111]),
+	.Y(n_13781), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702546 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [25]),
+	.Y(n_13780), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702547 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [56]),
+	.Y(n_13779), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702548 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [51]),
+	.Y(n_13778), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702549 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [106]),
+	.Y(n_13777), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702550 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [142]),
+	.Y(n_13776), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702551 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [240]),
+	.Y(n_13775), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702552 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [230]),
+	.Y(n_13774), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702553 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [112]),
+	.Y(n_13773), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702555 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [238]),
+	.Y(n_13771), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702556 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [166]),
+	.Y(n_13770), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702557 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [58]),
+	.Y(n_13769), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702558 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [12]),
+	.Y(n_13768), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702559 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [216]),
+	.Y(n_13767), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702560 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [77]),
+	.Y(n_13766), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702561 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [96]),
+	.Y(n_13765), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702562 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [36]),
+	.Y(n_13764), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702563 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [73]),
+	.Y(n_13763), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702564 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [93]),
+	.Y(n_13762), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702565 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [72]),
+	.Y(n_13761), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702566 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [228]),
+	.Y(n_13760), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702567 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [53]),
+	.Y(n_13759), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702568 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [118]),
+	.Y(n_13758), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702569 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [44]),
+	.Y(n_13757), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702570 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [140]),
+	.Y(n_13756), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702571 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [19]),
+	.Y(n_13755), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702572 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [172]),
+	.Y(n_13754), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702573 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [222]),
+	.Y(n_13753), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702574 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [152]),
+	.Y(n_13752), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702575 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [170]),
+	.Y(n_13751), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702576 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [58]),
+	.Y(n_13750), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702577 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [156]),
+	.Y(n_13749), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702578 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [23]),
+	.Y(n_13748), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702579 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [6]),
+	.Y(n_13747), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702580 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [82]),
+	.Y(n_13746), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702581 (
+	.A(n_34648),
+	.Y(n_13745), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g702583 (
+	.A(n_35573),
+	.Y(n_203), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g702584 (
+	.A(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_mem_addr_ff [2]),
+	.Y(n_13742), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_8 g702585 (
+	.A(n_34643),
+	.Y(n_1578), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702586 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [117]),
+	.Y(n_13740), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702587 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [491]),
+	.Y(n_13739), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702588 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [409]),
+	.Y(n_13738), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702589 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [493]),
+	.Y(n_13737), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702590 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [97]),
+	.Y(n_13736), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702591 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [93]),
+	.Y(n_13735), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702592 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [267]),
+	.Y(n_13734), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702593 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [193]),
+	.Y(n_13733), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702594 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [121]),
+	.Y(n_13732), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702595 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [233]),
+	.Y(n_13731), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702596 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [489]),
+	.Y(n_13730), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702597 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [27]),
+	.Y(n_13729), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702598 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [313]),
+	.Y(n_13728), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702599 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [115]),
+	.Y(n_13727), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702600 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [109]),
+	.Y(n_13726), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702601 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [467]),
+	.Y(n_13725), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702602 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [499]),
+	.Y(n_13724), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702603 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [379]),
+	.Y(n_13723), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702604 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [365]),
+	.Y(n_13722), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702605 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [77]),
+	.Y(n_13721), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702606 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [95]),
+	.Y(n_13720), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702607 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [205]),
+	.Y(n_13719), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702608 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [509]),
+	.Y(n_13718), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702609 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [281]),
+	.Y(n_13717), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702610 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [465]),
+	.Y(n_13716), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702611 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [441]),
+	.Y(n_13715), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702612 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [269]),
+	.Y(n_13714), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702613 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [501]),
+	.Y(n_13713), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702614 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [511]),
+	.Y(n_13712), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702615 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [83]),
+	.Y(n_13711), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702616 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [113]),
+	.Y(n_13710), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702617 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [209]),
+	.Y(n_13709), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702618 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [81]),
+	.Y(n_13708), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702619 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [17]),
+	.Y(n_13707), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702620 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [371]),
+	.Y(n_13706), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702621 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [335]),
+	.Y(n_13705), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702622 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [219]),
+	.Y(n_13704), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702623 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [343]),
+	.Y(n_13703), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702624 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [275]),
+	.Y(n_13702), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702625 (
+	.A(n_33594),
+	.Y(n_13701), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702626 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [19]),
+	.Y(n_13700), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702627 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [243]),
+	.Y(n_13699), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702628 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [479]),
+	.Y(n_13698), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702629 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [345]),
+	.Y(n_13697), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702630 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [469]),
+	.Y(n_13696), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702631 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [11]),
+	.Y(n_13695), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702632 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [185]),
+	.Y(n_13694), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702633 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [369]),
+	.Y(n_13693), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702634 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [21]),
+	.Y(n_13692), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702635 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [473]),
+	.Y(n_13691), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702636 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [235]),
+	.Y(n_13690), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702637 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [507]),
+	.Y(n_13689), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702638 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [381]),
+	.Y(n_13688), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702639 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [449]),
+	.Y(n_13687), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702640 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [251]),
+	.Y(n_13686), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702641 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [321]),
+	.Y(n_13685), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702642 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [153]),
+	.Y(n_13684), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702643 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [225]),
+	.Y(n_13683), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702644 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [73]),
+	.Y(n_13682), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702645 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [363]),
+	.Y(n_13681), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702646 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [213]),
+	.Y(n_13680), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702647 (
+	.A(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [133]),
+	.Y(n_13679), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702648 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [332]),
+	.Y(n_13678), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702649 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [266]),
+	.Y(n_13677), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702650 (
+	.A(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [95]),
+	.Y(n_13676), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702651 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [394]),
+	.Y(n_13675), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702652 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [292]),
+	.Y(n_13674), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702653 (
+	.A(n_33716),
+	.Y(n_13673), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702654 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [454]),
+	.Y(n_13672), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702655 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [382]),
+	.Y(n_13671), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702656 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [276]),
+	.Y(n_13670), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702657 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [506]),
+	.Y(n_13669), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702658 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [264]),
+	.Y(n_13668), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702659 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [472]),
+	.Y(n_13667), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702660 (
+	.A(n_33772),
+	.Y(n_13666), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702661 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [406]),
+	.Y(n_13665), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702662 (
+	.A(n_33802),
+	.Y(n_13664), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702668 (
+	.A(n_33773),
+	.Y(n_13658), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702669 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [280]),
+	.Y(n_13657), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702671 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [496]),
+	.Y(n_13655), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702674 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [500]),
+	.Y(n_13652), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702675 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [408]),
+	.Y(n_13651), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702676 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [362]),
+	.Y(n_13650), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702677 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [306]),
+	.Y(n_13649), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702679 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [478]),
+	.Y(n_13647), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702680 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [380]),
+	.Y(n_13646), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702681 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [278]),
+	.Y(n_13645), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702682 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [316]),
+	.Y(n_13644), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702683 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[108]),
+	.Y(n_13643), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702684 (
+	.A(brqrv_top_brqrv_dec_tlu_excinfo_wb_ff_n_20),
+	.Y(n_13642), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702685 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [282]),
+	.Y(n_13641), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702686 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [462]),
+	.Y(n_13640), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702688 (
+	.A(n_33710),
+	.Y(n_13638), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702689 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [426]),
+	.Y(n_13637), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702690 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [368]),
+	.Y(n_13636), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702691 (
+	.A(brqrv_top_brqrv_dec_tlu_exc_cause_r[1]),
+	.Y(n_13635), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702692 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [262]),
+	.Y(n_13634), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702693 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [396]),
+	.Y(n_13633), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702694 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [328]),
+	.Y(n_13632), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702696 (
+	.A(n_33762),
+	.Y(n_13630), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702698 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [476]),
+	.Y(n_13628), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702701 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [338]),
+	.Y(n_13625), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702704 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [334]),
+	.Y(n_13622), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702705 (
+	.A(n_33720),
+	.Y(n_13621), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702706 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [448]),
+	.Y(n_13620), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702708 (
+	.A(n_33711),
+	.Y(n_13618), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702709 (
+	.A(n_33744),
+	.Y(n_13617), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702710 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [438]),
+	.Y(n_13616), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702711 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [434]),
+	.Y(n_13615), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702712 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [388]),
+	.Y(n_13614), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702713 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [354]),
+	.Y(n_13613), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702714 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [358]),
+	.Y(n_13612), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702716 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [288]),
+	.Y(n_13610), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702718 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [432]),
+	.Y(n_13608), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702719 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [290]),
+	.Y(n_13607), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702720 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [360]),
+	.Y(n_13606), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702721 (
+	.A(brqrv_top_brqrv_dec_tlu_i0_trigger_hit_raw_r),
+	.Y(n_13605), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702723 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [300]),
+	.Y(n_13603), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702724 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [428]),
+	.Y(n_13602), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702725 (
+	.A(n_33803),
+	.Y(n_13601), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702726 (
+	.A(brqrv_top_dmi_reg_wdata[18]),
+	.Y(brqrv_top_brqrv_dbg_n_1024), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702727 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [442]),
+	.Y(n_13600), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702729 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [374]),
+	.Y(n_13598), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702730 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [494]),
+	.Y(n_13597), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702731 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [422]),
+	.Y(n_13596), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702732 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [268]),
+	.Y(n_13595), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702733 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [436]),
+	.Y(n_13594), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702736 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [318]),
+	.Y(n_13591), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702737 (
+	.A(n_33799),
+	.Y(n_13590), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702738 (
+	.A(n_33797),
+	.Y(n_13589), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702739 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [370]),
+	.Y(n_13588), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702740 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [450]),
+	.Y(n_13587), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702741 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [340]),
+	.Y(n_13586), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702742 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [456]),
+	.Y(n_13585), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702744 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [464]),
+	.Y(n_13583), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702746 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [212]),
+	.Y(n_13581), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702747 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [98]),
+	.Y(n_13580), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702748 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [90]),
+	.Y(n_13579), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702749 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [244]),
+	.Y(n_13578), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702750 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [162]),
+	.Y(n_13577), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702751 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [98]),
+	.Y(n_13576), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702752 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [110]),
+	.Y(n_13575), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702753 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [178]),
+	.Y(n_13574), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702754 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [44]),
+	.Y(n_13573), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702755 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [67]),
+	.Y(n_13572), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702756 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [30]),
+	.Y(n_13571), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702757 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [92]),
+	.Y(n_13570), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702758 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [9]),
+	.Y(n_13569), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702759 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [196]),
+	.Y(n_13568), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702760 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [69]),
+	.Y(n_13567), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702761 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [242]),
+	.Y(n_13566), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702762 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [117]),
+	.Y(n_13565), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702763 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [60]),
+	.Y(n_13564), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702764 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [50]),
+	.Y(n_13563), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702765 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [46]),
+	.Y(n_13562), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702766 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [40]),
+	.Y(n_13561), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702767 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [224]),
+	.Y(n_13560), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702768 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [144]),
+	.Y(n_13559), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702769 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [36]),
+	.Y(n_13558), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702770 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [218]),
+	.Y(n_13557), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702771 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [254]),
+	.Y(n_13556), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702772 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [85]),
+	.Y(n_13555), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702773 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [234]),
+	.Y(n_13554), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702774 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [107]),
+	.Y(n_13553), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702775 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [127]),
+	.Y(n_13552), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702776 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [174]),
+	.Y(n_13551), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702777 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [28]),
+	.Y(n_13550), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702778 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [80]),
+	.Y(n_13549), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702779 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [115]),
+	.Y(n_13548), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702780 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [89]),
+	.Y(n_13547), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702781 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [54]),
+	.Y(n_13546), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702782 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [30]),
+	.Y(n_13545), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702783 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [104]),
+	.Y(n_13544), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702784 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [91]),
+	.Y(n_13543), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702785 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [104]),
+	.Y(n_13542), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702786 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [7]),
+	.Y(n_13541), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702787 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [33]),
+	.Y(n_13540), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702788 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [164]),
+	.Y(n_13539), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702789 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [198]),
+	.Y(n_13538), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702790 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [128]),
+	.Y(n_13537), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702791 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [214]),
+	.Y(n_13536), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702792 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [184]),
+	.Y(n_13535), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702793 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [18]),
+	.Y(n_13534), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702794 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [200]),
+	.Y(n_13533), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702795 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [0]),
+	.Y(n_13532), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702796 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [120]),
+	.Y(n_13531), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702797 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [124]),
+	.Y(n_13530), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702798 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [250]),
+	.Y(n_13529), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702799 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [40]),
+	.Y(n_13528), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702800 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [3]),
+	.Y(n_13527), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702801 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [64]),
+	.Y(n_13526), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702802 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [52]),
+	.Y(n_13525), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702803 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [48]),
+	.Y(n_13524), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702804 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [10]),
+	.Y(n_13523), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702805 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [8]),
+	.Y(n_13522), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702806 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [2]),
+	.Y(n_13521), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702807 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [87]),
+	.Y(n_13520), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702808 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [47]),
+	.Y(n_13519), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702809 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [10]),
+	.Y(n_13518), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702810 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [130]),
+	.Y(n_13517), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702811 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [88]),
+	.Y(n_13516), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702812 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [192]),
+	.Y(n_13515), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702813 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [103]),
+	.Y(n_13514), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702814 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [119]),
+	.Y(n_13513), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702815 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [176]),
+	.Y(n_13512), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702816 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [108]),
+	.Y(n_13511), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702817 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [68]),
+	.Y(n_13510), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702818 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [71]),
+	.Y(n_13509), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702819 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [78]),
+	.Y(n_13508), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702820 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [12]),
+	.Y(n_13507), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702821 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [76]),
+	.Y(n_13506), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702822 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [132]),
+	.Y(n_13505), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702823 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [121]),
+	.Y(n_13504), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702824 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [42]),
+	.Y(n_13503), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702825 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [116]),
+	.Y(n_13502), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702826 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [56]),
+	.Y(n_13501), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702827 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [49]),
+	.Y(n_13500), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702828 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [66]),
+	.Y(n_13499), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702829 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [76]),
+	.Y(n_13498), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702830 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [8]),
+	.Y(n_13497), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702831 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [2]),
+	.Y(n_13496), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702832 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [74]),
+	.Y(n_13495), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702833 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [16]),
+	.Y(n_13494), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702834 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [11]),
+	.Y(n_13493), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702835 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [65]),
+	.Y(n_13492), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702836 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [95]),
+	.Y(n_13491), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702837 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [72]),
+	.Y(n_13490), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702838 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [180]),
+	.Y(n_13489), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702839 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [59]),
+	.Y(n_13488), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702840 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [94]),
+	.Y(n_13487), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702841 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [38]),
+	.Y(n_13486), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702842 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [20]),
+	.Y(n_13485), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702843 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [26]),
+	.Y(n_13484), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702844 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [226]),
+	.Y(n_13483), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702845 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [92]),
+	.Y(n_13482), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702846 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [160]),
+	.Y(n_13481), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702847 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [194]),
+	.Y(n_13480), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702848 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [101]),
+	.Y(n_13479), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702849 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [110]),
+	.Y(n_13478), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702850 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [16]),
+	.Y(n_13477), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702851 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [116]),
+	.Y(n_13476), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702852 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [90]),
+	.Y(n_13475), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702854 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [83]),
+	.Y(n_13473), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702855 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [50]),
+	.Y(n_13472), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702856 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [148]),
+	.Y(n_13471), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702857 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [32]),
+	.Y(n_13470), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702858 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [86]),
+	.Y(n_13469), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702859 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [105]),
+	.Y(n_13468), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702860 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [190]),
+	.Y(n_13467), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702861 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [15]),
+	.Y(n_13466), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702862 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [52]),
+	.Y(n_13465), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702863 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [0]),
+	.Y(n_13464), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702864 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [70]),
+	.Y(n_13463), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702865 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [88]),
+	.Y(n_13462), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702866 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [4]),
+	.Y(n_13461), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702867 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [61]),
+	.Y(n_13460), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702868 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [48]),
+	.Y(n_13459), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702869 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [100]),
+	.Y(n_13458), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702870 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [62]),
+	.Y(n_13457), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702871 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [186]),
+	.Y(n_13456), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702872 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [38]),
+	.Y(n_13455), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g702873 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [136]),
+	.Y(n_13454), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g702874 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_count_ff [5]),
+	.Y(n_13453), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702875 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_vwayhit_f [0]),
+	.Y(n_13452), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702876 (
+	.A(n_34413),
+	.Y(n_13451), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702878 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_br0_hashed_wb [7]),
+	.Y(n_13449), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g702879 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[4]),
+	.Y(n_13448), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g702880 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[5]),
+	.Y(n_13447), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g702881 (
+	.A(n_34668),
+	.Y(brqrv_top_brqrv_exu_mp_pkt[32]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_8 g702883 (
+	.A(n_43029),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g890948 (
+	.A_N(n_14890),
+	.B(n_14553),
+	.Y(n_13445), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g702884 (
+	.A(n_14567),
+	.B_N(n_13436),
+	.Y(n_13444), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g702885 (
+	.A(n_14567),
+	.B_N(n_14883),
+	.Y(n_13443), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g702886 (
+	.A(n_14053),
+	.B_N(n_14874),
+	.Y(n_13442), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g702887 (
+	.A(n_14053),
+	.B_N(n_14872),
+	.Y(n_13441), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g702888 (
+	.A(n_14053),
+	.B_N(n_14870),
+	.Y(n_13440), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g702889 (
+	.A(n_14053),
+	.B_N(n_14866),
+	.Y(n_13439), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g702890 (
+	.A_N(n_14742),
+	.B(n_14723),
+	.Y(n_13438), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g702891 (
+	.A(n_14564),
+	.B_N(n_14726),
+	.Y(n_13437), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g702892 (
+	.A(n_14560),
+	.B_N(n_14723),
+	.Y(n_13436), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g702893 (
+	.A_N(n_14565),
+	.B(n_34413),
+	.Y(n_13435), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g702894 (
+	.A_N(n_14443),
+	.B(n_14104),
+	.Y(n_13434), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g702895 (
+	.A_N(n_14190),
+	.B(n_13745),
+	.C(n_42046),
+	.Y(n_13433), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g702896 (
+	.A_N(n_14189),
+	.B(n_14447),
+	.C(brqrv_top_brqrv_exu_i0_br_valid_r),
+	.Y(n_13432), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g702897 (
+	.A_N(\brqrv_top_brqrv_ifu_bpred.bp_br0_hashed_wb [7]),
+	.B(n_14122),
+	.Y(n_13431), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g702898 (
+	.A_N(\brqrv_top_brqrv_ifu_bpred.bp_br0_hashed_wb [7]),
+	.B(n_14067),
+	.Y(n_13430), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g702899 (
+	.A(n_32502),
+	.B_N(brqrv_top_brqrv_exu_i0_flush_upper_x),
+	.Y(n_13429), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211o_1 g616083 (
+	.A1(n_13404),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [33]),
+	.B1(n_13427),
+	.C1(n_13382),
+	.X(n_35186), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g616084 (
+	.A1(n_13314),
+	.A2(n_13428),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [33]),
+	.B2(n_13421),
+	.C1(n_13423),
+	.Y(n_35185), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g616085 (
+	.A1(n_13314),
+	.A2(n_13426),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [33]),
+	.B2(n_13425),
+	.C1(n_13423),
+	.Y(n_34251), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g616087 (
+	.A(n_13418),
+	.B(n_13412),
+	.C(n_13417),
+	.D(n_13304),
+	.Y(n_13428), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111oi_0 g616088 (
+	.A1(n_13415),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [1]),
+	.B1(n_13417),
+	.C1(n_13413),
+	.D1(n_13422),
+	.Y(n_13426), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g616089 (
+	.A1(n_13314),
+	.A2(n_13424),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [33]),
+	.B2(n_13359),
+	.Y(n_13427), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g616090 (
+	.A1(n_13314),
+	.A2(n_13419),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [33]),
+	.B2(n_13383),
+	.Y(n_35187), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g616092 (
+	.A(n_13405),
+	.B(n_13340),
+	.C(n_13360),
+	.D(n_13346),
+	.Y(n_13425), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g616093 (
+	.A(n_13401),
+	.B(n_13403),
+	.C(n_13410),
+	.D(n_13420),
+	.Y(n_13424), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g616094 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [12]),
+	.A2(n_13399),
+	.B1(n_13400),
+	.C1(n_13414),
+	.D1(n_13411),
+	.Y(n_13422), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g616095 (
+	.A(n_13356),
+	.B(n_13409),
+	.C(n_13345),
+	.Y(n_13421), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o32a_1 g616096 (
+	.A1(n_13314),
+	.A2(n_34259),
+	.A3(n_13416),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [33]),
+	.B2(n_13329),
+	.X(n_13423), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o32ai_1 g616098 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [9]),
+	.A2(n_13338),
+	.A3(n_13399),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [7]),
+	.B2(n_13407),
+	.Y(n_13420), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111oi_0 g616099 (
+	.A1(n_13408),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [7]),
+	.B1(n_13378),
+	.C1(n_13384),
+	.D1(n_13397),
+	.Y(n_13419), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g616100 (
+	.A(n_13396),
+	.B(n_13394),
+	.C(n_13398),
+	.D(n_13402),
+	.X(n_13418), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g616101 (
+	.A(n_13416),
+	.Y(n_13415), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g616102 (
+	.A(n_13403),
+	.B(n_13402),
+	.Y(n_13414), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g616103 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [3]),
+	.B(n_13341),
+	.C(n_13407),
+	.Y(n_13417), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g616104 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [7]),
+	.B(n_13407),
+	.Y(n_13413), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g616105 (
+	.A(n_13408),
+	.B(n_13342),
+	.C(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [2]),
+	.Y(n_13416), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g616106 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [5]),
+	.B(n_13407),
+	.C_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [6]),
+	.Y(n_13412), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4b_1 g616107 (
+	.A_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [4]),
+	.B(n_13408),
+	.C(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [5]),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [6]),
+	.Y(n_13411), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g616108 (
+	.A(n_13408),
+	.B(n_13342),
+	.C(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [3]),
+	.X(n_13410), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o311ai_1 g616109 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [7]),
+	.A2(n_13317),
+	.A3(n_34518),
+	.B1(n_13366),
+	.C1(n_13406),
+	.Y(n_13409), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g616110 (
+	.A(n_13408),
+	.Y(n_13407), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g616111 (
+	.A(n_13387),
+	.B(n_13353),
+	.C(n_13347),
+	.D(n_13340),
+	.Y(n_13406), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o41ai_1 g616112 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [10]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [11]),
+	.A3(n_13308),
+	.A4(n_34252),
+	.B1(n_13395),
+	.Y(n_13405), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211o_1 g616113 (
+	.A1(n_13364),
+	.A2(n_13343),
+	.B1(n_13358),
+	.C1(n_13397),
+	.X(n_13404), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g616114 (
+	.A(n_13326),
+	.B(n_13338),
+	.C(n_13399),
+	.Y(n_13408), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4bb_1 g616115 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [10]),
+	.B(n_13399),
+	.C_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [12]),
+	.D_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [11]),
+	.Y(n_13401), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g616116 (
+	.A(n_13385),
+	.B(n_13384),
+	.C(n_13386),
+	.D(n_13394),
+	.Y(n_13400), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g616117 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [8]),
+	.B(n_13308),
+	.C(n_13338),
+	.D(n_13399),
+	.Y(n_13403), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g616118 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [11]),
+	.B(n_13316),
+	.C(n_13399),
+	.Y(n_13402), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g616119 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [13]),
+	.B(n_13392),
+	.Y(n_13398), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g616120 (
+	.A(n_13393),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [13]),
+	.Y(n_13399), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g616121 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [17]),
+	.A2(n_13381),
+	.B1(n_13391),
+	.Y(n_13396), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g616122 (
+	.A(n_13353),
+	.B(n_13388),
+	.C(n_13344),
+	.D(n_13332),
+	.Y(n_13395), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g616123 (
+	.A1(n_13380),
+	.A2(n_13327),
+	.B1(n_13376),
+	.B2(n_13324),
+	.C1(n_13394),
+	.X(n_13397), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g616124 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [15]),
+	.B_N(n_13390),
+	.Y(n_13394), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g616125 (
+	.A(n_13392),
+	.Y(n_13393), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g616126 (
+	.A(n_13371),
+	.B(n_13373),
+	.C(n_13375),
+	.D(n_13384),
+	.Y(n_13391), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g616127 (
+	.A(n_13390),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [15]),
+	.C(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [14]),
+	.Y(n_13392), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g616128 (
+	.A1(n_13372),
+	.A2(n_13366),
+	.A3(n_13348),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [33]),
+	.Y(n_13389), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g616129 (
+	.A(n_13328),
+	.B(n_13379),
+	.Y(n_13388), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g616130 (
+	.A(n_13327),
+	.B(n_13381),
+	.Y(n_13390), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o311ai_1 g616131 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [19]),
+	.A2(n_13310),
+	.A3(n_34520),
+	.B1(n_13374),
+	.C1(n_13365),
+	.Y(n_13387), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g616132 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [16]),
+	.B(n_13315),
+	.C(n_13381),
+	.Y(n_13386), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o31ai_1 g616133 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [20]),
+	.A2(n_13306),
+	.A3(n_13370),
+	.B1(n_13377),
+	.Y(n_13385), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4_1 g616134 (
+	.A(n_13372),
+	.B(n_13361),
+	.C(n_13365),
+	.D(n_13349),
+	.X(n_13383), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g616135 (
+	.A1(n_13368),
+	.A2(n_13361),
+	.A3(n_13362),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [33]),
+	.Y(n_13382), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g616136 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [19]),
+	.B_N(n_13376),
+	.Y(n_13384), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g616137 (
+	.A(n_13381),
+	.Y(n_13380), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g616138 (
+	.A(n_13357),
+	.B(n_13339),
+	.C(n_13331),
+	.D(n_13355),
+	.Y(n_13379), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o32ai_1 g616139 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [22]),
+	.A2(n_13343),
+	.A3(n_13363),
+	.B1(n_13334),
+	.B2(n_13370),
+	.Y(n_13378), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g616140 (
+	.A(n_13335),
+	.B(n_13367),
+	.C(n_13373),
+	.Y(n_13377), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g616141 (
+	.A(n_13376),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [19]),
+	.C(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [18]),
+	.Y(n_13381), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g616142 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [21]),
+	.B(n_13370),
+	.Y(n_13375), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g616143 (
+	.A(n_13369),
+	.B(n_13339),
+	.Y(n_13374), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g616144 (
+	.A(n_13333),
+	.B(n_13370),
+	.Y(n_13376), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g616145 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [25]),
+	.A2(n_13363),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [29]),
+	.B2(n_13330),
+	.Y(n_13371), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g616146 (
+	.A1(n_13337),
+	.A2(n_13363),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [27]),
+	.B2(n_13354),
+	.Y(n_13373), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g616147 (
+	.A(n_13325),
+	.B(n_13360),
+	.C_N(n_13368),
+	.Y(n_13372), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o32ai_1 g616148 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [27]),
+	.A2(n_13321),
+	.A3(n_34523),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [31]),
+	.B2(n_13352),
+	.Y(n_13369), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g616149 (
+	.A_N(n_13343),
+	.B(n_13364),
+	.C(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [22]),
+	.Y(n_13370), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g616150 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [24]),
+	.B(n_13307),
+	.C(n_13363),
+	.Y(n_13367), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g616151 (
+	.A(n_13346),
+	.B(n_13345),
+	.C(n_13336),
+	.Y(n_13368), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g616152 (
+	.A(n_13364),
+	.Y(n_13363), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g616153 (
+	.A1(n_13319),
+	.A2(n_34524),
+	.B1(n_13339),
+	.Y(n_13362), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g616154 (
+	.A(n_13351),
+	.B(n_13344),
+	.Y(n_13366), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g616155 (
+	.A1(n_13311),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [22]),
+	.B1(n_13355),
+	.Y(n_13365), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g616156 (
+	.A(n_13321),
+	.B(n_13354),
+	.C_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [27]),
+	.Y(n_13364), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g616157 (
+	.A1(n_13320),
+	.A2(n_34519),
+	.B1(n_13340),
+	.Y(n_13359), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g616158 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [26]),
+	.B(n_13354),
+	.C_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [27]),
+	.Y(n_13358), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o32ai_1 g616159 (
+	.A1(n_13307),
+	.A2(n_34102),
+	.A3(n_34523),
+	.B1(n_35050),
+	.B2(n_13323),
+	.Y(n_13357), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g616160 (
+	.A(n_13353),
+	.B(n_13350),
+	.Y(n_13361), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g616161 (
+	.A1(n_13305),
+	.A2(n_34219),
+	.B1_N(n_13356),
+	.Y(n_13360), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g616162 (
+	.A1(n_13318),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [28]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [30]),
+	.Y(n_13352), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g616163 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [15]),
+	.B(n_34253),
+	.C_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [14]),
+	.Y(n_13351), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g616164 (
+	.A1(n_13313),
+	.A2(n_34520),
+	.B1(n_13328),
+	.Y(n_13350), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g616165 (
+	.A1(n_13312),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [23]),
+	.B1(n_13331),
+	.Y(n_13349), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g616166 (
+	.A1(n_13322),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [15]),
+	.B1(n_13332),
+	.Y(n_13348), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g616167 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [11]),
+	.B(n_34252),
+	.C_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [10]),
+	.Y(n_13347), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g616168 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [5]),
+	.B(n_34219),
+	.C_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [4]),
+	.Y(n_13356), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g616169 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [21]),
+	.B(n_34192),
+	.C_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [20]),
+	.Y(n_13355), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g616170 (
+	.A_N(n_13330),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [29]),
+	.C(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [28]),
+	.Y(n_13354), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g616171 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [17]),
+	.B(n_34188),
+	.C_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [16]),
+	.Y(n_13353), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g616172 (
+	.A(n_13341),
+	.Y(n_13342), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g616173 (
+	.A_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [23]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [25]),
+	.C(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [24]),
+	.Y(n_13337), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g616174 (
+	.A1(n_1878),
+	.A2(n_34517),
+	.B1(n_13329),
+	.Y(n_13336), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g616175 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [28]),
+	.B(n_13318),
+	.C(n_13330),
+	.Y(n_13335), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g616176 (
+	.A(n_34528),
+	.B(n_34517),
+	.C_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [1]),
+	.Y(n_13346), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g616177 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [3]),
+	.B(n_34517),
+	.C_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [2]),
+	.Y(n_13345), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g616178 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [13]),
+	.B(n_13316),
+	.C(n_34187),
+	.Y(n_13344), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g616179 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [25]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [24]),
+	.C(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [23]),
+	.Y(n_13343), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g616180 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [5]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [6]),
+	.C(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [4]),
+	.Y(n_13341), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g616181 (
+	.A(n_34519),
+	.B(n_34252),
+	.C_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [8]),
+	.Y(n_13340), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g616182 (
+	.A(n_34524),
+	.B(n_34523),
+	.C_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [24]),
+	.Y(n_13339), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g616183 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [12]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [11]),
+	.C(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [10]),
+	.Y(n_13338), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g616184 (
+	.A(n_13333),
+	.Y(n_13334), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g616185 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [3]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [2]),
+	.Y(n_34258), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g616186 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [3]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [1]),
+	.Y(n_34257), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g616187 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [0]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [1]),
+	.Y(n_34259), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g616188 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [20]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [21]),
+	.Y(n_13333), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g616189 (
+	.A(n_34187),
+	.B_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [13]),
+	.Y(n_13332), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g616190 (
+	.A(n_13306),
+	.B(n_34192),
+	.Y(n_13331), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g616191 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [30]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [31]),
+	.Y(n_13330), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g616192 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [8]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [9]),
+	.Y(n_13326), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g616193 (
+	.A_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [3]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [2]),
+	.Y(n_34260), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g616194 (
+	.A(n_34518),
+	.B_N(n_34526),
+	.Y(n_13325), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g616195 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [18]),
+	.B_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [19]),
+	.Y(n_13324), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g616196 (
+	.A_N(n_34516),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [0]),
+	.Y(n_13329), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g616197 (
+	.A(n_13315),
+	.B(n_34188),
+	.X(n_13328), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g616198 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [16]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [17]),
+	.Y(n_13327), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g616199 (
+	.A(n_34204),
+	.Y(n_13323), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g616200 (
+	.A(n_34253),
+	.Y(n_13322), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g616201 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [26]),
+	.Y(n_13321), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g616202 (
+	.A(n_34252),
+	.Y(n_13320), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g616203 (
+	.A(n_34523),
+	.Y(n_13319), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g616204 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [29]),
+	.Y(n_13318), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g616205 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [6]),
+	.Y(n_13317), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g616206 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [12]),
+	.Y(n_13316), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g616208 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [33]),
+	.Y(n_13314), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g616209 (
+	.A(n_34525),
+	.Y(n_13313), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g616210 (
+	.A(n_34522),
+	.Y(n_13312), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g616211 (
+	.A(n_34521),
+	.Y(n_13311), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g616212 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [18]),
+	.Y(n_13310), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g616214 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [9]),
+	.Y(n_13308), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g616215 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [25]),
+	.Y(n_13307), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g616216 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [21]),
+	.Y(n_13306), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g616217 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [5]),
+	.Y(n_13305), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g890949 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [1]),
+	.A2(n_13416),
+	.B1_N(n_13420),
+	.Y(n_13304), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g656189 (
+	.A1(n_12326),
+	.A2(n_35195),
+	.B1(n_13270),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g656190 (
+	.A1(n_12321),
+	.A2(n_35198),
+	.B1(n_13266),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[46]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g656191 (
+	.A1(n_12369),
+	.A2(n_35198),
+	.B1(n_13265),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[45]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g656192 (
+	.A1(n_12375),
+	.A2(n_35198),
+	.B1(n_13264),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[43]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g656193 (
+	.A1(n_12326),
+	.A2(n_35198),
+	.B1(n_13263),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[42]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g656194 (
+	.A1(n_12319),
+	.A2(n_35198),
+	.B1(n_13262),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[41]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g656195 (
+	.A1(n_12325),
+	.A2(n_13258),
+	.B1(n_13261),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[34]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g656196 (
+	.A1(n_12367),
+	.A2(n_35195),
+	.B1(n_13273),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g656197 (
+	.A1(n_12321),
+	.A2(n_35195),
+	.B1(n_13260),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g656198 (
+	.A1(n_12369),
+	.A2(n_35195),
+	.B1(n_13272),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g656199 (
+	.A1(n_12375),
+	.A2(n_35195),
+	.B1(n_13271),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g656200 (
+	.A1(n_12367),
+	.A2(n_35198),
+	.B1(n_13267),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[47]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g656201 (
+	.A1(n_12319),
+	.A2(n_35195),
+	.B1(n_13269),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g656202 (
+	.A1(n_12325),
+	.A2(n_13256),
+	.B1(n_13268),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g656203 (
+	.A1(n_12365),
+	.A2(n_13259),
+	.B1(n_43189),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[54]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g656204 (
+	.A1(n_12380),
+	.A2(n_13259),
+	.B1(n_43182),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[53]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g656205 (
+	.A1(n_12368),
+	.A2(n_13259),
+	.B1(n_43184),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[52]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g656206 (
+	.A1(n_12370),
+	.A2(n_13259),
+	.B1(n_43185),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[51]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g656207 (
+	.A1(n_12373),
+	.A2(n_13259),
+	.B1(n_43187),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[49]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g656208 (
+	.A1(n_12372),
+	.A2(n_13259),
+	.B1(n_43186),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[50]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g656209 (
+	.A1(n_12366),
+	.A2(n_13259),
+	.B1(n_43188),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[48]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g656210 (
+	.A1(n_12379),
+	.A2(n_13259),
+	.B1(n_43183),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[55]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g656211 (
+	.A1(n_12370),
+	.A2(n_13257),
+	.B1(n_13284),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g656212 (
+	.A1(n_12322),
+	.A2(n_13258),
+	.B1(n_13294),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[38]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g656213 (
+	.A1(n_12323),
+	.A2(n_13258),
+	.B1(n_13293),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[37]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g656214 (
+	.A1(n_12324),
+	.A2(n_13258),
+	.B1(n_13292),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[36]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g656215 (
+	.A1(n_12330),
+	.A2(n_13258),
+	.B1(n_13291),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[35]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g656216 (
+	.A1(n_12331),
+	.A2(n_13258),
+	.B1(n_13290),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[33]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g656217 (
+	.A1(n_12327),
+	.A2(n_13258),
+	.B1(n_13287),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[32]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g656218 (
+	.A1(n_12379),
+	.A2(n_13257),
+	.B1(n_13289),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g656219 (
+	.A1(n_12365),
+	.A2(n_13257),
+	.B1(n_13288),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g656220 (
+	.A1(n_12380),
+	.A2(n_13257),
+	.B1(n_13286),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g656221 (
+	.A1(n_12368),
+	.A2(n_13257),
+	.B1(n_13285),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g656222 (
+	.A1(n_12320),
+	.A2(n_13258),
+	.B1(n_13295),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[39]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g656223 (
+	.A1(n_12372),
+	.A2(n_13257),
+	.B1(n_13283),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g656224 (
+	.A1(n_12373),
+	.A2(n_13257),
+	.B1(n_13282),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g656225 (
+	.A1(n_12366),
+	.A2(n_13257),
+	.B1(n_13281),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g656226 (
+	.A1(n_12320),
+	.A2(n_13256),
+	.B1(n_13280),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g656227 (
+	.A1(n_12322),
+	.A2(n_13256),
+	.B1(n_13279),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g656228 (
+	.A1(n_12323),
+	.A2(n_13256),
+	.B1(n_13278),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g656229 (
+	.A1(n_12330),
+	.A2(n_13256),
+	.B1(n_13276),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g656230 (
+	.A1(n_12324),
+	.A2(n_13256),
+	.B1(n_13277),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g656231 (
+	.A1(n_12331),
+	.A2(n_13256),
+	.B1(n_13275),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g656232 (
+	.A1(n_12327),
+	.A2(n_13256),
+	.B1(n_13303),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656233 (
+	.A1(n_36090),
+	.A2(n_13236),
+	.B1(n_13243),
+	.B2(n_13183),
+	.C1(n_12161),
+	.C2(n_36091),
+	.Y(n_13303), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656241 (
+	.A1(n_36104),
+	.A2(n_13242),
+	.B1(n_13234),
+	.B2(n_13190),
+	.C1(n_12160),
+	.C2(n_36105),
+	.Y(n_13295), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656242 (
+	.A1(n_36102),
+	.A2(n_13242),
+	.B1(n_13234),
+	.B2(n_13189),
+	.C1(n_12160),
+	.C2(n_36103),
+	.Y(n_13294), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656243 (
+	.A1(n_36100),
+	.A2(n_13242),
+	.B1(n_13234),
+	.B2(n_13188),
+	.C1(n_12160),
+	.C2(n_36101),
+	.Y(n_13293), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656244 (
+	.A1(n_36098),
+	.A2(n_13242),
+	.B1(n_13234),
+	.B2(n_13187),
+	.C1(n_12160),
+	.C2(n_36099),
+	.Y(n_13292), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656245 (
+	.A1(n_36096),
+	.A2(n_13242),
+	.B1(n_13234),
+	.B2(n_13186),
+	.C1(n_12160),
+	.C2(n_36097),
+	.Y(n_13291), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656246 (
+	.A1(n_36092),
+	.A2(n_13242),
+	.B1(n_13234),
+	.B2(n_13184),
+	.C1(n_12160),
+	.C2(n_36093),
+	.Y(n_13290), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656247 (
+	.A1(n_36071),
+	.A2(n_12161),
+	.B1(n_13240),
+	.B2(n_13210),
+	.C1(n_13235),
+	.C2(n_36070),
+	.Y(n_13289), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656248 (
+	.A1(n_36069),
+	.A2(n_12161),
+	.B1(n_13240),
+	.B2(n_13209),
+	.C1(n_13235),
+	.C2(n_36068),
+	.Y(n_13288), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656249 (
+	.A1(n_36090),
+	.A2(n_13242),
+	.B1(n_13234),
+	.B2(n_13183),
+	.C1(n_12160),
+	.C2(n_36091),
+	.Y(n_13287), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656250 (
+	.A1(n_36067),
+	.A2(n_12161),
+	.B1(n_13240),
+	.B2(n_13220),
+	.C1(n_13235),
+	.C2(n_36066),
+	.Y(n_13286), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656251 (
+	.A1(n_36065),
+	.A2(n_12161),
+	.B1(n_13240),
+	.B2(n_13207),
+	.C1(n_13235),
+	.C2(n_36064),
+	.Y(n_13285), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656252 (
+	.A1(n_36063),
+	.A2(n_12161),
+	.B1(n_13240),
+	.B2(n_13206),
+	.C1(n_13235),
+	.C2(n_36062),
+	.Y(n_13284), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656253 (
+	.A1(n_36061),
+	.A2(n_12161),
+	.B1(n_13240),
+	.B2(n_13205),
+	.C1(n_13235),
+	.C2(n_36060),
+	.Y(n_13283), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656254 (
+	.A1(n_36059),
+	.A2(n_12161),
+	.B1(n_13240),
+	.B2(n_13204),
+	.C1(n_13235),
+	.C2(n_36058),
+	.Y(n_13282), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656255 (
+	.A1(n_36057),
+	.A2(n_12161),
+	.B1(n_13240),
+	.B2(n_13203),
+	.C1(n_13235),
+	.C2(n_36056),
+	.Y(n_13281), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656256 (
+	.A1(n_36104),
+	.A2(n_13236),
+	.B1(n_13243),
+	.B2(n_13190),
+	.C1(n_12161),
+	.C2(n_36105),
+	.Y(n_13280), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656257 (
+	.A1(n_36102),
+	.A2(n_13236),
+	.B1(n_13243),
+	.B2(n_13189),
+	.C1(n_12161),
+	.C2(n_36103),
+	.Y(n_13279), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656258 (
+	.A1(n_36100),
+	.A2(n_13236),
+	.B1(n_13243),
+	.B2(n_13188),
+	.C1(n_12161),
+	.C2(n_36101),
+	.Y(n_13278), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656259 (
+	.A1(n_36098),
+	.A2(n_13236),
+	.B1(n_13243),
+	.B2(n_13187),
+	.C1(n_12161),
+	.C2(n_36099),
+	.Y(n_13277), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656260 (
+	.A1(n_36096),
+	.A2(n_13236),
+	.B1(n_13243),
+	.B2(n_13186),
+	.C1(n_12161),
+	.C2(n_36097),
+	.Y(n_13276), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656261 (
+	.A1(n_36092),
+	.A2(n_13236),
+	.B1(n_13243),
+	.B2(n_13184),
+	.C1(n_12161),
+	.C2(n_36093),
+	.Y(n_13275), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g656263 (
+	.A1(n_12361),
+	.A2(n_12018),
+	.B1(n_13218),
+	.B2(n_35300),
+	.C1(n_12250),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[62]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656264 (
+	.A1(n_36087),
+	.A2(n_13237),
+	.B1(n_13246),
+	.B2(n_13201),
+	.C1(n_12161),
+	.C2(n_36088),
+	.Y(n_13273), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656265 (
+	.A1(n_36084),
+	.A2(n_12161),
+	.B1(n_13246),
+	.B2(n_13199),
+	.C1(n_13237),
+	.C2(n_36083),
+	.Y(n_13272), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656266 (
+	.A1(n_36080),
+	.A2(n_12161),
+	.B1(n_13246),
+	.B2(n_13198),
+	.C1(n_13237),
+	.C2(n_36079),
+	.Y(n_13271), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656267 (
+	.A1(n_36078),
+	.A2(n_12161),
+	.B1(n_13246),
+	.B2(n_13197),
+	.C1(n_13237),
+	.C2(n_36077),
+	.Y(n_13270), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656268 (
+	.A1(n_36075),
+	.A2(n_13237),
+	.B1(n_13246),
+	.B2(n_13182),
+	.C1(n_12161),
+	.C2(n_36076),
+	.Y(n_13269), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656269 (
+	.A1(n_36094),
+	.A2(n_13236),
+	.B1(n_13243),
+	.B2(n_13185),
+	.C1(n_12161),
+	.C2(n_36095),
+	.Y(n_13268), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656270 (
+	.A1(n_36087),
+	.A2(n_13238),
+	.B1(n_13239),
+	.B2(n_13201),
+	.C1(n_12160),
+	.C2(n_36088),
+	.Y(n_13267), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656271 (
+	.A1(n_36086),
+	.A2(n_12160),
+	.B1(n_13239),
+	.B2(n_13200),
+	.C1(n_13238),
+	.C2(n_36085),
+	.Y(n_13266), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656272 (
+	.A1(n_36084),
+	.A2(n_12160),
+	.B1(n_13239),
+	.B2(n_13199),
+	.C1(n_13238),
+	.C2(n_36083),
+	.Y(n_13265), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656273 (
+	.A1(n_36080),
+	.A2(n_12160),
+	.B1(n_13239),
+	.B2(n_13198),
+	.C1(n_13238),
+	.C2(n_36079),
+	.Y(n_13264), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656274 (
+	.A1(n_36078),
+	.A2(n_12160),
+	.B1(n_13239),
+	.B2(n_13197),
+	.C1(n_13238),
+	.C2(n_36077),
+	.Y(n_13263), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656275 (
+	.A1(n_36075),
+	.A2(n_13238),
+	.B1(n_13239),
+	.B2(n_13182),
+	.C1(n_12160),
+	.C2(n_36076),
+	.Y(n_13262), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656276 (
+	.A1(n_36094),
+	.A2(n_13242),
+	.B1(n_13234),
+	.B2(n_13185),
+	.C1(n_12160),
+	.C2(n_36095),
+	.Y(n_13261), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g656277 (
+	.A1(n_12364),
+	.A2(n_13247),
+	.B1(n_13208),
+	.B2(n_34358),
+	.C1(n_12242),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g656278 (
+	.A1(n_12361),
+	.A2(n_13247),
+	.B1(n_13218),
+	.B2(n_34358),
+	.C1(n_12241),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g656279 (
+	.A1(n_12362),
+	.A2(n_13247),
+	.B1(n_13217),
+	.B2(n_34358),
+	.C1(n_12244),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g656280 (
+	.A1(n_12363),
+	.A2(n_13247),
+	.B1(n_13216),
+	.B2(n_34358),
+	.C1(n_12240),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g656281 (
+	.A1(n_12374),
+	.A2(n_13247),
+	.B1(n_13215),
+	.B2(n_34358),
+	.C1(n_12245),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g656282 (
+	.A1(n_12376),
+	.A2(n_13247),
+	.B1(n_13214),
+	.B2(n_34358),
+	.C1(n_12239),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g656283 (
+	.A1(n_12377),
+	.A2(n_13247),
+	.B1(n_13213),
+	.B2(n_34358),
+	.C1(n_12246),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g656284 (
+	.A1(n_12378),
+	.A2(n_13247),
+	.B1(n_13212),
+	.B2(n_34358),
+	.C1(n_12238),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g656285 (
+	.A1(n_12362),
+	.A2(n_12018),
+	.B1(n_13217),
+	.B2(n_35300),
+	.C1(n_12249),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[61]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g656286 (
+	.A1(n_12363),
+	.A2(n_12018),
+	.B1(n_13216),
+	.B2(n_35300),
+	.C1(n_12248),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[60]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g656287 (
+	.A1(n_12374),
+	.A2(n_12018),
+	.B1(n_13215),
+	.B2(n_35300),
+	.C1(n_12233),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[59]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g656288 (
+	.A1(n_12376),
+	.A2(n_12018),
+	.B1(n_13214),
+	.B2(n_35300),
+	.C1(n_12237),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[58]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g656289 (
+	.A1(n_12377),
+	.A2(n_12018),
+	.B1(n_13213),
+	.B2(n_35300),
+	.C1(n_12252),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[57]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g656290 (
+	.A1(n_12378),
+	.A2(n_12018),
+	.B1(n_13212),
+	.B2(n_35300),
+	.C1(n_12247),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[56]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g656291 (
+	.A1(n_12364),
+	.A2(n_12018),
+	.B1(n_13208),
+	.B2(n_35300),
+	.C1(n_12251),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[63]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656292 (
+	.A1(n_36086),
+	.A2(n_12161),
+	.B1(n_13246),
+	.B2(n_13200),
+	.C1(n_13237),
+	.C2(n_36085),
+	.Y(n_13260), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g656294 (
+	.A(n_34364),
+	.B(n_35287),
+	.X(n_35198), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g656295 (
+	.A(n_34375),
+	.B(n_34339),
+	.X(n_35195), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g656296 (
+	.A(n_34364),
+	.B(n_34363),
+	.X(n_13259), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g656297 (
+	.A(n_34364),
+	.B(n_34360),
+	.X(n_13258), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g656298 (
+	.A(n_34375),
+	.B(n_34366),
+	.X(n_13257), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g656299 (
+	.A(n_34375),
+	.B(n_34361),
+	.X(n_13256), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g656307 (
+	.A(n_13231),
+	.B(n_13244),
+	.Y(n_34363), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g656308 (
+	.A(n_35194),
+	.B(n_35193),
+	.Y(n_34339), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g656309 (
+	.A(n_13233),
+	.B(n_13241),
+	.Y(n_34360), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g656310 (
+	.A(n_34358),
+	.B(n_12502),
+	.Y(n_13247), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g656311 (
+	.A(n_35197),
+	.B(n_35196),
+	.Y(n_35287), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g656312 (
+	.A(n_13236),
+	.B(n_13243),
+	.X(n_34361), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g656313 (
+	.A(n_13235),
+	.B(n_13240),
+	.X(n_34366), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g656315 (
+	.A(n_13246),
+	.Y(n_35194), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g656316 (
+	.A(n_13244),
+	.Y(n_13245), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g656317 (
+	.A(n_13241),
+	.Y(n_13242), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g656318 (
+	.A(n_13202),
+	.B(n_13229),
+	.Y(n_13246), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g656319 (
+	.A(n_13230),
+	.B(n_12263),
+	.Y(n_13244), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g656320 (
+	.A(n_13191),
+	.B(n_13229),
+	.Y(n_13243), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g656321 (
+	.A(n_13230),
+	.B(n_34362),
+	.Y(n_13241), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g656322 (
+	.A(n_13211),
+	.B(n_13229),
+	.Y(n_13240), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g656323 (
+	.A(n_13227),
+	.B(n_13196),
+	.Y(n_35300), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g656324 (
+	.A(n_13195),
+	.B(n_13229),
+	.X(n_34358), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g656325 (
+	.A(n_35197),
+	.Y(n_13239), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g656326 (
+	.A(n_35196),
+	.Y(n_13238), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g656327 (
+	.A(n_13237),
+	.Y(n_35193), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g656328 (
+	.A(n_13233),
+	.Y(n_13234), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g656329 (
+	.A(n_13231),
+	.Y(n_13232), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g656330 (
+	.A_N(n_13202),
+	.B(n_13227),
+	.Y(n_35197), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g656331 (
+	.A_N(n_34368),
+	.B(n_13230),
+	.Y(n_35196), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g656332 (
+	.A(n_34368),
+	.B(n_13228),
+	.Y(n_13237), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g656333 (
+	.A(n_13228),
+	.B_N(n_34362),
+	.Y(n_13236), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g656334 (
+	.A(n_34367),
+	.B(n_13228),
+	.Y(n_13235), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g656335 (
+	.A_N(n_13191),
+	.B(n_13227),
+	.Y(n_13233), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g656336 (
+	.A_N(n_13211),
+	.B(n_13227),
+	.Y(n_13231), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g656337 (
+	.A(n_34820),
+	.B(n_34561),
+	.C(n_13226),
+	.Y(n_13230), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g656338 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_merge_en),
+	.B(n_34561),
+	.C(n_13219),
+	.Y(n_13229), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g656339 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_merge_en),
+	.B(n_1540),
+	.C(n_34820),
+	.Y(n_13228), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g656340 (
+	.A(n_13219),
+	.B(n_1540),
+	.C(n_13226),
+	.Y(n_13227), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g656341 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_merge_en),
+	.Y(n_13226), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o41ai_1 g656342 (
+	.A1(n_34574),
+	.A2(n_34570),
+	.A3(n_13192),
+	.A4(n_13225),
+	.B1(n_12454),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_merge_en), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g656343 (
+	.A(n_13224),
+	.B(n_13175),
+	.C(n_13161),
+	.D(n_13193),
+	.Y(n_13225), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g656344 (
+	.A(n_43961),
+	.B(n_43198),
+	.Y(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g656345 (
+	.A(n_43190),
+	.B(n_43199),
+	.Y(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g656346 (
+	.A(n_43197),
+	.B(n_12084),
+	.C(n_12480),
+	.D(n_12142),
+	.Y(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g656347 (
+	.A1(n_13076),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[5]),
+	.B1(n_13221),
+	.Y(n_13224), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g656350 (
+	.A(n_13087),
+	.B(n_13018),
+	.C(n_13093),
+	.D(n_13168),
+	.X(brqrv_top_brqrv_dec_csr_rddata_d[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4b_1 g656351 (
+	.A_N(n_13058),
+	.B(n_13194),
+	.C(n_12812),
+	.D(n_12493),
+	.Y(brqrv_top_brqrv_dec_csr_rddata_d[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g656352 (
+	.A(n_13179),
+	.B(n_13008),
+	.C(n_12765),
+	.D(n_12961),
+	.Y(brqrv_top_brqrv_dec_csr_rddata_d[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g656353 (
+	.A(n_13166),
+	.B(n_13170),
+	.C(n_13014),
+	.D(n_13034),
+	.Y(brqrv_top_brqrv_dec_csr_rddata_d[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g656354 (
+	.A(n_12703),
+	.B(n_13096),
+	.C(n_13019),
+	.D(n_13181),
+	.X(brqrv_top_brqrv_dec_csr_rddata_d[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g656355 (
+	.A(n_12910),
+	.B(n_13079),
+	.C(n_13009),
+	.D(n_13106),
+	.X(brqrv_top_brqrv_dec_csr_rddata_d[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g656356 (
+	.A1(n_13077),
+	.A2(n_13081),
+	.B1(n_12212),
+	.C1(n_12216),
+	.D1(n_13169),
+	.Y(n_13221), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g656357 (
+	.A(n_13180),
+	.B(n_13091),
+	.C(n_12806),
+	.D(n_12733),
+	.Y(brqrv_top_brqrv_dec_csr_rddata_d[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g656358 (
+	.A(n_13195),
+	.Y(n_13196), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g656359 (
+	.A(n_13070),
+	.B(n_13036),
+	.C(n_13089),
+	.D(n_13043),
+	.X(brqrv_top_brqrv_dec_csr_rddata_d[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g656360 (
+	.A(n_13005),
+	.B(n_13086),
+	.C(n_13083),
+	.D(n_13020),
+	.X(brqrv_top_brqrv_dec_csr_rddata_d[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g656361 (
+	.A(n_13017),
+	.B(n_12974),
+	.C(n_13012),
+	.D(n_13085),
+	.X(brqrv_top_brqrv_dec_csr_rddata_d[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111oi_0 g656362 (
+	.A1(n_12350),
+	.A2(brqrv_top_brqrv_dec_tlu_mcyclel[12]),
+	.B1(n_13063),
+	.C1(n_13031),
+	.D1(n_12964),
+	.Y(n_13194), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g656363 (
+	.A1(n_13073),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[0]),
+	.B1(n_13076),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[3]),
+	.C1(n_13162),
+	.Y(n_13193), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g656364 (
+	.A1(n_13073),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[1]),
+	.B1(n_13076),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[4]),
+	.C1(n_13163),
+	.Y(n_13192), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g656365 (
+	.A(n_13146),
+	.B(n_13147),
+	.Y(n_13220), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g656366 (
+	.A1(n_13073),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[2]),
+	.B1(n_13076),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[34]),
+	.C1(n_13164),
+	.Y(n_13219), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g656367 (
+	.A1(n_13073),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[30]),
+	.B1(n_13076),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[62]),
+	.C1(n_13159),
+	.Y(n_13218), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g656368 (
+	.A1(n_13073),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[29]),
+	.B1(n_13076),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[61]),
+	.C1(n_13158),
+	.Y(n_13217), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g656369 (
+	.A1(n_13073),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[28]),
+	.B1(n_13076),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[60]),
+	.C1(n_13157),
+	.Y(n_13216), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g656370 (
+	.A1(n_13073),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[27]),
+	.B1(n_13076),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[59]),
+	.C1(n_13156),
+	.Y(n_13215), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g656371 (
+	.A1(n_13073),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[26]),
+	.B1(n_13076),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[58]),
+	.C1(n_13155),
+	.Y(n_13214), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g656372 (
+	.A1(n_13073),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[25]),
+	.B1(n_13076),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[57]),
+	.C1(n_13154),
+	.Y(n_13213), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g656373 (
+	.A1(n_13073),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[24]),
+	.B1(n_13076),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[56]),
+	.C1(n_13153),
+	.Y(n_13212), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g656374 (
+	.A1(n_13073),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[2]),
+	.B1(n_13076),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[6]),
+	.C1(n_13152),
+	.Y(n_13211), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g656375 (
+	.A(n_13176),
+	.B(n_13151),
+	.Y(n_13210), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g656376 (
+	.A(n_13115),
+	.B(n_13148),
+	.Y(n_13209), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g656377 (
+	.A1(n_13073),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[31]),
+	.B1(n_13076),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[63]),
+	.C1(n_13160),
+	.Y(n_13208), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g656378 (
+	.A(n_13144),
+	.B(n_13145),
+	.Y(n_13207), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g656379 (
+	.A(n_13142),
+	.B(n_13143),
+	.Y(n_13206), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g656380 (
+	.A(n_13140),
+	.B(n_13141),
+	.Y(n_13205), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g656381 (
+	.A(n_13138),
+	.B(n_13139),
+	.Y(n_13204), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g656382 (
+	.A(n_13136),
+	.B(n_13137),
+	.Y(n_13203), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g656383 (
+	.A1(n_13073),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[1]),
+	.B1(n_13076),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[5]),
+	.C1(n_13135),
+	.Y(n_13202), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g656384 (
+	.A(n_13133),
+	.B(n_13134),
+	.Y(n_13201), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g656385 (
+	.A(n_13131),
+	.B(n_13132),
+	.Y(n_13200), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g656386 (
+	.A(n_13129),
+	.B(n_13130),
+	.Y(n_13199), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g656387 (
+	.A(n_13127),
+	.B(n_13128),
+	.Y(n_13198), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g656388 (
+	.A(n_13126),
+	.B(n_13125),
+	.Y(n_13197), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g656389 (
+	.A1(n_13073),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[3]),
+	.B1(n_13076),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[7]),
+	.C1(n_13165),
+	.Y(n_13195), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g656391 (
+	.A(n_12989),
+	.B(n_13098),
+	.C(n_13072),
+	.D(n_13050),
+	.X(brqrv_top_brqrv_dec_csr_rddata_d[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g656392 (
+	.A(n_13167),
+	.B(n_12705),
+	.C(n_12524),
+	.D(n_12523),
+	.Y(n_13181), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g656393 (
+	.A(n_13056),
+	.B(n_13104),
+	.C(n_13066),
+	.D(n_13092),
+	.X(brqrv_top_brqrv_dec_csr_rddata_d[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g656394 (
+	.A(n_13055),
+	.B(n_13102),
+	.C(n_13001),
+	.Y(n_13180), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g656395 (
+	.A(n_12970),
+	.B(n_13107),
+	.C(n_13025),
+	.D(n_13062),
+	.X(brqrv_top_brqrv_dec_csr_rddata_d[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g656396 (
+	.A(n_13024),
+	.B(n_12997),
+	.C(n_12969),
+	.D(n_13105),
+	.X(brqrv_top_brqrv_dec_csr_rddata_d[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g656397 (
+	.A(n_13068),
+	.B(n_12761),
+	.C(n_13088),
+	.D(n_13046),
+	.Y(n_13179), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g656398 (
+	.A(n_13065),
+	.B(n_13032),
+	.C(n_12988),
+	.D(n_13150),
+	.X(brqrv_top_brqrv_dec_csr_rddata_d[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4b_1 g656399 (
+	.A_N(n_13053),
+	.B(n_13172),
+	.C(n_12802),
+	.D(n_12487),
+	.Y(brqrv_top_brqrv_dec_csr_rddata_d[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g656400 (
+	.A(n_13173),
+	.B(n_13090),
+	.C(n_12797),
+	.D(n_12621),
+	.Y(brqrv_top_brqrv_dec_csr_rddata_d[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g656401 (
+	.A(n_13171),
+	.B(n_13037),
+	.C(n_12785),
+	.D(n_12732),
+	.Y(brqrv_top_brqrv_dec_csr_rddata_d[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g656402 (
+	.A(n_12856),
+	.B(n_13101),
+	.C(n_13109),
+	.D(n_13054),
+	.X(brqrv_top_brqrv_dec_csr_rddata_d[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g656403 (
+	.A(n_12859),
+	.B(n_13103),
+	.C(n_13110),
+	.D(n_13057),
+	.X(brqrv_top_brqrv_dec_csr_rddata_d[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g656407 (
+	.A(n_12835),
+	.B(n_13095),
+	.C(n_13108),
+	.D(n_13045),
+	.X(brqrv_top_brqrv_dec_csr_rddata_d[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g656408 (
+	.A1(n_13082),
+	.A2(n_1589),
+	.B1(brqrv_top_brqrv_exu_i0_rs2_d[4]),
+	.B2(n_12696),
+	.X(brqrv_top_brqrv_exu_i_alu_shift_amount[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g656409 (
+	.A(n_13078),
+	.B(n_13047),
+	.C(n_12983),
+	.D(n_13060),
+	.X(brqrv_top_brqrv_dec_csr_rddata_d[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g656410 (
+	.A(n_13099),
+	.B(n_12990),
+	.C(n_13052),
+	.D(n_13048),
+	.X(brqrv_top_brqrv_dec_csr_rddata_d[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g656411 (
+	.A(n_13174),
+	.B(n_12972),
+	.C(n_12798),
+	.D(n_12945),
+	.Y(brqrv_top_brqrv_dec_csr_rddata_d[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g656412 (
+	.A1(n_13073),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[0]),
+	.B1(n_13076),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[4]),
+	.C1(n_13123),
+	.Y(n_13191), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g656413 (
+	.A1(n_13073),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[7]),
+	.B1(n_13076),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[39]),
+	.C1(n_13122),
+	.X(n_13190), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g656414 (
+	.A1(n_13073),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[6]),
+	.B1(n_13076),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[38]),
+	.C1(n_13121),
+	.X(n_13189), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g656415 (
+	.A1(n_13073),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[5]),
+	.B1(n_13076),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[37]),
+	.C1(n_13120),
+	.X(n_13188), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g656416 (
+	.A1(n_13073),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[4]),
+	.B1(n_13076),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[36]),
+	.C1(n_13119),
+	.X(n_13187), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g656417 (
+	.A1(n_13073),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[3]),
+	.B1(n_13076),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[35]),
+	.C1(n_13118),
+	.X(n_13186), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g656418 (
+	.A1(n_13073),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[2]),
+	.B1(n_13076),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[34]),
+	.C1(n_13117),
+	.X(n_13185), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g656419 (
+	.A1(n_13073),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[1]),
+	.B1(n_13076),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[33]),
+	.C1(n_13116),
+	.X(n_13184), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g656420 (
+	.A1(n_13073),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[0]),
+	.B1(n_13076),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[32]),
+	.C1(n_13149),
+	.X(n_13183), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g656421 (
+	.A1(n_13073),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[9]),
+	.B1(n_13076),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[41]),
+	.C1(n_13124),
+	.X(n_13182), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g656422 (
+	.A1(n_13073),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[23]),
+	.B1(n_13076),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[55]),
+	.Y(n_13176), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g656423 (
+	.A(n_13064),
+	.B(n_13002),
+	.C(n_13029),
+	.D(n_13044),
+	.X(brqrv_top_brqrv_dec_csr_rddata_d[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g656424 (
+	.A(n_12977),
+	.B(n_13097),
+	.C(n_13023),
+	.D(n_12994),
+	.X(brqrv_top_brqrv_dec_csr_rddata_d[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g656425 (
+	.A(n_13069),
+	.B(n_13035),
+	.C(n_13022),
+	.D(n_13041),
+	.X(brqrv_top_brqrv_dec_csr_rddata_d[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g656426 (
+	.A(n_12982),
+	.B(n_13100),
+	.C(n_13021),
+	.D(n_12973),
+	.X(brqrv_top_brqrv_dec_csr_rddata_d[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g656427 (
+	.A1(n_13075),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[11]),
+	.B1(n_34113),
+	.Y(n_13175), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g656428 (
+	.A(n_12418),
+	.B(n_12585),
+	.C(n_12980),
+	.D(n_13080),
+	.Y(n_13174), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g656429 (
+	.A(n_13026),
+	.B(n_13094),
+	.Y(n_13173), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g656430 (
+	.A(n_12985),
+	.B(n_13067),
+	.C(n_13059),
+	.D(n_13071),
+	.X(brqrv_top_brqrv_dec_csr_rddata_d[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g656431 (
+	.A(n_12984),
+	.B(n_13013),
+	.C(n_13033),
+	.D(n_13003),
+	.X(brqrv_top_brqrv_dec_csr_rddata_d[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g656432 (
+	.A(n_13027),
+	.B(n_13028),
+	.C(n_12992),
+	.Y(n_13172), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g656433 (
+	.A(n_13000),
+	.B(n_12942),
+	.C(n_13111),
+	.X(brqrv_top_brqrv_dec_csr_rddata_d[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g656434 (
+	.A(n_12998),
+	.B(n_13061),
+	.C(n_12999),
+	.Y(n_13171), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111oi_0 g656435 (
+	.A1(n_12350),
+	.A2(brqrv_top_brqrv_dec_tlu_mcyclel[0]),
+	.B1(n_12701),
+	.C1(n_12959),
+	.D1(n_13040),
+	.Y(n_13170), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3b_1 g656436 (
+	.A_N(n_34560),
+	.B(n_35345),
+	.C(n_34530),
+	.X(n_13169), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g656437 (
+	.A(n_12527),
+	.B(n_13006),
+	.C(n_12906),
+	.X(n_13168), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111oi_0 g656438 (
+	.A1(n_12351),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc5[1]),
+	.B1(n_12976),
+	.C1(n_12966),
+	.D1(n_13051),
+	.Y(n_13167), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g656439 (
+	.A(n_13010),
+	.B(n_12996),
+	.C(n_12995),
+	.D(n_13042),
+	.X(brqrv_top_brqrv_dec_csr_rddata_d[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111oi_0 g656440 (
+	.A1(n_12256),
+	.A2(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[0]),
+	.B1(n_12915),
+	.C1(n_12967),
+	.D1(n_13084),
+	.Y(n_13166), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g656441 (
+	.A1(n_13074),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[11]),
+	.B1(n_13075),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[15]),
+	.X(n_13165), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g656442 (
+	.A1(n_13074),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[66]),
+	.B1(n_13075),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[98]),
+	.X(n_13164), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g656443 (
+	.A1(n_13074),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[7]),
+	.B1(n_13075),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[10]),
+	.X(n_13163), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g656444 (
+	.A1(n_13074),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[6]),
+	.B1(n_13075),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[9]),
+	.X(n_13162), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g656445 (
+	.A1(n_13073),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[2]),
+	.B1(n_13074),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[8]),
+	.Y(n_13161), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g656446 (
+	.A1(n_13074),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[95]),
+	.B1(n_13075),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[127]),
+	.X(n_13160), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g656447 (
+	.A1(n_13074),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[94]),
+	.B1(n_13075),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[126]),
+	.X(n_13159), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g656448 (
+	.A1(n_13074),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[93]),
+	.B1(n_13075),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[125]),
+	.X(n_13158), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g656449 (
+	.A1(n_13074),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[92]),
+	.B1(n_13075),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[124]),
+	.X(n_13157), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g656450 (
+	.A1(n_13074),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[91]),
+	.B1(n_13075),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[123]),
+	.X(n_13156), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g656451 (
+	.A1(n_13074),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[90]),
+	.B1(n_13075),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[122]),
+	.X(n_13155), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g656452 (
+	.A1(n_13074),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[89]),
+	.B1(n_13075),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[121]),
+	.X(n_13154), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g656453 (
+	.A1(n_13074),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[88]),
+	.B1(n_13075),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[120]),
+	.X(n_13153), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g656454 (
+	.A1(n_13074),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[10]),
+	.B1(n_13075),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[14]),
+	.X(n_13152), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g656455 (
+	.A1(n_13074),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[87]),
+	.B1(n_13075),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[119]),
+	.Y(n_13151), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g656456 (
+	.A(n_12832),
+	.B(n_12917),
+	.C(n_12862),
+	.D(n_12986),
+	.Y(n_13150), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g656457 (
+	.A1(n_13074),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[64]),
+	.B1(n_13075),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[96]),
+	.X(n_13149), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g656458 (
+	.A1(n_13074),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[86]),
+	.B1(n_13075),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[118]),
+	.Y(n_13148), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g656459 (
+	.A1(n_13074),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[85]),
+	.B1(n_13075),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[117]),
+	.Y(n_13147), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g656460 (
+	.A1(n_13073),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[21]),
+	.B1(n_13076),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[53]),
+	.Y(n_13146), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g656461 (
+	.A1(n_13074),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[84]),
+	.B1(n_13075),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[116]),
+	.Y(n_13145), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g656462 (
+	.A1(n_13073),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[20]),
+	.B1(n_13076),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[52]),
+	.Y(n_13144), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g656463 (
+	.A1(n_13074),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[83]),
+	.B1(n_13075),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[115]),
+	.Y(n_13143), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g656464 (
+	.A1(n_13073),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[19]),
+	.B1(n_13076),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[51]),
+	.Y(n_13142), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g656465 (
+	.A1(n_13074),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[82]),
+	.B1(n_13075),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[114]),
+	.Y(n_13141), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g656466 (
+	.A1(n_13073),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[18]),
+	.B1(n_13076),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[50]),
+	.Y(n_13140), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g656467 (
+	.A1(n_13074),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[81]),
+	.B1(n_13075),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[113]),
+	.Y(n_13139), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g656468 (
+	.A1(n_13073),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[17]),
+	.B1(n_13076),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[49]),
+	.Y(n_13138), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g656469 (
+	.A1(n_13074),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[80]),
+	.B1(n_13075),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[112]),
+	.Y(n_13137), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g656470 (
+	.A1(n_13073),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[16]),
+	.B1(n_13076),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[48]),
+	.Y(n_13136), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g656471 (
+	.A1(n_13074),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[9]),
+	.B1(n_13075),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[13]),
+	.X(n_13135), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g656472 (
+	.A1(n_13074),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[79]),
+	.B1(n_13075),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[111]),
+	.Y(n_13134), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g656473 (
+	.A1(n_13073),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[15]),
+	.B1(n_13076),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[47]),
+	.Y(n_13133), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g656474 (
+	.A1(n_13074),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[78]),
+	.B1(n_13075),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[110]),
+	.Y(n_13132), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g656475 (
+	.A1(n_13073),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[14]),
+	.B1(n_13076),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[46]),
+	.Y(n_13131), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g656476 (
+	.A1(n_13074),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[77]),
+	.B1(n_13075),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[109]),
+	.Y(n_13130), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g656477 (
+	.A1(n_13073),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[13]),
+	.B1(n_13076),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[45]),
+	.Y(n_13129), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g656478 (
+	.A1(n_13074),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[75]),
+	.B1(n_13075),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[107]),
+	.Y(n_13128), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g656479 (
+	.A1(n_13073),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[11]),
+	.B1(n_13076),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[43]),
+	.Y(n_13127), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g656480 (
+	.A1(n_13073),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[10]),
+	.B1(n_13076),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[42]),
+	.Y(n_13126), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g656481 (
+	.A1(n_13074),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[74]),
+	.B1(n_13075),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[106]),
+	.Y(n_13125), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g656482 (
+	.A1(n_13074),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[73]),
+	.B1(n_13075),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[105]),
+	.X(n_13124), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g656483 (
+	.A1(n_13074),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[8]),
+	.B1(n_13075),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[12]),
+	.X(n_13123), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g656484 (
+	.A1(n_13074),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[71]),
+	.B1(n_13075),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[103]),
+	.X(n_13122), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g656485 (
+	.A1(n_13074),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[70]),
+	.B1(n_13075),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[102]),
+	.X(n_13121), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g656486 (
+	.A1(n_13074),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[69]),
+	.B1(n_13075),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[101]),
+	.X(n_13120), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g656487 (
+	.A1(n_13074),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[68]),
+	.B1(n_13075),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[100]),
+	.X(n_13119), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g656488 (
+	.A1(n_13074),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[67]),
+	.B1(n_13075),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[99]),
+	.X(n_13118), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g656489 (
+	.A1(n_13074),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[66]),
+	.B1(n_13075),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[98]),
+	.X(n_13117), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g656490 (
+	.A1(n_13074),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[65]),
+	.B1(n_13075),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[97]),
+	.X(n_13116), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g656491 (
+	.A1(n_13073),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[22]),
+	.B1(n_13076),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[54]),
+	.Y(n_13115), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g656493 (
+	.A(brqrv_top_brqrv_dec_tlu_flush_path_r[8]),
+	.B(n_32502),
+	.Y(n_13113), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g656495 (
+	.A(n_12828),
+	.B(n_12944),
+	.C(n_12850),
+	.D(n_12790),
+	.Y(n_13111), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g656496 (
+	.A(n_12955),
+	.B(n_12868),
+	.C(n_12810),
+	.D(n_12492),
+	.Y(n_13110), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g656497 (
+	.A(n_12949),
+	.B(n_12867),
+	.C(n_12803),
+	.D(n_12488),
+	.Y(n_13109), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g656498 (
+	.A(n_12922),
+	.B(n_12864),
+	.C(n_12747),
+	.D(n_12462),
+	.Y(n_13108), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g656499 (
+	.A(n_12940),
+	.B(n_12481),
+	.C(n_12686),
+	.D(n_12784),
+	.Y(n_13107), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g656500 (
+	.A(n_12675),
+	.B(n_12865),
+	.C(n_12936),
+	.D(n_12846),
+	.Y(n_13106), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4b_1 g656501 (
+	.A_N(n_13049),
+	.B(n_12772),
+	.C(n_12561),
+	.D(n_12400),
+	.Y(n_13105), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g656502 (
+	.A(n_12957),
+	.B(n_12860),
+	.C(n_12429),
+	.D(n_12729),
+	.Y(n_13104), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g656503 (
+	.A(n_12951),
+	.B(n_12857),
+	.C(n_12426),
+	.D(n_12724),
+	.Y(n_13103), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g656504 (
+	.A(n_12659),
+	.B(n_12981),
+	.C(n_12424),
+	.D(n_12723),
+	.Y(n_13102), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g656505 (
+	.A(n_12946),
+	.B(n_12853),
+	.C(n_12422),
+	.D(n_12719),
+	.Y(n_13101), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g656506 (
+	.A(n_12933),
+	.B(n_12839),
+	.C(n_12419),
+	.D(n_12715),
+	.Y(n_13100), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g656507 (
+	.A(n_12938),
+	.B(n_12848),
+	.C(n_12414),
+	.D(n_12716),
+	.Y(n_13099), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g656508 (
+	.A(n_12932),
+	.B(n_12844),
+	.C(n_12404),
+	.D(n_12711),
+	.Y(n_13098), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g656509 (
+	.A(n_12930),
+	.B(n_12842),
+	.C(n_12398),
+	.D(n_12708),
+	.Y(n_13097), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g656510 (
+	.A(n_13004),
+	.B(n_12908),
+	.C(n_12744),
+	.D(n_12459),
+	.Y(n_13096), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g656511 (
+	.A(n_12916),
+	.B(n_12829),
+	.C(n_12382),
+	.D(n_12699),
+	.Y(n_13095), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g656512 (
+	.A(n_12650),
+	.B(n_12979),
+	.C(n_12446),
+	.D(n_12943),
+	.Y(n_13094), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g656513 (
+	.A(n_13015),
+	.B(n_12706),
+	.C(n_12464),
+	.D(n_12753),
+	.Y(n_13093), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g656514 (
+	.A1(n_12015),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc4[24]),
+	.B1(n_12010),
+	.B2(brqrv_top_brqrv_dec_tlu_miccmect[24]),
+	.C1(n_13039),
+	.X(n_13092), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g656515 (
+	.A1(n_12015),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc4[11]),
+	.B1(n_12010),
+	.B2(brqrv_top_brqrv_dec_tlu_miccmect[11]),
+	.C1(n_13030),
+	.Y(n_13091), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g656516 (
+	.A1(n_12015),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc4[21]),
+	.B1(n_12010),
+	.B2(brqrv_top_brqrv_dec_tlu_miccmect[21]),
+	.C1(n_13038),
+	.Y(n_13090), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g656517 (
+	.A1(n_12015),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc4[18]),
+	.B1(n_12351),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpmc5[18]),
+	.C1(n_13016),
+	.X(n_13089), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g656518 (
+	.A(n_13007),
+	.B(n_12543),
+	.C(n_12442),
+	.Y(n_13088), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g656519 (
+	.A(n_12465),
+	.B(n_12923),
+	.C(n_12392),
+	.D(n_12909),
+	.Y(n_13087), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g656520 (
+	.A(n_12633),
+	.B(n_12921),
+	.C(n_12704),
+	.Y(n_13086), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g656521 (
+	.A(n_13011),
+	.B(n_12827),
+	.C(n_12626),
+	.Y(n_13085), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g656522 (
+	.A(n_12432),
+	.B(n_12965),
+	.C(n_12511),
+	.Y(n_13084), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g656523 (
+	.A(n_12702),
+	.B(n_12461),
+	.C(n_12745),
+	.D(n_12460),
+	.Y(n_13083), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g656524 (
+	.A(brqrv_top_brqrv_exu_i0_rs2_d[4]),
+	.B(n_12618),
+	.Y(n_13082), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g656525 (
+	.A1(n_12727),
+	.A2(n_1589),
+	.B1(brqrv_top_brqrv_exu_i0_rs2_d[3]),
+	.B2(n_12696),
+	.X(brqrv_top_brqrv_exu_i_alu_shift_amount[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g656526 (
+	.A1(n_12019),
+	.A2(n_10200),
+	.B1(n_12993),
+	.X(brqrv_top_brqrv_exu_i_alu_shift_amount[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g656527 (
+	.A(n_34583),
+	.B(n_34373),
+	.X(n_13081), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g656528 (
+	.A(n_12485),
+	.B(n_12971),
+	.C(n_12796),
+	.D(n_12652),
+	.Y(n_13080), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g656529 (
+	.A(n_12473),
+	.B(n_12934),
+	.C(n_12405),
+	.D(n_12566),
+	.Y(n_13079), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g656530 (
+	.A(n_12841),
+	.B(n_12471),
+	.C(n_12768),
+	.D(n_12929),
+	.Y(n_13078), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g656531 (
+	.A(n_34579),
+	.B(n_34531),
+	.X(n_13077), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g656533 (
+	.A(n_13075),
+	.Y(n_34369), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g656534 (
+	.A(n_13074),
+	.Y(n_34370), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g656536 (
+	.A1(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[19]),
+	.A2(n_12254),
+	.B1(n_12343),
+	.C1(n_12644),
+	.D1(n_12935),
+	.Y(n_13072), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g656537 (
+	.A(n_12912),
+	.B(n_12820),
+	.C(n_12668),
+	.Y(n_13071), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g656538 (
+	.A(n_12928),
+	.B(n_12556),
+	.Y(n_13070), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g656539 (
+	.A(n_12926),
+	.B(n_12540),
+	.Y(n_13069), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g656540 (
+	.A(n_12925),
+	.B(n_12707),
+	.Y(n_13068), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g656541 (
+	.A(n_12958),
+	.B(n_12451),
+	.C(n_12730),
+	.Y(n_13067), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g656542 (
+	.A(n_12822),
+	.B(n_12821),
+	.C(n_12691),
+	.Y(n_13066), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g656543 (
+	.A(n_12728),
+	.B(n_12610),
+	.C(n_12290),
+	.D(n_12428),
+	.Y(n_13065), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g656544 (
+	.A(n_12950),
+	.B(n_12490),
+	.Y(n_13064), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g656545 (
+	.A(n_12952),
+	.B(n_12604),
+	.C(n_12690),
+	.Y(n_13063), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g656546 (
+	.A(n_12907),
+	.B(n_12847),
+	.C(n_12410),
+	.D(n_12409),
+	.Y(n_13062), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g656547 (
+	.A(n_12937),
+	.B(n_12568),
+	.C(n_12569),
+	.Y(n_13061), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g656548 (
+	.A(n_12552),
+	.B(n_12766),
+	.C(n_12671),
+	.D(n_12840),
+	.Y(n_13060), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g656549 (
+	.A1(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[14]),
+	.A2(n_12254),
+	.B1(n_12338),
+	.C1(n_12861),
+	.D1(n_12823),
+	.Y(n_13059), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g656550 (
+	.A1(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[12]),
+	.A2(n_12254),
+	.B1(n_12348),
+	.C1(n_12858),
+	.D1(n_12811),
+	.Y(n_13058), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g656551 (
+	.A1(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[23]),
+	.A2(n_12254),
+	.B1(n_12347),
+	.C1(n_12663),
+	.D1(n_12953),
+	.Y(n_13057), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g656552 (
+	.A1(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[24]),
+	.A2(n_12254),
+	.B1(n_12456),
+	.C1(n_12455),
+	.D1(n_12735),
+	.Y(n_13056), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g656553 (
+	.A(n_12804),
+	.B(n_12660),
+	.C(n_12689),
+	.D(n_12283),
+	.Y(n_13055), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g656554 (
+	.A1(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[22]),
+	.A2(n_12254),
+	.B1(n_12346),
+	.C1(n_12658),
+	.D1(n_12948),
+	.Y(n_13054), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g656555 (
+	.A1(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[10]),
+	.A2(n_12254),
+	.B1(n_12593),
+	.C1(n_12657),
+	.D1(n_12854),
+	.Y(n_13053), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g656556 (
+	.A1(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[27]),
+	.A2(n_12254),
+	.B1(n_12344),
+	.C1(n_12651),
+	.D1(n_12941),
+	.Y(n_13052), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g656557 (
+	.A(n_12520),
+	.B(n_12388),
+	.C(n_12623),
+	.D(n_12349),
+	.Y(n_13051), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g656558 (
+	.A(n_12673),
+	.B(n_12843),
+	.C(n_12641),
+	.D(n_12472),
+	.Y(n_13050), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g656559 (
+	.A(n_12771),
+	.B(n_12640),
+	.C(n_12300),
+	.D(n_12559),
+	.Y(n_13049), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g656560 (
+	.A(n_12674),
+	.B(n_12845),
+	.C(n_12642),
+	.D(n_12474),
+	.Y(n_13048), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g656561 (
+	.A(n_12931),
+	.B(n_12639),
+	.C(n_12301),
+	.D(n_12555),
+	.Y(n_13047), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g656562 (
+	.A(n_12636),
+	.B(n_12924),
+	.C(n_12303),
+	.D(n_12538),
+	.Y(n_13046), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g656563 (
+	.A1(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[25]),
+	.A2(n_12254),
+	.B1(n_12337),
+	.C1(n_12632),
+	.D1(n_12918),
+	.Y(n_13045), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g656564 (
+	.A(n_12851),
+	.B(n_12794),
+	.C(n_12589),
+	.D(n_12587),
+	.Y(n_13044), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g656565 (
+	.A(n_12837),
+	.B(n_12760),
+	.C(n_12545),
+	.D(n_12544),
+	.Y(n_13043), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g656566 (
+	.A(n_12833),
+	.B(n_12750),
+	.C(n_12535),
+	.D(n_12526),
+	.Y(n_13042), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g656567 (
+	.A(n_12834),
+	.B(n_12752),
+	.C(n_12530),
+	.D(n_12529),
+	.Y(n_13041), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g656568 (
+	.A(n_12512),
+	.B(n_12678),
+	.C(n_12698),
+	.D(n_12734),
+	.Y(n_13040), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g656569 (
+	.A1(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[24]),
+	.A2(n_12308),
+	.B1(n_12334),
+	.C1(n_12825),
+	.D1(n_12669),
+	.Y(n_13039), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g656570 (
+	.A1(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[21]),
+	.A2(n_12308),
+	.B1(n_12345),
+	.C1(n_12795),
+	.D1(n_12687),
+	.Y(n_13038), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g656571 (
+	.A1(n_12015),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc4[20]),
+	.B1(n_12351),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpmc5[20]),
+	.C1(n_12962),
+	.Y(n_13037), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g656572 (
+	.A(n_12470),
+	.B(n_12620),
+	.C(n_12551),
+	.D(n_12767),
+	.Y(n_13036), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g656573 (
+	.A(n_12619),
+	.B(n_12498),
+	.C(n_12537),
+	.D(n_12757),
+	.Y(n_13035), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g656574 (
+	.A(n_12809),
+	.B(n_12816),
+	.C(n_34531),
+	.X(n_34530), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2b_1 g656575 (
+	.A_N(n_34531),
+	.B(n_34373),
+	.X(n_13076), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g656576 (
+	.A(n_34373),
+	.B(n_34531),
+	.X(n_13075), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2b_1 g656577 (
+	.A_N(n_34373),
+	.B(n_34531),
+	.X(n_13074), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_2 g656578 (
+	.A(n_34531),
+	.B(n_34373),
+	.Y(n_13073), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g656579 (
+	.A1(n_12444),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc6[0]),
+	.B1(n_12351),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpmc5[0]),
+	.C1(n_12975),
+	.Y(n_13034), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g656580 (
+	.A(n_12911),
+	.B(n_12813),
+	.C(n_12665),
+	.Y(n_13033), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g656581 (
+	.A(n_12954),
+	.B(n_12606),
+	.C(n_12808),
+	.Y(n_13032), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g656582 (
+	.A(n_12914),
+	.B(n_12286),
+	.C(n_12292),
+	.Y(n_13031), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g656583 (
+	.A1(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[11]),
+	.A2(n_12254),
+	.B1(n_12601),
+	.C1(n_12489),
+	.D1(n_12602),
+	.Y(n_13030), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g656584 (
+	.A1(n_12015),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc4[28]),
+	.B1(n_12351),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpmc5[28]),
+	.C1(n_12963),
+	.X(n_13029), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g656585 (
+	.A(n_12947),
+	.B(n_12475),
+	.C(n_12720),
+	.Y(n_13028), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g656586 (
+	.A(n_12654),
+	.B(n_12653),
+	.C(n_12799),
+	.Y(n_13027), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g656587 (
+	.A(n_12793),
+	.B(n_12791),
+	.C(n_12584),
+	.Y(n_13026), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g656588 (
+	.A(n_12939),
+	.B(n_12572),
+	.C(n_12783),
+	.Y(n_13025), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g656589 (
+	.A1(n_12351),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc5[5]),
+	.B1(n_12350),
+	.B2(brqrv_top_brqrv_dec_tlu_mcyclel[5]),
+	.C1(n_12968),
+	.X(n_13024), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g656590 (
+	.A(n_12927),
+	.B(n_12762),
+	.C(n_12548),
+	.Y(n_13023), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g656591 (
+	.A1(n_12015),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc4[17]),
+	.B1(n_12351),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpmc5[17]),
+	.C1(n_12960),
+	.X(n_13022), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g656592 (
+	.A(n_12919),
+	.B(n_12824),
+	.C(n_12615),
+	.Y(n_13021), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g656593 (
+	.A(n_12743),
+	.B(n_12630),
+	.C(n_12515),
+	.D(n_12831),
+	.Y(n_13020), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g656594 (
+	.A(n_12746),
+	.B(n_12518),
+	.C(n_12519),
+	.D(n_12386),
+	.Y(n_13019), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g656595 (
+	.A(n_12836),
+	.B(n_12391),
+	.C(n_12536),
+	.D(n_12441),
+	.Y(n_13018), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g656596 (
+	.A(n_12913),
+	.B(n_12510),
+	.C(n_12830),
+	.Y(n_13017), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g656597 (
+	.A(n_12684),
+	.B(n_12763),
+	.C(n_12468),
+	.D(n_12638),
+	.Y(n_13016), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g656598 (
+	.A1(n_12015),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc4[2]),
+	.B1(n_12219),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpmc5h[2]),
+	.C1(n_12819),
+	.Y(n_13015), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g656599 (
+	.A1(n_12015),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc4[0]),
+	.B1(n_12219),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpmc5h[0]),
+	.C1(n_12818),
+	.Y(n_13014), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g656600 (
+	.A(n_12956),
+	.B(n_12453),
+	.C(n_12726),
+	.Y(n_13013), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g656601 (
+	.A(n_12814),
+	.B(n_12504),
+	.C(n_12506),
+	.D(n_12503),
+	.Y(n_13012), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g656602 (
+	.A1(n_12015),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc4[15]),
+	.B1(n_12314),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpmc4h[15]),
+	.C1(n_12817),
+	.Y(n_13011), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g656603 (
+	.A(n_12482),
+	.B(n_12780),
+	.C(n_12710),
+	.Y(n_13010), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g656604 (
+	.A(n_12779),
+	.B(n_12567),
+	.C(n_12646),
+	.D(n_12415),
+	.Y(n_13009), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g656605 (
+	.A1(n_12357),
+	.A2(brqrv_top_brqrv_dec_tlu_mfdht[3]),
+	.B1(n_12010),
+	.B2(brqrv_top_brqrv_dec_tlu_miccmect[3]),
+	.C1(n_12978),
+	.Y(n_13008), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g656606 (
+	.A1(n_12350),
+	.A2(brqrv_top_brqrv_dec_tlu_mcyclel[3]),
+	.B1(n_12987),
+	.Y(n_13007), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g656607 (
+	.A(n_12525),
+	.B(n_12439),
+	.C(n_12340),
+	.D(n_12751),
+	.Y(n_13006), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g656608 (
+	.A(n_12273),
+	.B(n_12677),
+	.C(n_12682),
+	.D(n_12522),
+	.Y(n_13005), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g656609 (
+	.A1(n_12103),
+	.A2(brqrv_top_brqrv_dec_tlu_mdseac[1]),
+	.B1(n_12104),
+	.B2(brqrv_top_brqrv_dec_tlu_dpc[1]),
+	.C1(n_12920),
+	.Y(n_13004), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g656610 (
+	.A(n_12667),
+	.B(n_12815),
+	.C(n_12558),
+	.D(n_12614),
+	.Y(n_13003), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g656611 (
+	.A(n_12855),
+	.B(n_12294),
+	.C(n_12801),
+	.D(n_12595),
+	.Y(n_13002), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g656612 (
+	.A(n_12425),
+	.B(n_12805),
+	.C(n_12599),
+	.D(n_12600),
+	.Y(n_13001), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g656613 (
+	.A(n_12849),
+	.B(n_12483),
+	.C(n_12787),
+	.D(n_12786),
+	.Y(n_13000), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g656614 (
+	.A(n_12411),
+	.B(n_12782),
+	.C(n_12571),
+	.D(n_12573),
+	.Y(n_12999), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g656615 (
+	.A(n_12713),
+	.B(n_12714),
+	.C(n_12406),
+	.D(n_12280),
+	.Y(n_12998), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g656616 (
+	.A(n_12563),
+	.B(n_12776),
+	.C(n_12565),
+	.D(n_12564),
+	.Y(n_12997), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g656617 (
+	.A(n_12616),
+	.B(n_12769),
+	.C(n_12401),
+	.D(n_12709),
+	.Y(n_12996), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g656618 (
+	.A(n_12755),
+	.B(n_12539),
+	.C(n_12469),
+	.D(n_12838),
+	.Y(n_12995), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g656619 (
+	.A1(n_34684),
+	.A2(n_42947),
+	.B1(n_12049),
+	.B2(n_34685),
+	.C1(n_12736),
+	.Y(brqrv_top_brqrv_dec_tlu_flush_path_r[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g656620 (
+	.A1(n_34684),
+	.A2(n_42948),
+	.B1(n_12053),
+	.B2(n_34685),
+	.C1(n_12738),
+	.Y(brqrv_top_brqrv_dec_tlu_flush_path_r[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g656621 (
+	.A1(n_34684),
+	.A2(n_42949),
+	.B1(n_12046),
+	.B2(n_34685),
+	.C1(n_12739),
+	.Y(brqrv_top_brqrv_dec_tlu_flush_path_r[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g656622 (
+	.A(n_12756),
+	.B(n_12532),
+	.C(n_12390),
+	.Y(n_12994), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g656623 (
+	.A(n_10200),
+	.B(n_33299),
+	.Y(n_12993), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g656624 (
+	.A(n_12800),
+	.B(n_12656),
+	.Y(n_12992), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g656626 (
+	.A(n_12778),
+	.B(n_12781),
+	.Y(n_12990), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g656627 (
+	.A(n_12773),
+	.B(n_12774),
+	.Y(n_12989), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g656628 (
+	.A(n_12904),
+	.B(n_12670),
+	.Y(n_12988), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g656629 (
+	.A(n_12758),
+	.B(n_12302),
+	.Y(n_12987), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g656630 (
+	.A1(n_12307),
+	.A2(brqrv_top_brqrv_dec_tlu_micect[29]),
+	.B1(n_12314),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpmc4h[29]),
+	.C1(n_12685),
+	.Y(n_12986), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g656631 (
+	.A(n_12826),
+	.B(n_12466),
+	.Y(n_12985), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g656632 (
+	.A(n_12695),
+	.B(n_12873),
+	.Y(n_37323), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g656633 (
+	.A(n_12695),
+	.B(n_12902),
+	.Y(n_37322), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g656634 (
+	.A(n_12695),
+	.B(n_12903),
+	.Y(n_37321), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g656635 (
+	.A(n_12695),
+	.B(n_12900),
+	.Y(n_37320), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g656636 (
+	.A(n_12695),
+	.B(n_12901),
+	.Y(n_37319), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g656637 (
+	.A(n_12695),
+	.B(n_12899),
+	.Y(n_37318), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g656638 (
+	.A(n_12695),
+	.B(n_12898),
+	.Y(n_37317), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g656639 (
+	.A(n_12695),
+	.B(n_12897),
+	.Y(n_37316), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g656640 (
+	.A(n_12695),
+	.B(n_12896),
+	.Y(n_37315), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g656641 (
+	.A(n_12695),
+	.B(n_12894),
+	.Y(n_37314), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g656642 (
+	.A(n_12695),
+	.B(n_12895),
+	.Y(n_37313), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g656643 (
+	.A(n_12695),
+	.B(n_12893),
+	.Y(n_37312), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g656644 (
+	.A(n_12695),
+	.B(n_12892),
+	.Y(n_37311), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g656645 (
+	.A(n_12695),
+	.B(n_12891),
+	.Y(n_37310), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g656646 (
+	.A(n_12695),
+	.B(n_12890),
+	.Y(n_37309), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g656647 (
+	.A(n_12695),
+	.B(n_12889),
+	.Y(n_37308), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g656648 (
+	.A(n_12695),
+	.B(n_12888),
+	.Y(n_37307), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g656649 (
+	.A(n_12695),
+	.B(n_12887),
+	.Y(n_37306), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g656650 (
+	.A(n_12695),
+	.B(n_12886),
+	.Y(n_37305), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g656651 (
+	.A(n_12695),
+	.B(n_12885),
+	.Y(n_37304), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g656652 (
+	.A(n_12869),
+	.B(n_12496),
+	.Y(n_12984), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g656653 (
+	.A(n_12695),
+	.B(n_12884),
+	.Y(n_37303), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g656654 (
+	.A(n_12695),
+	.B(n_12883),
+	.Y(n_37302), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g656655 (
+	.A(n_12695),
+	.B(n_12882),
+	.Y(n_37301), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g656656 (
+	.A(n_12695),
+	.B(n_12881),
+	.Y(n_37300), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g656657 (
+	.A(n_12695),
+	.B(n_12880),
+	.Y(n_37299), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g656658 (
+	.A(n_12695),
+	.B(n_12879),
+	.Y(n_37298), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g656659 (
+	.A(n_12695),
+	.B(n_12878),
+	.Y(n_37297), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g656660 (
+	.A(n_12695),
+	.B(n_12877),
+	.Y(n_37296), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g656661 (
+	.A(n_12695),
+	.B(n_12876),
+	.Y(n_37295), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g656662 (
+	.A(n_12695),
+	.B(n_12875),
+	.Y(n_37294), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g656663 (
+	.A(n_12695),
+	.B(n_12874),
+	.Y(n_37293), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21bo_2 g656664 (
+	.A1(brqrv_top_brqrv_exu_i0_rs2_d[0]),
+	.A2(n_12696),
+	.B1_N(n_33299),
+	.X(brqrv_top_brqrv_exu_i_alu_shift_amount[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g656665 (
+	.A1(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[4]),
+	.A2(n_12308),
+	.B1(n_12341),
+	.C1(n_12397),
+	.D1(n_12770),
+	.Y(n_12983), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g656666 (
+	.A(n_12807),
+	.B(n_12486),
+	.C(n_12421),
+	.D(n_12423),
+	.Y(n_12982), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g656667 (
+	.A1(n_12500),
+	.A2(n_37853),
+	.B1(n_12722),
+	.Y(n_12981), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g656668 (
+	.A(n_12792),
+	.B(n_12583),
+	.C(n_12582),
+	.Y(n_12980), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g656669 (
+	.A1(n_12500),
+	.A2(n_37873),
+	.B1_N(n_12788),
+	.Y(n_12979), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g656670 (
+	.A(n_12764),
+	.B(n_12547),
+	.C(n_12546),
+	.Y(n_12978), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g656671 (
+	.A(n_12759),
+	.B(n_12467),
+	.C(n_12393),
+	.D(n_12394),
+	.Y(n_12977), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g656672 (
+	.A(n_12749),
+	.B(n_12339),
+	.Y(n_12976), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g656673 (
+	.A(n_12741),
+	.B(n_12458),
+	.Y(n_12975), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g656674 (
+	.A(n_12447),
+	.B(n_12438),
+	.C(n_12457),
+	.D(n_12681),
+	.Y(n_12974), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4_1 g656675 (
+	.A(brqrv_top_brqrv_dec_i0_decode_d),
+	.B(n_12431),
+	.C(n_12124),
+	.D(n_12126),
+	.X(brqrv_top_brqrv_dec_tlu_icache_rd_valid), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g656677 (
+	.A(n_12789),
+	.B(n_12575),
+	.C(n_12416),
+	.Y(n_12973), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111oi_0 g656678 (
+	.A1(n_12314),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc4h[9]),
+	.B1(n_12295),
+	.C1(n_12420),
+	.D1(n_12852),
+	.Y(n_12972), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g656679 (
+	.A1(n_12499),
+	.A2(n_37850),
+	.B1(n_12313),
+	.B2(brqrv_top_brqrv_dec_tlu_minstretl[9]),
+	.C1(n_12586),
+	.Y(n_12971), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g656680 (
+	.A(n_12476),
+	.B(n_12648),
+	.C(n_12297),
+	.D(n_12278),
+	.Y(n_12970), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g656681 (
+	.A1(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[5]),
+	.A2(n_12308),
+	.B1(n_12342),
+	.C1(n_12403),
+	.D1(n_12777),
+	.Y(n_12969), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g656682 (
+	.A(n_12775),
+	.B(n_12306),
+	.C(n_12235),
+	.D(n_12299),
+	.Y(n_12968), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g656683 (
+	.A_N(n_12816),
+	.B(n_12905),
+	.Y(n_34373), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g656684 (
+	.A1(n_12288),
+	.A2(n_12624),
+	.B1(n_12905),
+	.Y(n_34531), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g656685 (
+	.A1(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[0]),
+	.A2(n_12308),
+	.B1(n_12627),
+	.C1(n_12336),
+	.D1(n_12507),
+	.Y(n_12967), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g656686 (
+	.A1(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[1]),
+	.A2(n_12308),
+	.B1(n_12748),
+	.Y(n_12966), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g656687 (
+	.A1(n_12257),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[34]),
+	.B1(n_12863),
+	.Y(n_12965), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g656688 (
+	.A(n_12676),
+	.B(n_12491),
+	.C(n_12605),
+	.D(n_12664),
+	.Y(n_12964), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g656689 (
+	.A(n_12688),
+	.B(n_12655),
+	.C(n_12590),
+	.D(n_12591),
+	.Y(n_12963), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g656690 (
+	.A1(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[20]),
+	.A2(n_12254),
+	.B1(n_12479),
+	.C1(n_12443),
+	.D1(n_12574),
+	.Y(n_12962), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g656691 (
+	.A1(n_12255),
+	.A2(n_37774),
+	.B1(n_12256),
+	.B2(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[3]),
+	.C1(n_12731),
+	.Y(n_12961), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g656692 (
+	.A(n_12683),
+	.B(n_12635),
+	.C(n_12531),
+	.D(n_12533),
+	.Y(n_12960), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g656693 (
+	.A(n_12628),
+	.B(n_12629),
+	.C(n_12243),
+	.Y(n_12959), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656694 (
+	.A1(n_37860),
+	.A2(n_12499),
+	.B1(n_12313),
+	.B2(brqrv_top_brqrv_dec_tlu_minstretl[14]),
+	.C1(n_12350),
+	.C2(brqrv_top_brqrv_dec_tlu_mcyclel[14]),
+	.Y(n_12958), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656695 (
+	.A1(n_37879),
+	.A2(n_12500),
+	.B1(n_12102),
+	.B2(brqrv_top_brqrv_dec_tlu_mepc[24]),
+	.C1(n_12350),
+	.C2(brqrv_top_brqrv_dec_tlu_mcyclel[24]),
+	.Y(n_12957), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656696 (
+	.A1(n_37858),
+	.A2(n_12499),
+	.B1(n_12313),
+	.B2(brqrv_top_brqrv_dec_tlu_minstretl[13]),
+	.C1(n_12350),
+	.C2(brqrv_top_brqrv_dec_tlu_mcyclel[13]),
+	.Y(n_12956), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656697 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc6[23]),
+	.A2(n_12444),
+	.B1(n_12010),
+	.B2(brqrv_top_brqrv_dec_tlu_miccmect[23]),
+	.C1(n_12351),
+	.C2(brqrv_top_brqrv_dec_tlu_mhpmc5[23]),
+	.Y(n_12955), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656698 (
+	.A1(n_37889),
+	.A2(n_12500),
+	.B1(n_12220),
+	.B2(brqrv_top_brqrv_dec_tlu_mscratch[29]),
+	.C1(n_12350),
+	.C2(brqrv_top_brqrv_dec_tlu_mcyclel[29]),
+	.Y(n_12954), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g656699 (
+	.A1(n_12312),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc6h[23]),
+	.B1(n_12219),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpmc5h[23]),
+	.C1(n_12725),
+	.Y(n_12953), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656700 (
+	.A1(n_37855),
+	.A2(n_12500),
+	.B1(n_12315),
+	.B2(brqrv_top_brqrv_dec_tlu_mtvec[11]),
+	.C1(n_12313),
+	.C2(brqrv_top_brqrv_dec_tlu_minstretl[12]),
+	.Y(n_12952), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656701 (
+	.A1(n_37877),
+	.A2(n_12500),
+	.B1(n_12102),
+	.B2(brqrv_top_brqrv_dec_tlu_mepc[23]),
+	.C1(n_12350),
+	.C2(brqrv_top_brqrv_dec_tlu_mcyclel[23]),
+	.Y(n_12951), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656702 (
+	.A1(n_37887),
+	.A2(n_12500),
+	.B1(n_12220),
+	.B2(brqrv_top_brqrv_dec_tlu_mscratch[28]),
+	.C1(n_12501),
+	.C2(brqrv_top_brqrv_dec_tlu_meihap[28]),
+	.Y(n_12950), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656703 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc6[22]),
+	.A2(n_12444),
+	.B1(n_12010),
+	.B2(brqrv_top_brqrv_dec_tlu_miccmect[22]),
+	.C1(n_12351),
+	.C2(brqrv_top_brqrv_dec_tlu_mhpmc5[22]),
+	.Y(n_12949), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g656704 (
+	.A1(n_12312),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc6h[22]),
+	.B1(n_12219),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpmc5h[22]),
+	.C1(n_12721),
+	.Y(n_12948), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656705 (
+	.A1(n_37852),
+	.A2(n_12499),
+	.B1(n_12313),
+	.B2(brqrv_top_brqrv_dec_tlu_minstretl[10]),
+	.C1(n_12350),
+	.C2(brqrv_top_brqrv_dec_tlu_mcyclel[10]),
+	.Y(n_12947), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656706 (
+	.A1(n_37875),
+	.A2(n_12500),
+	.B1(n_12102),
+	.B2(brqrv_top_brqrv_dec_tlu_mepc[22]),
+	.C1(n_12350),
+	.C2(brqrv_top_brqrv_dec_tlu_mcyclel[22]),
+	.Y(n_12946), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656707 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc6[9]),
+	.A2(n_12444),
+	.B1(n_12316),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpmc3h[9]),
+	.C1(n_12351),
+	.C2(brqrv_top_brqrv_dec_tlu_mhpmc5[9]),
+	.Y(n_12945), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656708 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc6[8]),
+	.A2(n_12444),
+	.B1(n_12316),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpmc3h[8]),
+	.C1(n_12351),
+	.C2(brqrv_top_brqrv_dec_tlu_mhpmc5[8]),
+	.Y(n_12944), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656709 (
+	.A1(n_37874),
+	.A2(n_12499),
+	.B1(n_12103),
+	.B2(brqrv_top_brqrv_dec_tlu_mdseac[21]),
+	.C1(n_12313),
+	.C2(brqrv_top_brqrv_dec_tlu_minstretl[21]),
+	.Y(n_12943), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g656710 (
+	.A(n_12718),
+	.B(n_12577),
+	.C(n_12649),
+	.Y(n_12942), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g656711 (
+	.A1(n_12312),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc6h[27]),
+	.B1(n_12219),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpmc5h[27]),
+	.C1(n_12717),
+	.Y(n_12941), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656712 (
+	.A1(n_37845),
+	.A2(n_12500),
+	.B1(n_12315),
+	.B2(brqrv_top_brqrv_dec_tlu_mtvec[6]),
+	.C1(n_12313),
+	.C2(brqrv_top_brqrv_dec_tlu_minstretl[7]),
+	.Y(n_12940), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656713 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc6[7]),
+	.A2(n_12444),
+	.B1(n_12316),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpmc3h[7]),
+	.C1(n_12351),
+	.C2(brqrv_top_brqrv_dec_tlu_mhpmc5[7]),
+	.Y(n_12939), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656714 (
+	.A1(n_37885),
+	.A2(n_12500),
+	.B1(n_12102),
+	.B2(brqrv_top_brqrv_dec_tlu_mepc[27]),
+	.C1(n_12350),
+	.C2(brqrv_top_brqrv_dec_tlu_mcyclel[27]),
+	.Y(n_12938), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656715 (
+	.A1(n_37871),
+	.A2(n_12500),
+	.B1(n_12102),
+	.B2(brqrv_top_brqrv_dec_tlu_mepc[20]),
+	.C1(n_12350),
+	.C2(brqrv_top_brqrv_dec_tlu_mcyclel[20]),
+	.Y(n_12937), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656716 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc6[6]),
+	.A2(n_12444),
+	.B1(n_12316),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpmc3h[6]),
+	.C1(n_12351),
+	.C2(brqrv_top_brqrv_dec_tlu_mhpmc5[6]),
+	.Y(n_12936), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g656717 (
+	.A1(n_12312),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc6h[19]),
+	.B1(n_12219),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpmc5h[19]),
+	.C1(n_12712),
+	.Y(n_12935), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656718 (
+	.A1(n_37843),
+	.A2(n_12500),
+	.B1(n_12499),
+	.B2(n_37844),
+	.C1(n_12313),
+	.C2(brqrv_top_brqrv_dec_tlu_minstretl[6]),
+	.Y(n_12934), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656719 (
+	.A1(n_37893),
+	.A2(n_12500),
+	.B1(n_12102),
+	.B2(brqrv_top_brqrv_dec_tlu_mepc[31]),
+	.C1(n_12350),
+	.C2(brqrv_top_brqrv_dec_tlu_mcyclel[31]),
+	.Y(n_12933), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656720 (
+	.A1(n_37869),
+	.A2(n_12500),
+	.B1(n_12102),
+	.B2(brqrv_top_brqrv_dec_tlu_mepc[19]),
+	.C1(n_12350),
+	.C2(brqrv_top_brqrv_dec_tlu_mcyclel[19]),
+	.Y(n_12932), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656721 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc6[4]),
+	.A2(n_12444),
+	.B1(n_12316),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpmc3h[4]),
+	.C1(n_12351),
+	.C2(brqrv_top_brqrv_dec_tlu_mhpmc5[4]),
+	.Y(n_12931), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656722 (
+	.A1(n_37883),
+	.A2(n_12500),
+	.B1(n_12102),
+	.B2(brqrv_top_brqrv_dec_tlu_mepc[26]),
+	.C1(n_12350),
+	.C2(brqrv_top_brqrv_dec_tlu_mcyclel[26]),
+	.Y(n_12930), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g656723 (
+	.A1(n_12499),
+	.A2(n_37840),
+	.B1(n_12220),
+	.B2(brqrv_top_brqrv_dec_tlu_mscratch[4]),
+	.C1(n_12396),
+	.Y(n_12929), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656724 (
+	.A1(n_37868),
+	.A2(n_12499),
+	.B1(n_12220),
+	.B2(brqrv_top_brqrv_dec_tlu_mscratch[18]),
+	.C1(n_12500),
+	.C2(n_37867),
+	.Y(n_12928), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656725 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc6[26]),
+	.A2(n_12444),
+	.B1(n_12104),
+	.B2(brqrv_top_brqrv_dec_tlu_dpc[26]),
+	.C1(n_12015),
+	.C2(brqrv_top_brqrv_dec_tlu_mhpmc4[26]),
+	.Y(n_12927), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656726 (
+	.A1(n_37866),
+	.A2(n_12499),
+	.B1(n_12220),
+	.B2(brqrv_top_brqrv_dec_tlu_mscratch[17]),
+	.C1(n_12500),
+	.C2(n_37865),
+	.Y(n_12926), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656727 (
+	.A1(n_37837),
+	.A2(n_12500),
+	.B1(n_12315),
+	.B2(brqrv_top_brqrv_dec_tlu_mtvec[2]),
+	.C1(n_12313),
+	.C2(brqrv_top_brqrv_dec_tlu_minstretl[3]),
+	.Y(n_12925), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656728 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc6[3]),
+	.A2(n_12444),
+	.B1(n_12316),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpmc3h[3]),
+	.C1(n_12351),
+	.C2(brqrv_top_brqrv_dec_tlu_mhpmc5[3]),
+	.Y(n_12924), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656729 (
+	.A1(n_37835),
+	.A2(n_12500),
+	.B1(n_12499),
+	.B2(n_37836),
+	.C1(n_12313),
+	.C2(brqrv_top_brqrv_dec_tlu_minstretl[2]),
+	.Y(n_12923), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656730 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc6[25]),
+	.A2(n_12444),
+	.B1(n_12010),
+	.B2(brqrv_top_brqrv_dec_tlu_miccmect[25]),
+	.C1(n_12351),
+	.C2(brqrv_top_brqrv_dec_tlu_mhpmc5[25]),
+	.Y(n_12922), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656731 (
+	.A1(n_37863),
+	.A2(n_12500),
+	.B1(n_12315),
+	.B2(brqrv_top_brqrv_dec_tlu_mtvec[15]),
+	.C1(n_12313),
+	.C2(brqrv_top_brqrv_dec_tlu_minstretl[16]),
+	.Y(n_12921), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g656732 (
+	.A(n_12517),
+	.B(n_12384),
+	.C(n_12631),
+	.Y(n_12920), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656733 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc6[31]),
+	.A2(n_12444),
+	.B1(n_12104),
+	.B2(brqrv_top_brqrv_dec_tlu_dpc[31]),
+	.C1(n_12015),
+	.C2(brqrv_top_brqrv_dec_tlu_mhpmc4[31]),
+	.Y(n_12919), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g656734 (
+	.A1(n_12312),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc6h[25]),
+	.B1(n_12219),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpmc5h[25]),
+	.C1(n_12700),
+	.Y(n_12918), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656735 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc6[29]),
+	.A2(n_12444),
+	.B1(n_12010),
+	.B2(brqrv_top_brqrv_dec_tlu_miccmect[29]),
+	.C1(n_12351),
+	.C2(brqrv_top_brqrv_dec_tlu_mhpmc5[29]),
+	.Y(n_12917), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656736 (
+	.A1(n_37881),
+	.A2(n_12500),
+	.B1(n_12102),
+	.B2(brqrv_top_brqrv_dec_tlu_mepc[25]),
+	.C1(n_12350),
+	.C2(brqrv_top_brqrv_dec_tlu_mcyclel[25]),
+	.Y(n_12916), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g656737 (
+	.A1(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[0]),
+	.A2(n_12254),
+	.B1(n_12740),
+	.Y(n_12915), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g656738 (
+	.A1(n_12501),
+	.A2(brqrv_top_brqrv_dec_tlu_meihap[12]),
+	.B1(n_12104),
+	.B2(brqrv_top_brqrv_dec_tlu_dpc[12]),
+	.C1(n_12697),
+	.Y(n_12914), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g656739 (
+	.A1(n_12500),
+	.A2(n_37861),
+	.B1(n_12350),
+	.B2(brqrv_top_brqrv_dec_tlu_mcyclel[15]),
+	.C1(n_12445),
+	.Y(n_12913), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g656740 (
+	.A1(n_12501),
+	.A2(brqrv_top_brqrv_dec_tlu_meihap[14]),
+	.B1(n_12104),
+	.B2(brqrv_top_brqrv_dec_tlu_dpc[14]),
+	.C1(n_12871),
+	.Y(n_12912), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g656741 (
+	.A1(n_12501),
+	.A2(brqrv_top_brqrv_dec_tlu_meihap[13]),
+	.B1(n_12104),
+	.B2(brqrv_top_brqrv_dec_tlu_dpc[13]),
+	.C1(n_12870),
+	.Y(n_12911), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g656742 (
+	.A1(brqrv_top_brqrv_exu_i0_rs2_d[2]),
+	.A2(n_12696),
+	.B1(n_12291),
+	.B2(n_1589),
+	.X(brqrv_top_brqrv_exu_i_alu_shift_amount[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g656743 (
+	.A(n_12645),
+	.B(n_12285),
+	.C(n_12298),
+	.D(n_12643),
+	.Y(n_12910), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g656744 (
+	.A1(n_12102),
+	.A2(brqrv_top_brqrv_dec_tlu_mepc[2]),
+	.B1(n_12315),
+	.B2(brqrv_top_brqrv_dec_tlu_mtvec[1]),
+	.C1(n_12672),
+	.Y(n_12909), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g656745 (
+	.A1(n_12500),
+	.A2(n_37833),
+	.B1(n_12313),
+	.B2(brqrv_top_brqrv_dec_tlu_minstretl[1]),
+	.C1(n_12516),
+	.Y(n_12908), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111oi_0 g656746 (
+	.A1(n_12218),
+	.A2(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[7]),
+	.B1(n_12412),
+	.C1(n_12478),
+	.D1(n_12866),
+	.Y(n_12907), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g656747 (
+	.A(n_12622),
+	.B(n_12634),
+	.C(n_12440),
+	.D(n_12463),
+	.Y(n_12906), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656748 (
+	.A1(n_37825),
+	.A2(n_12309),
+	.B1(n_12255),
+	.B2(n_37826),
+	.C1(n_12312),
+	.C2(brqrv_top_brqrv_dec_tlu_mhpmc6h[29]),
+	.Y(n_12904), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g656749 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[28]),
+	.B(n_1589),
+	.Y(n_12903), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g656750 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[29]),
+	.B(n_1589),
+	.Y(n_12902), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g656751 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[26]),
+	.B(n_1589),
+	.Y(n_12901), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g656752 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[27]),
+	.B(n_1589),
+	.Y(n_12900), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g656753 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[25]),
+	.B(n_1589),
+	.Y(n_12899), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g656754 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[24]),
+	.B(n_1589),
+	.Y(n_12898), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g656755 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[23]),
+	.B(n_1589),
+	.Y(n_12897), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g656756 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[22]),
+	.B(n_1589),
+	.Y(n_12896), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g656757 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[20]),
+	.B(n_1589),
+	.Y(n_12895), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g656758 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[21]),
+	.B(n_1589),
+	.Y(n_12894), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g656759 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[19]),
+	.B(n_1589),
+	.Y(n_12893), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g656760 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[18]),
+	.B(n_1589),
+	.Y(n_12892), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g656761 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[17]),
+	.B(n_1589),
+	.Y(n_12891), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g656762 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[16]),
+	.B(n_1589),
+	.Y(n_12890), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g656763 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[15]),
+	.B(n_1589),
+	.Y(n_12889), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g656764 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[14]),
+	.B(n_1589),
+	.Y(n_12888), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g656765 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[13]),
+	.B(n_1589),
+	.Y(n_12887), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g656766 (
+	.A(n_43042),
+	.B(n_1589),
+	.Y(n_12886), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g656767 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[11]),
+	.B(n_1589),
+	.Y(n_12885), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g656768 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[10]),
+	.B(n_1589),
+	.Y(n_12884), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g656769 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[9]),
+	.B(n_1589),
+	.Y(n_12883), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g656770 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[8]),
+	.B(n_1589),
+	.Y(n_12882), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g656771 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[7]),
+	.B(n_1589),
+	.Y(n_12881), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g656772 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[6]),
+	.B(n_1589),
+	.Y(n_12880), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g656773 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[5]),
+	.B(n_1589),
+	.Y(n_12879), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g656774 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[4]),
+	.B(n_1589),
+	.Y(n_12878), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g656775 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[3]),
+	.B(n_1589),
+	.Y(n_12877), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g656776 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[2]),
+	.B(n_1589),
+	.Y(n_12876), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g656777 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[1]),
+	.B(n_1589),
+	.Y(n_12875), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g656778 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[0]),
+	.B(n_1589),
+	.Y(n_12874), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g656779 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[30]),
+	.B(n_1589),
+	.Y(n_12873), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g656781 (
+	.A(brqrv_top_brqrv_dbg_sbdata1_reg_wren_BAR),
+	.B_N(brqrv_top_dmi_reg_wdata[31]),
+	.Y(brqrv_top_brqrv_dbg_sbdata1_din[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g656782 (
+	.A(brqrv_top_brqrv_dbg_sbdata1_reg_wren_BAR),
+	.B_N(brqrv_top_dmi_reg_wdata[30]),
+	.Y(brqrv_top_brqrv_dbg_sbdata1_din[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g656783 (
+	.A(brqrv_top_brqrv_dbg_sbdata1_reg_wren_BAR),
+	.B_N(brqrv_top_dmi_reg_wdata[29]),
+	.Y(brqrv_top_brqrv_dbg_sbdata1_din[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g656784 (
+	.A(brqrv_top_brqrv_dbg_sbdata1_reg_wren_BAR),
+	.B_N(brqrv_top_dmi_reg_wdata[28]),
+	.Y(brqrv_top_brqrv_dbg_sbdata1_din[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g656785 (
+	.A(brqrv_top_brqrv_dbg_sbdata1_reg_wren_BAR),
+	.B_N(brqrv_top_dmi_reg_wdata[27]),
+	.Y(brqrv_top_brqrv_dbg_sbdata1_din[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g656786 (
+	.A(brqrv_top_brqrv_dbg_sbdata1_reg_wren_BAR),
+	.B_N(brqrv_top_dmi_reg_wdata[26]),
+	.Y(brqrv_top_brqrv_dbg_sbdata1_din[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g656787 (
+	.A(brqrv_top_brqrv_dbg_sbdata1_reg_wren_BAR),
+	.B_N(brqrv_top_dmi_reg_wdata[25]),
+	.Y(brqrv_top_brqrv_dbg_sbdata1_din[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g656788 (
+	.A(brqrv_top_brqrv_dbg_sbdata1_reg_wren_BAR),
+	.B_N(brqrv_top_dmi_reg_wdata[24]),
+	.Y(brqrv_top_brqrv_dbg_sbdata1_din[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g656789 (
+	.A(brqrv_top_brqrv_dbg_sbdata1_reg_wren_BAR),
+	.B_N(brqrv_top_dmi_reg_wdata[23]),
+	.Y(brqrv_top_brqrv_dbg_sbdata1_din[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g656790 (
+	.A(brqrv_top_brqrv_dbg_sbdata1_reg_wren_BAR),
+	.B_N(brqrv_top_dmi_reg_wdata[22]),
+	.Y(brqrv_top_brqrv_dbg_sbdata1_din[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g656791 (
+	.A(brqrv_top_brqrv_dbg_sbdata1_reg_wren_BAR),
+	.B_N(brqrv_top_dmi_reg_wdata[21]),
+	.Y(brqrv_top_brqrv_dbg_sbdata1_din[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g656792 (
+	.A(brqrv_top_brqrv_dbg_sbdata1_reg_wren_BAR),
+	.B_N(brqrv_top_dmi_reg_wdata[20]),
+	.Y(brqrv_top_brqrv_dbg_sbdata1_din[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g656793 (
+	.A(brqrv_top_brqrv_dbg_sbdata1_reg_wren_BAR),
+	.B_N(brqrv_top_dmi_reg_wdata[19]),
+	.Y(brqrv_top_brqrv_dbg_sbdata1_din[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g656794 (
+	.A(brqrv_top_brqrv_dbg_sbdata1_reg_wren_BAR),
+	.B_N(brqrv_top_dmi_reg_wdata[18]),
+	.Y(brqrv_top_brqrv_dbg_sbdata1_din[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g656795 (
+	.A(brqrv_top_brqrv_dbg_sbdata1_reg_wren_BAR),
+	.B_N(brqrv_top_dmi_reg_wdata[17]),
+	.Y(brqrv_top_brqrv_dbg_sbdata1_din[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g656796 (
+	.A(brqrv_top_brqrv_dbg_sbdata1_reg_wren_BAR),
+	.B_N(brqrv_top_dmi_reg_wdata[16]),
+	.Y(brqrv_top_brqrv_dbg_sbdata1_din[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g656797 (
+	.A(brqrv_top_brqrv_dbg_sbdata1_reg_wren_BAR),
+	.B_N(brqrv_top_dmi_reg_wdata[15]),
+	.Y(brqrv_top_brqrv_dbg_sbdata1_din[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g656798 (
+	.A(brqrv_top_brqrv_dbg_sbdata1_reg_wren_BAR),
+	.B_N(brqrv_top_dmi_reg_wdata[14]),
+	.Y(brqrv_top_brqrv_dbg_sbdata1_din[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g656799 (
+	.A(brqrv_top_brqrv_dbg_sbdata1_reg_wren_BAR),
+	.B_N(brqrv_top_dmi_reg_wdata[13]),
+	.Y(brqrv_top_brqrv_dbg_sbdata1_din[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g656800 (
+	.A(brqrv_top_brqrv_dbg_sbdata1_reg_wren_BAR),
+	.B_N(brqrv_top_dmi_reg_wdata[12]),
+	.Y(brqrv_top_brqrv_dbg_sbdata1_din[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g656801 (
+	.A(brqrv_top_brqrv_dbg_sbdata1_reg_wren_BAR),
+	.B_N(brqrv_top_dmi_reg_wdata[11]),
+	.Y(brqrv_top_brqrv_dbg_sbdata1_din[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g656802 (
+	.A(brqrv_top_brqrv_dbg_sbdata1_reg_wren_BAR),
+	.B_N(brqrv_top_dmi_reg_wdata[10]),
+	.Y(brqrv_top_brqrv_dbg_sbdata1_din[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g656803 (
+	.A(brqrv_top_brqrv_dbg_sbdata1_reg_wren_BAR),
+	.B_N(brqrv_top_dmi_reg_wdata[9]),
+	.Y(brqrv_top_brqrv_dbg_sbdata1_din[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g656804 (
+	.A(brqrv_top_brqrv_dbg_sbdata1_reg_wren_BAR),
+	.B_N(brqrv_top_dmi_reg_wdata[8]),
+	.Y(brqrv_top_brqrv_dbg_sbdata1_din[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g656805 (
+	.A(brqrv_top_brqrv_dbg_sbdata1_reg_wren_BAR),
+	.B_N(brqrv_top_dmi_reg_wdata[7]),
+	.Y(brqrv_top_brqrv_dbg_sbdata1_din[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g656806 (
+	.A(brqrv_top_brqrv_dbg_sbdata1_reg_wren_BAR),
+	.B_N(brqrv_top_dmi_reg_wdata[6]),
+	.Y(brqrv_top_brqrv_dbg_sbdata1_din[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g656807 (
+	.A(brqrv_top_brqrv_dbg_sbdata1_reg_wren_BAR),
+	.B_N(brqrv_top_dmi_reg_wdata[5]),
+	.Y(brqrv_top_brqrv_dbg_sbdata1_din[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g656808 (
+	.A(brqrv_top_brqrv_dbg_sbdata1_reg_wren_BAR),
+	.B_N(brqrv_top_dmi_reg_wdata[4]),
+	.Y(brqrv_top_brqrv_dbg_sbdata1_din[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g656809 (
+	.A(brqrv_top_brqrv_dbg_sbdata1_reg_wren_BAR),
+	.B_N(brqrv_top_dmi_reg_wdata[3]),
+	.Y(brqrv_top_brqrv_dbg_sbdata1_din[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g656810 (
+	.A(brqrv_top_brqrv_dbg_sbdata1_reg_wren_BAR),
+	.B_N(brqrv_top_dmi_reg_wdata[2]),
+	.Y(brqrv_top_brqrv_dbg_sbdata1_din[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g656811 (
+	.A(brqrv_top_brqrv_dbg_sbdata1_reg_wren_BAR),
+	.B_N(brqrv_top_dmi_reg_wdata[1]),
+	.Y(brqrv_top_brqrv_dbg_sbdata1_din[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g656812 (
+	.A(brqrv_top_brqrv_dbg_sbdata1_reg_wren_BAR),
+	.B_N(brqrv_top_dmi_reg_wdata[0]),
+	.Y(brqrv_top_brqrv_dbg_sbdata1_din[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g656813 (
+	.A_N(brqrv_top_brqrv_dec_dec_pause_state),
+	.B(n_34412),
+	.Y(n_34327), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g656814 (
+	.A(n_12693),
+	.B(n_12692),
+	.Y(n_12871), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g656815 (
+	.A(n_12666),
+	.B(n_12612),
+	.Y(n_12870), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656816 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc3[13]),
+	.A2(n_12310),
+	.B1(n_12253),
+	.B2(brqrv_top_brqrv_dec_tlu_mdccmect[13]),
+	.C1(n_12015),
+	.C2(brqrv_top_brqrv_dec_tlu_mhpmc4[13]),
+	.Y(n_12869), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g656817 (
+	.A1(n_12015),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc4[23]),
+	.B1(n_12608),
+	.Y(n_12868), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g656818 (
+	.A1(n_12015),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc4[22]),
+	.B1(n_12596),
+	.Y(n_12867), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g656819 (
+	.A(n_12477),
+	.B(n_12647),
+	.Y(n_12866), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g656820 (
+	.A1(n_12015),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc4[6]),
+	.B1(n_12310),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpmc3[6]),
+	.C1(n_12408),
+	.Y(n_12865), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g656821 (
+	.A1(n_12015),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc4[25]),
+	.B1(n_12521),
+	.Y(n_12864), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g656822 (
+	.A1(n_12437),
+	.A2(n_12232),
+	.B1(n_12223),
+	.Y(n_12863), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g656823 (
+	.A1(n_12015),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc4[29]),
+	.B1(n_12505),
+	.Y(n_12862), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g656824 (
+	.A1(n_12354),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[14]),
+	.B1(n_12355),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[90]),
+	.C1(n_12679),
+	.Y(n_12861), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g656825 (
+	.A1(n_12328),
+	.A2(n_34701),
+	.B1(n_34621),
+	.Y(brqrv_top_brqrv_dec_tlu_minstret_enable), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g656826 (
+	.A1(n_12311),
+	.A2(brqrv_top_brqrv_dec_tlu_mrac_ff_0[24]),
+	.B1(n_12217),
+	.B2(brqrv_top_brqrv_dec_tlu_mtval[24]),
+	.C1(n_12613),
+	.Y(n_12860), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g656827 (
+	.A1(n_12355),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[99]),
+	.B1(n_12353),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[61]),
+	.C1(n_12611),
+	.X(n_12859), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g656828 (
+	.A1(n_12354),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[12]),
+	.B1(n_12355),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[88]),
+	.C1(n_12609),
+	.Y(n_12858), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g656829 (
+	.A1(n_12311),
+	.A2(brqrv_top_brqrv_dec_tlu_mrac_ff_0[23]),
+	.B1(n_12217),
+	.B2(brqrv_top_brqrv_dec_tlu_mtval[23]),
+	.C1(n_12603),
+	.Y(n_12857), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g656830 (
+	.A1(n_12355),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[98]),
+	.B1(n_12353),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[60]),
+	.C1(n_12598),
+	.X(n_12856), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g656831 (
+	.A1(n_12217),
+	.A2(brqrv_top_brqrv_dec_tlu_mtval[28]),
+	.B1(n_12158),
+	.B2(brqrv_top_brqrv_dec_tlu_mcause[28]),
+	.C1(n_12597),
+	.Y(n_12855), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g656832 (
+	.A1(n_12354),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[10]),
+	.B1(n_12355),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[86]),
+	.C1(n_12594),
+	.Y(n_12854), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g656833 (
+	.A1(n_12311),
+	.A2(brqrv_top_brqrv_dec_tlu_mrac_ff_0[22]),
+	.B1(n_12217),
+	.B2(brqrv_top_brqrv_dec_tlu_mtval[22]),
+	.C1(n_12592),
+	.Y(n_12853), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g656834 (
+	.A1(n_12312),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc6h[9]),
+	.B1(n_12219),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpmc5h[9]),
+	.C1(n_12588),
+	.X(n_12852), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g656835 (
+	.A1(n_12314),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc4h[28]),
+	.B1(n_12312),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpmc6h[28]),
+	.C1(n_12417),
+	.Y(n_12851), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g656836 (
+	.A1(n_12354),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[8]),
+	.B1(n_12355),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[84]),
+	.C1(n_12580),
+	.Y(n_12850), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g656837 (
+	.A1(n_12315),
+	.A2(brqrv_top_brqrv_dec_tlu_mtvec[7]),
+	.B1(n_12103),
+	.B2(brqrv_top_brqrv_dec_tlu_mdseac[8]),
+	.C1(n_12576),
+	.Y(n_12849), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g656838 (
+	.A1(n_12311),
+	.A2(brqrv_top_brqrv_dec_tlu_mrac_ff_0[27]),
+	.B1(n_12217),
+	.B2(brqrv_top_brqrv_dec_tlu_mtval[27]),
+	.C1(n_12570),
+	.Y(n_12848), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g656839 (
+	.A1(n_12354),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[7]),
+	.B1(n_12355),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[83]),
+	.C1(n_12579),
+	.Y(n_12847), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g656840 (
+	.A1(n_12354),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[6]),
+	.B1(n_12355),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[82]),
+	.C1(n_12578),
+	.Y(n_12846), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g656841 (
+	.A1(n_12355),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[103]),
+	.B1(n_12353),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[65]),
+	.C1(n_12560),
+	.Y(n_12845), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g656842 (
+	.A1(n_12311),
+	.A2(brqrv_top_brqrv_dec_tlu_mrac_ff_0[19]),
+	.B1(n_12217),
+	.B2(brqrv_top_brqrv_dec_tlu_mtval[19]),
+	.C1(n_12562),
+	.Y(n_12844), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g656843 (
+	.A1(n_12355),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[95]),
+	.B1(n_12353),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[57]),
+	.C1(n_12557),
+	.Y(n_12843), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g656844 (
+	.A1(n_12311),
+	.A2(brqrv_top_brqrv_dec_tlu_mrac_ff_0[26]),
+	.B1(n_12217),
+	.B2(brqrv_top_brqrv_dec_tlu_mtval[26]),
+	.C1(n_12553),
+	.Y(n_12842), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g656845 (
+	.A1(n_12311),
+	.A2(brqrv_top_brqrv_dec_tlu_mrac_ff_0[4]),
+	.B1(n_12313),
+	.B2(brqrv_top_brqrv_dec_tlu_minstretl[4]),
+	.C1(n_12554),
+	.Y(n_12841), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g656846 (
+	.A1(n_12354),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[4]),
+	.B1(n_12355),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[80]),
+	.C1(n_12550),
+	.Y(n_12840), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g656847 (
+	.A1(n_12311),
+	.A2(brqrv_top_brqrv_dec_tlu_mrac_ff_0[31]),
+	.B1(n_12217),
+	.B2(brqrv_top_brqrv_dec_tlu_mtval[31]),
+	.C1(n_12541),
+	.Y(n_12839), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g656848 (
+	.A1(n_12010),
+	.A2(brqrv_top_brqrv_dec_tlu_miccmect[30]),
+	.B1(n_33128),
+	.B2(brqrv_top_brqrv_dec_tlu_dicad0[30]),
+	.C1(n_12542),
+	.Y(n_12838), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g656849 (
+	.A1(n_12314),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc4h[18]),
+	.B1(n_12312),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpmc6h[18]),
+	.C1(n_12395),
+	.Y(n_12837), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g656850 (
+	.A1(n_12355),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[78]),
+	.B1(n_12353),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[40]),
+	.C1(n_12534),
+	.Y(n_12836), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g656851 (
+	.A1(n_12355),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[101]),
+	.B1(n_12353),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[63]),
+	.C1(n_12528),
+	.X(n_12835), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g656852 (
+	.A1(n_12314),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc4h[17]),
+	.B1(n_12312),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpmc6h[17]),
+	.C1(n_12389),
+	.Y(n_12834), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g656853 (
+	.A1(n_12314),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc4h[30]),
+	.B1(n_12312),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpmc6h[30]),
+	.C1(n_12387),
+	.Y(n_12833), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g656854 (
+	.A1(n_12355),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[105]),
+	.B1(n_12353),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[67]),
+	.C1(n_12513),
+	.Y(n_12832), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g656855 (
+	.A1(n_12355),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[92]),
+	.B1(n_12353),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[54]),
+	.C1(n_12514),
+	.Y(n_12831), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g656856 (
+	.A1(n_12499),
+	.A2(n_37862),
+	.B1(n_12360),
+	.Y(n_12830), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g656857 (
+	.A1(n_12311),
+	.A2(brqrv_top_brqrv_dec_tlu_mrac_ff_0[25]),
+	.B1(n_12217),
+	.B2(brqrv_top_brqrv_dec_tlu_mtval[25]),
+	.C1(n_12509),
+	.Y(n_12829), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g656858 (
+	.A1(n_12255),
+	.A2(n_37784),
+	.B1(n_12256),
+	.B2(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[8]),
+	.C1(n_12581),
+	.Y(n_12828), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656859 (
+	.A1(n_37797),
+	.A2(n_12309),
+	.B1(n_12255),
+	.B2(n_37798),
+	.C1(n_12312),
+	.C2(brqrv_top_brqrv_dec_tlu_mhpmc6h[15]),
+	.Y(n_12827), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656860 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc3[14]),
+	.A2(n_12310),
+	.B1(n_12253),
+	.B2(brqrv_top_brqrv_dec_tlu_mdccmect[14]),
+	.C1(n_12015),
+	.C2(brqrv_top_brqrv_dec_tlu_mhpmc4[14]),
+	.Y(n_12826), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656861 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc3h[24]),
+	.A2(n_12316),
+	.B1(n_12218),
+	.B2(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[24]),
+	.C1(n_12312),
+	.C2(brqrv_top_brqrv_dec_tlu_mhpmc6h[24]),
+	.Y(n_12825), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656862 (
+	.A1(brqrv_top_brqrv_dec_tlu_meihap[31]),
+	.A2(n_12501),
+	.B1(n_12353),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[69]),
+	.C1(n_12352),
+	.C2(brqrv_top_brqrv_trigger_pkt_any[145]),
+	.Y(n_12824), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g656863 (
+	.A1(n_12312),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc6h[14]),
+	.B1(n_12219),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpmc5h[14]),
+	.C1(n_12680),
+	.Y(n_12823), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656864 (
+	.A1(brqrv_top_brqrv_trigger_pkt_any[24]),
+	.A2(n_12354),
+	.B1(n_12352),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[138]),
+	.C1(n_12501),
+	.C2(brqrv_top_brqrv_dec_tlu_meihap[24]),
+	.Y(n_12822), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656865 (
+	.A1(brqrv_top_brqrv_trigger_pkt_any[100]),
+	.A2(n_12355),
+	.B1(n_12353),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[62]),
+	.C1(n_12307),
+	.C2(brqrv_top_brqrv_dec_tlu_micect[24]),
+	.Y(n_12821), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656866 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc6[14]),
+	.A2(n_12444),
+	.B1(n_12314),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpmc4h[14]),
+	.C1(n_12316),
+	.C2(brqrv_top_brqrv_dec_tlu_mhpmc3h[14]),
+	.Y(n_12820), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g656867 (
+	.A1(n_34860),
+	.A2(n_12359),
+	.B1(n_34584),
+	.C1(n_12137),
+	.D1(n_12199),
+	.Y(n_12905), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g656868 (
+	.A(brqrv_top_brqrv_exu_i0_rs2_d[0]),
+	.B(n_1589),
+	.Y(n_33299), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g656869 (
+	.A(n_12754),
+	.Y(n_12819), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g656870 (
+	.A(n_12742),
+	.Y(n_12818), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g656871 (
+	.A(n_12737),
+	.Y(n_12817), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656872 (
+	.A1(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[13]),
+	.A2(n_12256),
+	.B1(n_12309),
+	.B2(n_37793),
+	.C1(n_12255),
+	.C2(n_37794),
+	.Y(n_12815), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g656873 (
+	.A(n_42909),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[7]),
+	.C(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[6]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_inc_cout), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g656874 (
+	.A(n_42908),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[7]),
+	.C(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[6]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_inc_cout), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g656875 (
+	.A1(n_12444),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc6[15]),
+	.B1(n_12351),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpmc5[15]),
+	.Y(n_12814), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656876 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc6[13]),
+	.A2(n_12444),
+	.B1(n_12314),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpmc4h[13]),
+	.C1(n_12316),
+	.C2(brqrv_top_brqrv_dec_tlu_mhpmc3h[13]),
+	.Y(n_12813), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656877 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc6[12]),
+	.A2(n_12444),
+	.B1(n_12314),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpmc4h[12]),
+	.C1(n_12316),
+	.C2(brqrv_top_brqrv_dec_tlu_mhpmc3h[12]),
+	.Y(n_12812), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g656878 (
+	.A1(n_12309),
+	.A2(n_37791),
+	.B1(n_12218),
+	.B2(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[12]),
+	.C1(n_12607),
+	.Y(n_12811), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656879 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc3[23]),
+	.A2(n_12310),
+	.B1(n_12253),
+	.B2(brqrv_top_brqrv_dec_tlu_mdccmect[23]),
+	.C1(n_12307),
+	.C2(brqrv_top_brqrv_dec_tlu_micect[23]),
+	.Y(n_12810), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g656880 (
+	.A1(n_34586),
+	.A2(n_12042),
+	.B1(n_34584),
+	.B2(n_12079),
+	.C1(n_12662),
+	.Y(n_12809), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656881 (
+	.A1(brqrv_top_brqrv_dec_tlu_mie[4]),
+	.A2(n_12014),
+	.B1(n_12116),
+	.B2(brqrv_top_brqrv_dec_tlu_mip[4]),
+	.C1(n_12499),
+	.C2(n_37890),
+	.Y(n_12808), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656882 (
+	.A1(brqrv_top_brqrv_dec_tlu_micect[31]),
+	.A2(n_12307),
+	.B1(n_12316),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpmc3h[31]),
+	.C1(n_12351),
+	.C2(brqrv_top_brqrv_dec_tlu_mhpmc5[31]),
+	.Y(n_12807), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656883 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc3h[11]),
+	.A2(n_12316),
+	.B1(n_12218),
+	.B2(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[11]),
+	.C1(n_12219),
+	.C2(brqrv_top_brqrv_dec_tlu_mhpmc5h[11]),
+	.Y(n_12806), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656884 (
+	.A1(brqrv_top_brqrv_trigger_pkt_any[125]),
+	.A2(n_12352),
+	.B1(n_12307),
+	.B2(brqrv_top_brqrv_dec_tlu_micect[11]),
+	.C1(n_12260),
+	.C2(brqrv_top_brqrv_dec_tlu_mtdata1_t2[5]),
+	.Y(n_12805), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656885 (
+	.A1(brqrv_top_brqrv_dec_tlu_mdseac[11]),
+	.A2(n_12103),
+	.B1(n_12311),
+	.B2(brqrv_top_brqrv_dec_tlu_mrac_ff_0[11]),
+	.C1(n_12159),
+	.C2(brqrv_top_brqrv_dec_tlu_dicad0h[11]),
+	.Y(n_12804), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656886 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc3[22]),
+	.A2(n_12310),
+	.B1(n_12253),
+	.B2(brqrv_top_brqrv_dec_tlu_mdccmect[22]),
+	.C1(n_12307),
+	.C2(brqrv_top_brqrv_dec_tlu_micect[22]),
+	.Y(n_12803), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656887 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc3[10]),
+	.A2(n_12310),
+	.B1(n_12253),
+	.B2(brqrv_top_brqrv_dec_tlu_mdccmect[10]),
+	.C1(n_12015),
+	.C2(brqrv_top_brqrv_dec_tlu_mhpmc4[10]),
+	.Y(n_12802), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656888 (
+	.A1(brqrv_top_brqrv_dec_tlu_mie[3]),
+	.A2(n_12014),
+	.B1(n_12116),
+	.B2(brqrv_top_brqrv_dec_tlu_mip[3]),
+	.C1(n_12499),
+	.C2(n_37888),
+	.Y(n_12801), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656889 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc6[10]),
+	.A2(n_12444),
+	.B1(n_12314),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpmc4h[10]),
+	.C1(n_12316),
+	.C2(brqrv_top_brqrv_dec_tlu_mhpmc3h[10]),
+	.Y(n_12800), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656890 (
+	.A1(brqrv_top_brqrv_dec_tlu_dicad0h[10]),
+	.A2(n_12159),
+	.B1(n_12103),
+	.B2(brqrv_top_brqrv_dec_tlu_mdseac[10]),
+	.C1(n_12501),
+	.C2(brqrv_top_brqrv_dec_tlu_meihap[10]),
+	.Y(n_12799), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656891 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc3[9]),
+	.A2(n_12310),
+	.B1(n_12253),
+	.B2(brqrv_top_brqrv_dec_tlu_mdccmect[9]),
+	.C1(n_12015),
+	.C2(brqrv_top_brqrv_dec_tlu_mhpmc4[9]),
+	.Y(n_12798), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656892 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc3[21]),
+	.A2(n_12310),
+	.B1(n_12253),
+	.B2(brqrv_top_brqrv_dec_tlu_mdccmect[21]),
+	.C1(n_12351),
+	.C2(brqrv_top_brqrv_dec_tlu_mhpmc5[21]),
+	.Y(n_12797), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656893 (
+	.A1(brqrv_top_brqrv_dec_tlu_mepc[9]),
+	.A2(n_12102),
+	.B1(n_12158),
+	.B2(brqrv_top_brqrv_dec_tlu_mcause[9]),
+	.C1(n_12500),
+	.C2(n_37849),
+	.Y(n_12796), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656894 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc3h[21]),
+	.A2(n_12316),
+	.B1(n_12218),
+	.B2(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[21]),
+	.C1(n_12312),
+	.C2(brqrv_top_brqrv_dec_tlu_mhpmc6h[21]),
+	.Y(n_12795), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656895 (
+	.A1(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[28]),
+	.A2(n_12256),
+	.B1(n_12309),
+	.B2(n_37823),
+	.C1(n_12255),
+	.C2(n_37824),
+	.Y(n_12794), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656896 (
+	.A1(brqrv_top_brqrv_trigger_pkt_any[21]),
+	.A2(n_12354),
+	.B1(n_12352),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[135]),
+	.C1(n_12501),
+	.C2(brqrv_top_brqrv_dec_tlu_meihap[21]),
+	.Y(n_12793), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656897 (
+	.A1(brqrv_top_brqrv_dec_tlu_micect[9]),
+	.A2(n_12307),
+	.B1(n_12356),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpme4[9]),
+	.C1(n_12221),
+	.C2(brqrv_top_brqrv_dec_tlu_mhpme6[9]),
+	.Y(n_12792), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656898 (
+	.A1(brqrv_top_brqrv_trigger_pkt_any[97]),
+	.A2(n_12355),
+	.B1(n_12353),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[59]),
+	.C1(n_12307),
+	.C2(brqrv_top_brqrv_dec_tlu_micect[21]),
+	.Y(n_12791), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656899 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc4h[8]),
+	.A2(n_12314),
+	.B1(n_12219),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpmc5h[8]),
+	.C1(n_12312),
+	.C2(brqrv_top_brqrv_dec_tlu_mhpmc6h[8]),
+	.Y(n_12790), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656900 (
+	.A1(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[31]),
+	.A2(n_12256),
+	.B1(n_12309),
+	.B2(n_37829),
+	.C1(n_12255),
+	.C2(n_37830),
+	.Y(n_12789), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656901 (
+	.A1(brqrv_top_brqrv_dec_tlu_mrac_ff_0[21]),
+	.A2(n_12311),
+	.B1(n_12217),
+	.B2(brqrv_top_brqrv_dec_tlu_mtval[21]),
+	.C1(n_12315),
+	.C2(brqrv_top_brqrv_dec_tlu_mtvec[20]),
+	.Y(n_12788), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656902 (
+	.A1(brqrv_top_brqrv_dec_tlu_mepc[8]),
+	.A2(n_12102),
+	.B1(n_12158),
+	.B2(brqrv_top_brqrv_dec_tlu_mcause[8]),
+	.C1(n_12500),
+	.C2(n_37847),
+	.Y(n_12787), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656903 (
+	.A1(n_37848),
+	.A2(n_12499),
+	.B1(n_12311),
+	.B2(brqrv_top_brqrv_dec_tlu_mrac_ff_0[8]),
+	.C1(n_12220),
+	.C2(brqrv_top_brqrv_dec_tlu_mscratch[8]),
+	.Y(n_12786), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656904 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc3h[20]),
+	.A2(n_12316),
+	.B1(n_12218),
+	.B2(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[20]),
+	.C1(n_12219),
+	.C2(brqrv_top_brqrv_dec_tlu_mhpmc5h[20]),
+	.Y(n_12785), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656905 (
+	.A1(brqrv_top_brqrv_dec_tlu_mie[1]),
+	.A2(n_12014),
+	.B1(n_12360),
+	.B2(brqrv_top_brqrv_dec_tlu_mstatus[1]),
+	.C1(n_12220),
+	.C2(brqrv_top_brqrv_dec_tlu_mscratch[7]),
+	.Y(n_12784), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656906 (
+	.A1(brqrv_top_brqrv_dec_tlu_micect[7]),
+	.A2(n_12307),
+	.B1(n_33127),
+	.B2(brqrv_top_brqrv_dec_tlu_dicawics[4]),
+	.C1(n_12312),
+	.C2(brqrv_top_brqrv_dec_tlu_mhpmc6h[7]),
+	.Y(n_12783), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656907 (
+	.A1(brqrv_top_brqrv_trigger_pkt_any[134]),
+	.A2(n_12352),
+	.B1(n_12307),
+	.B2(brqrv_top_brqrv_dec_tlu_micect[20]),
+	.C1(n_12257),
+	.C2(brqrv_top_brqrv_dec_tlu_mtdata1_t0[8]),
+	.Y(n_12782), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656908 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc3h[27]),
+	.A2(n_12316),
+	.B1(n_12159),
+	.B2(brqrv_top_brqrv_dec_tlu_dicad0h[27]),
+	.C1(n_12351),
+	.C2(brqrv_top_brqrv_dec_tlu_mhpmc5[27]),
+	.Y(n_12781), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656909 (
+	.A1(brqrv_top_brqrv_dec_tlu_mepc[30]),
+	.A2(n_12102),
+	.B1(n_12158),
+	.B2(brqrv_top_brqrv_dec_tlu_mcause[30]),
+	.C1(n_12500),
+	.C2(n_37891),
+	.Y(n_12780), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656910 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc4h[6]),
+	.A2(n_12314),
+	.B1(n_12219),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpmc5h[6]),
+	.C1(n_12312),
+	.C2(brqrv_top_brqrv_dec_tlu_mhpmc6h[6]),
+	.Y(n_12779), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656911 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc6[27]),
+	.A2(n_12444),
+	.B1(n_12314),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpmc4h[27]),
+	.C1(n_12307),
+	.C2(brqrv_top_brqrv_dec_tlu_micect[27]),
+	.Y(n_12778), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656912 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc3[5]),
+	.A2(n_12310),
+	.B1(n_12253),
+	.B2(brqrv_top_brqrv_dec_tlu_mdccmect[5]),
+	.C1(n_12015),
+	.C2(brqrv_top_brqrv_dec_tlu_mhpmc4[5]),
+	.Y(n_12777), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656913 (
+	.A1(brqrv_top_brqrv_dec_tlu_mfdht[5]),
+	.A2(n_12357),
+	.B1(n_12010),
+	.B2(brqrv_top_brqrv_dec_tlu_miccmect[5]),
+	.C1(n_12314),
+	.C2(brqrv_top_brqrv_dec_tlu_mhpmc4h[5]),
+	.Y(n_12776), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656914 (
+	.A1(brqrv_top_brqrv_dec_tlu_mepc[5]),
+	.A2(n_12102),
+	.B1(n_12158),
+	.B2(brqrv_top_brqrv_dec_tlu_mcause[5]),
+	.C1(n_12500),
+	.C2(n_37841),
+	.Y(n_12775), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656915 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc3h[19]),
+	.A2(n_12316),
+	.B1(n_12159),
+	.B2(brqrv_top_brqrv_dec_tlu_dicad0h[19]),
+	.C1(n_12351),
+	.C2(brqrv_top_brqrv_dec_tlu_mhpmc5[19]),
+	.Y(n_12774), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656916 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc6[19]),
+	.A2(n_12444),
+	.B1(n_12314),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpmc4h[19]),
+	.C1(n_12307),
+	.C2(brqrv_top_brqrv_dec_tlu_micect[19]),
+	.Y(n_12773), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656917 (
+	.A1(n_37842),
+	.A2(n_12499),
+	.B1(n_12311),
+	.B2(brqrv_top_brqrv_dec_tlu_mrac_ff_0[5]),
+	.C1(n_12220),
+	.C2(brqrv_top_brqrv_dec_tlu_mscratch[5]),
+	.Y(n_12772), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656918 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc3h[5]),
+	.A2(n_12316),
+	.B1(n_12104),
+	.B2(brqrv_top_brqrv_dec_tlu_dpc[5]),
+	.C1(n_12444),
+	.C2(brqrv_top_brqrv_dec_tlu_mhpmc6[5]),
+	.Y(n_12771), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656919 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc3[4]),
+	.A2(n_12310),
+	.B1(n_12253),
+	.B2(brqrv_top_brqrv_dec_tlu_mdccmect[4]),
+	.C1(n_12015),
+	.C2(brqrv_top_brqrv_dec_tlu_mhpmc4[4]),
+	.Y(n_12770), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656920 (
+	.A1(brqrv_top_brqrv_dec_tlu_mrac_ff_0[30]),
+	.A2(n_12311),
+	.B1(n_12103),
+	.B2(brqrv_top_brqrv_dec_tlu_mdseac[30]),
+	.C1(n_12501),
+	.C2(brqrv_top_brqrv_dec_tlu_meihap[30]),
+	.Y(n_12769), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656921 (
+	.A1(brqrv_top_brqrv_dec_tlu_mepc[4]),
+	.A2(n_12102),
+	.B1(n_12158),
+	.B2(brqrv_top_brqrv_dec_tlu_mcause[4]),
+	.C1(n_12500),
+	.C2(n_37839),
+	.Y(n_12768), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656922 (
+	.A1(brqrv_top_brqrv_dec_tlu_mepc[18]),
+	.A2(n_12102),
+	.B1(n_12158),
+	.B2(brqrv_top_brqrv_dec_tlu_mcause[18]),
+	.C1(n_12501),
+	.C2(brqrv_top_brqrv_dec_tlu_meihap[18]),
+	.Y(n_12767), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656923 (
+	.A1(brqrv_top_brqrv_dec_tlu_mfdht[4]),
+	.A2(n_12357),
+	.B1(n_12010),
+	.B2(brqrv_top_brqrv_dec_tlu_miccmect[4]),
+	.C1(n_12312),
+	.C2(brqrv_top_brqrv_dec_tlu_mhpmc6h[4]),
+	.Y(n_12766), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656924 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc3[3]),
+	.A2(n_12310),
+	.B1(n_12253),
+	.B2(brqrv_top_brqrv_dec_tlu_mdccmect[3]),
+	.C1(n_12015),
+	.C2(brqrv_top_brqrv_dec_tlu_mhpmc4[3]),
+	.Y(n_12765), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656925 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc6h[3]),
+	.A2(n_12312),
+	.B1(n_12219),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpmc5h[3]),
+	.C1(n_12314),
+	.C2(brqrv_top_brqrv_dec_tlu_mhpmc4h[3]),
+	.Y(n_12764), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656926 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc3h[18]),
+	.A2(n_12316),
+	.B1(n_12253),
+	.B2(brqrv_top_brqrv_dec_tlu_mdccmect[18]),
+	.C1(n_12310),
+	.C2(brqrv_top_brqrv_dec_tlu_mhpmc3[18]),
+	.Y(n_12763), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656927 (
+	.A1(brqrv_top_brqrv_dec_tlu_meihap[26]),
+	.A2(n_12501),
+	.B1(n_12355),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[102]),
+	.C1(n_12352),
+	.C2(brqrv_top_brqrv_trigger_pkt_any[140]),
+	.Y(n_12762), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g656928 (
+	.A(n_12637),
+	.B(n_12413),
+	.C(n_12497),
+	.Y(n_12761), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656929 (
+	.A1(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[18]),
+	.A2(n_12256),
+	.B1(n_12309),
+	.B2(n_37803),
+	.C1(n_12255),
+	.C2(n_37804),
+	.Y(n_12760), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656930 (
+	.A1(brqrv_top_brqrv_dec_tlu_micect[26]),
+	.A2(n_12307),
+	.B1(n_12316),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpmc3h[26]),
+	.C1(n_12351),
+	.C2(brqrv_top_brqrv_dec_tlu_mhpmc5[26]),
+	.Y(n_12759), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656931 (
+	.A1(brqrv_top_brqrv_dec_tlu_mstatus[0]),
+	.A2(n_12360),
+	.B1(n_12013),
+	.B2(brqrv_top_brqrv_dec_tlu_mscause[3]),
+	.C1(n_12014),
+	.C2(brqrv_top_brqrv_dec_tlu_mie[0]),
+	.Y(n_12758), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656932 (
+	.A1(brqrv_top_brqrv_dec_tlu_mepc[17]),
+	.A2(n_12102),
+	.B1(n_12158),
+	.B2(brqrv_top_brqrv_dec_tlu_mcause[17]),
+	.C1(n_12501),
+	.C2(brqrv_top_brqrv_dec_tlu_meihap[17]),
+	.Y(n_12757), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656933 (
+	.A1(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[26]),
+	.A2(n_12256),
+	.B1(n_12309),
+	.B2(n_37819),
+	.C1(n_12255),
+	.C2(n_37820),
+	.Y(n_12756), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656934 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc3[30]),
+	.A2(n_12310),
+	.B1(n_12253),
+	.B2(brqrv_top_brqrv_dec_tlu_mdccmect[30]),
+	.C1(n_12444),
+	.C2(brqrv_top_brqrv_dec_tlu_mhpmc6[30]),
+	.Y(n_12755), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656935 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc3h[2]),
+	.A2(n_12316),
+	.B1(n_12357),
+	.B2(brqrv_top_brqrv_dec_tlu_mfdht[2]),
+	.C1(n_12253),
+	.C2(brqrv_top_brqrv_dec_tlu_mdccmect[2]),
+	.Y(n_12754), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656936 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc3[2]),
+	.A2(n_12310),
+	.B1(n_12010),
+	.B2(brqrv_top_brqrv_dec_tlu_miccmect[2]),
+	.C1(n_12312),
+	.C2(brqrv_top_brqrv_dec_tlu_mhpmc6h[2]),
+	.Y(n_12753), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656937 (
+	.A1(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[17]),
+	.A2(n_12256),
+	.B1(n_12309),
+	.B2(n_37801),
+	.C1(n_12255),
+	.C2(n_37802),
+	.Y(n_12752), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656938 (
+	.A1(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[2]),
+	.A2(n_12218),
+	.B1(n_12318),
+	.B2(brqrv_top_brqrv_dec_tlu_int_timers_mitctl1[2]),
+	.C1(n_12265),
+	.C2(brqrv_top_brqrv_dec_tlu_int_timers_mitctl0[2]),
+	.Y(n_12751), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656939 (
+	.A1(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[30]),
+	.A2(n_12256),
+	.B1(n_12309),
+	.B2(n_37827),
+	.C1(n_12255),
+	.C2(n_37828),
+	.Y(n_12750), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656940 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc3h[1]),
+	.A2(n_12316),
+	.B1(n_12357),
+	.B2(brqrv_top_brqrv_dec_tlu_mfdht[1]),
+	.C1(n_12253),
+	.C2(brqrv_top_brqrv_dec_tlu_mdccmect[1]),
+	.Y(n_12749), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656941 (
+	.A1(brqrv_top_brqrv_dec_tlu_int_timers_mitctl1[1]),
+	.A2(n_12318),
+	.B1(n_12265),
+	.B2(brqrv_top_brqrv_dec_tlu_int_timers_mitctl0[1]),
+	.C1(n_12218),
+	.C2(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[1]),
+	.Y(n_12748), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656942 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc3[25]),
+	.A2(n_12310),
+	.B1(n_12253),
+	.B2(brqrv_top_brqrv_dec_tlu_mdccmect[25]),
+	.C1(n_12307),
+	.C2(brqrv_top_brqrv_dec_tlu_micect[25]),
+	.Y(n_12747), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656943 (
+	.A1(brqrv_top_brqrv_trigger_pkt_any[1]),
+	.A2(n_12354),
+	.B1(n_12355),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[77]),
+	.C1(n_12258),
+	.C2(brqrv_top_brqrv_trigger_pkt_any[73]),
+	.Y(n_12746), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656944 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc3h[16]),
+	.A2(n_12316),
+	.B1(n_12253),
+	.B2(brqrv_top_brqrv_dec_tlu_mdccmect[16]),
+	.C1(n_12310),
+	.C2(brqrv_top_brqrv_dec_tlu_mhpmc3[16]),
+	.Y(n_12745), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656945 (
+	.A1(brqrv_top_brqrv_dec_tlu_mscratch[1]),
+	.A2(n_12220),
+	.B1(n_12158),
+	.B2(brqrv_top_brqrv_dec_tlu_mcause[1]),
+	.C1(n_12499),
+	.C2(n_37834),
+	.Y(n_12744), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656946 (
+	.A1(n_37799),
+	.A2(n_12309),
+	.B1(n_12255),
+	.B2(n_37800),
+	.C1(n_12312),
+	.C2(brqrv_top_brqrv_dec_tlu_mhpmc6h[16]),
+	.Y(n_12743), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656947 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc3h[0]),
+	.A2(n_12316),
+	.B1(n_12357),
+	.B2(brqrv_top_brqrv_dec_tlu_mfdht[0]),
+	.C1(n_12253),
+	.C2(brqrv_top_brqrv_dec_tlu_mdccmect[0]),
+	.Y(n_12742), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656948 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc3[0]),
+	.A2(n_12310),
+	.B1(n_12010),
+	.B2(brqrv_top_brqrv_dec_tlu_miccmect[0]),
+	.C1(n_12314),
+	.C2(brqrv_top_brqrv_dec_tlu_mhpmc4h[0]),
+	.Y(n_12741), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g656949 (
+	.A1(n_12218),
+	.A2(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[0]),
+	.B1(n_12508),
+	.Y(n_12740), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g656950 (
+	.A1(n_1899),
+	.A2(brqrv_top_brqrv_dec_tlu_npc_r[9]),
+	.B1(n_1969),
+	.B2(brqrv_top_brqrv_dec_tlu_mtvec[8]),
+	.C1(n_12435),
+	.Y(n_12739), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g656951 (
+	.A1(n_1899),
+	.A2(brqrv_top_brqrv_dec_tlu_npc_r[8]),
+	.B1(n_1969),
+	.B2(brqrv_top_brqrv_dec_tlu_mtvec[7]),
+	.C1(n_12434),
+	.Y(n_12738), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656952 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc3h[15]),
+	.A2(n_12316),
+	.B1(n_12253),
+	.B2(brqrv_top_brqrv_dec_tlu_mdccmect[15]),
+	.C1(n_12310),
+	.C2(brqrv_top_brqrv_dec_tlu_mhpmc3[15]),
+	.Y(n_12737), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g656953 (
+	.A1(n_1899),
+	.A2(brqrv_top_brqrv_dec_tlu_npc_r[7]),
+	.B1(n_1969),
+	.B2(brqrv_top_brqrv_dec_tlu_mtvec[6]),
+	.C1(n_12433),
+	.Y(n_12736), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656954 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc3[24]),
+	.A2(n_12310),
+	.B1(n_12253),
+	.B2(brqrv_top_brqrv_dec_tlu_mdccmect[24]),
+	.C1(n_12256),
+	.C2(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[24]),
+	.Y(n_12735), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g656955 (
+	.A1(n_12500),
+	.A2(n_37832),
+	.B1(n_12315),
+	.B2(brqrv_top_brqrv_dec_tlu_mtvec[0]),
+	.Y(n_12734), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656956 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc6[11]),
+	.A2(n_12444),
+	.B1(n_12309),
+	.B2(n_37789),
+	.C1(n_12312),
+	.C2(brqrv_top_brqrv_dec_tlu_mhpmc6h[11]),
+	.Y(n_12733), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656957 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc6[20]),
+	.A2(n_12444),
+	.B1(n_12309),
+	.B2(n_37807),
+	.C1(n_12312),
+	.C2(brqrv_top_brqrv_dec_tlu_mhpmc6h[20]),
+	.Y(n_12732), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g656958 (
+	.A1(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[3]),
+	.A2(n_12308),
+	.B1(n_12549),
+	.Y(n_12731), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g656959 (
+	.A1(n_12500),
+	.A2(n_37859),
+	.B1(n_12315),
+	.B2(brqrv_top_brqrv_dec_tlu_mtvec[13]),
+	.Y(n_12730), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g656960 (
+	.A1(n_12499),
+	.A2(n_37880),
+	.B1(n_12313),
+	.B2(brqrv_top_brqrv_dec_tlu_minstretl[24]),
+	.Y(n_12729), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g656961 (
+	.A1(n_12501),
+	.A2(brqrv_top_brqrv_dec_tlu_meihap[29]),
+	.B1(n_12104),
+	.B2(brqrv_top_brqrv_dec_tlu_dpc[29]),
+	.Y(n_12728), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g656962 (
+	.A1_N(brqrv_top_brqrv_exu_i0_rs2_d[3]),
+	.A2_N(n_12266),
+	.B1(brqrv_top_brqrv_exu_i0_rs2_d[3]),
+	.B2(n_12266),
+	.Y(n_12727), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g656963 (
+	.A1(n_12500),
+	.A2(n_37857),
+	.B1(n_12315),
+	.B2(brqrv_top_brqrv_dec_tlu_mtvec[12]),
+	.Y(n_12726), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g656964 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_meihap[23]),
+	.A2_N(n_12501),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[23]),
+	.B2(n_12308),
+	.Y(n_12725), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g656965 (
+	.A1(n_12499),
+	.A2(n_37878),
+	.B1(n_12313),
+	.B2(brqrv_top_brqrv_dec_tlu_minstretl[23]),
+	.Y(n_12724), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g656966 (
+	.A1(n_12499),
+	.A2(n_37854),
+	.B1(n_12313),
+	.B2(brqrv_top_brqrv_dec_tlu_minstretl[11]),
+	.Y(n_12723), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g656967 (
+	.A1(n_12315),
+	.A2(brqrv_top_brqrv_dec_tlu_mtvec[10]),
+	.B1(n_12014),
+	.B2(brqrv_top_brqrv_dec_tlu_mie[2]),
+	.C1(n_12360),
+	.X(n_12722), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g656968 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_meihap[22]),
+	.A2_N(n_12501),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[22]),
+	.B2(n_12308),
+	.Y(n_12721), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g656969 (
+	.A1(n_12500),
+	.A2(n_37851),
+	.B1(n_12315),
+	.B2(brqrv_top_brqrv_dec_tlu_mtvec[9]),
+	.Y(n_12720), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g656970 (
+	.A1(n_12499),
+	.A2(n_37876),
+	.B1(n_12313),
+	.B2(brqrv_top_brqrv_dec_tlu_minstretl[22]),
+	.Y(n_12719), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g656971 (
+	.A1(n_12015),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc4[8]),
+	.B1(n_12104),
+	.B2(brqrv_top_brqrv_dec_tlu_dpc[8]),
+	.C1(n_12452),
+	.Y(n_12718), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g656972 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_meihap[27]),
+	.A2_N(n_12501),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[27]),
+	.B2(n_12308),
+	.Y(n_12717), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g656973 (
+	.A1(n_12499),
+	.A2(n_37886),
+	.B1(n_12313),
+	.B2(brqrv_top_brqrv_dec_tlu_minstretl[27]),
+	.Y(n_12716), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g656974 (
+	.A1(n_12499),
+	.A2(n_37894),
+	.B1(n_12313),
+	.B2(brqrv_top_brqrv_dec_tlu_minstretl[31]),
+	.Y(n_12715), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g656975 (
+	.A1(n_12499),
+	.A2(n_37872),
+	.B1(n_12104),
+	.B2(brqrv_top_brqrv_dec_tlu_dpc[20]),
+	.Y(n_12714), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g656976 (
+	.A1(n_12501),
+	.A2(brqrv_top_brqrv_dec_tlu_meihap[20]),
+	.B1(n_12313),
+	.B2(brqrv_top_brqrv_dec_tlu_minstretl[20]),
+	.Y(n_12713), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g656977 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_meihap[19]),
+	.A2_N(n_12501),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[19]),
+	.B2(n_12308),
+	.Y(n_12712), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g656978 (
+	.A1(n_12499),
+	.A2(n_37870),
+	.B1(n_12313),
+	.B2(brqrv_top_brqrv_dec_tlu_minstretl[19]),
+	.Y(n_12711), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g656979 (
+	.A1(n_12014),
+	.A2(brqrv_top_brqrv_dec_tlu_mie[5]),
+	.B1(n_12315),
+	.B2(brqrv_top_brqrv_dec_tlu_mtvec[29]),
+	.C1(n_12358),
+	.Y(n_12710), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g656980 (
+	.A1(n_12499),
+	.A2(n_37892),
+	.B1(n_12313),
+	.B2(brqrv_top_brqrv_dec_tlu_minstretl[30]),
+	.Y(n_12709), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g656981 (
+	.A1(n_12499),
+	.A2(n_37884),
+	.B1(n_12313),
+	.B2(brqrv_top_brqrv_dec_tlu_minstretl[26]),
+	.Y(n_12708), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g656982 (
+	.A1(n_12499),
+	.A2(n_37838),
+	.B1(n_12220),
+	.B2(brqrv_top_brqrv_dec_tlu_mscratch[3]),
+	.Y(n_12707), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g656983 (
+	.A1(n_12444),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc6[2]),
+	.B1(n_12351),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpmc5[2]),
+	.Y(n_12706), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g656984 (
+	.A1(n_12444),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc6[1]),
+	.B1(n_12015),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpmc4[1]),
+	.Y(n_12705), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g656985 (
+	.A1(n_12499),
+	.A2(n_37864),
+	.B1(n_12220),
+	.B2(brqrv_top_brqrv_dec_tlu_mscratch[16]),
+	.Y(n_12704), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g656986 (
+	.A1(n_12159),
+	.A2(brqrv_top_brqrv_dec_tlu_dicad0h[1]),
+	.B1(n_12108),
+	.B2(brqrv_top_brqrv_dec_tlu_dicad1_raw[1]),
+	.C1(n_12385),
+	.X(n_12703), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g656987 (
+	.A1(n_12444),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc6[16]),
+	.B1(n_12314),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpmc4h[16]),
+	.Y(n_12702), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g656988 (
+	.A1(n_12159),
+	.A2(brqrv_top_brqrv_dec_tlu_dicad0h[0]),
+	.B1(n_12108),
+	.B2(brqrv_top_brqrv_dec_tlu_dicad1_raw[0]),
+	.C1(n_12383),
+	.X(n_12701), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g656989 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_meihap[25]),
+	.A2_N(n_12501),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[25]),
+	.B2(n_12308),
+	.Y(n_12700), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g656990 (
+	.A1(n_12499),
+	.A2(n_37882),
+	.B1(n_12313),
+	.B2(brqrv_top_brqrv_dec_tlu_minstretl[25]),
+	.Y(n_12699), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g656991 (
+	.A1(n_12499),
+	.A2(n_37831),
+	.B1(n_12313),
+	.B2(brqrv_top_brqrv_dec_tlu_minstretl[0]),
+	.Y(n_12698), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4b_1 g656993 (
+	.A(n_34954),
+	.B(n_12086),
+	.C(n_12625),
+	.D_N(n_34586),
+	.Y(n_12816), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g656994 (
+	.A(n_12661),
+	.Y(n_12697), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g656996 (
+	.A(n_1589),
+	.Y(n_33298), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g656997 (
+	.A1(brqrv_top_brqrv_dec_tlu_mtval[14]),
+	.A2(n_12217),
+	.B1(n_33128),
+	.B2(brqrv_top_brqrv_dec_tlu_dicad0[14]),
+	.C1(n_12103),
+	.C2(brqrv_top_brqrv_dec_tlu_mdseac[14]),
+	.Y(n_12693), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g656998 (
+	.A1(n_12311),
+	.A2(brqrv_top_brqrv_dec_tlu_mrac_ff_0[14]),
+	.B1(n_12159),
+	.B2(brqrv_top_brqrv_dec_tlu_dicad0h[14]),
+	.Y(n_12692), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g656999 (
+	.A1(n_12159),
+	.A2(brqrv_top_brqrv_dec_tlu_dicad0h[24]),
+	.B1(n_12104),
+	.B2(brqrv_top_brqrv_dec_tlu_dpc[24]),
+	.C1(n_12289),
+	.Y(n_12691), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657000 (
+	.A(n_12499),
+	.B(n_37856),
+	.Y(n_12690), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657001 (
+	.A(n_12501),
+	.B(brqrv_top_brqrv_dec_tlu_meihap[11]),
+	.Y(n_12689), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g657002 (
+	.A(n_34656),
+	.B_N(brqrv_top_dmi_reg_wdata[2]),
+	.Y(brqrv_top_brqrv_dbg_sbdata0_din[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g657003 (
+	.A(n_34656),
+	.B_N(brqrv_top_dmi_reg_wdata[12]),
+	.Y(brqrv_top_brqrv_dbg_sbdata0_din[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g657004 (
+	.A(n_34656),
+	.B_N(brqrv_top_dmi_reg_wdata[8]),
+	.Y(brqrv_top_brqrv_dbg_sbdata0_din[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657005 (
+	.A(n_12444),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc6[28]),
+	.Y(n_12688), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g657006 (
+	.A(n_34656),
+	.B_N(brqrv_top_dmi_reg_wdata[4]),
+	.Y(brqrv_top_brqrv_dbg_sbdata0_din[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657007 (
+	.A(n_12444),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc6[21]),
+	.Y(n_12687), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g657008 (
+	.A(n_34656),
+	.B_N(brqrv_top_dmi_reg_wdata[16]),
+	.Y(brqrv_top_brqrv_dbg_sbdata0_din[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g657009 (
+	.A(n_34656),
+	.B_N(brqrv_top_dmi_reg_wdata[20]),
+	.Y(brqrv_top_brqrv_dbg_sbdata0_din[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g657010 (
+	.A(n_34656),
+	.B_N(brqrv_top_dmi_reg_wdata[24]),
+	.Y(brqrv_top_brqrv_dbg_sbdata0_din[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g657011 (
+	.A(n_34656),
+	.B_N(brqrv_top_dmi_reg_wdata[0]),
+	.Y(brqrv_top_brqrv_dbg_sbdata0_din[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657012 (
+	.A(n_12499),
+	.B(n_37846),
+	.Y(n_12686), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g657013 (
+	.A1(n_12310),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc3[29]),
+	.B1(n_12253),
+	.B2(brqrv_top_brqrv_dec_tlu_mdccmect[29]),
+	.X(n_12685), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g657014 (
+	.A(n_34656),
+	.B_N(brqrv_top_dmi_reg_wdata[31]),
+	.Y(brqrv_top_brqrv_dbg_sbdata0_din[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g657015 (
+	.A(n_34656),
+	.B_N(brqrv_top_dmi_reg_wdata[30]),
+	.Y(brqrv_top_brqrv_dbg_sbdata0_din[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g657016 (
+	.A(n_34656),
+	.B_N(brqrv_top_dmi_reg_wdata[29]),
+	.Y(brqrv_top_brqrv_dbg_sbdata0_din[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g657017 (
+	.A(n_34656),
+	.B_N(brqrv_top_dmi_reg_wdata[28]),
+	.Y(brqrv_top_brqrv_dbg_sbdata0_din[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g657018 (
+	.A(n_34656),
+	.B_N(brqrv_top_dmi_reg_wdata[27]),
+	.Y(brqrv_top_brqrv_dbg_sbdata0_din[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g657019 (
+	.A(n_34656),
+	.B_N(brqrv_top_dmi_reg_wdata[26]),
+	.Y(brqrv_top_brqrv_dbg_sbdata0_din[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g657020 (
+	.A(n_34656),
+	.B_N(brqrv_top_dmi_reg_wdata[25]),
+	.Y(brqrv_top_brqrv_dbg_sbdata0_din[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g657021 (
+	.A(n_34656),
+	.B_N(brqrv_top_dmi_reg_wdata[23]),
+	.Y(brqrv_top_brqrv_dbg_sbdata0_din[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g657022 (
+	.A(n_34656),
+	.B_N(brqrv_top_dmi_reg_wdata[22]),
+	.Y(brqrv_top_brqrv_dbg_sbdata0_din[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g657023 (
+	.A(n_34656),
+	.B_N(brqrv_top_dmi_reg_wdata[21]),
+	.Y(brqrv_top_brqrv_dbg_sbdata0_din[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g657024 (
+	.A(n_34656),
+	.B_N(brqrv_top_dmi_reg_wdata[19]),
+	.Y(brqrv_top_brqrv_dbg_sbdata0_din[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g657025 (
+	.A(n_34656),
+	.B_N(brqrv_top_dmi_reg_wdata[18]),
+	.Y(brqrv_top_brqrv_dbg_sbdata0_din[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g657026 (
+	.A(n_34656),
+	.B_N(brqrv_top_dmi_reg_wdata[17]),
+	.Y(brqrv_top_brqrv_dbg_sbdata0_din[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g657027 (
+	.A(n_34656),
+	.B_N(brqrv_top_dmi_reg_wdata[15]),
+	.Y(brqrv_top_brqrv_dbg_sbdata0_din[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g657028 (
+	.A(n_34656),
+	.B_N(brqrv_top_dmi_reg_wdata[14]),
+	.Y(brqrv_top_brqrv_dbg_sbdata0_din[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g657029 (
+	.A(n_34656),
+	.B_N(brqrv_top_dmi_reg_wdata[13]),
+	.Y(brqrv_top_brqrv_dbg_sbdata0_din[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g657030 (
+	.A(n_34656),
+	.B_N(brqrv_top_dmi_reg_wdata[11]),
+	.Y(brqrv_top_brqrv_dbg_sbdata0_din[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g657031 (
+	.A(n_34656),
+	.B_N(brqrv_top_dmi_reg_wdata[10]),
+	.Y(brqrv_top_brqrv_dbg_sbdata0_din[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g657032 (
+	.A(n_34656),
+	.B_N(brqrv_top_dmi_reg_wdata[9]),
+	.Y(brqrv_top_brqrv_dbg_sbdata0_din[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g657033 (
+	.A(n_34656),
+	.B_N(brqrv_top_dmi_reg_wdata[7]),
+	.Y(brqrv_top_brqrv_dbg_sbdata0_din[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g657034 (
+	.A(n_34656),
+	.B_N(brqrv_top_dmi_reg_wdata[6]),
+	.Y(brqrv_top_brqrv_dbg_sbdata0_din[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g657035 (
+	.A(n_34656),
+	.B_N(brqrv_top_dmi_reg_wdata[5]),
+	.Y(brqrv_top_brqrv_dbg_sbdata0_din[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g657036 (
+	.A(n_34656),
+	.B_N(brqrv_top_dmi_reg_wdata[3]),
+	.Y(brqrv_top_brqrv_dbg_sbdata0_din[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g657037 (
+	.A(n_34656),
+	.B_N(brqrv_top_dmi_reg_wdata[1]),
+	.Y(brqrv_top_brqrv_dbg_sbdata0_din[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657038 (
+	.A(n_12444),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc6[18]),
+	.Y(n_12684), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657039 (
+	.A(n_12444),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc6[17]),
+	.Y(n_12683), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657040 (
+	.A(n_12501),
+	.B(brqrv_top_brqrv_dec_tlu_meihap[16]),
+	.Y(n_12682), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657041 (
+	.A(n_12501),
+	.B(brqrv_top_brqrv_dec_tlu_meihap[15]),
+	.Y(n_12681), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g657042 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[14]),
+	.A2_N(n_12218),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[14]),
+	.B2(n_12308),
+	.Y(n_12680), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g657043 (
+	.A1(n_12353),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[52]),
+	.B1(n_12352),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[128]),
+	.X(n_12679), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657044 (
+	.A(n_12448),
+	.B(n_12154),
+	.Y(brqrv_top_brqrv_picm_rdaddr[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g657045 (
+	.A1(n_12220),
+	.A2(brqrv_top_brqrv_dec_tlu_mscratch[0]),
+	.B1(n_12381),
+	.Y(n_12678), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g657046 (
+	.A1(n_12217),
+	.A2(brqrv_top_brqrv_dec_tlu_mtval[16]),
+	.B1(n_12103),
+	.B2(brqrv_top_brqrv_dec_tlu_mdseac[16]),
+	.C1(n_12089),
+	.Y(n_12677), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g657047 (
+	.A1(n_12257),
+	.A2(brqrv_top_brqrv_dec_tlu_mtdata1_t0[6]),
+	.B1(n_12260),
+	.B2(brqrv_top_brqrv_dec_tlu_mtdata1_t2[6]),
+	.C1(n_12427),
+	.Y(n_12676), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g657048 (
+	.A1(n_12257),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[32]),
+	.B1(n_12260),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[108]),
+	.C1(n_12407),
+	.Y(n_12675), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g657049 (
+	.A1(n_12257),
+	.A2(brqrv_top_brqrv_dec_tlu_mtdata1_t0[9]),
+	.B1(n_12258),
+	.B2(brqrv_top_brqrv_dec_tlu_mtdata1_t1[9]),
+	.C1(n_12402),
+	.Y(n_12674), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g657050 (
+	.A1(n_12257),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[37]),
+	.B1(n_12258),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[75]),
+	.C1(n_12399),
+	.Y(n_12673), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211o_1 g657051 (
+	.A1(n_12013),
+	.A2(brqrv_top_brqrv_dec_tlu_mscause[2]),
+	.B1(n_12267),
+	.C1(n_12358),
+	.X(n_12672), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g657052 (
+	.A(n_12484),
+	.B(n_12275),
+	.C(n_12234),
+	.X(n_12671), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g657053 (
+	.A1(n_12172),
+	.A2(n_12293),
+	.B1(n_12450),
+	.Y(brqrv_top_brqrv_ifu_i0_icaf_type[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g657054 (
+	.A1(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[29]),
+	.A2(n_12256),
+	.B1(n_12218),
+	.B2(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[29]),
+	.C1(n_12219),
+	.C2(brqrv_top_brqrv_dec_tlu_mhpmc5h[29]),
+	.Y(n_12670), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657055 (
+	.A(n_12444),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc6[24]),
+	.Y(n_12669), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g657056 (
+	.A1(brqrv_top_brqrv_dec_tlu_miccmect[14]),
+	.A2(n_12010),
+	.B1(n_33127),
+	.B2(brqrv_top_brqrv_dec_tlu_dicawics[11]),
+	.C1(n_12307),
+	.C2(brqrv_top_brqrv_dec_tlu_micect[14]),
+	.Y(n_12668), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g657057 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc5h[13]),
+	.A2(n_12219),
+	.B1(n_12218),
+	.B2(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[13]),
+	.C1(n_12312),
+	.C2(brqrv_top_brqrv_dec_tlu_mhpmc6h[13]),
+	.Y(n_12667), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g657058 (
+	.A1(brqrv_top_brqrv_dec_tlu_mtval[13]),
+	.A2(n_12217),
+	.B1(n_33128),
+	.B2(brqrv_top_brqrv_dec_tlu_dicad0[13]),
+	.C1(n_12103),
+	.C2(brqrv_top_brqrv_dec_tlu_mdseac[13]),
+	.Y(n_12666), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g657059 (
+	.A1(brqrv_top_brqrv_dec_tlu_miccmect[13]),
+	.A2(n_12010),
+	.B1(n_33127),
+	.B2(brqrv_top_brqrv_dec_tlu_dicawics[10]),
+	.C1(n_12307),
+	.C2(brqrv_top_brqrv_dec_tlu_micect[13]),
+	.Y(n_12665), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g657060 (
+	.A1(brqrv_top_brqrv_dec_tlu_miccmect[12]),
+	.A2(n_12010),
+	.B1(n_12253),
+	.B2(brqrv_top_brqrv_dec_tlu_mdccmect[12]),
+	.C1(n_12310),
+	.C2(brqrv_top_brqrv_dec_tlu_mhpmc3[12]),
+	.Y(n_12664), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g657061 (
+	.A1(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[23]),
+	.A2(n_12218),
+	.B1(n_33128),
+	.B2(brqrv_top_brqrv_dec_tlu_dicad0[23]),
+	.C1(n_12104),
+	.C2(brqrv_top_brqrv_dec_tlu_dpc[23]),
+	.Y(n_12663), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g657062 (
+	.A1(n_34852),
+	.A2(n_12067),
+	.B1(n_12268),
+	.C1(n_34571),
+	.Y(n_12662), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g657063 (
+	.A1(brqrv_top_brqrv_dec_tlu_mrac_ff_0[12]),
+	.A2(n_12311),
+	.B1(n_12217),
+	.B2(brqrv_top_brqrv_dec_tlu_mtval[12]),
+	.C1(n_12102),
+	.C2(brqrv_top_brqrv_dec_tlu_mepc[12]),
+	.Y(n_12661), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g657064 (
+	.A1(brqrv_top_brqrv_dec_tlu_mtval[11]),
+	.A2(n_12217),
+	.B1(n_12059),
+	.B2(brqrv_top_brqrv_dec_tlu_external_ldfwd_disable),
+	.C1(n_12105),
+	.C2(brqrv_top_brqrv_dec_tlu_dcsr[11]),
+	.Y(n_12660), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g657065 (
+	.A1(brqrv_top_brqrv_dec_tlu_mepc[11]),
+	.A2(n_12102),
+	.B1(n_12158),
+	.B2(brqrv_top_brqrv_dec_tlu_mcause[11]),
+	.C1(n_12350),
+	.C2(brqrv_top_brqrv_dec_tlu_mcyclel[11]),
+	.Y(n_12659), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g657066 (
+	.A1(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[22]),
+	.A2(n_12218),
+	.B1(n_33128),
+	.B2(brqrv_top_brqrv_dec_tlu_dicad0[22]),
+	.C1(n_12104),
+	.C2(brqrv_top_brqrv_dec_tlu_dpc[22]),
+	.Y(n_12658), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g657067 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc5h[10]),
+	.A2(n_12219),
+	.B1(n_12218),
+	.B2(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[10]),
+	.C1(n_12312),
+	.C2(brqrv_top_brqrv_dec_tlu_mhpmc6h[10]),
+	.Y(n_12657), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g657068 (
+	.A1(brqrv_top_brqrv_dec_tlu_miccmect[10]),
+	.A2(n_12010),
+	.B1(n_33127),
+	.B2(brqrv_top_brqrv_dec_tlu_dicawics[7]),
+	.C1(n_12307),
+	.C2(brqrv_top_brqrv_dec_tlu_micect[10]),
+	.Y(n_12656), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g657069 (
+	.A1(brqrv_top_brqrv_dec_tlu_miccmect[28]),
+	.A2(n_12010),
+	.B1(n_33128),
+	.B2(brqrv_top_brqrv_dec_tlu_dicad0[28]),
+	.C1(n_12159),
+	.C2(brqrv_top_brqrv_dec_tlu_dicad0h[28]),
+	.Y(n_12655), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g657070 (
+	.A1(brqrv_top_brqrv_dec_tlu_dpc[10]),
+	.A2(n_12104),
+	.B1(n_12217),
+	.B2(brqrv_top_brqrv_dec_tlu_mtval[10]),
+	.C1(n_12105),
+	.C2(brqrv_top_brqrv_dec_tlu_dcsr[10]),
+	.Y(n_12654), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g657071 (
+	.A1(brqrv_top_brqrv_dec_tlu_dicad0[10]),
+	.A2(n_33128),
+	.B1(n_12059),
+	.B2(brqrv_top_brqrv_dec_tlu_mfdc_int[10]),
+	.C1(n_12311),
+	.C2(brqrv_top_brqrv_dec_tlu_mrac_ff_0[10]),
+	.Y(n_12653), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g657072 (
+	.A1(brqrv_top_brqrv_dec_tlu_mtvec[8]),
+	.A2(n_12315),
+	.B1(n_12217),
+	.B2(brqrv_top_brqrv_dec_tlu_mtval[9]),
+	.C1(n_12103),
+	.C2(brqrv_top_brqrv_dec_tlu_mdseac[9]),
+	.Y(n_12652), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g657073 (
+	.A1(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[27]),
+	.A2(n_12218),
+	.B1(n_33128),
+	.B2(brqrv_top_brqrv_dec_tlu_dicad0[27]),
+	.C1(n_12104),
+	.C2(brqrv_top_brqrv_dec_tlu_dpc[27]),
+	.Y(n_12651), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g657074 (
+	.A1(brqrv_top_brqrv_dec_tlu_mepc[21]),
+	.A2(n_12102),
+	.B1(n_12158),
+	.B2(brqrv_top_brqrv_dec_tlu_mcause[21]),
+	.C1(n_12350),
+	.C2(brqrv_top_brqrv_dec_tlu_mcyclel[21]),
+	.Y(n_12650), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g657075 (
+	.A1(brqrv_top_brqrv_dec_tlu_mdccmect[8]),
+	.A2(n_12253),
+	.B1(n_12010),
+	.B2(brqrv_top_brqrv_dec_tlu_miccmect[8]),
+	.C1(n_33127),
+	.C2(brqrv_top_brqrv_dec_tlu_dicawics[5]),
+	.Y(n_12649), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g657076 (
+	.A1(brqrv_top_brqrv_dec_tlu_mtval[7]),
+	.A2(n_12217),
+	.B1(n_12107),
+	.B2(brqrv_top_brqrv_dec_dec_tlu_dec_clk_override),
+	.C1(n_12311),
+	.C2(brqrv_top_brqrv_dec_tlu_mrac_ff_0[7]),
+	.Y(n_12648), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g657077 (
+	.A1(brqrv_top_brqrv_dec_tlu_miccmect[7]),
+	.A2(n_12010),
+	.B1(n_12253),
+	.B2(brqrv_top_brqrv_dec_tlu_mdccmect[7]),
+	.C1(n_12310),
+	.C2(brqrv_top_brqrv_dec_tlu_mhpmc3[7]),
+	.Y(n_12647), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g657078 (
+	.A1(brqrv_top_brqrv_dec_tlu_dicawics[3]),
+	.A2(n_33127),
+	.B1(n_12064),
+	.B2(brqrv_top_brqrv_dec_tlu_mcountinhibit[6]),
+	.C1(n_12307),
+	.C2(brqrv_top_brqrv_dec_tlu_micect[6]),
+	.Y(n_12646), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g657079 (
+	.A1(brqrv_top_brqrv_dec_tlu_mtval[6]),
+	.A2(n_12217),
+	.B1(n_12105),
+	.B2(brqrv_top_brqrv_dec_tlu_dcsr[6]),
+	.C1(n_12104),
+	.C2(brqrv_top_brqrv_dec_tlu_dpc[6]),
+	.Y(n_12645), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g657080 (
+	.A1(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[19]),
+	.A2(n_12218),
+	.B1(n_33128),
+	.B2(brqrv_top_brqrv_dec_tlu_dicad0[19]),
+	.C1(n_12104),
+	.C2(brqrv_top_brqrv_dec_tlu_dpc[19]),
+	.Y(n_12644), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g657081 (
+	.A1(brqrv_top_brqrv_dec_tlu_dicad0h[6]),
+	.A2(n_12159),
+	.B1(n_12162),
+	.B2(brqrv_top_brqrv_dec_tlu_meihap[6]),
+	.C1(n_12311),
+	.C2(brqrv_top_brqrv_dec_tlu_mrac_ff_0[6]),
+	.Y(n_12643), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g657082 (
+	.A1(brqrv_top_brqrv_dec_tlu_miccmect[27]),
+	.A2(n_12010),
+	.B1(n_12253),
+	.B2(brqrv_top_brqrv_dec_tlu_mdccmect[27]),
+	.C1(n_12310),
+	.C2(brqrv_top_brqrv_dec_tlu_mhpmc3[27]),
+	.Y(n_12642), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g657083 (
+	.A1(brqrv_top_brqrv_dec_tlu_miccmect[19]),
+	.A2(n_12010),
+	.B1(n_12253),
+	.B2(brqrv_top_brqrv_dec_tlu_mdccmect[19]),
+	.C1(n_12310),
+	.C2(brqrv_top_brqrv_dec_tlu_mhpmc3[19]),
+	.Y(n_12641), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g657084 (
+	.A1(brqrv_top_brqrv_dec_tlu_micect[5]),
+	.A2(n_12307),
+	.B1(n_12222),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpme5[5]),
+	.C1(n_12064),
+	.C2(brqrv_top_brqrv_dec_tlu_mcountinhibit[5]),
+	.Y(n_12640), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g657085 (
+	.A1(brqrv_top_brqrv_dec_tlu_micect[4]),
+	.A2(n_12307),
+	.B1(n_12222),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpme5[4]),
+	.C1(n_12064),
+	.C2(brqrv_top_brqrv_dec_tlu_mcountinhibit[4]),
+	.Y(n_12639), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g657086 (
+	.A1(brqrv_top_brqrv_dec_tlu_miccmect[18]),
+	.A2(n_12010),
+	.B1(n_33128),
+	.B2(brqrv_top_brqrv_dec_tlu_dicad0[18]),
+	.C1(n_12159),
+	.C2(brqrv_top_brqrv_dec_tlu_dicad0h[18]),
+	.Y(n_12638), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g657087 (
+	.A1(brqrv_top_brqrv_dec_tlu_meicidpl[3]),
+	.A2(n_12261),
+	.B1(n_12105),
+	.B2(brqrv_top_brqrv_dec_tlu_dcsr[3]),
+	.C1(n_12104),
+	.C2(brqrv_top_brqrv_dec_tlu_dpc[3]),
+	.Y(n_12637), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g657088 (
+	.A1(brqrv_top_brqrv_dec_tlu_micect[3]),
+	.A2(n_12307),
+	.B1(n_12222),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpme5[3]),
+	.C1(n_12064),
+	.C2(brqrv_top_brqrv_dec_tlu_mcountinhibit[3]),
+	.Y(n_12636), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g657089 (
+	.A1(brqrv_top_brqrv_dec_tlu_miccmect[17]),
+	.A2(n_12010),
+	.B1(n_33128),
+	.B2(brqrv_top_brqrv_dec_tlu_dicad0[17]),
+	.C1(n_12159),
+	.C2(brqrv_top_brqrv_dec_tlu_dicad0h[17]),
+	.Y(n_12635), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g657090 (
+	.A1(brqrv_top_brqrv_dec_tlu_dpc[2]),
+	.A2(n_12104),
+	.B1(n_12217),
+	.B2(brqrv_top_brqrv_dec_tlu_mtval[2]),
+	.C1(n_12261),
+	.C2(brqrv_top_brqrv_dec_tlu_meicidpl[2]),
+	.Y(n_12634), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g657091 (
+	.A1(brqrv_top_brqrv_dec_tlu_mepc[16]),
+	.A2(n_12102),
+	.B1(n_12158),
+	.B2(brqrv_top_brqrv_dec_tlu_mcause[16]),
+	.C1(n_12350),
+	.C2(brqrv_top_brqrv_dec_tlu_mcyclel[16]),
+	.Y(n_12633), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g657092 (
+	.A1(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[25]),
+	.A2(n_12218),
+	.B1(n_33128),
+	.B2(brqrv_top_brqrv_dec_tlu_dicad0[25]),
+	.C1(n_12104),
+	.C2(brqrv_top_brqrv_dec_tlu_dpc[25]),
+	.Y(n_12632), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g657093 (
+	.A1(brqrv_top_brqrv_dec_tlu_meipt[1]),
+	.A2(n_12117),
+	.B1(n_12261),
+	.B2(brqrv_top_brqrv_dec_tlu_meicidpl[1]),
+	.C1(n_12112),
+	.C2(brqrv_top_brqrv_dec_tlu_meicurpl[1]),
+	.Y(n_12631), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g657094 (
+	.A1(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[16]),
+	.A2(n_12256),
+	.B1(n_12218),
+	.B2(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[16]),
+	.C1(n_12219),
+	.C2(brqrv_top_brqrv_dec_tlu_mhpmc5h[16]),
+	.Y(n_12630), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g657095 (
+	.A1(brqrv_top_brqrv_dec_tlu_meipt[0]),
+	.A2(n_12117),
+	.B1(n_12261),
+	.B2(brqrv_top_brqrv_dec_tlu_meicidpl[0]),
+	.C1(n_12059),
+	.C2(brqrv_top_brqrv_dec_dec_tlu_pipelining_disable),
+	.Y(n_12629), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g657096 (
+	.A1(brqrv_top_brqrv_dec_tlu_mscause[0]),
+	.A2(n_12013),
+	.B1(n_12112),
+	.B2(brqrv_top_brqrv_dec_tlu_meicurpl[0]),
+	.C1(n_12217),
+	.C2(brqrv_top_brqrv_dec_tlu_mtval[0]),
+	.Y(n_12628), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g657097 (
+	.A1(brqrv_top_brqrv_dec_tlu_mfdhs[0]),
+	.A2(n_12227),
+	.B1(n_12064),
+	.B2(brqrv_top_brqrv_dec_tlu_mcountinhibit[0]),
+	.C1(n_12109),
+	.C2(brqrv_top_brqrv_dec_tlu_mhpme3[0]),
+	.Y(n_12627), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g657098 (
+	.A1(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[15]),
+	.A2(n_12256),
+	.B1(n_12218),
+	.B2(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[15]),
+	.C1(n_12219),
+	.C2(brqrv_top_brqrv_dec_tlu_mhpmc5h[15]),
+	.Y(n_12626), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g657099 (
+	.A1(n_34855),
+	.A2(n_12359),
+	.B1(n_34853),
+	.B2(n_12068),
+	.Y(n_12625), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g657100 (
+	.A1(n_34862),
+	.A2(n_12359),
+	.B1(n_34867),
+	.B2(n_12068),
+	.Y(n_12624), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g657101 (
+	.A1(n_12119),
+	.A2(n_12204),
+	.B1(n_12227),
+	.B2(brqrv_top_brqrv_dec_tlu_mfdhs[1]),
+	.C1(n_12109),
+	.C2(brqrv_top_brqrv_dec_tlu_mhpme3[1]),
+	.Y(n_12623), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g657102 (
+	.A1(n_12105),
+	.A2(brqrv_top_brqrv_dec_tlu_dcsr[2]),
+	.B1(n_12103),
+	.B2(brqrv_top_brqrv_dec_tlu_mdseac[2]),
+	.C1(n_12332),
+	.Y(n_12622), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g657103 (
+	.A1(n_37810),
+	.A2(n_12255),
+	.B1(n_12256),
+	.B2(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[21]),
+	.C1(n_12314),
+	.C2(brqrv_top_brqrv_dec_tlu_mhpmc4h[21]),
+	.Y(n_12621), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g657104 (
+	.A1(n_12104),
+	.A2(brqrv_top_brqrv_dec_tlu_dpc[18]),
+	.B1(n_12217),
+	.B2(brqrv_top_brqrv_dec_tlu_mtval[18]),
+	.C1(n_12083),
+	.Y(n_12620), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g657105 (
+	.A1(n_12104),
+	.A2(brqrv_top_brqrv_dec_tlu_dpc[17]),
+	.B1(n_12217),
+	.B2(brqrv_top_brqrv_dec_tlu_mtval[17]),
+	.C1(n_12088),
+	.Y(n_12619), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g657106 (
+	.A(brqrv_top_brqrv_dec_tlu_n_13273),
+	.B(n_34652),
+	.X(n_34621), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g657107 (
+	.A(brqrv_top_brqrv_exu_i0_rs2_d[3]),
+	.B_N(n_12266),
+	.Y(n_12618), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4b_1 g657108 (
+	.A(brqrv_top_brqrv_dec_tlu_n_373),
+	.B(n_35365),
+	.C(brqrv_top_brqrv_dec_tlu_interrupt_valid_r),
+	.D_N(n_33839),
+	.X(n_34412), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657109 (
+	.A(n_34714),
+	.B(n_34715),
+	.Y(n_12696), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657110 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[31]),
+	.B(n_12017),
+	.Y(n_12695), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g657111 (
+	.A(n_34654),
+	.B(n_35374),
+	.X(brqrv_top_brqrv_dbg_sbdata1_reg_wren_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4bb_2 g657112 (
+	.A_N(n_36248),
+	.B_N(n_35504),
+	.C(n_12270),
+	.D(n_12169),
+	.X(n_1589), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g657113 (
+	.A(n_12617),
+	.Y(n_34682), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g657114 (
+	.A1(n_12104),
+	.A2(brqrv_top_brqrv_dec_tlu_dpc[30]),
+	.B1(n_12217),
+	.B2(brqrv_top_brqrv_dec_tlu_mtval[30]),
+	.C1(n_12105),
+	.Y(n_12616), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g657115 (
+	.A1(n_12354),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[31]),
+	.B1(n_12355),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[107]),
+	.Y(n_12615), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g657116 (
+	.A1(n_12354),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[13]),
+	.B1(n_12355),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[89]),
+	.Y(n_12614), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g657117 (
+	.A1(n_12315),
+	.A2(brqrv_top_brqrv_dec_tlu_mtvec[23]),
+	.B1(n_12103),
+	.B2(brqrv_top_brqrv_dec_tlu_mdseac[24]),
+	.X(n_12613), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g657118 (
+	.A1(n_12311),
+	.A2(brqrv_top_brqrv_dec_tlu_mrac_ff_0[13]),
+	.B1(n_12159),
+	.B2(brqrv_top_brqrv_dec_tlu_dicad0h[13]),
+	.Y(n_12612), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g657119 (
+	.A1(n_12354),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[23]),
+	.B1(n_12352),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[137]),
+	.X(n_12611), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g657120 (
+	.A1(n_12311),
+	.A2(brqrv_top_brqrv_dec_tlu_mrac_ff_0[29]),
+	.B1(n_12158),
+	.B2(brqrv_top_brqrv_dec_tlu_mcause[29]),
+	.Y(n_12610), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g657121 (
+	.A1(n_12353),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[50]),
+	.B1(n_12352),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[126]),
+	.X(n_12609), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g657122 (
+	.A1(n_12316),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc3h[23]),
+	.B1(n_12159),
+	.B2(brqrv_top_brqrv_dec_tlu_dicad0h[23]),
+	.C1(n_12106),
+	.X(n_12608), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g657123 (
+	.A1(n_12312),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc6h[12]),
+	.B1(n_12219),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpmc5h[12]),
+	.X(n_12607), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g657124 (
+	.A1(n_12313),
+	.A2(brqrv_top_brqrv_dec_tlu_minstretl[29]),
+	.B1(n_12315),
+	.B2(brqrv_top_brqrv_dec_tlu_mtvec[28]),
+	.Y(n_12606), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g657125 (
+	.A1(n_12307),
+	.A2(brqrv_top_brqrv_dec_tlu_micect[12]),
+	.B1(n_33127),
+	.B2(brqrv_top_brqrv_dec_tlu_dicawics[9]),
+	.Y(n_12605), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g657126 (
+	.A1(n_12220),
+	.A2(brqrv_top_brqrv_dec_tlu_mscratch[12]),
+	.B1(n_12360),
+	.C1(n_12358),
+	.Y(n_12604), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g657127 (
+	.A1(n_12315),
+	.A2(brqrv_top_brqrv_dec_tlu_mtvec[22]),
+	.B1(n_12103),
+	.B2(brqrv_top_brqrv_dec_tlu_mdseac[23]),
+	.X(n_12603), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g657128 (
+	.A1(n_12351),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc5[11]),
+	.B1(n_12256),
+	.B2(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[11]),
+	.Y(n_12602), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g657129 (
+	.A1(n_12310),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc3[11]),
+	.B1(n_12253),
+	.B2(brqrv_top_brqrv_dec_tlu_mdccmect[11]),
+	.Y(n_12601), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g657130 (
+	.A1(n_12354),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[11]),
+	.B1(n_12259),
+	.B2(brqrv_top_brqrv_dec_tlu_mtdata1_t3[5]),
+	.Y(n_12600), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g657131 (
+	.A1(n_12355),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[87]),
+	.B1(n_12353),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[49]),
+	.Y(n_12599), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g657132 (
+	.A1(n_12354),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[22]),
+	.B1(n_12352),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[136]),
+	.X(n_12598), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g657133 (
+	.A1(n_12311),
+	.A2(brqrv_top_brqrv_dec_tlu_mrac_ff_0[28]),
+	.B1(n_12102),
+	.B2(brqrv_top_brqrv_dec_tlu_mepc[28]),
+	.X(n_12597), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g657134 (
+	.A1(n_12316),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc3h[22]),
+	.B1(n_12159),
+	.B2(brqrv_top_brqrv_dec_tlu_dicad0h[22]),
+	.C1(n_12106),
+	.X(n_12596), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g657135 (
+	.A1(n_12313),
+	.A2(brqrv_top_brqrv_dec_tlu_minstretl[28]),
+	.B1(n_12315),
+	.B2(brqrv_top_brqrv_dec_tlu_mtvec[27]),
+	.Y(n_12595), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g657136 (
+	.A1(n_12353),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[48]),
+	.B1(n_12352),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[124]),
+	.X(n_12594), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g657137 (
+	.A1(n_12309),
+	.A2(n_37787),
+	.B1(n_12256),
+	.B2(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[10]),
+	.Y(n_12593), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g657138 (
+	.A1(n_12315),
+	.A2(brqrv_top_brqrv_dec_tlu_mtvec[21]),
+	.B1(n_12103),
+	.B2(brqrv_top_brqrv_dec_tlu_mdseac[22]),
+	.X(n_12592), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g657139 (
+	.A1(n_12310),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc3[28]),
+	.B1(n_12253),
+	.B2(brqrv_top_brqrv_dec_tlu_mdccmect[28]),
+	.Y(n_12591), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g657140 (
+	.A1(n_12307),
+	.A2(brqrv_top_brqrv_dec_tlu_micect[28]),
+	.B1(n_12316),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpmc3h[28]),
+	.Y(n_12590), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g657141 (
+	.A1(n_12355),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[104]),
+	.B1(n_12353),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[66]),
+	.Y(n_12589), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g657142 (
+	.A1(n_12104),
+	.A2(brqrv_top_brqrv_dec_tlu_dpc[9]),
+	.B1(n_12159),
+	.B2(brqrv_top_brqrv_dec_tlu_dicad0h[9]),
+	.C1(n_12284),
+	.X(n_12588), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g657143 (
+	.A1(n_12354),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[28]),
+	.B1(n_12352),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[142]),
+	.Y(n_12587), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g657144 (
+	.A1(n_12311),
+	.A2(brqrv_top_brqrv_dec_tlu_mrac_ff_0[9]),
+	.B1(n_12220),
+	.B2(brqrv_top_brqrv_dec_tlu_mscratch[9]),
+	.X(n_12586), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g657145 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[9]),
+	.A2_N(n_12218),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[9]),
+	.B2(n_12308),
+	.Y(n_12585), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g657146 (
+	.A1(n_12159),
+	.A2(brqrv_top_brqrv_dec_tlu_dicad0h[21]),
+	.B1(n_12104),
+	.B2(brqrv_top_brqrv_dec_tlu_dpc[21]),
+	.C1(n_12282),
+	.Y(n_12584), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g657147 (
+	.A1(n_12353),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[47]),
+	.B1(n_12352),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[123]),
+	.Y(n_12583), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g657148 (
+	.A1(n_12354),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[9]),
+	.B1(n_12355),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[85]),
+	.Y(n_12582), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g657149 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[8]),
+	.A2_N(n_12218),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[8]),
+	.B2(n_12308),
+	.Y(n_12581), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g657150 (
+	.A1(n_12353),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[46]),
+	.B1(n_12352),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[122]),
+	.X(n_12580), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g657151 (
+	.A1(n_12353),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[45]),
+	.B1(n_12352),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[121]),
+	.X(n_12579), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g657152 (
+	.A1(n_12353),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[44]),
+	.B1(n_12352),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[120]),
+	.X(n_12578), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g657153 (
+	.A1(n_12310),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc3[8]),
+	.B1(n_12307),
+	.B2(brqrv_top_brqrv_dec_tlu_micect[8]),
+	.Y(n_12577), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g657154 (
+	.A1(n_12313),
+	.A2(brqrv_top_brqrv_dec_tlu_minstretl[8]),
+	.B1(n_12217),
+	.B2(brqrv_top_brqrv_dec_tlu_mtval[8]),
+	.C1(n_12358),
+	.X(n_12576), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g657155 (
+	.A1(n_12314),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc4h[31]),
+	.B1(n_12312),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpmc6h[31]),
+	.Y(n_12575), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g657156 (
+	.A1(n_12310),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc3[20]),
+	.B1(n_12253),
+	.B2(brqrv_top_brqrv_dec_tlu_mdccmect[20]),
+	.Y(n_12574), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g657157 (
+	.A1(n_12355),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[96]),
+	.B1(n_12259),
+	.B2(brqrv_top_brqrv_dec_tlu_mtdata1_t3[8]),
+	.Y(n_12573), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g657158 (
+	.A1(n_12314),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc4h[7]),
+	.B1(n_12219),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpmc5h[7]),
+	.Y(n_12572), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g657159 (
+	.A1(n_12354),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[20]),
+	.B1(n_12353),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[58]),
+	.Y(n_12571), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g657160 (
+	.A1(n_12315),
+	.A2(brqrv_top_brqrv_dec_tlu_mtvec[26]),
+	.B1(n_12103),
+	.B2(brqrv_top_brqrv_dec_tlu_mdseac[27]),
+	.X(n_12570), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g657161 (
+	.A1(n_12315),
+	.A2(brqrv_top_brqrv_dec_tlu_mtvec[19]),
+	.B1(n_12103),
+	.B2(brqrv_top_brqrv_dec_tlu_mdseac[20]),
+	.Y(n_12569), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g657162 (
+	.A1(n_12311),
+	.A2(brqrv_top_brqrv_dec_tlu_mrac_ff_0[20]),
+	.B1(n_12217),
+	.B2(brqrv_top_brqrv_dec_tlu_mtval[20]),
+	.Y(n_12568), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g657163 (
+	.A1(n_12309),
+	.A2(n_37779),
+	.B1(n_12218),
+	.B2(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[6]),
+	.Y(n_12567), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g657164 (
+	.A1(n_12102),
+	.A2(brqrv_top_brqrv_dec_tlu_mepc[6]),
+	.B1(n_12315),
+	.B2(brqrv_top_brqrv_dec_tlu_mtvec[5]),
+	.C1(n_12267),
+	.Y(n_12566), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g657165 (
+	.A1(n_12353),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[43]),
+	.B1(n_12352),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[119]),
+	.Y(n_12565), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g657166 (
+	.A1(n_12354),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[5]),
+	.B1(n_12355),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[81]),
+	.Y(n_12564), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g657167 (
+	.A1(n_12312),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc6h[5]),
+	.B1(n_12219),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpmc5h[5]),
+	.Y(n_12563), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g657168 (
+	.A1(n_12315),
+	.A2(brqrv_top_brqrv_dec_tlu_mtvec[18]),
+	.B1(n_12103),
+	.B2(brqrv_top_brqrv_dec_tlu_mdseac[19]),
+	.X(n_12562), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g657169 (
+	.A1(n_12313),
+	.A2(brqrv_top_brqrv_dec_tlu_minstretl[5]),
+	.B1(n_12315),
+	.B2(brqrv_top_brqrv_dec_tlu_mtvec[4]),
+	.Y(n_12561), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g657170 (
+	.A1(n_12354),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[27]),
+	.B1(n_12352),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[141]),
+	.X(n_12560), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g657171 (
+	.A1(n_12356),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpme4[5]),
+	.B1(n_12221),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpme6[5]),
+	.Y(n_12559), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g657172 (
+	.A1(n_12353),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[51]),
+	.B1(n_12352),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[127]),
+	.Y(n_12558), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g657173 (
+	.A1(n_12354),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[19]),
+	.B1(n_12352),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[133]),
+	.X(n_12557), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g657174 (
+	.A1(n_12313),
+	.A2(brqrv_top_brqrv_dec_tlu_minstretl[18]),
+	.B1(n_12315),
+	.B2(brqrv_top_brqrv_dec_tlu_mtvec[17]),
+	.Y(n_12556), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g657175 (
+	.A1(n_12356),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpme4[4]),
+	.B1(n_12221),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpme6[4]),
+	.Y(n_12555), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g657176 (
+	.A1(n_12315),
+	.A2(brqrv_top_brqrv_dec_tlu_mtvec[3]),
+	.B1(n_12103),
+	.B2(brqrv_top_brqrv_dec_tlu_mdseac[4]),
+	.X(n_12554), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g657177 (
+	.A1(n_12315),
+	.A2(brqrv_top_brqrv_dec_tlu_mtvec[25]),
+	.B1(n_12103),
+	.B2(brqrv_top_brqrv_dec_tlu_mdseac[26]),
+	.X(n_12553), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g657178 (
+	.A1(n_12314),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc4h[4]),
+	.B1(n_12219),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpmc5h[4]),
+	.Y(n_12552), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g657179 (
+	.A1(n_12311),
+	.A2(brqrv_top_brqrv_dec_tlu_mrac_ff_0[18]),
+	.B1(n_12103),
+	.B2(brqrv_top_brqrv_dec_tlu_mdseac[18]),
+	.Y(n_12551), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g657180 (
+	.A1(n_12353),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[42]),
+	.B1(n_12352),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[118]),
+	.X(n_12550), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g657181 (
+	.A1(n_12318),
+	.A2(brqrv_top_brqrv_dec_tlu_int_timers_mitctl1[3]),
+	.B1(n_12218),
+	.B2(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[3]),
+	.Y(n_12549), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g657182 (
+	.A1(n_12354),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[26]),
+	.B1(n_12353),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[64]),
+	.Y(n_12548), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g657183 (
+	.A1(n_12353),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[41]),
+	.B1(n_12352),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[117]),
+	.Y(n_12547), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g657184 (
+	.A1(n_12354),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[3]),
+	.B1(n_12355),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[79]),
+	.Y(n_12546), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g657185 (
+	.A1(n_12355),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[94]),
+	.B1(n_12353),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[56]),
+	.Y(n_12545), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g657186 (
+	.A1(n_12354),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[18]),
+	.B1(n_12352),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[132]),
+	.Y(n_12544), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g657187 (
+	.A1(n_12311),
+	.A2(brqrv_top_brqrv_dec_tlu_mrac_ff_0[3]),
+	.B1(n_12103),
+	.B2(brqrv_top_brqrv_dec_tlu_mdseac[3]),
+	.Y(n_12543), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g657188 (
+	.A1(n_12316),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc3h[30]),
+	.B1(n_12159),
+	.B2(brqrv_top_brqrv_dec_tlu_dicad0h[30]),
+	.X(n_12542), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g657189 (
+	.A1(n_12315),
+	.A2(brqrv_top_brqrv_dec_tlu_mtvec[30]),
+	.B1(n_12103),
+	.B2(brqrv_top_brqrv_dec_tlu_mdseac[31]),
+	.X(n_12541), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g657190 (
+	.A1(n_12313),
+	.A2(brqrv_top_brqrv_dec_tlu_minstretl[17]),
+	.B1(n_12315),
+	.B2(brqrv_top_brqrv_dec_tlu_mtvec[16]),
+	.Y(n_12540), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g657191 (
+	.A1(n_12015),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc4[30]),
+	.B1(n_12351),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpmc5[30]),
+	.Y(n_12539), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g657192 (
+	.A1(n_12356),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpme4[3]),
+	.B1(n_12221),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpme6[3]),
+	.Y(n_12538), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g657193 (
+	.A1(n_12311),
+	.A2(brqrv_top_brqrv_dec_tlu_mrac_ff_0[17]),
+	.B1(n_12103),
+	.B2(brqrv_top_brqrv_dec_tlu_mdseac[17]),
+	.Y(n_12537), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g657194 (
+	.A1(n_12307),
+	.A2(brqrv_top_brqrv_dec_tlu_micect[2]),
+	.B1(n_12259),
+	.B2(brqrv_top_brqrv_dec_tlu_mtdata1_t3[2]),
+	.Y(n_12536), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g657195 (
+	.A1(n_12355),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[106]),
+	.B1(n_12353),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[68]),
+	.Y(n_12535), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g657196 (
+	.A1(n_12354),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[2]),
+	.B1(n_12352),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[116]),
+	.X(n_12534), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g657197 (
+	.A1(n_12310),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc3[17]),
+	.B1(n_12253),
+	.B2(brqrv_top_brqrv_dec_tlu_mdccmect[17]),
+	.Y(n_12533), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g657198 (
+	.A1(n_12314),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc4h[26]),
+	.B1(n_12312),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpmc6h[26]),
+	.Y(n_12532), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g657199 (
+	.A1(n_12307),
+	.A2(brqrv_top_brqrv_dec_tlu_micect[17]),
+	.B1(n_12316),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpmc3h[17]),
+	.Y(n_12531), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g657200 (
+	.A1(n_12355),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[93]),
+	.B1(n_12353),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[55]),
+	.Y(n_12530), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g657201 (
+	.A1(n_12354),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[17]),
+	.B1(n_12352),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[131]),
+	.Y(n_12529), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g657202 (
+	.A1(n_12354),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[25]),
+	.B1(n_12352),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[139]),
+	.X(n_12528), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g657203 (
+	.A1(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[2]),
+	.A2(n_12308),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[2]),
+	.B2(n_12254),
+	.Y(n_12527), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g657204 (
+	.A1(n_12354),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[30]),
+	.B1(n_12352),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[144]),
+	.Y(n_12526), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g657205 (
+	.A1(n_12356),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpme4[2]),
+	.B1(n_12221),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpme6[2]),
+	.Y(n_12525), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g657206 (
+	.A1(n_12310),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc3[1]),
+	.B1(n_12010),
+	.B2(brqrv_top_brqrv_dec_tlu_miccmect[1]),
+	.Y(n_12524), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g657207 (
+	.A1(n_12314),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc4h[1]),
+	.B1(n_12312),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpmc6h[1]),
+	.Y(n_12523), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g657208 (
+	.A1(n_12311),
+	.A2(brqrv_top_brqrv_dec_tlu_mrac_ff_0[16]),
+	.B1(n_12159),
+	.B2(brqrv_top_brqrv_dec_tlu_dicad0h[16]),
+	.Y(n_12522), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g657209 (
+	.A1(n_12316),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc3h[25]),
+	.B1(n_12159),
+	.B2(brqrv_top_brqrv_dec_tlu_dicad0h[25]),
+	.C1(n_12106),
+	.X(n_12521), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g657210 (
+	.A1(n_12356),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpme4[1]),
+	.B1(n_12221),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpme6[1]),
+	.Y(n_12520), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g657211 (
+	.A1(n_12352),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[115]),
+	.B1(n_12307),
+	.B2(brqrv_top_brqrv_dec_tlu_micect[1]),
+	.Y(n_12519), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g657212 (
+	.A1(n_12353),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[39]),
+	.B1(n_12260),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[111]),
+	.Y(n_12518), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g657213 (
+	.A1(n_12311),
+	.A2(brqrv_top_brqrv_dec_tlu_mrac_ff_0[1]),
+	.B1(n_12059),
+	.B2(brqrv_top_brqrv_dec_tlu_mfdc_int[1]),
+	.C1(n_12105),
+	.Y(n_12517), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g657214 (
+	.A1(n_12102),
+	.A2(brqrv_top_brqrv_dec_tlu_mepc[1]),
+	.B1(n_12013),
+	.B2(brqrv_top_brqrv_dec_tlu_mscause[1]),
+	.C1(n_12226),
+	.X(n_12516), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g657215 (
+	.A1(n_12307),
+	.A2(brqrv_top_brqrv_dec_tlu_micect[16]),
+	.B1(n_12010),
+	.B2(brqrv_top_brqrv_dec_tlu_miccmect[16]),
+	.Y(n_12515), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g657216 (
+	.A1(n_12354),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[16]),
+	.B1(n_12352),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[130]),
+	.X(n_12514), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g657217 (
+	.A1(n_12354),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[29]),
+	.B1(n_12352),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[143]),
+	.X(n_12513), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g657218 (
+	.A1(n_12311),
+	.A2(brqrv_top_brqrv_dec_tlu_mrac_ff_0[0]),
+	.B1(n_12107),
+	.B2(brqrv_top_icm_clk_override),
+	.C1(n_12105),
+	.Y(n_12512), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g657219 (
+	.A1(n_12307),
+	.A2(brqrv_top_brqrv_dec_tlu_micect[0]),
+	.B1(n_12259),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[148]),
+	.Y(n_12511), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g657220 (
+	.A1(n_12313),
+	.A2(brqrv_top_brqrv_dec_tlu_minstretl[15]),
+	.B1(n_12315),
+	.B2(brqrv_top_brqrv_dec_tlu_mtvec[14]),
+	.Y(n_12510), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g657221 (
+	.A1(n_12315),
+	.A2(brqrv_top_brqrv_dec_tlu_mtvec[24]),
+	.B1(n_12103),
+	.B2(brqrv_top_brqrv_dec_tlu_mdseac[25]),
+	.X(n_12509), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g657222 (
+	.A1(brqrv_top_brqrv_dec_tlu_int_timers_mitctl1_0_b),
+	.A2(n_12317),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_mitctl0_0_b),
+	.B2(n_12264),
+	.Y(n_12508), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g657223 (
+	.A1(n_12356),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpme4[0]),
+	.B1(n_12221),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpme6[0]),
+	.Y(n_12507), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g657224 (
+	.A1(n_12307),
+	.A2(brqrv_top_brqrv_dec_tlu_micect[15]),
+	.B1(n_12010),
+	.B2(brqrv_top_brqrv_dec_tlu_miccmect[15]),
+	.Y(n_12506), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g657225 (
+	.A1(n_12316),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc3h[29]),
+	.B1(n_12159),
+	.B2(brqrv_top_brqrv_dec_tlu_dicad0h[29]),
+	.C1(n_12106),
+	.X(n_12505), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g657226 (
+	.A1(n_12355),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[91]),
+	.B1(n_12352),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[129]),
+	.Y(n_12504), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g657227 (
+	.A1(n_12354),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[15]),
+	.B1(n_12353),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[53]),
+	.Y(n_12503), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657228 (
+	.A(n_12449),
+	.B(n_12094),
+	.Y(brqrv_top_brqrv_picm_rdaddr[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g657229 (
+	.A(n_12131),
+	.B(n_12333),
+	.Y(n_12617), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g657230 (
+	.A(n_12017),
+	.Y(n_34715), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g657231 (
+	.A(n_12502),
+	.Y(n_34375), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657233 (
+	.A(n_12350),
+	.B(brqrv_top_brqrv_dec_tlu_mcyclel[17]),
+	.Y(n_12498), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g657234 (
+	.A1(brqrv_top_brqrv_dec_tlu_mcgc_int[3]),
+	.A2(n_12107),
+	.B1(n_33128),
+	.B2(brqrv_top_brqrv_dec_tlu_dicad0[3]),
+	.C1(n_12159),
+	.C2(brqrv_top_brqrv_dec_tlu_dicad0h[3]),
+	.Y(n_12497), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657235 (
+	.A(n_12351),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc5[13]),
+	.Y(n_12496), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657238 (
+	.A(n_12351),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc5[12]),
+	.Y(n_12493), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657239 (
+	.A(n_12314),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc4h[23]),
+	.Y(n_12492), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657240 (
+	.A(n_12015),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc4[12]),
+	.Y(n_12491), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657241 (
+	.A(n_12350),
+	.B(brqrv_top_brqrv_dec_tlu_mcyclel[28]),
+	.Y(n_12490), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657242 (
+	.A(n_12314),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc4h[11]),
+	.Y(n_12489), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657243 (
+	.A(n_12314),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc4h[22]),
+	.Y(n_12488), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657244 (
+	.A(n_12351),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc5[10]),
+	.Y(n_12487), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657245 (
+	.A(n_12310),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc3[31]),
+	.Y(n_12486), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657246 (
+	.A(n_12350),
+	.B(brqrv_top_brqrv_dec_tlu_mcyclel[9]),
+	.Y(n_12485), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g657247 (
+	.A1(brqrv_top_brqrv_dec_tlu_meihap[4]),
+	.A2(n_12162),
+	.B1(n_12108),
+	.B2(brqrv_top_brqrv_dec_tlu_dicad1_raw[4]),
+	.C1(n_12104),
+	.C2(brqrv_top_brqrv_dec_tlu_dpc[4]),
+	.Y(n_12484), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657248 (
+	.A(n_12350),
+	.B(brqrv_top_brqrv_dec_tlu_mcyclel[8]),
+	.Y(n_12483), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657249 (
+	.A(n_12350),
+	.B(brqrv_top_brqrv_dec_tlu_mcyclel[30]),
+	.Y(n_12482), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657251 (
+	.A(n_12350),
+	.B(brqrv_top_brqrv_dec_tlu_mcyclel[7]),
+	.Y(n_12481), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657252 (
+	.A(n_1934),
+	.B(n_34617),
+	.Y(n_12480), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657253 (
+	.A(n_12314),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc4h[20]),
+	.Y(n_12479), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657254 (
+	.A(n_12371),
+	.B(n_35388),
+	.Y(brqrv_top_brqrv_lsu_lsu_pkt_d[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g657255 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[7]),
+	.B(n_12308),
+	.Y(n_12478), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657256 (
+	.A(n_12015),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc4[7]),
+	.Y(n_12477), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g657257 (
+	.A1(brqrv_top_brqrv_dec_tlu_mepc[7]),
+	.A2(n_12102),
+	.B1(n_12105),
+	.B2(brqrv_top_brqrv_dec_tlu_dcsr[7]),
+	.C1(n_12104),
+	.C2(brqrv_top_brqrv_dec_tlu_dpc[7]),
+	.Y(n_12476), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g657258 (
+	.A1(brqrv_top_brqrv_dec_tlu_mepc[10]),
+	.A2(n_12102),
+	.B1(n_12158),
+	.B2(brqrv_top_brqrv_dec_tlu_mcause[10]),
+	.C1(n_12220),
+	.C2(brqrv_top_brqrv_dec_tlu_mscratch[10]),
+	.Y(n_12475), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657259 (
+	.A(n_12015),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc4[27]),
+	.Y(n_12474), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657260 (
+	.A(n_12350),
+	.B(brqrv_top_brqrv_dec_tlu_mcyclel[6]),
+	.Y(n_12473), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657261 (
+	.A(n_12015),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc4[19]),
+	.Y(n_12472), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657262 (
+	.A(n_12350),
+	.B(brqrv_top_brqrv_dec_tlu_mcyclel[4]),
+	.Y(n_12471), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657263 (
+	.A(n_12350),
+	.B(brqrv_top_brqrv_dec_tlu_mcyclel[18]),
+	.Y(n_12470), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657264 (
+	.A(n_12307),
+	.B(brqrv_top_brqrv_dec_tlu_micect[30]),
+	.Y(n_12469), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657265 (
+	.A(n_12307),
+	.B(brqrv_top_brqrv_dec_tlu_micect[18]),
+	.Y(n_12468), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657266 (
+	.A(n_12310),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc3[26]),
+	.Y(n_12467), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657267 (
+	.A(n_12351),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc5[14]),
+	.Y(n_12466), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657268 (
+	.A(n_12350),
+	.B(brqrv_top_brqrv_dec_tlu_mcyclel[2]),
+	.Y(n_12465), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657269 (
+	.A(n_12314),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc4h[2]),
+	.Y(n_12464), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657270 (
+	.A(n_12311),
+	.B(brqrv_top_brqrv_dec_tlu_mrac_ff_0[2]),
+	.Y(n_12463), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657271 (
+	.A(n_12314),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc4h[25]),
+	.Y(n_12462), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657272 (
+	.A(n_12351),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc5[16]),
+	.Y(n_12461), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657273 (
+	.A(n_12015),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc4[16]),
+	.Y(n_12460), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657274 (
+	.A(n_12350),
+	.B(brqrv_top_brqrv_dec_tlu_mcyclel[1]),
+	.Y(n_12459), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657275 (
+	.A(n_12312),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc6h[0]),
+	.Y(n_12458), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657276 (
+	.A(n_12311),
+	.B(brqrv_top_brqrv_dec_tlu_mrac_ff_0[15]),
+	.Y(n_12457), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657277 (
+	.A(n_12314),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc4h[24]),
+	.Y(n_12456), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657278 (
+	.A(n_12351),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc5[24]),
+	.Y(n_12455), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g657279 (
+	.A_N(n_35662),
+	.B(n_1540),
+	.C(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ldst_samedw_r),
+	.Y(n_12454), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g657280 (
+	.A1(brqrv_top_brqrv_dec_tlu_mepc[13]),
+	.A2(n_12102),
+	.B1(n_12158),
+	.B2(brqrv_top_brqrv_dec_tlu_mcause[13]),
+	.C1(n_12220),
+	.C2(brqrv_top_brqrv_dec_tlu_mscratch[13]),
+	.Y(n_12453), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657281 (
+	.A(n_12281),
+	.B(n_12296),
+	.Y(n_12452), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g657282 (
+	.A1(brqrv_top_brqrv_dec_tlu_mepc[14]),
+	.A2(n_12102),
+	.B1(n_12158),
+	.B2(brqrv_top_brqrv_dec_tlu_mcause[14]),
+	.C1(n_12220),
+	.C2(brqrv_top_brqrv_dec_tlu_mscratch[14]),
+	.Y(n_12451), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g657283 (
+	.A1(n_12153),
+	.A2(n_12202),
+	.B1(n_12172),
+	.Y(n_12450), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g657284 (
+	.A1(n_12228),
+	.A2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_n_88),
+	.B1(n_12092),
+	.X(n_12449), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g657285 (
+	.A1(n_12229),
+	.A2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_n_88),
+	.B1(n_12156),
+	.X(n_12448), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g657286 (
+	.A(n_12335),
+	.B(n_33911),
+	.Y(brqrv_top_brqrv_dec_decode_d_t[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g657287 (
+	.A1(n_12103),
+	.A2(brqrv_top_brqrv_dec_tlu_mdseac[15]),
+	.B1(n_12104),
+	.B2(brqrv_top_brqrv_dec_tlu_dpc[15]),
+	.C1(n_12304),
+	.Y(n_12447), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g657288 (
+	.A1(n_12220),
+	.A2(brqrv_top_brqrv_dec_tlu_mscratch[21]),
+	.B1(n_12358),
+	.Y(n_12446), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g657289 (
+	.A1(n_12195),
+	.A2(n_12196),
+	.B1(n_35346),
+	.X(n_34113), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g657290 (
+	.A1(n_34868),
+	.A2(n_34575),
+	.B1(n_34863),
+	.B2(n_34578),
+	.C1(n_12279),
+	.Y(n_34570), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g657291 (
+	.A(brqrv_top_brqrv_dec_tlu_n_397),
+	.B(n_34653),
+	.X(n_34652), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g657292 (
+	.A_N(n_34159),
+	.B(brqrv_top_dmi_reg_addr[2]),
+	.Y(n_34654), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g657293 (
+	.A(n_12329),
+	.B(n_43015),
+	.C(brqrv_top_brqrv_dec_dec_i0_instr_d[14]),
+	.Y(n_34714), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g657295 (
+	.A(n_34546),
+	.B(n_1540),
+	.Y(n_12502), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657296 (
+	.A(n_34561),
+	.B(n_34546),
+	.Y(n_34364), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g657297 (
+	.A(n_12162),
+	.B(n_12287),
+	.X(n_12501), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4bb_2 g657298 (
+	.A_N(n_35416),
+	.B_N(n_12082),
+	.C(n_12121),
+	.D(n_35415),
+	.X(n_12500), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4bb_2 g657299 (
+	.A_N(n_35408),
+	.B_N(n_35416),
+	.C(n_12095),
+	.D(n_35413),
+	.X(n_12499), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g657300 (
+	.A(n_34657),
+	.B(n_35374),
+	.X(n_34656), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g657301 (
+	.A(n_12436),
+	.Y(n_12445), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g657302 (
+	.A1(n_12010),
+	.A2(brqrv_top_brqrv_dec_tlu_miccmect[20]),
+	.B1(n_12256),
+	.B2(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[20]),
+	.Y(n_12443), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g657303 (
+	.A1(brqrv_top_brqrv_dec_tlu_meicurpl[3]),
+	.A2(n_12112),
+	.B1(n_12162),
+	.B2(brqrv_top_brqrv_dec_tlu_meihap[3]),
+	.C1(n_12217),
+	.C2(brqrv_top_brqrv_dec_tlu_mtval[3]),
+	.Y(n_12442), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g657304 (
+	.A1(brqrv_top_brqrv_dec_tlu_dicad0h[2]),
+	.A2(n_12159),
+	.B1(n_12108),
+	.B2(brqrv_top_brqrv_dec_tlu_dicad1_raw[2]),
+	.C1(n_12257),
+	.C2(brqrv_top_brqrv_dec_tlu_mtdata1_t0[2]),
+	.Y(n_12441), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g657305 (
+	.A1(brqrv_top_brqrv_dec_tlu_meipt[2]),
+	.A2(n_12117),
+	.B1(n_12112),
+	.B2(brqrv_top_brqrv_dec_tlu_meicurpl[2]),
+	.C1(n_12107),
+	.C2(brqrv_top_brqrv_dec_tlu_pic_clk_override),
+	.Y(n_12440), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g657306 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpme3[2]),
+	.A2(n_12109),
+	.B1(n_12064),
+	.B2(brqrv_top_brqrv_dec_tlu_mcountinhibit[2]),
+	.C1(n_12222),
+	.C2(brqrv_top_brqrv_dec_tlu_mhpme5[2]),
+	.Y(n_12439), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g657307 (
+	.A1(brqrv_top_brqrv_dec_tlu_dicad0[15]),
+	.A2(n_33128),
+	.B1(n_33127),
+	.B2(brqrv_top_brqrv_dec_tlu_dicawics[12]),
+	.C1(n_12217),
+	.C2(brqrv_top_brqrv_dec_tlu_mtval[15]),
+	.Y(n_12438), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g657308 (
+	.A1(n_1726),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[0]),
+	.B1(n_12305),
+	.Y(n_12437), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g657309 (
+	.A1(brqrv_top_brqrv_dec_tlu_mepc[15]),
+	.A2(n_12102),
+	.B1(n_12158),
+	.B2(brqrv_top_brqrv_dec_tlu_mcause[15]),
+	.C1(n_12220),
+	.C2(brqrv_top_brqrv_dec_tlu_mscratch[15]),
+	.Y(n_12436), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g657310 (
+	.A1(n_12047),
+	.A2(n_34688),
+	.B1(n_12274),
+	.Y(n_12435), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g657311 (
+	.A1(n_12048),
+	.A2(n_34688),
+	.B1(n_12276),
+	.Y(n_12434), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g657312 (
+	.A1(n_12050),
+	.A2(n_34688),
+	.B1(n_12277),
+	.Y(n_12433), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g657313 (
+	.A1(n_35177),
+	.A2(n_34430),
+	.B1(n_35176),
+	.B2(n_12038),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[39]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g657314 (
+	.A1(n_34246),
+	.A2(n_34430),
+	.B1(n_34245),
+	.B2(n_12038),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g657315 (
+	.A1(n_34248),
+	.A2(n_34430),
+	.B1(n_34247),
+	.B2(n_12038),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[103]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g657316 (
+	.A1(n_34244),
+	.A2(n_34430),
+	.B1(n_35178),
+	.B2(n_12038),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[71]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g657317 (
+	.A1(n_12260),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[110]),
+	.B1(n_12258),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[72]),
+	.Y(n_12432), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g657318 (
+	.A(n_35541),
+	.B(brqrv_top_brqrv_dec_dec_csr_wen_unq_d),
+	.C(n_42064),
+	.D(n_12213),
+	.Y(n_12431), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g657319 (
+	.A1_N(n_12130),
+	.A2_N(n_12269),
+	.B1(n_12130),
+	.B2(n_12269),
+	.Y(n_12430), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g657320 (
+	.A1(n_12220),
+	.A2(brqrv_top_brqrv_dec_tlu_mscratch[24]),
+	.B1(n_12158),
+	.B2(brqrv_top_brqrv_dec_tlu_mcause[24]),
+	.Y(n_12429), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g657321 (
+	.A1(n_12217),
+	.A2(brqrv_top_brqrv_dec_tlu_mtval[29]),
+	.B1(n_12102),
+	.B2(brqrv_top_brqrv_dec_tlu_mepc[29]),
+	.Y(n_12428), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g657322 (
+	.A1(n_12258),
+	.A2(brqrv_top_brqrv_dec_tlu_mtdata1_t1[6]),
+	.B1(n_12259),
+	.B2(brqrv_top_brqrv_dec_tlu_mtdata1_t3[6]),
+	.X(n_12427), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g657323 (
+	.A1(n_12220),
+	.A2(brqrv_top_brqrv_dec_tlu_mscratch[23]),
+	.B1(n_12158),
+	.B2(brqrv_top_brqrv_dec_tlu_mcause[23]),
+	.Y(n_12426), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g657324 (
+	.A1(n_12257),
+	.A2(brqrv_top_brqrv_dec_tlu_mtdata1_t0[5]),
+	.B1(n_12258),
+	.B2(brqrv_top_brqrv_dec_tlu_mtdata1_t1[5]),
+	.Y(n_12425), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g657325 (
+	.A1(n_12220),
+	.A2(brqrv_top_brqrv_dec_tlu_mscratch[11]),
+	.B1(n_12116),
+	.B2(brqrv_top_brqrv_dec_tlu_mip[2]),
+	.Y(n_12424), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g657326 (
+	.A1(n_12010),
+	.A2(brqrv_top_brqrv_dec_tlu_miccmect[31]),
+	.B1(n_33128),
+	.B2(brqrv_top_brqrv_dec_tlu_dicad0[31]),
+	.Y(n_12423), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g657327 (
+	.A1(n_12220),
+	.A2(brqrv_top_brqrv_dec_tlu_mscratch[22]),
+	.B1(n_12158),
+	.B2(brqrv_top_brqrv_dec_tlu_mcause[22]),
+	.Y(n_12422), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g657328 (
+	.A1(n_12253),
+	.A2(brqrv_top_brqrv_dec_tlu_mdccmect[31]),
+	.B1(n_12159),
+	.B2(brqrv_top_brqrv_dec_tlu_dicad0h[31]),
+	.Y(n_12421), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g657329 (
+	.A1(n_12222),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpme5[9]),
+	.B1(n_12010),
+	.B2(brqrv_top_brqrv_dec_tlu_miccmect[9]),
+	.X(n_12420), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g657330 (
+	.A1(n_12220),
+	.A2(brqrv_top_brqrv_dec_tlu_mscratch[31]),
+	.B1(n_12158),
+	.B2(brqrv_top_brqrv_dec_tlu_mcause[31]),
+	.Y(n_12419), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g657331 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[9]),
+	.A2_N(n_12256),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[9]),
+	.B2(n_12254),
+	.Y(n_12418), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g657332 (
+	.A1(n_12219),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc5h[28]),
+	.B1(n_12218),
+	.B2(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[28]),
+	.X(n_12417), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g657333 (
+	.A1(n_12219),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc5h[31]),
+	.B1(n_12218),
+	.B2(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[31]),
+	.Y(n_12416), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g657334 (
+	.A1(n_12255),
+	.A2(n_37780),
+	.B1(n_12256),
+	.B2(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[6]),
+	.Y(n_12415), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g657335 (
+	.A1(n_12220),
+	.A2(brqrv_top_brqrv_dec_tlu_mscratch[27]),
+	.B1(n_12158),
+	.B2(brqrv_top_brqrv_dec_tlu_mcause[27]),
+	.Y(n_12414), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g657336 (
+	.A1(brqrv_top_brqrv_dec_tlu_meipt[3]),
+	.A2(n_12117),
+	.B1(n_12059),
+	.B2(brqrv_top_brqrv_dec_tlu_bpred_disable),
+	.C1(n_12108),
+	.C2(brqrv_top_brqrv_dec_tlu_dicad1_raw[3]),
+	.Y(n_12413), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g657337 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[7]),
+	.A2_N(n_12256),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[7]),
+	.B2(n_12254),
+	.Y(n_12412), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g657338 (
+	.A1(n_12260),
+	.A2(brqrv_top_brqrv_dec_tlu_mtdata1_t2[8]),
+	.B1(n_12258),
+	.B2(brqrv_top_brqrv_dec_tlu_mtdata1_t1[8]),
+	.Y(n_12411), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g657339 (
+	.A1(n_12258),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[74]),
+	.B1(n_12259),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[150]),
+	.Y(n_12410), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g657340 (
+	.A1(n_12257),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[36]),
+	.B1(n_12260),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[112]),
+	.Y(n_12409), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g657341 (
+	.A1(n_12010),
+	.A2(brqrv_top_brqrv_dec_tlu_miccmect[6]),
+	.B1(n_12253),
+	.B2(brqrv_top_brqrv_dec_tlu_mdccmect[6]),
+	.X(n_12408), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g657342 (
+	.A1(n_12258),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[70]),
+	.B1(n_12259),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[146]),
+	.X(n_12407), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g657343 (
+	.A1(n_12220),
+	.A2(brqrv_top_brqrv_dec_tlu_mscratch[20]),
+	.B1(n_12159),
+	.B2(brqrv_top_brqrv_dec_tlu_dicad0h[20]),
+	.Y(n_12406), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g657344 (
+	.A1(n_12220),
+	.A2(brqrv_top_brqrv_dec_tlu_mscratch[6]),
+	.B1(n_12158),
+	.B2(brqrv_top_brqrv_dec_tlu_mcause[6]),
+	.Y(n_12405), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g657345 (
+	.A1(n_12220),
+	.A2(brqrv_top_brqrv_dec_tlu_mscratch[19]),
+	.B1(n_12158),
+	.B2(brqrv_top_brqrv_dec_tlu_mcause[19]),
+	.Y(n_12404), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g657346 (
+	.A1(n_12255),
+	.A2(n_37778),
+	.B1(n_12256),
+	.B2(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[5]),
+	.Y(n_12403), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g657347 (
+	.A1(n_12260),
+	.A2(brqrv_top_brqrv_dec_tlu_mtdata1_t2[9]),
+	.B1(n_12259),
+	.B2(brqrv_top_brqrv_dec_tlu_mtdata1_t3[9]),
+	.X(n_12402), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g657348 (
+	.A1(n_12220),
+	.A2(brqrv_top_brqrv_dec_tlu_mscratch[30]),
+	.B1(n_12116),
+	.B2(brqrv_top_brqrv_dec_tlu_mip[5]),
+	.Y(n_12401), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g657349 (
+	.A1(n_12217),
+	.A2(brqrv_top_brqrv_dec_tlu_mtval[5]),
+	.B1(n_12103),
+	.B2(brqrv_top_brqrv_dec_tlu_mdseac[5]),
+	.Y(n_12400), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g657350 (
+	.A1(n_12260),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[113]),
+	.B1(n_12259),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[151]),
+	.X(n_12399), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g657351 (
+	.A1(n_12220),
+	.A2(brqrv_top_brqrv_dec_tlu_mscratch[26]),
+	.B1(n_12158),
+	.B2(brqrv_top_brqrv_dec_tlu_mcause[26]),
+	.Y(n_12398), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g657352 (
+	.A1(n_12255),
+	.A2(n_37776),
+	.B1(n_12256),
+	.B2(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[4]),
+	.Y(n_12397), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g657353 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mtval[4]),
+	.A2_N(n_12217),
+	.B1(n_12127),
+	.B2(n_35646),
+	.Y(n_12396), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g657354 (
+	.A1(n_12219),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc5h[18]),
+	.B1(n_12218),
+	.B2(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[18]),
+	.X(n_12395), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g657355 (
+	.A1(n_12010),
+	.A2(brqrv_top_brqrv_dec_tlu_miccmect[26]),
+	.B1(n_33128),
+	.B2(brqrv_top_brqrv_dec_tlu_dicad0[26]),
+	.Y(n_12394), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g657356 (
+	.A1(n_12253),
+	.A2(brqrv_top_brqrv_dec_tlu_mdccmect[26]),
+	.B1(n_12159),
+	.B2(brqrv_top_brqrv_dec_tlu_dicad0h[26]),
+	.Y(n_12393), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g657357 (
+	.A1(n_12220),
+	.A2(brqrv_top_brqrv_dec_tlu_mscratch[2]),
+	.B1(n_12158),
+	.B2(brqrv_top_brqrv_dec_tlu_mcause[2]),
+	.Y(n_12392), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g657358 (
+	.A1(n_12260),
+	.A2(brqrv_top_brqrv_dec_tlu_mtdata1_t2[2]),
+	.B1(n_12258),
+	.B2(brqrv_top_brqrv_dec_tlu_mtdata1_t1[2]),
+	.Y(n_12391), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g657359 (
+	.A1(n_12219),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc5h[26]),
+	.B1(n_12218),
+	.B2(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[26]),
+	.Y(n_12390), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g657360 (
+	.A1(n_12219),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc5h[17]),
+	.B1(n_12218),
+	.B2(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[17]),
+	.X(n_12389), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g657361 (
+	.A1(n_12255),
+	.A2(n_37772),
+	.B1(n_12256),
+	.B2(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[1]),
+	.Y(n_12388), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g657362 (
+	.A1(n_12219),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc5h[30]),
+	.B1(n_12218),
+	.B2(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[30]),
+	.X(n_12387), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g657363 (
+	.A1(n_12257),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[35]),
+	.B1(n_12259),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[149]),
+	.Y(n_12386), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32o_1 g657364 (
+	.A1(n_12012),
+	.A2(n_12118),
+	.A3(brqrv_top_brqrv_dec_tlu_mtsel[1]),
+	.B1(n_33128),
+	.B2(brqrv_top_brqrv_dec_tlu_dicad0[1]),
+	.X(n_12385), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g657365 (
+	.A1(n_12217),
+	.A2(brqrv_top_brqrv_dec_tlu_mtval[1]),
+	.B1(n_12107),
+	.B2(brqrv_top_dccm_clk_override),
+	.Y(n_12384), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32o_1 g657366 (
+	.A1(n_12012),
+	.A2(n_12118),
+	.A3(brqrv_top_brqrv_dec_tlu_mtsel[0]),
+	.B1(n_33128),
+	.B2(brqrv_top_brqrv_dec_tlu_dicad0[0]),
+	.X(n_12383), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g657367 (
+	.A1(n_12220),
+	.A2(brqrv_top_brqrv_dec_tlu_mscratch[25]),
+	.B1(n_12158),
+	.B2(brqrv_top_brqrv_dec_tlu_mcause[25]),
+	.Y(n_12382), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211o_1 g657368 (
+	.A1(n_12158),
+	.A2(brqrv_top_brqrv_dec_tlu_mcause[0]),
+	.B1(n_12267),
+	.C1(n_12226),
+	.X(n_12381), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g657369 (
+	.A(n_12262),
+	.B(n_12114),
+	.C(n_35653),
+	.X(n_12444), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g657370 (
+	.A(n_12371),
+	.Y(brqrv_top_brqrv_lsu_p[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g657371 (
+	.A(n_34571),
+	.Y(n_12359), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657372 (
+	.A(n_12222),
+	.B(brqrv_top_brqrv_dec_tlu_mhpme5[1]),
+	.Y(n_12349), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657373 (
+	.A(n_12256),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[12]),
+	.Y(n_12348), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657374 (
+	.A(n_12256),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[23]),
+	.Y(n_12347), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657375 (
+	.A(n_12256),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[22]),
+	.Y(n_12346), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657376 (
+	.A(n_12219),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc5h[21]),
+	.Y(n_12345), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657377 (
+	.A(n_12256),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[27]),
+	.Y(n_12344), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657378 (
+	.A(n_12256),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[19]),
+	.Y(n_12343), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657379 (
+	.A(n_12218),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[5]),
+	.Y(n_12342), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657380 (
+	.A(n_12218),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[4]),
+	.Y(n_12341), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657381 (
+	.A(n_12256),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[2]),
+	.Y(n_12340), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657382 (
+	.A(n_12219),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc5h[1]),
+	.Y(n_12339), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657383 (
+	.A(n_12256),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[14]),
+	.Y(n_12338), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657384 (
+	.A(n_12256),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[25]),
+	.Y(n_12337), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657385 (
+	.A(n_12222),
+	.B(brqrv_top_brqrv_dec_tlu_mhpme5[0]),
+	.Y(n_12336), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g657386 (
+	.A(brqrv_top_brqrv_dec_decode_debug_fence_i),
+	.B(n_12271),
+	.Y(n_12335), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g657387 (
+	.A(n_12146),
+	.B(n_35666),
+	.C(n_35665),
+	.Y(brqrv_top_brqrv_dec_tlu_minstretl_couta), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g657388 (
+	.A(n_12144),
+	.B(n_35664),
+	.C(n_35663),
+	.Y(brqrv_top_brqrv_dec_tlu_mcyclela_cout), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657389 (
+	.A(n_12219),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc5h[24]),
+	.Y(n_12334), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4_1 g657390 (
+	.A(brqrv_top_brqrv_dec_i0_decode_d),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_trigger_data_match[3]),
+	.C(brqrv_top_brqrv_dec_tlu_mtdata1_t3[2]),
+	.D(brqrv_top_brqrv_trigger_pkt_any[146]),
+	.X(brqrv_top_brqrv_dec_decode_d_t[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4_1 g657391 (
+	.A(brqrv_top_brqrv_dec_i0_decode_d),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_trigger_data_match[2]),
+	.C(brqrv_top_brqrv_dec_tlu_mtdata1_t2[2]),
+	.D(brqrv_top_brqrv_trigger_pkt_any[108]),
+	.X(brqrv_top_brqrv_dec_decode_d_t[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4_1 g657392 (
+	.A(brqrv_top_brqrv_dec_i0_decode_d),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_trigger_data_match[1]),
+	.C(brqrv_top_brqrv_dec_tlu_mtdata1_t1[2]),
+	.D(brqrv_top_brqrv_trigger_pkt_any[70]),
+	.X(brqrv_top_brqrv_dec_decode_d_t[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4_1 g657393 (
+	.A(brqrv_top_brqrv_dec_i0_decode_d),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_trigger_data_match[0]),
+	.C(brqrv_top_brqrv_dec_tlu_mtdata1_t0[2]),
+	.D(brqrv_top_brqrv_trigger_pkt_any[32]),
+	.X(brqrv_top_brqrv_dec_decode_d_t[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g657394 (
+	.A(n_34655),
+	.B(n_35377),
+	.X(n_34159), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g657395 (
+	.A1(n_1864),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[85]),
+	.B1(n_1563),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[53]),
+	.C1(n_12183),
+	.Y(n_12380), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g657396 (
+	.A1(n_1562),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[119]),
+	.B1(n_1864),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[87]),
+	.C1(n_12185),
+	.Y(n_12379), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g657397 (
+	.A1(n_1864),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[88]),
+	.B1(n_1563),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[56]),
+	.C1(n_12186),
+	.Y(n_12378), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g657398 (
+	.A1(n_1562),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[121]),
+	.B1(n_1563),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[57]),
+	.C1(n_12187),
+	.Y(n_12377), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g657399 (
+	.A1(n_1562),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[122]),
+	.B1(n_1864),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[90]),
+	.C1(n_12188),
+	.Y(n_12376), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g657400 (
+	.A1(n_1562),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[107]),
+	.B1(n_1864),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[75]),
+	.C1(n_12174),
+	.Y(n_12375), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g657401 (
+	.A1(n_1864),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[91]),
+	.B1(n_1563),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[59]),
+	.C1(n_12189),
+	.Y(n_12374), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g657402 (
+	.A1(n_12165),
+	.A2(n_34739),
+	.B1_N(n_35692),
+	.Y(n_12333), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g657403 (
+	.A1(n_1562),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[113]),
+	.B1(n_1563),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[49]),
+	.C1(n_12179),
+	.Y(n_12373), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g657404 (
+	.A1(n_1562),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[114]),
+	.B1(n_1563),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[50]),
+	.C1(n_12180),
+	.Y(n_12372), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g657405 (
+	.A(brqrv_top_brqrv_dec_extint_stall),
+	.B(n_12236),
+	.Y(n_12371), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g657406 (
+	.A1(n_12147),
+	.A2(n_34578),
+	.B1(n_12140),
+	.B2(n_34575),
+	.Y(n_34560), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g657407 (
+	.A1(n_1864),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[83]),
+	.B1(n_1563),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[51]),
+	.C1(n_12181),
+	.Y(n_12370), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g657408 (
+	.A1(n_1562),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[109]),
+	.B1(n_1563),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[45]),
+	.C1(n_12175),
+	.Y(n_12369), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g657409 (
+	.A1(n_1562),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[116]),
+	.B1(n_1864),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[84]),
+	.C1(n_12182),
+	.Y(n_12368), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g657410 (
+	.A1(n_1562),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[111]),
+	.B1(n_1563),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[47]),
+	.C1(n_12177),
+	.Y(n_12367), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g657411 (
+	.A1(n_1562),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[112]),
+	.B1(n_1864),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[80]),
+	.C1(n_12178),
+	.Y(n_12366), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g657412 (
+	.A1(n_1562),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[118]),
+	.B1(n_1563),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[54]),
+	.C1(n_12184),
+	.Y(n_12365), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g657413 (
+	.A_N(n_34711),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[2]),
+	.C(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[3]),
+	.Y(n_35669), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g657414 (
+	.A_N(n_34710),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[2]),
+	.C(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[3]),
+	.Y(n_35667), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g657415 (
+	.A1(n_1562),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[127]),
+	.B1(n_1864),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[95]),
+	.C1(n_12210),
+	.Y(n_12364), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g657416 (
+	.A1(n_1562),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[124]),
+	.B1(n_1864),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[92]),
+	.C1(n_12190),
+	.Y(n_12363), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g657417 (
+	.A1(n_1864),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[93]),
+	.B1(n_1563),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[61]),
+	.C1(n_12191),
+	.Y(n_12362), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g657418 (
+	.A1(n_1562),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_sideeffect[3]),
+	.B1(n_1789),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_sideeffect[0]),
+	.C1(n_12197),
+	.X(n_34574), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g657419 (
+	.A1(n_1864),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_write[2]),
+	.B1(n_1563),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_write[1]),
+	.C1(n_12194),
+	.X(n_35346), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g657420 (
+	.A1(n_1864),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dual[2]),
+	.B1(n_1563),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dual[1]),
+	.C1(n_12193),
+	.X(n_35345), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g657421 (
+	.A1(n_1562),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[126]),
+	.B1(n_1864),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[94]),
+	.C1(n_12192),
+	.Y(n_12361), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g657422 (
+	.A1(n_1562),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[98]),
+	.B1(n_1789),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[2]),
+	.C1(n_12198),
+	.X(n_34546), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g657423 (
+	.A(n_12224),
+	.B(n_12128),
+	.Y(n_12360), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g657424 (
+	.A(n_12268),
+	.B(n_34852),
+	.C(n_34850),
+	.D(n_34851),
+	.Y(n_34571), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g657425 (
+	.A(n_12224),
+	.B(n_12120),
+	.Y(n_12358), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g657427 (
+	.A(n_12262),
+	.B(n_12124),
+	.X(n_12357), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g657428 (
+	.A(n_12111),
+	.B(n_12012),
+	.X(n_12356), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g657429 (
+	.A(brqrv_top_brqrv_dec_tlu_n_12947),
+	.B(n_12223),
+	.Y(n_12355), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g657430 (
+	.A(n_33905),
+	.B(n_12223),
+	.Y(n_12354), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g657431 (
+	.A(brqrv_top_brqrv_dec_tlu_n_12950),
+	.B(n_12223),
+	.Y(n_12353), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g657432 (
+	.A(brqrv_top_brqrv_dec_tlu_n_12944),
+	.B(n_12223),
+	.Y(n_12352), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g657433 (
+	.A(n_35413),
+	.B(n_12225),
+	.Y(n_12351), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g657434 (
+	.A(n_12032),
+	.B(n_12225),
+	.Y(n_12350), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g657436 (
+	.A(n_12272),
+	.Y(n_12332), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g657438 (
+	.A(brqrv_top_brqrv_dec_tlu_i0_valid_no_ebreak_ecall_r),
+	.Y(n_12328), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g657440 (
+	.A(n_12317),
+	.Y(n_12318), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g657442 (
+	.A(n_12309),
+	.Y(n_12308), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g657443 (
+	.A1(brqrv_top_brqrv_dec_tlu_dicad0[5]),
+	.A2(n_33128),
+	.B1(n_12059),
+	.B2(brqrv_top_brqrv_dec_tlu_mfdc_int[5]),
+	.C1(n_12107),
+	.C2(brqrv_top_brqrv_dec_tlu_mcgc_int[5]),
+	.Y(n_12306), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657444 (
+	.A(n_12230),
+	.B(n_12231),
+	.Y(n_12305), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g657445 (
+	.A1(n_12159),
+	.A2(brqrv_top_brqrv_dec_tlu_dicad0h[15]),
+	.B1(n_12105),
+	.B2(brqrv_top_brqrv_dec_tlu_dcsr[15]),
+	.X(n_12304), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g657446 (
+	.A1(n_12109),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpme3[3]),
+	.B1(n_33127),
+	.B2(brqrv_top_brqrv_dec_tlu_dicawics[0]),
+	.Y(n_12303), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g657447 (
+	.A1(n_12102),
+	.A2(brqrv_top_brqrv_dec_tlu_mepc[3]),
+	.B1(n_12158),
+	.B2(brqrv_top_brqrv_dec_tlu_mcause[3]),
+	.Y(n_12302), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g657448 (
+	.A1(n_12109),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpme3[4]),
+	.B1(n_33127),
+	.B2(brqrv_top_brqrv_dec_tlu_dicawics[1]),
+	.Y(n_12301), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g657449 (
+	.A1(n_12109),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpme3[5]),
+	.B1(n_33127),
+	.B2(brqrv_top_brqrv_dec_tlu_dicawics[2]),
+	.Y(n_12300), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g657450 (
+	.A1(n_12159),
+	.A2(brqrv_top_brqrv_dec_tlu_dicad0h[5]),
+	.B1(n_12162),
+	.B2(brqrv_top_brqrv_dec_tlu_meihap[5]),
+	.Y(n_12299), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g657451 (
+	.A1(n_12108),
+	.A2(brqrv_top_brqrv_dec_tlu_dicad1_raw[6]),
+	.B1(n_12107),
+	.B2(brqrv_top_brqrv_dec_tlu_mcgc_int[6]),
+	.Y(n_12298), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g657452 (
+	.A1(n_12159),
+	.A2(brqrv_top_brqrv_dec_tlu_dicad0h[7]),
+	.B1(n_12103),
+	.B2(brqrv_top_brqrv_dec_tlu_mdseac[7]),
+	.Y(n_12297), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g657453 (
+	.A1(n_12159),
+	.A2(brqrv_top_brqrv_dec_tlu_dicad0h[8]),
+	.B1(n_12105),
+	.B2(brqrv_top_brqrv_dec_tlu_dcsr[8]),
+	.Y(n_12296), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g657454 (
+	.A(brqrv_top_brqrv_ifu_aln_first2B),
+	.B(n_12155),
+	.C(n_33911),
+	.Y(brqrv_top_brqrv_dec_decode_d_t[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g657455 (
+	.A1(n_12109),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpme3[9]),
+	.B1(n_33127),
+	.B2(brqrv_top_brqrv_dec_tlu_dicawics[6]),
+	.X(n_12295), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g657456 (
+	.A1(n_12103),
+	.A2(brqrv_top_brqrv_dec_tlu_mdseac[28]),
+	.B1(n_12104),
+	.B2(brqrv_top_brqrv_dec_tlu_dpc[28]),
+	.Y(n_12294), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g657457 (
+	.A1(n_11517),
+	.A2(brqrv_top_brqrv_ifu_aln_misc2[51]),
+	.B1(brqrv_top_brqrv_ifu_aln_misc1[51]),
+	.B2(FE_DBTN9_n_11562),
+	.C1(brqrv_top_brqrv_ifu_aln_misc0[51]),
+	.C2(FE_DBTN8_n_11566),
+	.Y(n_12293), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g657458 (
+	.A1(n_12159),
+	.A2(brqrv_top_brqrv_dec_tlu_dicad0h[12]),
+	.B1(n_12103),
+	.B2(brqrv_top_brqrv_dec_tlu_mdseac[12]),
+	.Y(n_12292), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g657459 (
+	.A1_N(brqrv_top_brqrv_exu_i0_rs2_d[2]),
+	.A2_N(n_12166),
+	.B1(brqrv_top_brqrv_exu_i0_rs2_d[2]),
+	.B2(n_12166),
+	.Y(n_12291), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g657460 (
+	.A1(n_12103),
+	.A2(brqrv_top_brqrv_dec_tlu_mdseac[29]),
+	.B1(n_33128),
+	.B2(brqrv_top_brqrv_dec_tlu_dicad0[29]),
+	.Y(n_12290), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g657461 (
+	.A1(n_33128),
+	.A2(brqrv_top_brqrv_dec_tlu_dicad0[24]),
+	.B1(n_33127),
+	.B2(brqrv_top_brqrv_dec_tlu_ic_diag_pkt[18]),
+	.C1(n_12106),
+	.X(n_12289), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4b_1 g657462 (
+	.A_N(n_34581),
+	.B(n_12135),
+	.C(n_34580),
+	.D(n_34582),
+	.Y(n_12288), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g657463 (
+	.A(n_31550),
+	.B(n_35410),
+	.C(n_35644),
+	.D(n_35655),
+	.Y(n_12287), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g657464 (
+	.A1(brqrv_top_brqrv_dec_tlu_dicad0[12]),
+	.A2(n_33128),
+	.B1(n_12059),
+	.B2(brqrv_top_brqrv_dec_tlu_mfdc_int[12]),
+	.C1(n_12158),
+	.C2(brqrv_top_brqrv_dec_tlu_mcause[12]),
+	.Y(n_12286), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g657465 (
+	.A1(n_33128),
+	.A2(brqrv_top_brqrv_dec_tlu_dicad0[6]),
+	.B1(n_12103),
+	.B2(brqrv_top_brqrv_dec_tlu_mdseac[6]),
+	.C1(n_12090),
+	.Y(n_12285), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g657466 (
+	.A1(n_33128),
+	.A2(brqrv_top_brqrv_dec_tlu_dicad0[9]),
+	.B1(n_12059),
+	.B2(brqrv_top_brqrv_dec_tlu_mfdc_int[9]),
+	.C1(n_12011),
+	.X(n_12284), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g657467 (
+	.A1(brqrv_top_brqrv_dec_tlu_dicad0[11]),
+	.A2(n_33128),
+	.B1(n_33127),
+	.B2(brqrv_top_brqrv_dec_tlu_dicawics[8]),
+	.C1(n_12104),
+	.C2(brqrv_top_brqrv_dec_tlu_dpc[11]),
+	.Y(n_12283), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g657468 (
+	.A1(n_33128),
+	.A2(brqrv_top_brqrv_dec_tlu_dicad0[21]),
+	.B1(n_33127),
+	.B2(brqrv_top_brqrv_dec_tlu_dicawics[15]),
+	.C1(n_12106),
+	.X(n_12282), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g657469 (
+	.A1(brqrv_top_brqrv_dec_tlu_dicad0[8]),
+	.A2(n_33128),
+	.B1(n_12059),
+	.B2(brqrv_top_brqrv_dec_tlu_mfdc_int[8]),
+	.C1(n_12107),
+	.C2(brqrv_top_brqrv_dec_tlu_misc_clk_override),
+	.Y(n_12281), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g657470 (
+	.A1(brqrv_top_brqrv_dec_tlu_dicad0[20]),
+	.A2(n_33128),
+	.B1(n_33127),
+	.B2(brqrv_top_brqrv_dec_tlu_dicawics[14]),
+	.C1(n_12158),
+	.C2(brqrv_top_brqrv_dec_tlu_mcause[20]),
+	.Y(n_12280), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g657471 (
+	.A1(n_1562),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[10]),
+	.B1(n_1789),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[1]),
+	.C1(n_43060),
+	.X(n_12279), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g657472 (
+	.A1(brqrv_top_brqrv_dec_tlu_dicad0[7]),
+	.A2(n_33128),
+	.B1(n_12059),
+	.B2(brqrv_top_brqrv_dec_tlu_mfdc_int[7]),
+	.C1(n_12158),
+	.C2(brqrv_top_brqrv_dec_tlu_mcause[7]),
+	.Y(n_12278), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g657473 (
+	.A1(brqrv_top_brqrv_dec_tlu_dpc[7]),
+	.A2(n_1894),
+	.B1(n_42980),
+	.B2(brqrv_top_brqrv_dec_tlu_mepc[7]),
+	.C1(n_42979),
+	.C2(brqrv_top_brqrv_lsu_fir_addr[7]),
+	.Y(n_12277), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g657474 (
+	.A(n_35058),
+	.B(n_35059),
+	.C(n_12214),
+	.X(n_34632), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g657475 (
+	.A1(brqrv_top_brqrv_dec_tlu_dpc[8]),
+	.A2(n_1894),
+	.B1(n_42980),
+	.B2(brqrv_top_brqrv_dec_tlu_mepc[8]),
+	.C1(brqrv_top_brqrv_lsu_fir_addr[8]),
+	.C2(n_42979),
+	.Y(n_12276), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g657476 (
+	.A1(brqrv_top_brqrv_dec_tlu_dicad0[4]),
+	.A2(n_33128),
+	.B1(n_12059),
+	.B2(brqrv_top_brqrv_dec_tlu_mfdc_int[4]),
+	.C1(n_12107),
+	.C2(brqrv_top_brqrv_dec_tlu_lsu_clk_override),
+	.Y(n_12275), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g657477 (
+	.A1(brqrv_top_brqrv_dec_tlu_dpc[9]),
+	.A2(n_1894),
+	.B1(n_42980),
+	.B2(brqrv_top_brqrv_dec_tlu_mepc[9]),
+	.C1(brqrv_top_brqrv_lsu_fir_addr[9]),
+	.C2(n_42979),
+	.Y(n_12274), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g657478 (
+	.A1(brqrv_top_brqrv_dec_tlu_dicad0[16]),
+	.A2(n_33128),
+	.B1(n_33127),
+	.B2(brqrv_top_brqrv_dec_tlu_dicawics[13]),
+	.C1(n_12104),
+	.C2(brqrv_top_brqrv_dec_tlu_dpc[16]),
+	.Y(n_12273), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g657479 (
+	.A1(brqrv_top_brqrv_dec_tlu_meihap[2]),
+	.A2(n_12162),
+	.B1(n_33128),
+	.B2(brqrv_top_brqrv_dec_tlu_dicad0[2]),
+	.C1(n_12059),
+	.C2(brqrv_top_brqrv_dec_tlu_wb_coalescing_disable),
+	.Y(n_12272), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g657480 (
+	.A1(n_1562),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[97]),
+	.B1(n_1563),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[33]),
+	.C1(n_12208),
+	.Y(n_12331), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g657481 (
+	.A1(n_1562),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[99]),
+	.B1(n_1864),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[67]),
+	.C1(n_12211),
+	.Y(n_12330), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3b_2 g657482 (
+	.A(n_35376),
+	.B(n_35377),
+	.C_N(brqrv_top_dmi_reg_addr[2]),
+	.X(n_34657), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g657483 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[2]),
+	.B(n_34873),
+	.C(n_28832),
+	.D(n_36248),
+	.Y(n_12329), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g657484 (
+	.A(brqrv_top_brqrv_dec_dec_csr_wraddr_r[8]),
+	.B(n_35288),
+	.C(n_33325),
+	.X(n_34653), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4b_1 g657485 (
+	.A(n_35615),
+	.B(brqrv_top_brqrv_dec_tlu_mcountinhibit[2]),
+	.C(brqrv_top_brqrv_dec_tlu_illegal_r),
+	.D_N(n_35464),
+	.Y(brqrv_top_brqrv_dec_tlu_i0_valid_no_ebreak_ecall_r), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g657486 (
+	.A1_N(n_12123),
+	.A2_N(n_12165),
+	.B1(n_12123),
+	.B2(n_12165),
+	.Y(n_34617), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g657487 (
+	.A1(n_1562),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[96]),
+	.B1(n_1864),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[64]),
+	.C1(n_12209),
+	.Y(n_12327), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g657488 (
+	.A1_N(n_12170),
+	.A2_N(n_35686),
+	.B1(n_12170),
+	.B2(n_35686),
+	.Y(n_35386), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g657489 (
+	.A1(n_1864),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[74]),
+	.B1(n_1563),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[42]),
+	.C1(n_12173),
+	.Y(n_12326), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g657490 (
+	.A1(n_1864),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[66]),
+	.B1(n_1563),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[34]),
+	.C1(n_12207),
+	.Y(n_12325), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g657491 (
+	.A1(n_1864),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[68]),
+	.B1(n_1563),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[36]),
+	.C1(n_12206),
+	.Y(n_12324), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g657492 (
+	.A1(n_1562),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[101]),
+	.B1(n_1563),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[37]),
+	.C1(n_12205),
+	.Y(n_12323), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g657493 (
+	.A1(n_1864),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[70]),
+	.B1(n_1563),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[38]),
+	.C1(n_12203),
+	.Y(n_12322), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g657494 (
+	.A1(n_1562),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[110]),
+	.B1(n_1864),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[78]),
+	.C1(n_12176),
+	.Y(n_12321), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g657495 (
+	.A1(n_1562),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[103]),
+	.B1(n_1864),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[71]),
+	.C1(n_12201),
+	.Y(n_12320), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g657496 (
+	.A1(n_1562),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[105]),
+	.B1(n_1864),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[73]),
+	.C1(n_12200),
+	.Y(n_12319), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g657497 (
+	.A(n_12119),
+	.B(n_12115),
+	.C(n_35418),
+	.Y(n_12317), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4bb_2 g657498 (
+	.A_N(n_35408),
+	.B_N(n_35416),
+	.C(n_31546),
+	.D(n_12062),
+	.X(n_12316), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3b_1 g657499 (
+	.A_N(n_12224),
+	.B(n_12032),
+	.C(n_31546),
+	.X(n_12315), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g657500 (
+	.A(n_12111),
+	.B(n_12121),
+	.C(n_12113),
+	.X(n_12314), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4b_1 g657501 (
+	.A_N(n_35408),
+	.B(n_12114),
+	.C(n_35410),
+	.D(n_35413),
+	.X(n_12313), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g657502 (
+	.A(n_12111),
+	.B(n_12121),
+	.C(n_12037),
+	.X(n_12312), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4bb_2 g657503 (
+	.A_N(n_35414),
+	.B_N(n_33903),
+	.C(n_35656),
+	.D(n_35653),
+	.X(n_12311), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3b_1 g657504 (
+	.A_N(n_12120),
+	.B(n_12114),
+	.C(n_12063),
+	.X(n_12310), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g657505 (
+	.A(n_12262),
+	.B(n_12060),
+	.C(n_12036),
+	.X(n_12309), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g657506 (
+	.A(n_12012),
+	.B(n_12060),
+	.C(n_35418),
+	.X(n_12307), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g657507 (
+	.A(n_12271),
+	.Y(n_33863), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g657509 (
+	.A(n_12264),
+	.Y(n_12265), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g657510 (
+	.A(n_12263),
+	.Y(n_34367), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g657511 (
+	.A(n_12255),
+	.Y(n_12254), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g657512 (
+	.A(n_33911),
+	.B_N(brqrv_top_brqrv_dec_decode_i0_icaf_d),
+	.Y(brqrv_top_brqrv_dec_decode_d_t[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657513 (
+	.A(n_12096),
+	.B(n_35626),
+	.Y(brqrv_top_brqrv_dec_decode_i0_inst_d[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657514 (
+	.A(n_12138),
+	.B(n_35621),
+	.Y(brqrv_top_brqrv_dec_decode_i0_inst_d[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g657515 (
+	.A_N(brqrv_top_brqrv_dec_tlu_dec_tlu_pmu_fw_halted),
+	.B(n_33304),
+	.Y(brqrv_top_brqrv_dec_tlu_perfcnt_halted), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657516 (
+	.A(n_12097),
+	.B(n_35601),
+	.Y(brqrv_top_brqrv_dec_decode_i0_inst_d[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g657517 (
+	.A(n_35419),
+	.B(n_33911),
+	.Y(brqrv_top_brqrv_dec_decode_csr_write_d), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657518 (
+	.A(n_12139),
+	.B(n_35602),
+	.Y(brqrv_top_brqrv_dec_decode_i0_inst_d[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657519 (
+	.A(n_12160),
+	.B(n_36111),
+	.Y(n_12252), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657520 (
+	.A(n_12134),
+	.B(n_35599),
+	.Y(brqrv_top_brqrv_dec_decode_i0_inst_d[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657521 (
+	.A(n_12160),
+	.B(n_36140),
+	.Y(n_12251), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657522 (
+	.A(n_12160),
+	.B(n_36135),
+	.Y(n_12250), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657523 (
+	.A(n_12160),
+	.B(n_36130),
+	.Y(n_12249), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657524 (
+	.A(n_12160),
+	.B(n_36125),
+	.Y(n_12248), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657525 (
+	.A(n_12160),
+	.B(n_36106),
+	.Y(n_12247), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657526 (
+	.A(n_12098),
+	.B(n_35616),
+	.Y(brqrv_top_brqrv_dec_decode_i0_inst_d[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657527 (
+	.A(n_12161),
+	.B(n_36111),
+	.Y(n_12246), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657528 (
+	.A(n_12133),
+	.B(n_35619),
+	.Y(brqrv_top_brqrv_dec_decode_i0_inst_d[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g657529 (
+	.A(n_35420),
+	.B(n_33911),
+	.X(n_34249), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657530 (
+	.A(n_12161),
+	.B(n_36120),
+	.Y(n_12245), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g657531 (
+	.A(brqrv_top_brqrv_dbg_sbcs_reg_int[17]),
+	.B(n_12167),
+	.Y(brqrv_top_brqrv_dbg_sbaddress0_incr[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g657532 (
+	.A(n_34811),
+	.B(n_33911),
+	.Y(brqrv_top_brqrv_dec_i0_predict_p_d[37]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657533 (
+	.A(n_12161),
+	.B(n_36130),
+	.Y(n_12244), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657534 (
+	.A(n_12103),
+	.B(brqrv_top_brqrv_dec_tlu_mdseac[0]),
+	.Y(n_12243), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657535 (
+	.A(n_12122),
+	.B(n_35596),
+	.Y(brqrv_top_brqrv_dec_decode_i0_inst_d[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657537 (
+	.A(n_12161),
+	.B(n_36140),
+	.Y(n_12242), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657538 (
+	.A(n_12161),
+	.B(n_36135),
+	.Y(n_12241), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657539 (
+	.A(n_12161),
+	.B(n_36125),
+	.Y(n_12240), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657540 (
+	.A(n_12161),
+	.B(n_36115),
+	.Y(n_12239), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657541 (
+	.A(n_12161),
+	.B(n_36106),
+	.Y(n_12238), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657542 (
+	.A(n_12160),
+	.B(n_36115),
+	.Y(n_12237), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g657543 (
+	.A(n_33864),
+	.B(n_33911),
+	.Y(n_12236), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657544 (
+	.A(n_12122),
+	.B(n_35618),
+	.Y(brqrv_top_brqrv_dec_decode_i0_inst_d[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g657546 (
+	.A_N(brqrv_top_brqrv_dbg_sbcs_reg_int[17]),
+	.B(n_12168),
+	.Y(brqrv_top_brqrv_dbg_n_4428), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657547 (
+	.A(n_12168),
+	.B(brqrv_top_brqrv_dbg_sbcs_reg_int[17]),
+	.Y(brqrv_top_brqrv_dbg_n_4432), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g657548 (
+	.A(n_34620),
+	.B(n_34665),
+	.X(n_34222), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657549 (
+	.A(n_12108),
+	.B(brqrv_top_brqrv_dec_tlu_dicad1_raw[5]),
+	.Y(n_12235), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657550 (
+	.A(n_12159),
+	.B(brqrv_top_brqrv_dec_tlu_dicad0h[4]),
+	.Y(n_12234), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657551 (
+	.A(n_12087),
+	.B(n_35603),
+	.Y(brqrv_top_brqrv_dec_decode_i0_inst_d[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657552 (
+	.A(n_12160),
+	.B(n_36120),
+	.Y(n_12233), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g657553 (
+	.A_N(brqrv_top_brqrv_dec_tlu_n_12944),
+	.B(brqrv_top_brqrv_trigger_pkt_any[114]),
+	.Y(n_12232), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g657554 (
+	.A_N(brqrv_top_brqrv_dec_tlu_n_12950),
+	.B(brqrv_top_brqrv_trigger_pkt_any[38]),
+	.Y(n_12231), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g657555 (
+	.A_N(brqrv_top_brqrv_dec_tlu_n_12947),
+	.B(brqrv_top_brqrv_trigger_pkt_any[76]),
+	.Y(n_12230), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657556 (
+	.A(n_12099),
+	.B(n_35605),
+	.Y(brqrv_top_brqrv_dec_decode_i0_inst_d[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657557 (
+	.A(n_12141),
+	.B(n_35627),
+	.Y(brqrv_top_brqrv_dec_decode_i0_inst_d[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657558 (
+	.A(n_12085),
+	.B(n_35607),
+	.Y(brqrv_top_brqrv_dec_decode_i0_inst_d[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g657559 (
+	.A(n_36371),
+	.B(brqrv_top_brqrv_dbg_n_4265),
+	.Y(n_38144), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g657560 (
+	.A1(n_36373),
+	.A2(n_36372),
+	.B1_N(n_34032),
+	.Y(n_12229), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g657561 (
+	.A1(n_34032),
+	.A2(n_36374),
+	.B1_N(n_33989),
+	.Y(n_12228), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g657562 (
+	.A(n_33859),
+	.B(n_36248),
+	.Y(n_12271), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g657563 (
+	.A_N(brqrv_top_brqrv_dec_dec_csr_wraddr_r[7]),
+	.B(n_42997),
+	.Y(brqrv_top_brqrv_dec_tlu_n_397), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g657564 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[14]),
+	.B(n_33295),
+	.Y(n_12270), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g657565 (
+	.A1(n_33856),
+	.A2(n_12080),
+	.B1(n_35697),
+	.Y(n_12269), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g657566 (
+	.A(n_34573),
+	.B_N(n_34572),
+	.Y(n_12268), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g657567 (
+	.A_N(n_33303),
+	.B(brqrv_top_brqrv_dec_tlu_mcyclel[3]),
+	.C(brqrv_top_brqrv_dec_tlu_mcyclel[2]),
+	.Y(n_35663), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g657568 (
+	.A_N(n_33302),
+	.B(brqrv_top_brqrv_dec_tlu_minstretl[3]),
+	.C(brqrv_top_brqrv_dec_tlu_minstretl[2]),
+	.Y(n_35665), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g657569 (
+	.A(n_12127),
+	.B(n_35649),
+	.Y(n_12267), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g657570 (
+	.A(brqrv_top_brqrv_exu_i0_rs2_d[2]),
+	.B_N(n_12166),
+	.Y(n_12266), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657571 (
+	.A(n_12164),
+	.B(n_12113),
+	.Y(n_12264), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g657572 (
+	.A(n_34819),
+	.B(n_34368),
+	.Y(n_12263), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g657573 (
+	.A(n_31546),
+	.B_N(n_12119),
+	.Y(n_12262), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g657576 (
+	.A(n_35645),
+	.B_N(n_12126),
+	.Y(n_12261), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g657577 (
+	.A1(n_12045),
+	.A2(n_36215),
+	.B1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_pre_m[7]),
+	.Y(n_34430), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g657578 (
+	.A(brqrv_top_brqrv_dec_tlu_n_12947),
+	.B(n_33901),
+	.Y(n_12260), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g657579 (
+	.A(brqrv_top_brqrv_dec_tlu_n_12944),
+	.B(n_33901),
+	.Y(n_12259), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g657580 (
+	.A(brqrv_top_brqrv_dec_tlu_n_12950),
+	.B(n_33901),
+	.Y(n_12258), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g657581 (
+	.A(n_33905),
+	.B(n_33901),
+	.Y(n_12257), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g657582 (
+	.A(n_12128),
+	.B_N(n_12164),
+	.Y(n_12256), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g657583 (
+	.A(n_12120),
+	.B_N(n_12164),
+	.Y(n_12255), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_0 g657584 (
+	.A(n_12125),
+	.B(n_12037),
+	.X(n_12253), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g657588 (
+	.A1(n_1562),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dualhi[3]),
+	.B1(n_1563),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dualhi[1]),
+	.Y(n_12216), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657589 (
+	.A(n_12132),
+	.B(n_35622),
+	.Y(brqrv_top_brqrv_dec_decode_i0_inst_d[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g657591 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable_ff ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_count_ff [0]),
+	.C(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_count_ff [4]),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_count_ff [5]),
+	.X(n_12214), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g657592 (
+	.A(n_12036),
+	.B(n_12063),
+	.C(n_35407),
+	.D(n_12032),
+	.X(n_12213), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g657593 (
+	.A1(n_1864),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dualhi[2]),
+	.B1(n_1789),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dualhi[0]),
+	.Y(n_12212), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g657594 (
+	.A1(n_1563),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[35]),
+	.B1(n_1789),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[3]),
+	.X(n_12211), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g657595 (
+	.A1(n_1563),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[63]),
+	.B1(n_1789),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[31]),
+	.X(n_12210), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g657596 (
+	.A1(n_1563),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[32]),
+	.B1(n_1789),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[0]),
+	.X(n_12209), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g657597 (
+	.A1(n_1864),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[65]),
+	.B1(n_1789),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[1]),
+	.X(n_12208), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g657598 (
+	.A1(n_1562),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[98]),
+	.B1(n_1789),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[2]),
+	.X(n_12207), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g657599 (
+	.A1(n_1562),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[100]),
+	.B1(n_1789),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[4]),
+	.X(n_12206), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g657600 (
+	.A1(n_1864),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[69]),
+	.B1(n_1789),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[5]),
+	.X(n_12205), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g657601 (
+	.A(brqrv_top_brqrv_dec_tlu_mpmc_b[1]),
+	.B(n_35410),
+	.C(n_35416),
+	.Y(n_12204), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g657602 (
+	.A1(n_1562),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[102]),
+	.B1(n_1789),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[6]),
+	.X(n_12203), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g657603 (
+	.A1(brqrv_top_brqrv_ifu_aln_misc1[51]),
+	.A2(n_11517),
+	.B1(brqrv_top_brqrv_ifu_aln_misc2[51]),
+	.B2(FE_DBTN8_n_11566),
+	.X(n_12202), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g657604 (
+	.A1(n_1563),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[39]),
+	.B1(n_1789),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[7]),
+	.X(n_12201), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g657607 (
+	.A1(n_1563),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[41]),
+	.B1(n_1789),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[9]),
+	.X(n_12200), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g657608 (
+	.A1(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[12]),
+	.A2(n_8430),
+	.B1(brqrv_top_brqrv_dec_tlu_pc_r_d1[12]),
+	.B2(brqrv_top_brqrv_dec_tlu_pc0_valid_r_BAR),
+	.X(brqrv_top_brqrv_dec_tlu_pc_r[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g657609 (
+	.A1(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[5]),
+	.A2(n_8430),
+	.B1(brqrv_top_brqrv_dec_tlu_pc_r_d1[5]),
+	.B2(brqrv_top_brqrv_dec_tlu_pc0_valid_r_BAR),
+	.X(brqrv_top_brqrv_dec_tlu_pc_r[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g657610 (
+	.A1(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[6]),
+	.A2(n_8430),
+	.B1(brqrv_top_brqrv_dec_tlu_pc_r_d1[6]),
+	.B2(brqrv_top_brqrv_dec_tlu_pc0_valid_r_BAR),
+	.X(brqrv_top_brqrv_dec_tlu_pc_r[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g657611 (
+	.A1(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[4]),
+	.A2(n_8430),
+	.B1(brqrv_top_brqrv_dec_tlu_pc_r_d1[4]),
+	.B2(brqrv_top_brqrv_dec_tlu_pc0_valid_r_BAR),
+	.X(brqrv_top_brqrv_dec_tlu_pc_r[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g657612 (
+	.A1(brqrv_top_brqrv_dbg_data1_reg[12]),
+	.A2(n_12030),
+	.B1(n_36371),
+	.B2(brqrv_top_brqrv_dbg_command_reg[12]),
+	.X(n_38145), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g657613 (
+	.A1(brqrv_top_brqrv_dbg_data1_reg[15]),
+	.A2(n_12030),
+	.B1(n_36371),
+	.B2(brqrv_top_brqrv_dbg_command_reg[15]),
+	.X(n_38148), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g657614 (
+	.A1(brqrv_top_brqrv_dbg_data1_reg[13]),
+	.A2(n_12030),
+	.B1(n_36371),
+	.B2(brqrv_top_brqrv_dbg_command_reg[13]),
+	.X(n_38146), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g657615 (
+	.A1(brqrv_top_brqrv_dbg_data1_reg[14]),
+	.A2(n_12030),
+	.B1(n_36371),
+	.B2(brqrv_top_brqrv_dbg_command_reg[14]),
+	.X(n_38147), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g657616 (
+	.A1(brqrv_top_brqrv_dec_dec_i0_instr_d[2]),
+	.A2(FE_DBTN25_brqrv_top_brqrv_ifu_aln_first2B),
+	.B1(n_36251),
+	.B2(brqrv_top_brqrv_ifu_aln_first2B),
+	.X(brqrv_top_brqrv_dec_decode_i0_inst_d[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g657617 (
+	.A(n_34585),
+	.B(n_12136),
+	.C_N(n_43008),
+	.Y(n_12199), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g657618 (
+	.A1_N(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_n_4),
+	.A2_N(n_36372),
+	.B1(n_36372),
+	.B2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_n_4),
+	.Y(brqrv_top_brqrv_picm_rdaddr[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g657619 (
+	.A1(n_1864),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[66]),
+	.B1(n_1563),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[34]),
+	.X(n_12198), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g657620 (
+	.A1(n_1864),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_sideeffect[2]),
+	.B1(n_1563),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_sideeffect[1]),
+	.X(n_12197), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g657621 (
+	.A1(n_1864),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_samedw[2]),
+	.B1(n_1789),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_samedw[0]),
+	.Y(n_12196), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g657622 (
+	.A1(n_1562),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_samedw[3]),
+	.B1(n_1563),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_samedw[1]),
+	.Y(n_12195), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g657623 (
+	.A1(n_1562),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_write[3]),
+	.B1(n_1789),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_write[0]),
+	.X(n_12194), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g657624 (
+	.A1(n_1562),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dual[3]),
+	.B1(n_1789),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dual[0]),
+	.X(n_12193), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657625 (
+	.A(n_12091),
+	.B(n_35624),
+	.Y(brqrv_top_brqrv_dec_decode_i0_inst_d[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g657626 (
+	.A1(n_1563),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[62]),
+	.B1(n_1789),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[30]),
+	.X(n_12192), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g657627 (
+	.A1(n_1562),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[125]),
+	.B1(n_1789),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[29]),
+	.X(n_12191), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g657628 (
+	.A1(n_1563),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[60]),
+	.B1(n_1789),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[28]),
+	.X(n_12190), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g657629 (
+	.A1(n_1562),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[123]),
+	.B1(n_1789),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[27]),
+	.X(n_12189), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g657630 (
+	.A1(n_1563),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[58]),
+	.B1(n_1789),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[26]),
+	.X(n_12188), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g657631 (
+	.A1(n_1864),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[89]),
+	.B1(n_1789),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[25]),
+	.X(n_12187), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g657632 (
+	.A1(n_1562),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[120]),
+	.B1(n_1789),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[24]),
+	.X(n_12186), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g657633 (
+	.A1(n_1563),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[55]),
+	.B1(n_1789),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[23]),
+	.X(n_12185), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g657634 (
+	.A1(n_1864),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[86]),
+	.B1(n_1789),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[22]),
+	.X(n_12184), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g657635 (
+	.A1(n_1562),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[117]),
+	.B1(n_1789),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[21]),
+	.X(n_12183), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g657636 (
+	.A1(n_1563),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[52]),
+	.B1(n_1789),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[20]),
+	.X(n_12182), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g657637 (
+	.A1(n_1562),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[115]),
+	.B1(n_1789),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[19]),
+	.X(n_12181), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g657638 (
+	.A1(n_1864),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[82]),
+	.B1(n_1789),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[18]),
+	.X(n_12180), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g657639 (
+	.A1(n_1864),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[81]),
+	.B1(n_1789),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[17]),
+	.X(n_12179), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g657640 (
+	.A1(n_1563),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[48]),
+	.B1(n_1789),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[16]),
+	.X(n_12178), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g657641 (
+	.A1(n_1864),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[79]),
+	.B1(n_1789),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[15]),
+	.X(n_12177), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g657642 (
+	.A1(n_1563),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[46]),
+	.B1(n_1789),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[14]),
+	.X(n_12176), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g657643 (
+	.A1(n_1864),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[77]),
+	.B1(n_1789),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[13]),
+	.X(n_12175), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g657644 (
+	.A1(n_1563),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[43]),
+	.B1(n_1789),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[11]),
+	.X(n_12174), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g657645 (
+	.A1(n_1562),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[106]),
+	.B1(n_1789),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[10]),
+	.X(n_12173), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g657646 (
+	.A(n_35491),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[3]),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ldst_samedw_r), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g657647 (
+	.A(n_12115),
+	.B(n_12032),
+	.C(n_35417),
+	.X(n_12227), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g657648 (
+	.A(brqrv_top_brqrv_dec_decode_r_d[12]),
+	.B(n_35121),
+	.C(n_33312),
+	.X(brqrv_top_brqrv_dec_tlu_n_373), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g657649 (
+	.A(n_35411),
+	.B(n_12060),
+	.C(n_35393),
+	.Y(n_12226), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g657650 (
+	.A1(n_34892),
+	.A2(n_12081),
+	.B1(n_12043),
+	.B2(n_34819),
+	.Y(n_34362), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4b_1 g657651 (
+	.A_N(brqrv_top_dmi_reg_addr[6]),
+	.B(brqrv_top_dmi_reg_addr[3]),
+	.C(brqrv_top_dmi_reg_addr[5]),
+	.D(brqrv_top_dmi_reg_addr[4]),
+	.Y(n_35377), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g657652 (
+	.A(n_12114),
+	.B(n_35656),
+	.C(n_12063),
+	.Y(n_12225), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g657653 (
+	.A(n_35410),
+	.B(n_35652),
+	.C(n_35653),
+	.Y(n_12224), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657654 (
+	.A(n_12143),
+	.B(n_12118),
+	.Y(n_12223), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g657655 (
+	.A(n_35653),
+	.B(n_35649),
+	.C(n_12110),
+	.Y(n_12222), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g657656 (
+	.A(n_35653),
+	.B(n_35646),
+	.C(n_12110),
+	.Y(n_12221), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3b_1 g657657 (
+	.A_N(n_33908),
+	.B(n_12113),
+	.C(n_35415),
+	.X(n_12220), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g657659 (
+	.A(n_12111),
+	.B(n_12065),
+	.C(n_12062),
+	.X(n_12219), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g657660 (
+	.A(n_12065),
+	.B(n_12032),
+	.C(n_12060),
+	.X(n_12218), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g657661 (
+	.A(n_12115),
+	.B(n_12034),
+	.C(n_35654),
+	.X(n_12217), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g657663 (
+	.A(n_12169),
+	.Y(n_34713), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g657665 (
+	.A(n_1726),
+	.Y(n_33905), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g657666 (
+	.A(n_12161),
+	.Y(n_34374), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g657667 (
+	.A(n_12160),
+	.Y(n_34365), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657668 (
+	.A(n_1052),
+	.B(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[8]),
+	.Y(n_12157), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657669 (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_n_26),
+	.B(n_36851),
+	.Y(n_12156), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657670 (
+	.A(brqrv_top_brqrv_ifu_aln_alignicaf[1]),
+	.B(n_35474),
+	.Y(n_12155), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657671 (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_n_4),
+	.B(n_36373),
+	.Y(n_12154), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g657672 (
+	.A(n_11562),
+	.B_N(brqrv_top_brqrv_ifu_aln_misc0[51]),
+	.Y(n_12153), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g657677 (
+	.A(brqrv_top_brqrv_ifu_aln_first2B),
+	.B_N(brqrv_top_brqrv_dec_dec_i0_instr_d[20]),
+	.Y(brqrv_top_brqrv_dec_decode_i0_inst_d[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g657679 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[2]),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[0]),
+	.Y(n_12147), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657680 (
+	.A(brqrv_top_brqrv_dec_tlu_minstretl[7]),
+	.B(brqrv_top_brqrv_dec_tlu_minstretl[6]),
+	.Y(n_12146), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g657681 (
+	.A(brqrv_top_brqrv_dec_tlu_n_4284),
+	.B(brqrv_top_brqrv_dec_tlu_interrupt_valid_r),
+	.X(brqrv_top_brqrv_dec_tlu_exc_or_int_valid_r), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g657682 (
+	.A(n_36371),
+	.B(brqrv_top_brqrv_dma_ctrl_n_3254),
+	.Y(n_38143), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657683 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_n_6624 ),
+	.B(n_43577),
+	.Y(n_12145), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657684 (
+	.A(brqrv_top_brqrv_dec_tlu_mcyclel[7]),
+	.B(brqrv_top_brqrv_dec_tlu_mcyclel[6]),
+	.Y(n_12144), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g657685 (
+	.A(n_36371),
+	.B_N(brqrv_top_brqrv_dbg_data1_reg[27]),
+	.Y(n_38160), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g657686 (
+	.A(n_35653),
+	.B(n_35656),
+	.Y(n_12143), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657687 (
+	.A(FE_DBTN20_n_34692),
+	.B(n_37330),
+	.Y(n_12142), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g657688 (
+	.A(n_36371),
+	.B(brqrv_top_brqrv_dma_ctrl_n_3251),
+	.Y(n_38142), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657689 (
+	.A(n_32738),
+	.B(FE_DBTN25_brqrv_top_brqrv_ifu_aln_first2B),
+	.Y(n_12141), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g657690 (
+	.A(n_12030),
+	.B(brqrv_top_brqrv_dbg_data1_reg[29]),
+	.X(n_38162), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g657691 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[11]),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[9]),
+	.Y(n_12140), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g657692 (
+	.A(brqrv_top_brqrv_ifu_aln_first2B),
+	.B_N(brqrv_top_brqrv_dec_dec_i0_instr_d[17]),
+	.Y(brqrv_top_brqrv_dec_decode_i0_inst_d[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657693 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[8]),
+	.B(FE_DBTN25_brqrv_top_brqrv_ifu_aln_first2B),
+	.Y(n_12139), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g657694 (
+	.A(n_36371),
+	.B_N(brqrv_top_brqrv_dbg_data1_reg[31]),
+	.Y(n_38164), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g657695 (
+	.A(brqrv_top_brqrv_ifu_aln_first2B),
+	.B_N(brqrv_top_brqrv_dec_i0_predict_p_d[42]),
+	.Y(brqrv_top_brqrv_dec_decode_i0_inst_d[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657696 (
+	.A(n_43112),
+	.B(FE_DBTN25_brqrv_top_brqrv_ifu_aln_first2B),
+	.Y(n_12138), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g657697 (
+	.A(n_12030),
+	.B(brqrv_top_brqrv_dbg_data1_reg[30]),
+	.X(n_38163), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g657698 (
+	.A(n_36371),
+	.B_N(brqrv_top_brqrv_dbg_data1_reg[22]),
+	.Y(n_38155), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g657699 (
+	.A_N(n_34856),
+	.B(n_34580),
+	.Y(n_12137), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g657700 (
+	.A(n_34861),
+	.B_N(n_34586),
+	.Y(n_12136), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g657701 (
+	.A_N(n_34864),
+	.B(n_34586),
+	.Y(n_12135), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657702 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[9]),
+	.B(FE_DBTN25_brqrv_top_brqrv_ifu_aln_first2B),
+	.Y(n_12134), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g657703 (
+	.A(brqrv_top_brqrv_ifu_aln_first2B),
+	.B_N(brqrv_top_brqrv_dec_i0_predict_p_d[44]),
+	.Y(brqrv_top_brqrv_dec_decode_i0_inst_d[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g657704 (
+	.A(brqrv_top_brqrv_ifu_aln_first2B),
+	.B_N(n_32720),
+	.Y(brqrv_top_brqrv_dec_decode_i0_inst_d[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g657705 (
+	.A(n_36371),
+	.B_N(brqrv_top_brqrv_dbg_data1_reg[24]),
+	.Y(n_38157), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g657706 (
+	.A(n_36371),
+	.B_N(brqrv_top_brqrv_dbg_data1_reg[26]),
+	.Y(n_38159), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657707 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[11]),
+	.B(FE_DBTN25_brqrv_top_brqrv_ifu_aln_first2B),
+	.Y(n_12133), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657708 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[3]),
+	.B(FE_DBTN25_brqrv_top_brqrv_ifu_aln_first2B),
+	.Y(n_12132), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g657709 (
+	.A(n_36371),
+	.B_N(brqrv_top_brqrv_dbg_data1_reg[25]),
+	.Y(n_38158), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g657710 (
+	.A_N(n_35078),
+	.B(n_35474),
+	.Y(n_12172), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657712 (
+	.A(brqrv_top_brqrv_dbg_cmd_size[1]),
+	.B(brqrv_top_brqrv_dbg_cmd_size[0]),
+	.Y(brqrv_top_brqrv_dbg_n_4265), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g657713 (
+	.A(n_35509),
+	.B_N(brqrv_top_brqrv_dbg_cmd_wrdata[0]),
+	.Y(brqrv_top_brqrv_dec_decode_debug_fence_i), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657714 (
+	.A(brqrv_top_brqrv_dec_decode_i0_dec_n_483),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[3]),
+	.Y(n_33859), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657715 (
+	.A(n_34742),
+	.B(n_35690),
+	.Y(n_12170), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g657716 (
+	.A_N(n_35063),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_ageQ[0]),
+	.Y(n_34572), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g657718 (
+	.A_N(brqrv_top_brqrv_dec_decode_r_d[19]),
+	.B(brqrv_top_brqrv_dec_decode_r_d[20]),
+	.Y(n_35288), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657719 (
+	.A(brqrv_top_brqrv_dec_tlu_dcsr[10]),
+	.B(brqrv_top_brqrv_dec_tlu_dbg_halted),
+	.Y(n_33304), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g657720 (
+	.A(n_31667),
+	.B(n_34849),
+	.Y(n_12169), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g657721 (
+	.A(brqrv_top_brqrv_dbg_sbcs_reg_int[18]),
+	.B(brqrv_top_brqrv_dbg_sbcs_reg_int[19]),
+	.Y(n_12168), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657722 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[1]),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[0]),
+	.Y(n_34711), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657723 (
+	.A(n_34740),
+	.B(n_35691),
+	.Y(n_12131), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g657724 (
+	.A_N(brqrv_top_brqrv_dbg_sbcs_reg_int[19]),
+	.B(brqrv_top_brqrv_dbg_sbcs_reg_int[18]),
+	.Y(n_12167), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g657725 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_count_ff [1]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_count_ff [3]),
+	.X(n_35058), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657726 (
+	.A(brqrv_top_brqrv_dec_tlu_mcyclel[5]),
+	.B(brqrv_top_brqrv_dec_tlu_mcyclel[4]),
+	.Y(n_35664), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g657727 (
+	.A(n_34717),
+	.B(brqrv_top_brqrv_dec_i0_predict_p_d[46]),
+	.X(n_33295), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657728 (
+	.A(brqrv_top_brqrv_dec_tlu_mcyclel[1]),
+	.B(brqrv_top_brqrv_dec_tlu_mcyclel[0]),
+	.Y(n_33303), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g657729 (
+	.A(n_10200),
+	.B(brqrv_top_brqrv_exu_i0_rs2_d[0]),
+	.Y(n_12166), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g657730 (
+	.A(n_42910),
+	.B_N(n_36121),
+	.Y(n_36097), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657731 (
+	.A(n_34716),
+	.B(n_35688),
+	.Y(n_12165), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g657732 (
+	.A(n_34067),
+	.B_N(n_36110),
+	.Y(n_36056), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g657733 (
+	.A(n_42910),
+	.B_N(n_36136),
+	.Y(n_36103), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g657734 (
+	.A(n_42910),
+	.B_N(n_36126),
+	.Y(n_36099), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g657735 (
+	.A(n_34067),
+	.B_N(n_36144),
+	.Y(n_36070), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g657736 (
+	.A(n_35417),
+	.B(n_35406),
+	.Y(n_12164), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g657737 (
+	.A(n_34067),
+	.B_N(n_36139),
+	.Y(n_36068), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g657738 (
+	.A(n_42910),
+	.B_N(n_36131),
+	.Y(n_36101), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g657739 (
+	.A(n_42910),
+	.B_N(n_36107),
+	.Y(n_36091), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g657740 (
+	.A(n_34067),
+	.B_N(n_36129),
+	.Y(n_36064), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g657741 (
+	.A(n_34067),
+	.B_N(n_36114),
+	.Y(n_36058), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g657742 (
+	.A(n_34067),
+	.B_N(n_36119),
+	.Y(n_36060), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g657743 (
+	.A_N(n_34892),
+	.B(brqrv_top_brqrv_lsu_lsu_pkt_r[9]),
+	.Y(n_34368), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g657744 (
+	.A(brqrv_top_brqrv_dec_tlu_mtsel[0]),
+	.B(brqrv_top_brqrv_dec_tlu_mtsel[1]),
+	.Y(n_1726), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657745 (
+	.A(brqrv_top_brqrv_dec_tlu_mtsel[1]),
+	.B(brqrv_top_brqrv_dec_tlu_mtsel[0]),
+	.Y(brqrv_top_brqrv_dec_tlu_n_12944), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g657746 (
+	.A(n_35652),
+	.B(n_35651),
+	.Y(n_12162), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_0 g657748 (
+	.A(n_1540),
+	.B(n_34839),
+	.X(n_12161), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g657749 (
+	.A(n_34839),
+	.B(n_34561),
+	.Y(n_12160), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g657750 (
+	.A(n_35651),
+	.B(n_35647),
+	.Y(n_12159), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g657751 (
+	.A(n_33908),
+	.B(n_35646),
+	.Y(n_12158), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g657755 (
+	.A(n_12111),
+	.Y(n_12110), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g657757 (
+	.A(n_12106),
+	.Y(n_33901), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g657759 (
+	.A(n_36371),
+	.B_N(brqrv_top_brqrv_dbg_data1_reg[16]),
+	.Y(n_38149), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g657762 (
+	.A(brqrv_top_brqrv_ifu_aln_first2B),
+	.B_N(brqrv_top_brqrv_dec_dec_i0_instr_d[31]),
+	.Y(brqrv_top_brqrv_dec_decode_i0_inst_d[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g657763 (
+	.A(brqrv_top_brqrv_ifu_aln_first2B),
+	.B_N(brqrv_top_brqrv_dec_i0_predict_p_d[47]),
+	.Y(brqrv_top_brqrv_dec_decode_i0_inst_d[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g657764 (
+	.A(brqrv_top_brqrv_ifu_aln_first2B),
+	.B_N(brqrv_top_brqrv_dec_i0_predict_p_d[46]),
+	.Y(brqrv_top_brqrv_dec_decode_i0_inst_d[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g657765 (
+	.A(brqrv_top_brqrv_ifu_aln_first2B),
+	.B_N(brqrv_top_brqrv_dec_i0_predict_p_d[45]),
+	.Y(brqrv_top_brqrv_dec_decode_i0_inst_d[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g657766 (
+	.A(brqrv_top_brqrv_ifu_aln_first2B),
+	.B_N(brqrv_top_brqrv_dec_i0_predict_p_d[43]),
+	.Y(brqrv_top_brqrv_dec_decode_i0_inst_d[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g657767 (
+	.A(brqrv_top_brqrv_ifu_aln_first2B),
+	.B_N(brqrv_top_brqrv_dec_dec_i0_instr_d[24]),
+	.Y(brqrv_top_brqrv_dec_decode_i0_inst_d[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g657768 (
+	.A(brqrv_top_brqrv_ifu_aln_first2B),
+	.B_N(brqrv_top_brqrv_dec_dec_i0_instr_d[23]),
+	.Y(brqrv_top_brqrv_dec_decode_i0_inst_d[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g657769 (
+	.A(brqrv_top_brqrv_ifu_aln_first2B),
+	.B_N(brqrv_top_brqrv_dec_dec_i0_instr_d[22]),
+	.Y(brqrv_top_brqrv_dec_decode_i0_inst_d[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g657770 (
+	.A(brqrv_top_brqrv_ifu_aln_first2B),
+	.B_N(brqrv_top_brqrv_dec_dec_i0_instr_d[18]),
+	.Y(brqrv_top_brqrv_dec_decode_i0_inst_d[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g657771 (
+	.A(brqrv_top_brqrv_ifu_aln_first2B),
+	.B_N(brqrv_top_brqrv_dec_dec_i0_instr_d[16]),
+	.Y(brqrv_top_brqrv_dec_decode_i0_inst_d[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657772 (
+	.A(n_31667),
+	.B(FE_DBTN25_brqrv_top_brqrv_ifu_aln_first2B),
+	.Y(n_12099), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g657773 (
+	.A(n_36371),
+	.B_N(brqrv_top_brqrv_dbg_data1_reg[23]),
+	.Y(n_38156), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657774 (
+	.A(n_32409),
+	.B(FE_DBTN25_brqrv_top_brqrv_ifu_aln_first2B),
+	.Y(n_12098), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657775 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[7]),
+	.B(FE_DBTN25_brqrv_top_brqrv_ifu_aln_first2B),
+	.Y(n_12097), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657776 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[5]),
+	.B(FE_DBTN25_brqrv_top_brqrv_ifu_aln_first2B),
+	.Y(n_12096), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g657777 (
+	.A(n_31550),
+	.B(n_35654),
+	.Y(n_12095), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657778 (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_n_4),
+	.B(n_36374),
+	.Y(n_12094), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657780 (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_n_26),
+	.B(n_36852),
+	.Y(n_12092), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657781 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[4]),
+	.B(FE_DBTN25_brqrv_top_brqrv_ifu_aln_first2B),
+	.Y(n_12091), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g657782 (
+	.A(brqrv_top_brqrv_dec_tlu_mfdc_int[6]),
+	.B(n_31601),
+	.Y(n_12090), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g657783 (
+	.A(brqrv_top_brqrv_dec_tlu_mfdc_int[13]),
+	.B(n_31601),
+	.Y(n_12089), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657784 (
+	.A(n_33914),
+	.B(n_12030),
+	.Y(n_38141), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g657785 (
+	.A(brqrv_top_brqrv_dec_tlu_mfdc_int[14]),
+	.B(n_31601),
+	.Y(n_12088), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657786 (
+	.A(n_32406),
+	.B(FE_DBTN25_brqrv_top_brqrv_ifu_aln_first2B),
+	.Y(n_12087), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g657787 (
+	.A(n_36371),
+	.B_N(brqrv_top_brqrv_dbg_data1_reg[19]),
+	.Y(n_38152), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g657788 (
+	.A(n_36371),
+	.B_N(brqrv_top_brqrv_dbg_data1_reg[21]),
+	.Y(n_38154), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g657789 (
+	.A(n_36371),
+	.B_N(brqrv_top_brqrv_dbg_data1_reg[17]),
+	.Y(n_38150), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g657790 (
+	.A(brqrv_top_brqrv_ifu_aln_first2B),
+	.B_N(brqrv_top_brqrv_dec_dec_i0_instr_d[21]),
+	.Y(brqrv_top_brqrv_dec_decode_i0_inst_d[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g657791 (
+	.A(n_34854),
+	.B(n_12067),
+	.Y(n_12086), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g657792 (
+	.A(n_36371),
+	.B_N(brqrv_top_brqrv_dbg_data1_reg[18]),
+	.Y(n_38151), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657793 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[14]),
+	.B(FE_DBTN25_brqrv_top_brqrv_ifu_aln_first2B),
+	.Y(n_12085), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g657794 (
+	.A(n_12030),
+	.B(brqrv_top_brqrv_dbg_data1_reg[28]),
+	.X(n_38161), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657795 (
+	.A(n_1078),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[7]),
+	.Y(n_12084), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g657796 (
+	.A(brqrv_top_brqrv_dec_tlu_mfdc_int[15]),
+	.B(n_31601),
+	.Y(n_12083), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g657797 (
+	.A(n_36371),
+	.B_N(brqrv_top_brqrv_dbg_data1_reg[20]),
+	.Y(n_38153), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657798 (
+	.A(n_35469),
+	.B(n_35110),
+	.Y(n_12130), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g657799 (
+	.A(n_31546),
+	.B(n_35415),
+	.Y(n_12129), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 g657800 (
+	.A(brqrv_top_brqrv_dec_decode_r_d[11]),
+	.B(n_35106),
+	.X(brqrv_top_brqrv_dec_tlu_n_13273), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657801 (
+	.A(n_35656),
+	.B(n_35653),
+	.Y(n_12082), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657802 (
+	.A(n_12062),
+	.B(n_35652),
+	.Y(n_33903), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657803 (
+	.A(n_35415),
+	.B(n_35413),
+	.Y(n_12128), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g657804 (
+	.A_N(brqrv_top_dmi_reg_addr[1]),
+	.B(brqrv_top_dmi_reg_addr[0]),
+	.Y(n_34655), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657805 (
+	.A(n_35654),
+	.B(n_31550),
+	.Y(n_12127), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g657806 (
+	.A(n_35656),
+	.B(n_35413),
+	.Y(n_12126), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g657807 (
+	.A(n_35653),
+	.B(n_35410),
+	.Y(n_12125), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g657808 (
+	.A(n_35418),
+	.B(n_35410),
+	.Y(n_12124), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657809 (
+	.A(n_34739),
+	.B(n_35692),
+	.Y(n_12123), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657810 (
+	.A(brqrv_top_brqrv_dec_tlu_minstretl[1]),
+	.B(brqrv_top_brqrv_dec_tlu_minstretl[0]),
+	.Y(n_33302), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657811 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[1]),
+	.B(FE_DBTN25_brqrv_top_brqrv_ifu_aln_first2B),
+	.Y(n_12122), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g657812 (
+	.A(n_35115),
+	.B(n_35060),
+	.X(n_34620), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g657813 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_count_ff [2]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_count_ff [6]),
+	.X(n_35059), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657814 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[5]),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[4]),
+	.Y(n_35670), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657815 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[5]),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[4]),
+	.Y(n_35668), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g657816 (
+	.A(n_34662),
+	.B(n_42114),
+	.X(n_35365), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657817 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[1]),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[0]),
+	.Y(n_34710), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657818 (
+	.A(brqrv_top_brqrv_dec_tlu_minstretl[5]),
+	.B(brqrv_top_brqrv_dec_tlu_minstretl[4]),
+	.Y(n_35666), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g657819 (
+	.A(n_35654),
+	.B(n_12060),
+	.Y(n_12121), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g657820 (
+	.A(n_42910),
+	.B_N(n_36141),
+	.Y(n_36105), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657821 (
+	.A(n_35415),
+	.B(n_31546),
+	.Y(n_12120), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g657822 (
+	.A(n_35656),
+	.B(n_35415),
+	.Y(n_12119), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g657823 (
+	.A(n_34067),
+	.B_N(n_36124),
+	.Y(n_36062), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g657824 (
+	.A(n_42910),
+	.B_N(n_36112),
+	.Y(n_36093), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g657825 (
+	.A(n_35411),
+	.B(n_12036),
+	.Y(n_12118), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g657826 (
+	.A(n_34067),
+	.B_N(n_36134),
+	.Y(n_36066), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g657827 (
+	.A(n_12066),
+	.B(brqrv_top_brqrv_dec_tlu_mtsel[0]),
+	.Y(brqrv_top_brqrv_dec_tlu_n_12950), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g657828 (
+	.A(n_35649),
+	.B(n_35645),
+	.Y(n_12117), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g657830 (
+	.A(n_33908),
+	.B(n_35415),
+	.Y(n_12116), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g657831 (
+	.A(n_35413),
+	.B(n_35410),
+	.Y(n_12115), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g657832 (
+	.A(n_12062),
+	.B(n_35416),
+	.Y(n_12114), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g657833 (
+	.A(n_12034),
+	.B(n_31546),
+	.Y(n_12113), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g657834 (
+	.A(n_35645),
+	.B(n_35415),
+	.Y(n_12112), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g657835 (
+	.A(n_35415),
+	.B(n_35416),
+	.Y(n_12111), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g657836 (
+	.A(n_35413),
+	.B(n_35405),
+	.Y(n_12109), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g657837 (
+	.A(n_31546),
+	.B(n_35630),
+	.Y(n_12108), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g657838 (
+	.A(n_31546),
+	.B(n_35650),
+	.Y(n_12107), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g657839 (
+	.A(n_35413),
+	.B(n_35642),
+	.Y(n_12106), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g657840 (
+	.A(n_31546),
+	.B(n_35643),
+	.Y(n_12105), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g657841 (
+	.A(n_35413),
+	.B(n_35643),
+	.Y(n_12104), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g657842 (
+	.A(n_35652),
+	.B(n_35642),
+	.Y(n_12103), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g657843 (
+	.A(n_33908),
+	.B(n_35649),
+	.Y(n_12102), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g657845 (
+	.A(n_34610),
+	.Y(n_12081), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g657847 (
+	.A(n_35696),
+	.Y(n_12080), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g657849 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[13]),
+	.Y(n_37793), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g657851 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[26]),
+	.Y(n_37820), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g657854 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[13]),
+	.Y(n_37794), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g657858 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[5]),
+	.Y(n_37778), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g657859 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[21]),
+	.Y(n_37810), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g657860 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[4]),
+	.Y(n_37776), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g657862 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[7]),
+	.Y(n_37781), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g657863 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[11]),
+	.Y(n_37790), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g657871 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[20]),
+	.Y(n_37807), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g657872 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[16]),
+	.Y(n_37800), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g657873 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[18]),
+	.Y(n_37803), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g657874 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[7]),
+	.Y(n_37782), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g657875 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[17]),
+	.Y(n_37802), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g657876 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[28]),
+	.Y(n_37823), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g657877 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[31]),
+	.Y(n_37830), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g657879 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[9]),
+	.Y(n_37786), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g657880 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[12]),
+	.Y(n_37792), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g657883 (
+	.A(n_34686),
+	.Y(n_1899), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g657888 (
+	.A(n_34584),
+	.Y(n_12068), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g657889 (
+	.A(n_34580),
+	.Y(n_12067), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g657891 (
+	.A(brqrv_top_brqrv_dec_tlu_mtsel[1]),
+	.Y(n_12066), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g657893 (
+	.A(n_35404),
+	.Y(n_12064), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g657895 (
+	.A(n_35654),
+	.Y(n_12062), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g657899 (
+	.A(n_31601),
+	.Y(n_12059), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g657906 (
+	.A(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[8]),
+	.Y(n_12053), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g657909 (
+	.A(n_34785),
+	.Y(brqrv_top_brqrv_i0_ap[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g657910 (
+	.A(brqrv_top_brqrv_dec_tlu_npc_r_d1[7]),
+	.Y(n_12050), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g657911 (
+	.A(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[7]),
+	.Y(n_12049), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g657912 (
+	.A(brqrv_top_brqrv_dec_tlu_npc_r_d1[8]),
+	.Y(n_12048), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g657913 (
+	.A(brqrv_top_brqrv_dec_tlu_npc_r_d1[9]),
+	.Y(n_12047), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g657914 (
+	.A(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[9]),
+	.Y(n_12046), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g657915 (
+	.A(n_33834),
+	.Y(brqrv_top_brqrv_dec_tlu_i0_exception_valid_r), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g657918 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[9]),
+	.Y(n_37785), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g657919 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[28]),
+	.Y(n_37824), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g657921 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[14]),
+	.Y(n_37795), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g657922 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[2]),
+	.Y(n_37769), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g657924 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[26]),
+	.Y(n_37819), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g657925 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[3]),
+	.Y(n_37774), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g657927 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[6]),
+	.Y(n_37780), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g657928 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[10]),
+	.Y(n_37788), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g657929 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[6]),
+	.Y(n_37779), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g657930 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[16]),
+	.Y(n_37799), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g657931 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[2]),
+	.Y(n_37773), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g657932 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[10]),
+	.Y(n_37787), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g657933 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[15]),
+	.Y(n_37797), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g657935 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[30]),
+	.Y(n_37827), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g657936 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[11]),
+	.Y(n_37789), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g657937 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[14]),
+	.Y(n_37796), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g657939 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[29]),
+	.Y(n_37825), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g657941 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[29]),
+	.Y(n_37826), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g657942 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[30]),
+	.Y(n_37828), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g657943 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[8]),
+	.Y(n_37784), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g657944 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[17]),
+	.Y(n_37801), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g657949 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[1]),
+	.Y(n_37772), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g657950 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[31]),
+	.Y(n_37829), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g657951 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[12]),
+	.Y(n_37791), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g657952 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[18]),
+	.Y(n_37804), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g657953 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[15]),
+	.Y(n_37798), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g657959 (
+	.A(n_35646),
+	.Y(n_12037), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g657967 (
+	.A(n_34578),
+	.Y(n_1789), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 drc_bufs657973 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[1]),
+	.Y(n_37768), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 drc_bufs657977 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[3]),
+	.Y(n_37770), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 drc_bufs657981 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[4]),
+	.Y(n_37775), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 drc_bufs657989 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[8]),
+	.Y(n_37783), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g657993 (
+	.A1(n_33298),
+	.A2(brqrv_top_brqrv_exu_i0_rs2_d[0]),
+	.B1_N(n_12696),
+	.Y(n_12019), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g657994 (
+	.A_N(n_34364),
+	.B(n_35300),
+	.Y(n_12018), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g657995 (
+	.A(brqrv_top_brqrv_dec_i0_predict_p_d[44]),
+	.B(n_34848),
+	.C_N(n_12329),
+	.Y(n_12017), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g657996 (
+	.A(n_34691),
+	.B_N(n_35386),
+	.Y(n_12016), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g657997 (
+	.A(n_12225),
+	.B_N(n_12129),
+	.Y(n_12015), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g657998 (
+	.A_N(n_12167),
+	.B(brqrv_top_brqrv_dbg_sbcs_reg_int[17]),
+	.Y(brqrv_top_brqrv_dbg_n_4412), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g657999 (
+	.A(n_12224),
+	.B_N(n_12129),
+	.Y(n_12014), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g658000 (
+	.A(n_35415),
+	.B_N(n_12125),
+	.Y(n_12013), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g658001 (
+	.A(n_35653),
+	.B_N(n_12113),
+	.Y(n_12012), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g658002 (
+	.A(brqrv_top_brqrv_dec_tlu_mcgc_int[9]),
+	.B_N(n_12107),
+	.Y(n_12011), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2b_2 g658003 (
+	.A(n_35396),
+	.B_N(brqrv_top_brqrv_dec_i0_decode_d),
+	.X(n_33911), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g658004 (
+	.A_N(brqrv_top_brqrv_dec_tlu_mtsel[0]),
+	.B(brqrv_top_brqrv_dec_tlu_mtsel[1]),
+	.Y(brqrv_top_brqrv_dec_tlu_n_12947), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3b_1 g658005 (
+	.A_N(n_35653),
+	.B(n_12115),
+	.C(n_35418),
+	.X(n_12010), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g890951 (
+	.A1(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[30]),
+	.A2(n_11964),
+	.B1(n_11976),
+	.C1(n_11999),
+	.D1(n_12009),
+	.Y(n_34631), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g890952 (
+	.A(n_11978),
+	.B(n_12000),
+	.C(n_12001),
+	.D(n_12008),
+	.Y(n_12009), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g890953 (
+	.A1(n_1689),
+	.A2(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[27]),
+	.B1(n_11964),
+	.B2(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[30]),
+	.C1(n_12007),
+	.X(n_12008), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g890954 (
+	.A1(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[28]),
+	.A2(n_11960),
+	.B1(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[29]),
+	.B2(n_1725),
+	.C1(n_12006),
+	.Y(n_12007), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111oi_0 g890955 (
+	.A1(n_1706),
+	.A2(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[31]),
+	.B1(n_11994),
+	.C1(n_12003),
+	.D1(n_12005),
+	.Y(n_12006), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g890956 (
+	.A(n_12004),
+	.B(n_34513),
+	.Y(n_35192), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g890957 (
+	.A(n_12002),
+	.B(n_11985),
+	.C(n_11986),
+	.D(n_11993),
+	.Y(n_12005), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g890958 (
+	.A(n_34336),
+	.B(n_34515),
+	.C(n_11997),
+	.Y(n_12004), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g890959 (
+	.A1(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[19]),
+	.A2(n_11974),
+	.B1(n_11977),
+	.C1(n_11990),
+	.D1(n_11998),
+	.Y(n_12003), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g890960 (
+	.A(brqrv_top_brqrv_lsu_lsu_pkt_d[6]),
+	.B(brqrv_top_brqrv_lsu_lsu_pkt_d[7]),
+	.X(n_34208), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g890961 (
+	.A(n_11991),
+	.B(n_11989),
+	.C(n_11980),
+	.D(n_11992),
+	.Y(n_12002), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g890962 (
+	.A1(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[31]),
+	.A2(n_1706),
+	.B1(n_11988),
+	.C1(n_11987),
+	.D1(brqrv_top_brqrv_ifu_mem_ctl_n_2294),
+	.Y(n_12001), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g890963 (
+	.A(n_11984),
+	.B(n_11982),
+	.C(n_11979),
+	.D(n_11981),
+	.Y(n_12000), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g890964 (
+	.A1(n_2040),
+	.A2(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[27]),
+	.B1(n_11960),
+	.B2(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[28]),
+	.C1(n_11983),
+	.Y(n_11999), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g890965 (
+	.A1(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[19]),
+	.A2(n_11974),
+	.B1(n_11966),
+	.B2(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[20]),
+	.C1(n_11973),
+	.C2(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[20]),
+	.Y(n_11998), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g890966 (
+	.A_N(n_35058),
+	.B(n_11995),
+	.C(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_count_ff [0]),
+	.Y(n_11997), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_2 g890967 (
+	.A0(brqrv_top_brqrv_dma_mem_write),
+	.A1(n_11975),
+	.S(brqrv_top_brqrv_dec_lsu_valid_raw_d),
+	.X(brqrv_top_brqrv_lsu_lsu_pkt_d[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g890968 (
+	.A1(brqrv_top_brqrv_dma_mem_write),
+	.A2(brqrv_top_brqrv_dec_lsu_valid_raw_d),
+	.B1(n_11996),
+	.Y(brqrv_top_brqrv_lsu_lsu_pkt_d[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g890969 (
+	.A1(brqrv_top_brqrv_dec_extint_stall),
+	.A2(n_11965),
+	.B1(brqrv_top_brqrv_dec_lsu_valid_raw_d),
+	.Y(n_11996), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g890970 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_count_ff [4]),
+	.B(n_35059),
+	.C_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_count_ff [5]),
+	.Y(n_11995), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g890971 (
+	.A1(n_11969),
+	.A2(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[10]),
+	.B1(n_11959),
+	.B2(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[11]),
+	.Y(n_11994), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g890972 (
+	.A1(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[10]),
+	.A2(n_11969),
+	.B1(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[11]),
+	.B2(n_11959),
+	.Y(n_11993), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g890973 (
+	.A1(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[15]),
+	.A2(n_1723),
+	.B1(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[16]),
+	.B2(n_1741),
+	.Y(n_11992), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g890974 (
+	.A1(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[15]),
+	.A2(n_1711),
+	.B1(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[16]),
+	.B2(n_1980),
+	.Y(n_11991), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g890975 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[18]),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[18]),
+	.Y(n_11990), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g890976 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[17]),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[17]),
+	.X(n_11989), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g890977 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[8]),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[8]),
+	.Y(n_11988), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g890978 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[7]),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[7]),
+	.Y(n_11987), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g890979 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[12]),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[12]),
+	.Y(n_11986), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g890980 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[13]),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[13]),
+	.Y(n_11985), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g890981 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[25]),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[25]),
+	.Y(n_11984), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g890982 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[26]),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[26]),
+	.X(n_11983), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g890983 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[23]),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[23]),
+	.Y(n_11982), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g890984 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[24]),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[24]),
+	.Y(n_11981), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g890985 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[14]),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[14]),
+	.X(n_11980), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g890986 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[22]),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[22]),
+	.Y(n_11979), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g890987 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[9]),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[9]),
+	.X(n_11978), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g890988 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[21]),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[21]),
+	.Y(n_11977), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g890989 (
+	.A(n_1725),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[29]),
+	.Y(n_11976), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g890990 (
+	.A(brqrv_top_brqrv_dec_extint_stall),
+	.B(n_33985),
+	.Y(n_11975), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g890991 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[19]),
+	.Y(n_11974), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g890992 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[20]),
+	.Y(n_11973), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g890996 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[10]),
+	.Y(n_11969), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g890999 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[20]),
+	.Y(n_11966), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g891000 (
+	.A(n_33867),
+	.Y(n_11965), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g891001 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[30]),
+	.Y(n_11964), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g891004 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[29]),
+	.Y(n_1725), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g891005 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[28]),
+	.Y(n_11960), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g891006 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[11]),
+	.Y(n_11959), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o32ai_1 g614478 (
+	.A1(brqrv_top_brqrv_dma_mem_sz[0]),
+	.A2(n_33858),
+	.A3(brqrv_top_brqrv_dec_lsu_valid_raw_d),
+	.B1(n_11958),
+	.B2(n_35397),
+	.Y(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_m_in[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 g614479 (
+	.A(brqrv_top_brqrv_picm_rdaddr[0]),
+	.B(brqrv_top_brqrv_picm_rdaddr[1]),
+	.X(n_34205), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g614480 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[4]),
+	.B(n_35706),
+	.C(n_34824),
+	.D(n_36248),
+	.X(n_11958), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g614481 (
+	.A(n_35685),
+	.B(n_11957),
+	.X(brqrv_top_brqrv_picm_rdaddr[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g614482 (
+	.A(n_37961),
+	.B(n_37972),
+	.X(brqrv_top_brqrv_picm_rdaddr[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g614483 (
+	.A(n_33889),
+	.B(n_35684),
+	.Y(n_11957), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_dr_reg[2]  (
+	.CLK(n_35661),
+	.D(brqrv_top_dmi_wrapper_i_jtag_tap_sr[2]),
+	.Q(brqrv_top_dmi_reg_wdata[0]),
+	.RESET_B(n_11806),
+	.SCD(brqrv_top_dmi_reg_wdata[0]),
+	.SCE(brqrv_top_dmi_wrapper_i_jtag_tap_n_716), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_dr_reg[3]  (
+	.CLK(n_35661),
+	.D(brqrv_top_dmi_wrapper_i_jtag_tap_sr[3]),
+	.Q(brqrv_top_dmi_reg_wdata[1]),
+	.RESET_B(n_11806),
+	.SCD(brqrv_top_dmi_reg_wdata[1]),
+	.SCE(brqrv_top_dmi_wrapper_i_jtag_tap_n_716), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_dr_reg[4]  (
+	.CLK(n_35661),
+	.D(brqrv_top_dmi_wrapper_i_jtag_tap_sr[4]),
+	.Q(brqrv_top_dmi_reg_wdata[2]),
+	.RESET_B(n_11806),
+	.SCD(brqrv_top_dmi_reg_wdata[2]),
+	.SCE(brqrv_top_dmi_wrapper_i_jtag_tap_n_716), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_dr_reg[5]  (
+	.CLK(n_35661),
+	.D(brqrv_top_dmi_wrapper_i_jtag_tap_sr[5]),
+	.Q(brqrv_top_dmi_reg_wdata[3]),
+	.RESET_B(n_11806),
+	.SCD(brqrv_top_dmi_reg_wdata[3]),
+	.SCE(brqrv_top_dmi_wrapper_i_jtag_tap_n_716), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_dr_reg[6]  (
+	.CLK(n_35661),
+	.D(brqrv_top_dmi_wrapper_i_jtag_tap_sr[6]),
+	.Q(brqrv_top_dmi_reg_wdata[4]),
+	.RESET_B(n_11806),
+	.SCD(brqrv_top_dmi_reg_wdata[4]),
+	.SCE(brqrv_top_dmi_wrapper_i_jtag_tap_n_716), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_dr_reg[7]  (
+	.CLK(n_35661),
+	.D(brqrv_top_dmi_wrapper_i_jtag_tap_sr[7]),
+	.Q(brqrv_top_dmi_reg_wdata[5]),
+	.RESET_B(n_11806),
+	.SCD(brqrv_top_dmi_reg_wdata[5]),
+	.SCE(brqrv_top_dmi_wrapper_i_jtag_tap_n_716), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_dr_reg[8]  (
+	.CLK(n_35661),
+	.D(brqrv_top_dmi_wrapper_i_jtag_tap_sr[8]),
+	.Q(brqrv_top_dmi_reg_wdata[6]),
+	.RESET_B(n_11806),
+	.SCD(brqrv_top_dmi_reg_wdata[6]),
+	.SCE(brqrv_top_dmi_wrapper_i_jtag_tap_n_716), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_dr_reg[9]  (
+	.CLK(n_35661),
+	.D(brqrv_top_dmi_wrapper_i_jtag_tap_sr[9]),
+	.Q(brqrv_top_dmi_reg_wdata[7]),
+	.RESET_B(n_11806),
+	.SCD(brqrv_top_dmi_reg_wdata[7]),
+	.SCE(brqrv_top_dmi_wrapper_i_jtag_tap_n_716), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_dr_reg[10]  (
+	.CLK(n_35661),
+	.D(brqrv_top_dmi_wrapper_i_jtag_tap_sr[10]),
+	.Q(brqrv_top_dmi_reg_wdata[8]),
+	.RESET_B(n_11806),
+	.SCD(brqrv_top_dmi_reg_wdata[8]),
+	.SCE(brqrv_top_dmi_wrapper_i_jtag_tap_n_716), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_dr_reg[11]  (
+	.CLK(n_35661),
+	.D(brqrv_top_dmi_wrapper_i_jtag_tap_sr[11]),
+	.Q(brqrv_top_dmi_reg_wdata[9]),
+	.RESET_B(n_11806),
+	.SCD(brqrv_top_dmi_reg_wdata[9]),
+	.SCE(brqrv_top_dmi_wrapper_i_jtag_tap_n_716), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_dr_reg[12]  (
+	.CLK(n_35661),
+	.D(brqrv_top_dmi_wrapper_i_jtag_tap_sr[12]),
+	.Q(brqrv_top_dmi_reg_wdata[10]),
+	.RESET_B(n_11806),
+	.SCD(brqrv_top_dmi_reg_wdata[10]),
+	.SCE(brqrv_top_dmi_wrapper_i_jtag_tap_n_716), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_dr_reg[13]  (
+	.CLK(n_35661),
+	.D(brqrv_top_dmi_wrapper_i_jtag_tap_sr[13]),
+	.Q(brqrv_top_dmi_reg_wdata[11]),
+	.RESET_B(n_11806),
+	.SCD(brqrv_top_dmi_reg_wdata[11]),
+	.SCE(brqrv_top_dmi_wrapper_i_jtag_tap_n_716), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_dr_reg[14]  (
+	.CLK(n_35661),
+	.D(brqrv_top_dmi_wrapper_i_jtag_tap_sr[14]),
+	.Q(brqrv_top_dmi_reg_wdata[12]),
+	.RESET_B(n_11806),
+	.SCD(brqrv_top_dmi_reg_wdata[12]),
+	.SCE(brqrv_top_dmi_wrapper_i_jtag_tap_n_716), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_dr_reg[15]  (
+	.CLK(n_35661),
+	.D(brqrv_top_dmi_wrapper_i_jtag_tap_sr[15]),
+	.Q(brqrv_top_dmi_reg_wdata[13]),
+	.RESET_B(n_11806),
+	.SCD(brqrv_top_dmi_reg_wdata[13]),
+	.SCE(brqrv_top_dmi_wrapper_i_jtag_tap_n_716), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_dr_reg[16]  (
+	.CLK(n_35661),
+	.D(brqrv_top_dmi_wrapper_i_jtag_tap_sr[16]),
+	.Q(brqrv_top_dmi_reg_wdata[14]),
+	.RESET_B(n_11806),
+	.SCD(brqrv_top_dmi_reg_wdata[14]),
+	.SCE(brqrv_top_dmi_wrapper_i_jtag_tap_n_716), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_dr_reg[17]  (
+	.CLK(n_35661),
+	.D(brqrv_top_dmi_wrapper_i_jtag_tap_sr[17]),
+	.Q(brqrv_top_dmi_reg_wdata[15]),
+	.RESET_B(n_11806),
+	.SCD(brqrv_top_dmi_reg_wdata[15]),
+	.SCE(brqrv_top_dmi_wrapper_i_jtag_tap_n_716), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_dr_reg[18]  (
+	.CLK(n_35661),
+	.D(brqrv_top_dmi_wrapper_i_jtag_tap_sr[18]),
+	.Q(brqrv_top_dmi_reg_wdata[16]),
+	.RESET_B(n_11806),
+	.SCD(brqrv_top_dmi_reg_wdata[16]),
+	.SCE(brqrv_top_dmi_wrapper_i_jtag_tap_n_716), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_dr_reg[19]  (
+	.CLK(n_35661),
+	.D(brqrv_top_dmi_wrapper_i_jtag_tap_sr[19]),
+	.Q(brqrv_top_dmi_reg_wdata[17]),
+	.RESET_B(n_11806),
+	.SCD(brqrv_top_dmi_reg_wdata[17]),
+	.SCE(brqrv_top_dmi_wrapper_i_jtag_tap_n_716), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_dr_reg[20]  (
+	.CLK(n_35661),
+	.D(brqrv_top_dmi_wrapper_i_jtag_tap_sr[20]),
+	.Q(brqrv_top_dmi_reg_wdata[18]),
+	.RESET_B(n_11806),
+	.SCD(brqrv_top_dmi_reg_wdata[18]),
+	.SCE(brqrv_top_dmi_wrapper_i_jtag_tap_n_716), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_dr_reg[21]  (
+	.CLK(n_35661),
+	.D(brqrv_top_dmi_wrapper_i_jtag_tap_sr[21]),
+	.Q(brqrv_top_dmi_reg_wdata[19]),
+	.RESET_B(n_11806),
+	.SCD(brqrv_top_dmi_reg_wdata[19]),
+	.SCE(brqrv_top_dmi_wrapper_i_jtag_tap_n_716), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_dr_reg[22]  (
+	.CLK(n_35661),
+	.D(brqrv_top_dmi_wrapper_i_jtag_tap_sr[22]),
+	.Q(brqrv_top_dmi_reg_wdata[20]),
+	.RESET_B(n_11806),
+	.SCD(brqrv_top_dmi_reg_wdata[20]),
+	.SCE(brqrv_top_dmi_wrapper_i_jtag_tap_n_716), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_dr_reg[23]  (
+	.CLK(n_35661),
+	.D(brqrv_top_dmi_wrapper_i_jtag_tap_sr[23]),
+	.Q(brqrv_top_dmi_reg_wdata[21]),
+	.RESET_B(n_11806),
+	.SCD(brqrv_top_dmi_reg_wdata[21]),
+	.SCE(brqrv_top_dmi_wrapper_i_jtag_tap_n_716), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_dr_reg[24]  (
+	.CLK(n_35661),
+	.D(brqrv_top_dmi_wrapper_i_jtag_tap_sr[24]),
+	.Q(brqrv_top_dmi_reg_wdata[22]),
+	.RESET_B(n_11806),
+	.SCD(brqrv_top_dmi_reg_wdata[22]),
+	.SCE(brqrv_top_dmi_wrapper_i_jtag_tap_n_716), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_dr_reg[25]  (
+	.CLK(n_35661),
+	.D(brqrv_top_dmi_wrapper_i_jtag_tap_sr[25]),
+	.Q(brqrv_top_dmi_reg_wdata[23]),
+	.RESET_B(n_11806),
+	.SCD(brqrv_top_dmi_reg_wdata[23]),
+	.SCE(brqrv_top_dmi_wrapper_i_jtag_tap_n_716), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_dr_reg[26]  (
+	.CLK(n_35661),
+	.D(brqrv_top_dmi_wrapper_i_jtag_tap_sr[26]),
+	.Q(brqrv_top_dmi_reg_wdata[24]),
+	.RESET_B(n_11806),
+	.SCD(brqrv_top_dmi_reg_wdata[24]),
+	.SCE(brqrv_top_dmi_wrapper_i_jtag_tap_n_716), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_dr_reg[27]  (
+	.CLK(n_35661),
+	.D(brqrv_top_dmi_wrapper_i_jtag_tap_sr[27]),
+	.Q(brqrv_top_dmi_reg_wdata[25]),
+	.RESET_B(n_11806),
+	.SCD(brqrv_top_dmi_reg_wdata[25]),
+	.SCE(brqrv_top_dmi_wrapper_i_jtag_tap_n_716), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_dr_reg[28]  (
+	.CLK(n_35661),
+	.D(brqrv_top_dmi_wrapper_i_jtag_tap_sr[28]),
+	.Q(brqrv_top_dmi_reg_wdata[26]),
+	.RESET_B(n_11806),
+	.SCD(brqrv_top_dmi_reg_wdata[26]),
+	.SCE(brqrv_top_dmi_wrapper_i_jtag_tap_n_716), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_dr_reg[29]  (
+	.CLK(n_35661),
+	.D(brqrv_top_dmi_wrapper_i_jtag_tap_sr[29]),
+	.Q(brqrv_top_dmi_reg_wdata[27]),
+	.RESET_B(n_11806),
+	.SCD(brqrv_top_dmi_reg_wdata[27]),
+	.SCE(brqrv_top_dmi_wrapper_i_jtag_tap_n_716), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_dr_reg[30]  (
+	.CLK(n_35661),
+	.D(brqrv_top_dmi_wrapper_i_jtag_tap_sr[30]),
+	.Q(brqrv_top_dmi_reg_wdata[28]),
+	.RESET_B(n_11806),
+	.SCD(brqrv_top_dmi_reg_wdata[28]),
+	.SCE(brqrv_top_dmi_wrapper_i_jtag_tap_n_716), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_dr_reg[31]  (
+	.CLK(n_35661),
+	.D(brqrv_top_dmi_wrapper_i_jtag_tap_sr[31]),
+	.Q(brqrv_top_dmi_reg_wdata[29]),
+	.RESET_B(n_11806),
+	.SCD(brqrv_top_dmi_reg_wdata[29]),
+	.SCE(brqrv_top_dmi_wrapper_i_jtag_tap_n_716), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_dr_reg[32]  (
+	.CLK(n_35661),
+	.D(brqrv_top_dmi_wrapper_i_jtag_tap_sr[32]),
+	.Q(brqrv_top_dmi_reg_wdata[30]),
+	.RESET_B(n_11806),
+	.SCD(brqrv_top_dmi_reg_wdata[30]),
+	.SCE(brqrv_top_dmi_wrapper_i_jtag_tap_n_716), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_dr_reg[33]  (
+	.CLK(n_35661),
+	.D(brqrv_top_dmi_wrapper_i_jtag_tap_sr[33]),
+	.Q(brqrv_top_dmi_reg_wdata[31]),
+	.RESET_B(n_11806),
+	.SCD(brqrv_top_dmi_reg_wdata[31]),
+	.SCE(brqrv_top_dmi_wrapper_i_jtag_tap_n_716), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_dr_reg[34]  (
+	.CLK(n_35661),
+	.D(brqrv_top_dmi_wrapper_i_jtag_tap_sr[34]),
+	.Q(brqrv_top_dmi_reg_addr[0]),
+	.RESET_B(n_11806),
+	.SCD(brqrv_top_dmi_reg_addr[0]),
+	.SCE(brqrv_top_dmi_wrapper_i_jtag_tap_n_716), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_dr_reg[35]  (
+	.CLK(n_35661),
+	.D(brqrv_top_dmi_wrapper_i_jtag_tap_sr[35]),
+	.Q(brqrv_top_dmi_reg_addr[1]),
+	.RESET_B(n_11806),
+	.SCD(brqrv_top_dmi_reg_addr[1]),
+	.SCE(brqrv_top_dmi_wrapper_i_jtag_tap_n_716), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_dr_reg[36]  (
+	.CLK(n_35661),
+	.D(brqrv_top_dmi_wrapper_i_jtag_tap_sr[36]),
+	.Q(brqrv_top_dmi_reg_addr[2]),
+	.RESET_B(n_11806),
+	.SCD(brqrv_top_dmi_reg_addr[2]),
+	.SCE(brqrv_top_dmi_wrapper_i_jtag_tap_n_716), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_dr_reg[37]  (
+	.CLK(n_35661),
+	.D(brqrv_top_dmi_wrapper_i_jtag_tap_sr[37]),
+	.Q(brqrv_top_dmi_reg_addr[3]),
+	.RESET_B(n_11806),
+	.SCD(brqrv_top_dmi_reg_addr[3]),
+	.SCE(brqrv_top_dmi_wrapper_i_jtag_tap_n_716), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_dr_reg[38]  (
+	.CLK(n_35661),
+	.D(brqrv_top_dmi_wrapper_i_jtag_tap_sr[38]),
+	.Q(brqrv_top_dmi_reg_addr[4]),
+	.RESET_B(n_11806),
+	.SCD(brqrv_top_dmi_reg_addr[4]),
+	.SCE(brqrv_top_dmi_wrapper_i_jtag_tap_n_716), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_dr_reg[39]  (
+	.CLK(n_35661),
+	.D(brqrv_top_dmi_wrapper_i_jtag_tap_sr[39]),
+	.Q(brqrv_top_dmi_reg_addr[5]),
+	.RESET_B(n_11806),
+	.SCD(brqrv_top_dmi_reg_addr[5]),
+	.SCE(brqrv_top_dmi_wrapper_i_jtag_tap_n_716), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_dr_reg[40]  (
+	.CLK(n_35661),
+	.D(brqrv_top_dmi_wrapper_i_jtag_tap_sr[40]),
+	.Q(brqrv_top_dmi_reg_addr[6]),
+	.RESET_B(n_11806),
+	.SCD(brqrv_top_dmi_reg_addr[6]),
+	.SCE(brqrv_top_dmi_wrapper_i_jtag_tap_n_716), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfbbn_1 \brqrv_top_dmi_wrapper_i_jtag_tap_ir_reg[0]  (
+	.CLK_N(n_35661),
+	.D(n_11868),
+	.Q(brqrv_top_dmi_wrapper_i_jtag_tap_ir[0]),
+	.RESET_B(n_44321),
+	.SET_B(n_11806), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \brqrv_top_dmi_wrapper_i_jtag_tap_ir_reg[1]  (
+	.CLK_N(n_35661),
+	.D(n_11872),
+	.Q(brqrv_top_dmi_wrapper_i_jtag_tap_ir[1]),
+	.RESET_B(n_11806), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \brqrv_top_dmi_wrapper_i_jtag_tap_ir_reg[2]  (
+	.CLK_N(n_35661),
+	.D(n_11874),
+	.Q(brqrv_top_dmi_wrapper_i_jtag_tap_ir[2]),
+	.RESET_B(n_11806), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \brqrv_top_dmi_wrapper_i_jtag_tap_ir_reg[3]  (
+	.CLK_N(n_35661),
+	.D(n_11869),
+	.Q(brqrv_top_dmi_wrapper_i_jtag_tap_ir[3]),
+	.RESET_B(n_11806), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \brqrv_top_dmi_wrapper_i_jtag_tap_ir_reg[4]  (
+	.CLK_N(n_35661),
+	.D(n_11870),
+	.Q(brqrv_top_dmi_wrapper_i_jtag_tap_ir[4]),
+	.RESET_B(n_11806), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_sr_reg[0]  (
+	.CLK(n_35661),
+	.D(n_11946),
+	.Q(brqrv_top_dmi_wrapper_i_jtag_tap_sr[0]),
+	.RESET_B(n_11806), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_sr_reg[1]  (
+	.CLK(n_35661),
+	.D(n_11948),
+	.Q(brqrv_top_dmi_wrapper_i_jtag_tap_sr[1]),
+	.RESET_B(n_11806), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_sr_reg[2]  (
+	.CLK(n_35661),
+	.D(n_11950),
+	.Q(brqrv_top_dmi_wrapper_i_jtag_tap_sr[2]),
+	.RESET_B(n_11806), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_sr_reg[3]  (
+	.CLK(n_35661),
+	.D(n_11953),
+	.Q(brqrv_top_dmi_wrapper_i_jtag_tap_sr[3]),
+	.RESET_B(n_11806), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_sr_reg[4]  (
+	.CLK(n_35661),
+	.D(n_11951),
+	.Q(brqrv_top_dmi_wrapper_i_jtag_tap_sr[4]),
+	.RESET_B(n_11806), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_sr_reg[5]  (
+	.CLK(n_35661),
+	.D(n_11955),
+	.Q(brqrv_top_dmi_wrapper_i_jtag_tap_sr[5]),
+	.RESET_B(n_11806), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_sr_reg[6]  (
+	.CLK(n_35661),
+	.D(n_11954),
+	.Q(brqrv_top_dmi_wrapper_i_jtag_tap_sr[6]),
+	.RESET_B(n_11806), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_sr_reg[7]  (
+	.CLK(n_35661),
+	.D(n_11952),
+	.Q(brqrv_top_dmi_wrapper_i_jtag_tap_sr[7]),
+	.RESET_B(n_11806), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_sr_reg[8]  (
+	.CLK(n_35661),
+	.D(n_11931),
+	.Q(brqrv_top_dmi_wrapper_i_jtag_tap_sr[8]),
+	.RESET_B(n_11806), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_sr_reg[9]  (
+	.CLK(n_35661),
+	.D(n_11930),
+	.Q(brqrv_top_dmi_wrapper_i_jtag_tap_sr[9]),
+	.RESET_B(n_11806), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_sr_reg[10]  (
+	.CLK(n_35661),
+	.D(n_11929),
+	.Q(brqrv_top_dmi_wrapper_i_jtag_tap_sr[10]),
+	.RESET_B(n_11806), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_sr_reg[11]  (
+	.CLK(n_35661),
+	.D(n_11928),
+	.Q(brqrv_top_dmi_wrapper_i_jtag_tap_sr[11]),
+	.RESET_B(n_11806), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_sr_reg[12]  (
+	.CLK(n_35661),
+	.D(n_11927),
+	.Q(brqrv_top_dmi_wrapper_i_jtag_tap_sr[12]),
+	.RESET_B(n_11806), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_sr_reg[13]  (
+	.CLK(n_35661),
+	.D(n_11926),
+	.Q(brqrv_top_dmi_wrapper_i_jtag_tap_sr[13]),
+	.RESET_B(n_11806), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_sr_reg[14]  (
+	.CLK(n_35661),
+	.D(n_11940),
+	.Q(brqrv_top_dmi_wrapper_i_jtag_tap_sr[14]),
+	.RESET_B(n_11806), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_sr_reg[15]  (
+	.CLK(n_35661),
+	.D(n_11902),
+	.Q(brqrv_top_dmi_wrapper_i_jtag_tap_sr[15]),
+	.RESET_B(n_11806), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_sr_reg[16]  (
+	.CLK(n_35661),
+	.D(n_11910),
+	.Q(brqrv_top_dmi_wrapper_i_jtag_tap_sr[16]),
+	.RESET_B(n_11806), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_sr_reg[17]  (
+	.CLK(n_35661),
+	.D(n_11909),
+	.Q(brqrv_top_dmi_wrapper_i_jtag_tap_sr[17]),
+	.RESET_B(n_11806), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_sr_reg[18]  (
+	.CLK(n_35661),
+	.D(n_11908),
+	.Q(brqrv_top_dmi_wrapper_i_jtag_tap_sr[18]),
+	.RESET_B(n_11806), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_sr_reg[19]  (
+	.CLK(n_35661),
+	.D(n_11906),
+	.Q(brqrv_top_dmi_wrapper_i_jtag_tap_sr[19]),
+	.RESET_B(n_11806), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_sr_reg[20]  (
+	.CLK(n_35661),
+	.D(n_11907),
+	.Q(brqrv_top_dmi_wrapper_i_jtag_tap_sr[20]),
+	.RESET_B(n_11806), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_sr_reg[21]  (
+	.CLK(n_35661),
+	.D(n_11905),
+	.Q(brqrv_top_dmi_wrapper_i_jtag_tap_sr[21]),
+	.RESET_B(n_11806), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_sr_reg[22]  (
+	.CLK(n_35661),
+	.D(n_11904),
+	.Q(brqrv_top_dmi_wrapper_i_jtag_tap_sr[22]),
+	.RESET_B(n_11806), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_sr_reg[23]  (
+	.CLK(n_35661),
+	.D(n_11903),
+	.Q(brqrv_top_dmi_wrapper_i_jtag_tap_sr[23]),
+	.RESET_B(n_11806), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_sr_reg[24]  (
+	.CLK(n_35661),
+	.D(n_11939),
+	.Q(brqrv_top_dmi_wrapper_i_jtag_tap_sr[24]),
+	.RESET_B(n_11806), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_sr_reg[25]  (
+	.CLK(n_35661),
+	.D(n_11938),
+	.Q(brqrv_top_dmi_wrapper_i_jtag_tap_sr[25]),
+	.RESET_B(n_11806), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_sr_reg[26]  (
+	.CLK(n_35661),
+	.D(n_11937),
+	.Q(brqrv_top_dmi_wrapper_i_jtag_tap_sr[26]),
+	.RESET_B(n_11806), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_sr_reg[27]  (
+	.CLK(n_35661),
+	.D(n_11936),
+	.Q(brqrv_top_dmi_wrapper_i_jtag_tap_sr[27]),
+	.RESET_B(n_11806), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_sr_reg[28]  (
+	.CLK(n_35661),
+	.D(n_11956),
+	.Q(brqrv_top_dmi_wrapper_i_jtag_tap_sr[28]),
+	.RESET_B(n_11806), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_sr_reg[29]  (
+	.CLK(n_35661),
+	.D(n_11934),
+	.Q(brqrv_top_dmi_wrapper_i_jtag_tap_sr[29]),
+	.RESET_B(n_11806), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_sr_reg[30]  (
+	.CLK(n_35661),
+	.D(n_11935),
+	.Q(brqrv_top_dmi_wrapper_i_jtag_tap_sr[30]),
+	.RESET_B(n_11806), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_sr_reg[31]  (
+	.CLK(n_35661),
+	.D(n_11941),
+	.Q(brqrv_top_dmi_wrapper_i_jtag_tap_sr[31]),
+	.RESET_B(n_11806), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_sr_reg[32]  (
+	.CLK(n_35661),
+	.D(n_11933),
+	.Q(brqrv_top_dmi_wrapper_i_jtag_tap_sr[32]),
+	.RESET_B(n_11806), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_sr_reg[33]  (
+	.CLK(n_35661),
+	.D(n_11932),
+	.Q(brqrv_top_dmi_wrapper_i_jtag_tap_sr[33]),
+	.RESET_B(n_11806), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_sr_reg[34]  (
+	.CLK(n_35661),
+	.D(n_11890),
+	.Q(brqrv_top_dmi_wrapper_i_jtag_tap_sr[34]),
+	.RESET_B(n_11806), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_sr_reg[35]  (
+	.CLK(n_35661),
+	.D(n_11901),
+	.Q(brqrv_top_dmi_wrapper_i_jtag_tap_sr[35]),
+	.RESET_B(n_11806), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_sr_reg[36]  (
+	.CLK(n_35661),
+	.D(n_11891),
+	.Q(brqrv_top_dmi_wrapper_i_jtag_tap_sr[36]),
+	.RESET_B(n_11806), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_sr_reg[37]  (
+	.CLK(n_35661),
+	.D(n_11889),
+	.Q(brqrv_top_dmi_wrapper_i_jtag_tap_sr[37]),
+	.RESET_B(n_11806), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_sr_reg[38]  (
+	.CLK(n_35661),
+	.D(n_11888),
+	.Q(brqrv_top_dmi_wrapper_i_jtag_tap_sr[38]),
+	.RESET_B(n_11806), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_sr_reg[39]  (
+	.CLK(n_35661),
+	.D(n_11887),
+	.Q(brqrv_top_dmi_wrapper_i_jtag_tap_sr[39]),
+	.RESET_B(n_11806), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_sr_reg[40]  (
+	.CLK(n_35661),
+	.D(n_11886),
+	.Q(brqrv_top_dmi_wrapper_i_jtag_tap_sr[40]),
+	.RESET_B(n_11806), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 lsu_axi_bvalid_reg (
+	.CLK(CTS_1),
+	.D(lsu_axi_wvalid),
+	.Q(lsu_axi_bvalid), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g616647 (
+	.A(n_11947),
+	.B(n_11847),
+	.Y(n_11956), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g616652 (
+	.A(n_11943),
+	.B(n_11860),
+	.Y(n_11955), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g616653 (
+	.A(n_11942),
+	.B(n_11860),
+	.Y(n_11954), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g616654 (
+	.A(n_11944),
+	.B(n_11847),
+	.Y(n_11953), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g616655 (
+	.A(n_11949),
+	.B(n_11847),
+	.Y(n_11952), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g616656 (
+	.A1(n_11843),
+	.A2(n_11823),
+	.B1(n_11860),
+	.C1(n_11883),
+	.D1(n_11880),
+	.Y(n_11951), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g616677 (
+	.A(n_11945),
+	.Y(n_11950), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g616678 (
+	.A1(brqrv_top_dmi_wrapper_i_jtag_tap_sr[7]),
+	.A2(n_11879),
+	.B1(n_11848),
+	.B2(brqrv_top_dmi_reg_rdata[5]),
+	.C1(n_11876),
+	.C2(brqrv_top_dmi_wrapper_i_jtag_tap_sr[8]),
+	.Y(n_11949), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g616679 (
+	.A(n_11885),
+	.B(n_11847),
+	.Y(n_11948), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g616680 (
+	.A1(brqrv_top_dmi_wrapper_i_jtag_tap_sr[28]),
+	.A2(n_11879),
+	.B1(n_11848),
+	.B2(brqrv_top_dmi_reg_rdata[26]),
+	.C1(n_11876),
+	.C2(brqrv_top_dmi_wrapper_i_jtag_tap_sr[29]),
+	.Y(n_11947), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o311ai_0 g616681 (
+	.A1(n_11838),
+	.A2(n_11866),
+	.A3(n_11823),
+	.B1(n_11867),
+	.C1(n_11884),
+	.Y(n_11946), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g616682 (
+	.A1(brqrv_top_dmi_wrapper_i_jtag_tap_sr[3]),
+	.A2(n_11811),
+	.B1(n_11848),
+	.B2(brqrv_top_dmi_reg_rdata[0]),
+	.C1(n_11879),
+	.C2(brqrv_top_dmi_wrapper_i_jtag_tap_sr[2]),
+	.Y(n_11945), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g616683 (
+	.A1(brqrv_top_dmi_wrapper_i_jtag_tap_sr[4]),
+	.A2(n_11811),
+	.B1(n_11848),
+	.B2(brqrv_top_dmi_reg_rdata[1]),
+	.C1(n_11879),
+	.C2(brqrv_top_dmi_wrapper_i_jtag_tap_sr[3]),
+	.Y(n_11944), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g616684 (
+	.A1(brqrv_top_dmi_wrapper_i_jtag_tap_sr[5]),
+	.A2(n_11879),
+	.B1(n_11848),
+	.B2(brqrv_top_dmi_reg_rdata[3]),
+	.C1(n_11876),
+	.C2(brqrv_top_dmi_wrapper_i_jtag_tap_sr[6]),
+	.Y(n_11943), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g616685 (
+	.A1(brqrv_top_dmi_wrapper_i_jtag_tap_sr[6]),
+	.A2(n_11879),
+	.B1(n_11848),
+	.B2(brqrv_top_dmi_reg_rdata[4]),
+	.C1(n_11876),
+	.C2(brqrv_top_dmi_wrapper_i_jtag_tap_sr[7]),
+	.Y(n_11942), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g616686 (
+	.A1(n_11879),
+	.A2(brqrv_top_dmi_wrapper_i_jtag_tap_sr[31]),
+	.B1(n_11848),
+	.B2(brqrv_top_dmi_reg_rdata[29]),
+	.C1(n_11877),
+	.X(n_11941), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g616698 (
+	.A(n_11925),
+	.Y(n_11940), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g616699 (
+	.A(n_11924),
+	.Y(n_11939), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g616700 (
+	.A(n_11923),
+	.Y(n_11938), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g616701 (
+	.A(n_11922),
+	.Y(n_11937), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g616702 (
+	.A(n_11921),
+	.Y(n_11936), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g616703 (
+	.A(n_11920),
+	.Y(n_11935), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g616704 (
+	.A(n_11919),
+	.Y(n_11934), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g616705 (
+	.A(n_11918),
+	.Y(n_11933), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g616706 (
+	.A(n_11917),
+	.Y(n_11932), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g616707 (
+	.A(n_11916),
+	.Y(n_11931), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g616708 (
+	.A(n_11915),
+	.Y(n_11930), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g616709 (
+	.A(n_11914),
+	.Y(n_11929), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g616710 (
+	.A(n_11913),
+	.Y(n_11928), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g616711 (
+	.A(n_11912),
+	.Y(n_11927), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g616712 (
+	.A(n_11911),
+	.Y(n_11926), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g616713 (
+	.A1(brqrv_top_dmi_wrapper_i_jtag_tap_sr[15]),
+	.A2(n_11876),
+	.B1(n_11848),
+	.B2(brqrv_top_dmi_reg_rdata[12]),
+	.C1(n_11879),
+	.C2(brqrv_top_dmi_wrapper_i_jtag_tap_sr[14]),
+	.Y(n_11925), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g616714 (
+	.A1(brqrv_top_dmi_wrapper_i_jtag_tap_sr[25]),
+	.A2(n_11876),
+	.B1(n_11848),
+	.B2(brqrv_top_dmi_reg_rdata[22]),
+	.C1(n_11879),
+	.C2(brqrv_top_dmi_wrapper_i_jtag_tap_sr[24]),
+	.Y(n_11924), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g616715 (
+	.A1(brqrv_top_dmi_wrapper_i_jtag_tap_sr[26]),
+	.A2(n_11876),
+	.B1(n_11848),
+	.B2(brqrv_top_dmi_reg_rdata[23]),
+	.C1(n_11879),
+	.C2(brqrv_top_dmi_wrapper_i_jtag_tap_sr[25]),
+	.Y(n_11923), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g616716 (
+	.A1(brqrv_top_dmi_wrapper_i_jtag_tap_sr[27]),
+	.A2(n_11876),
+	.B1(n_11848),
+	.B2(brqrv_top_dmi_reg_rdata[24]),
+	.C1(n_11879),
+	.C2(brqrv_top_dmi_wrapper_i_jtag_tap_sr[26]),
+	.Y(n_11922), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g616717 (
+	.A1(brqrv_top_dmi_wrapper_i_jtag_tap_sr[28]),
+	.A2(n_11876),
+	.B1(n_11848),
+	.B2(brqrv_top_dmi_reg_rdata[25]),
+	.C1(n_11879),
+	.C2(brqrv_top_dmi_wrapper_i_jtag_tap_sr[27]),
+	.Y(n_11921), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g616718 (
+	.A1(brqrv_top_dmi_wrapper_i_jtag_tap_sr[31]),
+	.A2(n_11876),
+	.B1(n_11848),
+	.B2(brqrv_top_dmi_reg_rdata[28]),
+	.C1(n_11879),
+	.C2(brqrv_top_dmi_wrapper_i_jtag_tap_sr[30]),
+	.Y(n_11920), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g616719 (
+	.A1(brqrv_top_dmi_wrapper_i_jtag_tap_sr[30]),
+	.A2(n_11876),
+	.B1(n_11848),
+	.B2(brqrv_top_dmi_reg_rdata[27]),
+	.C1(n_11879),
+	.C2(brqrv_top_dmi_wrapper_i_jtag_tap_sr[29]),
+	.Y(n_11919), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g616720 (
+	.A1(brqrv_top_dmi_wrapper_i_jtag_tap_sr[33]),
+	.A2(n_11850),
+	.B1(n_11848),
+	.B2(brqrv_top_dmi_reg_rdata[30]),
+	.C1(n_11879),
+	.C2(brqrv_top_dmi_wrapper_i_jtag_tap_sr[32]),
+	.Y(n_11918), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g616721 (
+	.A1(brqrv_top_dmi_wrapper_i_jtag_tap_sr[34]),
+	.A2(n_11850),
+	.B1(n_11848),
+	.B2(brqrv_top_dmi_reg_rdata[31]),
+	.C1(n_11879),
+	.C2(brqrv_top_dmi_wrapper_i_jtag_tap_sr[33]),
+	.Y(n_11917), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g616722 (
+	.A1(brqrv_top_dmi_wrapper_i_jtag_tap_sr[9]),
+	.A2(n_11876),
+	.B1(n_11848),
+	.B2(brqrv_top_dmi_reg_rdata[6]),
+	.C1(n_11879),
+	.C2(brqrv_top_dmi_wrapper_i_jtag_tap_sr[8]),
+	.Y(n_11916), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g616723 (
+	.A1(brqrv_top_dmi_wrapper_i_jtag_tap_sr[10]),
+	.A2(n_11876),
+	.B1(n_11848),
+	.B2(brqrv_top_dmi_reg_rdata[7]),
+	.C1(n_11879),
+	.C2(brqrv_top_dmi_wrapper_i_jtag_tap_sr[9]),
+	.Y(n_11915), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g616724 (
+	.A1(brqrv_top_dmi_wrapper_i_jtag_tap_sr[11]),
+	.A2(n_11876),
+	.B1(n_11848),
+	.B2(brqrv_top_dmi_reg_rdata[8]),
+	.C1(n_11879),
+	.C2(brqrv_top_dmi_wrapper_i_jtag_tap_sr[10]),
+	.Y(n_11914), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g616725 (
+	.A1(brqrv_top_dmi_wrapper_i_jtag_tap_sr[12]),
+	.A2(n_11876),
+	.B1(n_11848),
+	.B2(brqrv_top_dmi_reg_rdata[9]),
+	.C1(n_11879),
+	.C2(brqrv_top_dmi_wrapper_i_jtag_tap_sr[11]),
+	.Y(n_11913), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g616726 (
+	.A1(brqrv_top_dmi_wrapper_i_jtag_tap_sr[13]),
+	.A2(n_11876),
+	.B1(n_11848),
+	.B2(brqrv_top_dmi_reg_rdata[10]),
+	.C1(n_11879),
+	.C2(brqrv_top_dmi_wrapper_i_jtag_tap_sr[12]),
+	.Y(n_11912), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g616727 (
+	.A1(brqrv_top_dmi_wrapper_i_jtag_tap_sr[14]),
+	.A2(n_11876),
+	.B1(n_11848),
+	.B2(brqrv_top_dmi_reg_rdata[11]),
+	.C1(n_11879),
+	.C2(brqrv_top_dmi_wrapper_i_jtag_tap_sr[13]),
+	.Y(n_11911), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g616730 (
+	.A(n_11900),
+	.Y(n_11910), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g616731 (
+	.A(n_11899),
+	.Y(n_11909), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g616732 (
+	.A(n_11898),
+	.Y(n_11908), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g616733 (
+	.A(n_11897),
+	.Y(n_11907), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g616734 (
+	.A(n_11896),
+	.Y(n_11906), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g616735 (
+	.A(n_11895),
+	.Y(n_11905), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g616736 (
+	.A(n_11894),
+	.Y(n_11904), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g616737 (
+	.A(n_11893),
+	.Y(n_11903), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g616738 (
+	.A(n_11892),
+	.Y(n_11902), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g616739 (
+	.A1(n_11879),
+	.A2(brqrv_top_dmi_wrapper_i_jtag_tap_sr[35]),
+	.B1(n_11850),
+	.B2(brqrv_top_dmi_wrapper_i_jtag_tap_sr[36]),
+	.X(n_11901), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g616740 (
+	.A1(brqrv_top_dmi_wrapper_i_jtag_tap_sr[17]),
+	.A2(n_11876),
+	.B1(n_11848),
+	.B2(brqrv_top_dmi_reg_rdata[14]),
+	.C1(n_11879),
+	.C2(brqrv_top_dmi_wrapper_i_jtag_tap_sr[16]),
+	.Y(n_11900), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g616741 (
+	.A1(brqrv_top_dmi_wrapper_i_jtag_tap_sr[18]),
+	.A2(n_11876),
+	.B1(n_11848),
+	.B2(brqrv_top_dmi_reg_rdata[15]),
+	.C1(n_11879),
+	.C2(brqrv_top_dmi_wrapper_i_jtag_tap_sr[17]),
+	.Y(n_11899), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g616742 (
+	.A1(brqrv_top_dmi_wrapper_i_jtag_tap_sr[19]),
+	.A2(n_11876),
+	.B1(n_11848),
+	.B2(brqrv_top_dmi_reg_rdata[16]),
+	.C1(n_11879),
+	.C2(brqrv_top_dmi_wrapper_i_jtag_tap_sr[18]),
+	.Y(n_11898), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g616743 (
+	.A1(brqrv_top_dmi_wrapper_i_jtag_tap_sr[21]),
+	.A2(n_11876),
+	.B1(n_11848),
+	.B2(brqrv_top_dmi_reg_rdata[18]),
+	.C1(n_11879),
+	.C2(brqrv_top_dmi_wrapper_i_jtag_tap_sr[20]),
+	.Y(n_11897), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g616744 (
+	.A1(brqrv_top_dmi_wrapper_i_jtag_tap_sr[20]),
+	.A2(n_11876),
+	.B1(n_11848),
+	.B2(brqrv_top_dmi_reg_rdata[17]),
+	.C1(n_11879),
+	.C2(brqrv_top_dmi_wrapper_i_jtag_tap_sr[19]),
+	.Y(n_11896), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g616745 (
+	.A1(brqrv_top_dmi_wrapper_i_jtag_tap_sr[22]),
+	.A2(n_11876),
+	.B1(n_11848),
+	.B2(brqrv_top_dmi_reg_rdata[19]),
+	.C1(n_11879),
+	.C2(brqrv_top_dmi_wrapper_i_jtag_tap_sr[21]),
+	.Y(n_11895), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g616746 (
+	.A1(brqrv_top_dmi_wrapper_i_jtag_tap_sr[23]),
+	.A2(n_11876),
+	.B1(n_11848),
+	.B2(brqrv_top_dmi_reg_rdata[20]),
+	.C1(n_11879),
+	.C2(brqrv_top_dmi_wrapper_i_jtag_tap_sr[22]),
+	.Y(n_11894), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g616747 (
+	.A1(brqrv_top_dmi_wrapper_i_jtag_tap_sr[24]),
+	.A2(n_11876),
+	.B1(n_11848),
+	.B2(brqrv_top_dmi_reg_rdata[21]),
+	.C1(n_11879),
+	.C2(brqrv_top_dmi_wrapper_i_jtag_tap_sr[23]),
+	.Y(n_11893), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g616748 (
+	.A1(brqrv_top_dmi_wrapper_i_jtag_tap_sr[16]),
+	.A2(n_11876),
+	.B1(n_11848),
+	.B2(brqrv_top_dmi_reg_rdata[13]),
+	.C1(n_11879),
+	.C2(brqrv_top_dmi_wrapper_i_jtag_tap_sr[15]),
+	.Y(n_11892), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g616749 (
+	.A1(n_11879),
+	.A2(brqrv_top_dmi_wrapper_i_jtag_tap_sr[36]),
+	.B1(n_11850),
+	.B2(brqrv_top_dmi_wrapper_i_jtag_tap_sr[37]),
+	.X(n_11891), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g616750 (
+	.A1(n_11879),
+	.A2(brqrv_top_dmi_wrapper_i_jtag_tap_sr[34]),
+	.B1(n_11850),
+	.B2(brqrv_top_dmi_wrapper_i_jtag_tap_sr[35]),
+	.X(n_11890), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g616751 (
+	.A1(n_11879),
+	.A2(brqrv_top_dmi_wrapper_i_jtag_tap_sr[37]),
+	.B1(n_11850),
+	.B2(brqrv_top_dmi_wrapper_i_jtag_tap_sr[38]),
+	.X(n_11889), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g616752 (
+	.A1(n_11879),
+	.A2(brqrv_top_dmi_wrapper_i_jtag_tap_sr[38]),
+	.B1(n_11850),
+	.B2(brqrv_top_dmi_wrapper_i_jtag_tap_sr[39]),
+	.X(n_11888), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g616753 (
+	.A1(n_11879),
+	.A2(brqrv_top_dmi_wrapper_i_jtag_tap_sr[39]),
+	.B1(n_11850),
+	.B2(brqrv_top_dmi_wrapper_i_jtag_tap_sr[40]),
+	.X(n_11887), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g616754 (
+	.A1_N(brqrv_top_dmi_wrapper_i_jtag_tap_sr[40]),
+	.A2_N(n_11879),
+	.B1(n_11849),
+	.B2(n_11823),
+	.Y(n_11886), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g616755 (
+	.A1(n_11879),
+	.A2(brqrv_top_dmi_wrapper_i_jtag_tap_sr[1]),
+	.B1(n_11811),
+	.B2(brqrv_top_dmi_wrapper_i_jtag_tap_sr[2]),
+	.Y(n_11885), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g616756 (
+	.A1(n_11811),
+	.A2(brqrv_top_dmi_wrapper_i_jtag_tap_sr[1]),
+	.B1(n_11830),
+	.B2(n_11815),
+	.Y(n_11884), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g616757 (
+	.A(n_11879),
+	.B(brqrv_top_dmi_wrapper_i_jtag_tap_sr[4]),
+	.Y(n_11883), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g616759 (
+	.A1(brqrv_top_dmi_wrapper_i_jtag_tap_state[0]),
+	.A2(n_11845),
+	.B1(n_11839),
+	.C1(n_11846),
+	.D1(n_11871),
+	.Y(n_11882), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g891007 (
+	.A1(n_11814),
+	.A2(n_11853),
+	.B1(n_11844),
+	.C1(n_11854),
+	.D1(n_11875),
+	.Y(n_11881), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g891008 (
+	.A1(n_11876),
+	.A2(brqrv_top_dmi_wrapper_i_jtag_tap_sr[5]),
+	.B1(n_11848),
+	.B2(brqrv_top_dmi_reg_rdata[2]),
+	.Y(n_11880), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g891009 (
+	.A1(brqrv_top_dmi_wrapper_i_jtag_tap_state[1]),
+	.A2(n_11840),
+	.B1(n_11829),
+	.C1(n_11863),
+	.Y(n_11878), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g891010 (
+	.A1_N(brqrv_top_dmi_wrapper_i_jtag_tap_sr[32]),
+	.A2_N(n_11850),
+	.B1(n_11864),
+	.B2(n_11823),
+	.Y(n_11877), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_2 g891011 (
+	.A1(n_11836),
+	.A2(n_11866),
+	.B1(n_11856),
+	.Y(n_11879), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g891012 (
+	.A1(n_11832),
+	.A2(n_11857),
+	.B1(n_11821),
+	.Y(n_11875), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g891013 (
+	.A1(n_11851),
+	.A2(brqrv_top_dmi_wrapper_i_jtag_tap_sr[2]),
+	.B1(n_11837),
+	.B2(brqrv_top_dmi_wrapper_i_jtag_tap_ir[2]),
+	.C1(n_11855),
+	.X(n_11874), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g891014 (
+	.A(n_11862),
+	.B(n_11831),
+	.Y(n_11873), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g891015 (
+	.A1(n_11851),
+	.A2(brqrv_top_dmi_wrapper_i_jtag_tap_sr[1]),
+	.B1(n_11837),
+	.B2(brqrv_top_dmi_wrapper_i_jtag_tap_ir[1]),
+	.C1(n_11855),
+	.X(n_11872), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g891016 (
+	.A(n_11864),
+	.B(n_11849),
+	.Y(n_11876), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g891017 (
+	.A1(n_11830),
+	.A2(n_11857),
+	.B1(n_11822),
+	.Y(n_11871), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g891018 (
+	.A1(n_11851),
+	.A2(brqrv_top_dmi_wrapper_i_jtag_tap_sr[4]),
+	.B1(n_11837),
+	.B2(brqrv_top_dmi_wrapper_i_jtag_tap_ir[4]),
+	.C1(n_11855),
+	.X(n_11870), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g891019 (
+	.A1(n_11851),
+	.A2(brqrv_top_dmi_wrapper_i_jtag_tap_sr[3]),
+	.B1(n_11837),
+	.B2(brqrv_top_dmi_wrapper_i_jtag_tap_ir[3]),
+	.C1(n_11855),
+	.X(n_11869), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g891020 (
+	.A1(n_11837),
+	.A2(brqrv_top_dmi_wrapper_i_jtag_tap_ir[0]),
+	.B1(n_11851),
+	.B2(brqrv_top_dmi_wrapper_i_jtag_tap_sr[0]),
+	.C1(n_11859),
+	.X(n_11868), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g891021 (
+	.A1(n_11836),
+	.A2(n_11861),
+	.B1(n_11865),
+	.X(n_11867), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g891022 (
+	.A_N(n_11856),
+	.B(brqrv_top_dmi_wrapper_i_jtag_tap_sr[0]),
+	.Y(n_11865), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g891023 (
+	.A(n_11861),
+	.B(n_34712),
+	.Y(n_11866), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g891024 (
+	.A1(n_11818),
+	.A2(n_11817),
+	.B1(n_11858),
+	.Y(n_11863), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g891025 (
+	.A1(n_11810),
+	.A2(n_11821),
+	.B1(n_11826),
+	.B2(n_11827),
+	.C1(n_11819),
+	.C2(brqrv_top_dmi_wrapper_i_jtag_tap_state[2]),
+	.Y(n_11862), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g891026 (
+	.A(n_11838),
+	.B(n_11861),
+	.X(n_11864), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g891027 (
+	.A(n_11837),
+	.B(n_11851),
+	.Y(n_11859), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g891028 (
+	.A1(n_11839),
+	.A2(n_11825),
+	.B1(n_11822),
+	.Y(n_11858), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g891029 (
+	.A(n_11841),
+	.B_N(n_11852),
+	.Y(n_11861), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g891030 (
+	.A(n_11836),
+	.B(n_11852),
+	.X(n_11860), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g891031 (
+	.A1(n_11839),
+	.A2(n_11829),
+	.B1(n_11821),
+	.X(n_11854), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32oi_1 g891032 (
+	.A1(n_11827),
+	.A2(brqrv_top_dmi_wrapper_i_jtag_tap_state[0]),
+	.A3(n_11813),
+	.B1(n_11815),
+	.B2(brqrv_top_dmi_wrapper_i_jtag_tap_state[1]),
+	.Y(n_11853), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g891033 (
+	.A1(n_11815),
+	.A2(n_11833),
+	.B1(brqrv_top_dmi_wrapper_i_jtag_tap_n_958),
+	.Y(n_11857), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g891034 (
+	.A(n_11836),
+	.B(n_11838),
+	.C(n_11829),
+	.Y(n_11856), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3b_1 g891035 (
+	.A_N(brqrv_top_dmi_wrapper_i_jtag_tap_sr[0]),
+	.B(n_11809),
+	.C(n_11842),
+	.X(n_11855), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g891036 (
+	.A(n_11850),
+	.Y(n_11849), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g891037 (
+	.A(n_11834),
+	.B(brqrv_top_dmi_wrapper_i_jtag_tap_ir[4]),
+	.Y(n_11852), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g891038 (
+	.A(n_11842),
+	.B_N(n_11809),
+	.Y(n_11851), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g891039 (
+	.A(n_34712),
+	.B(n_11838),
+	.Y(n_11850), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g891040 (
+	.A(n_34712),
+	.B(n_11836),
+	.Y(n_11848), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g891041 (
+	.A(n_11821),
+	.B(n_11835),
+	.Y(n_11846), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g891042 (
+	.A1_N(n_11814),
+	.A2_N(n_11827),
+	.B1(n_11827),
+	.B2(n_11820),
+	.Y(n_11845), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g891043 (
+	.A_N(n_11840),
+	.B(n_11820),
+	.Y(n_11844), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g891044 (
+	.A(n_11835),
+	.B(n_11841),
+	.Y(n_11847), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g891045 (
+	.A(n_11836),
+	.Y(n_11835), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g891046 (
+	.A(brqrv_top_dmi_wrapper_i_jtag_tap_ir[2]),
+	.B(brqrv_top_dmi_wrapper_i_jtag_tap_ir[1]),
+	.C(brqrv_top_dmi_wrapper_i_jtag_tap_ir[3]),
+	.D(brqrv_top_dmi_wrapper_i_jtag_tap_ir[0]),
+	.Y(n_11834), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g891047 (
+	.A1(n_11820),
+	.A2(n_11816),
+	.B1(n_11818),
+	.Y(n_11833), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g891048 (
+	.A(n_11830),
+	.B(brqrv_top_dmi_wrapper_i_jtag_tap_state[0]),
+	.Y(n_11843), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g891049 (
+	.A(brqrv_top_dmi_wrapper_i_jtag_tap_sr[3]),
+	.B(brqrv_top_dmi_wrapper_i_jtag_tap_sr[2]),
+	.C(brqrv_top_dmi_wrapper_i_jtag_tap_sr[4]),
+	.D(brqrv_top_dmi_wrapper_i_jtag_tap_sr[1]),
+	.Y(n_11842), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g891050 (
+	.A(brqrv_top_dmi_wrapper_i_jtag_tap_ir[3]),
+	.B(n_35064),
+	.C(brqrv_top_dmi_wrapper_i_jtag_tap_ir[2]),
+	.D(brqrv_top_dmi_wrapper_i_jtag_tap_ir[4]),
+	.Y(n_11841), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g891051 (
+	.A(n_11822),
+	.B(brqrv_top_dmi_wrapper_i_jtag_tap_state[0]),
+	.C(brqrv_top_dmi_wrapper_i_jtag_tap_state[3]),
+	.Y(n_11840), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g891052 (
+	.A(n_11819),
+	.B(n_11814),
+	.C(brqrv_top_dmi_wrapper_i_jtag_tap_state[1]),
+	.Y(n_11839), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g891053 (
+	.A(n_11819),
+	.B(brqrv_top_dmi_wrapper_i_jtag_tap_state[2]),
+	.C(n_11813),
+	.Y(n_11838), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g891054 (
+	.A1(n_11820),
+	.A2(n_11819),
+	.B1(n_11809),
+	.Y(n_11837), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g891055 (
+	.A(n_11828),
+	.B(n_11816),
+	.Y(n_11836), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g891056 (
+	.A(n_11831),
+	.Y(n_11832), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g891057 (
+	.A(n_11830),
+	.Y(n_11829), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g891058 (
+	.A(n_11818),
+	.B(n_11813),
+	.Y(n_11831), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g891059 (
+	.A(brqrv_top_dmi_wrapper_i_jtag_tap_state[2]),
+	.B(n_11824),
+	.Y(n_11830), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g891060 (
+	.A(n_11817),
+	.B(n_11814),
+	.Y(n_11826), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g891061 (
+	.A(brqrv_top_dmi_wrapper_i_jtag_tap_state[0]),
+	.B(brqrv_top_dmi_wrapper_i_jtag_tap_state[2]),
+	.C(n_11816),
+	.Y(n_11825), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g891062 (
+	.A(brqrv_top_dmi_wrapper_i_jtag_tap_state[2]),
+	.B(n_11817),
+	.Y(n_11828), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g891063 (
+	.A(brqrv_top_dmi_wrapper_i_jtag_tap_state[3]),
+	.B(n_11821),
+	.Y(n_11827), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g891064 (
+	.A(n_11822),
+	.Y(n_11821), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g891065 (
+	.A(brqrv_top_dmi_wrapper_i_jtag_tap_state[3]),
+	.B(brqrv_top_dmi_wrapper_i_jtag_tap_state[1]),
+	.Y(n_11824), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g891066 (
+	.A_N(rst),
+	.B(io_in[3]),
+	.Y(n_11823), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g891067 (
+	.A_N(rst),
+	.B(io_in[1]),
+	.Y(n_11822), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g891068 (
+	.A(brqrv_top_dmi_wrapper_i_jtag_tap_state[1]),
+	.B(brqrv_top_dmi_wrapper_i_jtag_tap_state[2]),
+	.Y(n_11820), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g891069 (
+	.A(brqrv_top_dmi_wrapper_i_jtag_tap_state[3]),
+	.B(brqrv_top_dmi_wrapper_i_jtag_tap_state[0]),
+	.Y(n_11819), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g891070 (
+	.A(n_11816),
+	.B(n_11814),
+	.Y(n_11818), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g891071 (
+	.A(brqrv_top_dmi_wrapper_i_jtag_tap_state[0]),
+	.B(brqrv_top_dmi_wrapper_i_jtag_tap_state[1]),
+	.Y(n_11817), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g891076 (
+	.A(brqrv_top_dmi_wrapper_i_jtag_tap_n_451),
+	.Y(n_11806), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g891077 (
+	.A_N(n_11876),
+	.B(n_11843),
+	.Y(n_11811), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g891078 (
+	.A1(brqrv_top_dmi_wrapper_i_jtag_tap_state[0]),
+	.A2(n_11824),
+	.B1_N(n_11828),
+	.Y(n_11810), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g891079 (
+	.A(n_11817),
+	.B_N(n_11818),
+	.Y(n_11809), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_dr_reg[0]  (
+	.CLK(n_35661),
+	.D(n_11808),
+	.Q(brqrv_top_dmi_wrapper_rd_en),
+	.RESET_B(n_11806), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_dr_reg[1]  (
+	.CLK(n_35661),
+	.D(n_11807),
+	.Q(brqrv_top_dmi_wrapper_wr_en),
+	.RESET_B(n_11806), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 brqrv_top_dmi_wrapper_i_jtag_tap_tdo_reg (
+	.CLK_N(n_35661),
+	.D(brqrv_top_dmi_wrapper_i_jtag_tap_sr[0]),
+	.Q(io_out[4]),
+	.RESET_B(n_44322), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g613715 (
+	.A(brqrv_top_dmi_wrapper_i_jtag_tap_n_716),
+	.B_N(brqrv_top_dmi_wrapper_i_jtag_tap_sr[0]),
+	.Y(n_11808), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g613716 (
+	.A(brqrv_top_dmi_wrapper_i_jtag_tap_n_716),
+	.B_N(brqrv_top_dmi_wrapper_i_jtag_tap_sr[1]),
+	.Y(n_11807), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g617936 (
+	.A(n_35624),
+	.B(FE_DBTN7_n_36251),
+	.C(n_35622),
+	.Y(n_35591), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g617937 (
+	.A(n_35578),
+	.B(n_35514),
+	.C(n_11724),
+	.D(n_43200),
+	.Y(n_36411), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g617938 (
+	.A_N(n_35618),
+	.B(n_35607),
+	.Y(n_35567), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g617940 (
+	.A(FE_DBTN7_n_36251),
+	.B(n_35622),
+	.Y(n_35532), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g617941 (
+	.A(n_11805),
+	.Y(n_35597), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g617942 (
+	.A(n_11802),
+	.B(brqrv_top_brqrv_ifu_aln_first2B),
+	.C(n_11530),
+	.Y(n_35594), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g617943 (
+	.A(n_11804),
+	.B(brqrv_top_brqrv_ifu_aln_first2B),
+	.Y(n_11805), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g617944 (
+	.A(n_35616),
+	.B(n_36260),
+	.C(brqrv_top_brqrv_ifu_aln_first2B),
+	.Y(n_35534), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g617945 (
+	.A(n_11803),
+	.B(n_11799),
+	.Y(n_35531), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g617948 (
+	.A(n_36255),
+	.B(brqrv_top_brqrv_ifu_aln_first2B),
+	.Y(n_35621), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g617949 (
+	.A(n_36261),
+	.B(brqrv_top_brqrv_ifu_aln_first2B),
+	.Y(n_35603), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g617950 (
+	.A(n_11797),
+	.B(n_11796),
+	.Y(n_11804), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g617951 (
+	.A(n_36262),
+	.B(brqrv_top_brqrv_ifu_aln_first2B),
+	.Y(n_35605), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g617952 (
+	.A(n_42072),
+	.B(n_11543),
+	.Y(n_11803), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g617953 (
+	.A(n_36260),
+	.B(brqrv_top_brqrv_ifu_aln_first2B),
+	.Y(n_35619), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_4 g617954 (
+	.A(n_36263),
+	.B(brqrv_top_brqrv_ifu_aln_first2B),
+	.Y(n_35607), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g617955 (
+	.A(n_36252),
+	.B(brqrv_top_brqrv_ifu_aln_first2B),
+	.Y(n_35622), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g617956 (
+	.A(n_36259),
+	.B(brqrv_top_brqrv_ifu_aln_first2B),
+	.Y(n_35616), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g617957 (
+	.A(n_36258),
+	.B(brqrv_top_brqrv_ifu_aln_first2B),
+	.Y(n_35599), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g617958 (
+	.A(n_11800),
+	.B(n_11786),
+	.Y(n_11802), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g617959 (
+	.A(brqrv_top_brqrv_ifu_aln_first2B),
+	.B(n_11511),
+	.Y(n_35596), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g617960 (
+	.A(n_36254),
+	.B(brqrv_top_brqrv_ifu_aln_first2B),
+	.Y(n_35626), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g617961 (
+	.A(n_36256),
+	.B(brqrv_top_brqrv_ifu_aln_first2B),
+	.Y(n_35601), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g617962 (
+	.A(n_36257),
+	.B(brqrv_top_brqrv_ifu_aln_first2B),
+	.Y(n_35602), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g617963 (
+	.A(n_36253),
+	.B(brqrv_top_brqrv_ifu_aln_first2B),
+	.Y(n_35624), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g617964 (
+	.A(n_36264),
+	.B(brqrv_top_brqrv_ifu_aln_first2B),
+	.Y(n_35627), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g617965 (
+	.A(n_11798),
+	.B(n_35530),
+	.Y(n_35514), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g617966 (
+	.A(brqrv_top_brqrv_ifu_aln_first2B),
+	.B(n_42077),
+	.Y(n_35618), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g617970 (
+	.A(n_35610),
+	.B(n_35537),
+	.C_N(brqrv_top_brqrv_dec_tlu_dbg_halted),
+	.Y(brqrv_top_brqrv_dec_tlu_resume_ack_ns), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g617971 (
+	.A(n_11761),
+	.B(n_11511),
+	.Y(n_11800), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g617972 (
+	.A(brqrv_top_brqrv_dec_tlu_debug_mode),
+	.B(n_35568),
+	.Y(brqrv_top_brqrv_dec_tlu_debug_halt_req), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g617973 (
+	.A(n_42073),
+	.B(brqrv_top_brqrv_ifu_aln_rdptr[1]),
+	.Y(n_11799), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g617974 (
+	.A1(brqrv_top_brqrv_dec_tlu_mtdata1_t0[5]),
+	.A2(n_35575),
+	.B1(n_35577),
+	.Y(n_11798), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g617975 (
+	.A(n_35529),
+	.B(n_11750),
+	.Y(n_35578), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g617977 (
+	.A(n_11783),
+	.B(n_11782),
+	.Y(n_36251), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g617978 (
+	.A(n_11785),
+	.B(n_11788),
+	.Y(n_36252), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g617979 (
+	.A(n_11790),
+	.B(n_11791),
+	.Y(n_36259), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g617980 (
+	.A(n_11772),
+	.B(n_11780),
+	.Y(n_36258), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g617981 (
+	.A(n_11797),
+	.Y(n_36254), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g617982 (
+	.A(n_11796),
+	.Y(n_36255), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g617984 (
+	.A(n_11512),
+	.B(n_11793),
+	.Y(n_36263), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g617985 (
+	.A(n_11775),
+	.B(n_11774),
+	.Y(n_36262), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g617986 (
+	.A(n_11746),
+	.B(n_11745),
+	.C(n_11747),
+	.D(n_11744),
+	.Y(n_36260), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g617987 (
+	.A(n_11781),
+	.B(n_11771),
+	.Y(n_36264), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g617988 (
+	.A(n_11768),
+	.B(n_11767),
+	.Y(n_36256), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g617989 (
+	.A(n_11779),
+	.B(n_11778),
+	.Y(n_36261), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g617990 (
+	.A(n_11766),
+	.B(n_11765),
+	.Y(n_36257), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g617991 (
+	.A(n_11764),
+	.B(n_11784),
+	.Y(n_36253), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g617992 (
+	.A(n_11769),
+	.B(n_11770),
+	.Y(n_11797), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g617993 (
+	.A(n_11776),
+	.B(n_11777),
+	.Y(n_11796), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_8 g617994 (
+	.A(n_11794),
+	.B(n_11751),
+	.Y(brqrv_top_brqrv_ifu_aln_first2B), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g617995 (
+	.A(n_11792),
+	.Y(n_11794), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g617997 (
+	.A(n_11787),
+	.Y(n_11793), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g618000 (
+	.A(n_11510),
+	.B(n_11734),
+	.Y(n_11792), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g618001 (
+	.A(n_11757),
+	.B(n_11756),
+	.Y(n_11791), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g618002 (
+	.A(n_11758),
+	.B(n_11471),
+	.Y(n_11790), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g618006 (
+	.A(n_11759),
+	.B(n_11473),
+	.Y(n_11788), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g618007 (
+	.A(n_11530),
+	.B(n_11763),
+	.Y(n_11787), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g618008 (
+	.A(n_11762),
+	.B(n_11763),
+	.Y(n_11786), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g618010 (
+	.A(n_11475),
+	.B(n_11485),
+	.Y(n_11785), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g618011 (
+	.A1(n_11730),
+	.A2(brqrv_top_brqrv_ifu_aln_q1[4]),
+	.B1(n_11760),
+	.Y(n_11784), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g618012 (
+	.A(n_11492),
+	.B(n_11496),
+	.Y(n_11783), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g618013 (
+	.A(n_11505),
+	.B(n_11494),
+	.Y(n_11782), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g618014 (
+	.A(n_35579),
+	.B(brqrv_top_brqrv_dec_tlu_mtdata1_t2[5]),
+	.Y(n_35529), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g618015 (
+	.A_N(brqrv_top_brqrv_dec_tlu_ext_int_freeze_d1),
+	.B(brqrv_top_brqrv_dec_tlu_n_3468),
+	.Y(n_35568), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g618017 (
+	.A(n_35575),
+	.B(brqrv_top_brqrv_dec_tlu_mtdata1_t0[5]),
+	.Y(n_35530), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g618018 (
+	.A(n_11491),
+	.B(n_11489),
+	.Y(n_11781), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g618019 (
+	.A(n_11753),
+	.B(n_11493),
+	.Y(n_11780), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g618020 (
+	.A(n_11482),
+	.B(n_11497),
+	.Y(n_11779), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g618021 (
+	.A(n_11752),
+	.B(n_11484),
+	.Y(n_11778), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g618022 (
+	.A1(n_11552),
+	.A2(n_11733),
+	.B1(n_11541),
+	.B2(n_11728),
+	.Y(n_11777), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g618023 (
+	.A1(n_11551),
+	.A2(n_11731),
+	.B1(n_11754),
+	.Y(n_11776), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g618024 (
+	.A(n_11488),
+	.B(n_11755),
+	.Y(n_11775), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g618025 (
+	.A(n_11743),
+	.B(n_11478),
+	.Y(n_11774), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g618027 (
+	.A(n_11481),
+	.B(n_11479),
+	.Y(n_11772), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g618028 (
+	.A(n_11742),
+	.B(n_11490),
+	.Y(n_11771), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g618029 (
+	.A1(n_11547),
+	.A2(n_11733),
+	.B1(n_11534),
+	.B2(n_11728),
+	.Y(n_11770), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g618030 (
+	.A1(n_11536),
+	.A2(n_11731),
+	.B1(n_11741),
+	.Y(n_11769), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g618031 (
+	.A(n_11486),
+	.B(n_11501),
+	.Y(n_11768), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g618032 (
+	.A(n_11740),
+	.B(n_11500),
+	.Y(n_11767), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g618033 (
+	.A(n_11498),
+	.B(n_11474),
+	.Y(n_11766), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g618034 (
+	.A(n_11739),
+	.B(n_11480),
+	.Y(n_11765), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g618035 (
+	.A1(n_11727),
+	.A2(brqrv_top_brqrv_ifu_aln_q2[4]),
+	.B1(n_11483),
+	.Y(n_11764), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g618038 (
+	.A(n_11713),
+	.B(n_11729),
+	.Y(n_11760), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g618046 (
+	.A(n_11717),
+	.B(n_11729),
+	.Y(n_11759), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g618048 (
+	.A(n_11533),
+	.B(n_11733),
+	.Y(n_11758), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g618049 (
+	.A(n_11686),
+	.B(n_11729),
+	.Y(n_11757), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g618050 (
+	.A(n_11545),
+	.B(n_11728),
+	.Y(n_11756), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g618053 (
+	.A(n_11537),
+	.B(n_11728),
+	.Y(n_11755), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g618054 (
+	.A(n_11529),
+	.B(brqrv_top_brqrv_ifu_aln_q0eff[22]),
+	.Y(n_11754), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g618058 (
+	.A(n_11687),
+	.B(n_11729),
+	.Y(n_11753), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g618062 (
+	.A(n_11688),
+	.B(n_11729),
+	.Y(n_11752), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g618063 (
+	.A(n_11529),
+	.B(n_35608),
+	.Y(n_11763), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g618064 (
+	.A(n_11732),
+	.B(brqrv_top_brqrv_ifu_aln_q0[14]),
+	.Y(n_11762), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g618065 (
+	.A(n_11730),
+	.B(brqrv_top_brqrv_ifu_aln_q1[14]),
+	.Y(n_11530), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g618066 (
+	.A(n_11727),
+	.B(brqrv_top_brqrv_ifu_aln_q2[14]),
+	.Y(n_11761), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g618067 (
+	.A(n_11736),
+	.Y(n_11751), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g618069 (
+	.A(n_35582),
+	.Y(n_11750), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g618071 (
+	.A(n_11703),
+	.B(n_11722),
+	.Y(n_11748), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g618073 (
+	.A(n_11730),
+	.B(brqrv_top_brqrv_ifu_aln_q1[11]),
+	.Y(n_11747), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g618074 (
+	.A(n_11732),
+	.B(brqrv_top_brqrv_ifu_aln_q0[11]),
+	.Y(n_11746), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g618075 (
+	.A(n_11727),
+	.B(brqrv_top_brqrv_ifu_aln_q2[11]),
+	.Y(n_11745), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g618076 (
+	.A(n_11529),
+	.B(n_35620),
+	.Y(n_11744), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g618077 (
+	.A(n_11690),
+	.B(n_11729),
+	.Y(n_11743), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g618081 (
+	.A(n_11685),
+	.B(n_11729),
+	.Y(n_11742), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g618082 (
+	.A(n_11529),
+	.B(brqrv_top_brqrv_ifu_aln_q0eff[21]),
+	.Y(n_11741), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g618086 (
+	.A(n_11689),
+	.B(n_11729),
+	.Y(n_11740), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g618089 (
+	.A(n_11691),
+	.B(n_11729),
+	.Y(n_11739), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g618090 (
+	.A(n_11704),
+	.B(n_11719),
+	.Y(n_11738), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g618092 (
+	.A1_N(n_11528),
+	.A2_N(n_11710),
+	.B1(n_11718),
+	.B2(n_11528),
+	.Y(n_11736), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g618094 (
+	.A_N(brqrv_top_brqrv_dec_tlu_dbg_halt_req_held),
+	.B(n_35611),
+	.Y(brqrv_top_brqrv_dec_tlu_n_3468), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g618095 (
+	.A(n_35611),
+	.B(brqrv_top_brqrv_dec_tlu_debug_resume_req_f_raw),
+	.Y(n_35610), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g618096 (
+	.A1(brqrv_top_brqrv_dec_tlu_dbg_run_state_f),
+	.A2(n_11712),
+	.B1_N(n_35541),
+	.Y(n_35537), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g618097 (
+	.A(n_11649),
+	.B(n_11700),
+	.C(n_11653),
+	.Y(n_35582), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g618098 (
+	.A(n_35581),
+	.B(n_11735),
+	.Y(n_35579), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g618099 (
+	.A(n_11726),
+	.B(n_11725),
+	.Y(n_35575), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g618100 (
+	.A(n_11650),
+	.B(n_11701),
+	.C(n_11653),
+	.Y(n_35577), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g618102 (
+	.A(n_11733),
+	.Y(n_11732), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g618103 (
+	.A(n_11731),
+	.Y(n_11730), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g618105 (
+	.A(n_11729),
+	.Y(n_11529), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g618106 (
+	.A(n_11728),
+	.Y(n_11727), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618107 (
+	.A1(n_77),
+	.A2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[16]),
+	.B1(n_11663),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_r[16]),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g618108 (
+	.A(n_11682),
+	.B(brqrv_top_brqrv_trigger_pkt_any[37]),
+	.Y(n_11726), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618109 (
+	.A1(n_77),
+	.A2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[8]),
+	.B1(n_11663),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_r[8]),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618110 (
+	.A1(n_77),
+	.A2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[9]),
+	.B1(n_11663),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_r[9]),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g618111 (
+	.A(n_11504),
+	.B(n_11652),
+	.Y(n_11725), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618112 (
+	.A1(n_77),
+	.A2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[10]),
+	.B1(n_11663),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_r[10]),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4_1 g618113 (
+	.A(n_11593),
+	.B(n_35583),
+	.C(n_35573),
+	.D(n_35540),
+	.X(n_11724), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618114 (
+	.A1(n_77),
+	.A2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[12]),
+	.B1(n_11663),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_r[12]),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618115 (
+	.A1(n_77),
+	.A2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[17]),
+	.B1(n_11663),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_r[17]),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618116 (
+	.A1(n_77),
+	.A2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[11]),
+	.B1(n_11663),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_r[11]),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618117 (
+	.A1(n_77),
+	.A2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[14]),
+	.B1(n_11663),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_r[14]),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618118 (
+	.A1(n_77),
+	.A2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[15]),
+	.B1(n_11663),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_r[15]),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618119 (
+	.A1(n_77),
+	.A2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[13]),
+	.B1(n_11663),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_r[13]),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g618120 (
+	.A(n_11502),
+	.B(n_11652),
+	.Y(n_11735), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g618122 (
+	.A(n_11528),
+	.B(n_11716),
+	.Y(n_11734), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g618123 (
+	.A(n_11684),
+	.B(brqrv_top_brqrv_trigger_pkt_any[113]),
+	.Y(n_35581), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g618124 (
+	.A(n_11702),
+	.B(brqrv_top_brqrv_dbg_dmcontrol_reg[31]),
+	.Y(n_35611), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_4 g618125 (
+	.A(FE_DBTN14_n_11528),
+	.B(n_11508),
+	.Y(n_11733), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_4 g618126 (
+	.A(FE_DBTN14_n_11528),
+	.B(n_11507),
+	.Y(n_11731), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g618127 (
+	.A(n_11528),
+	.B(n_11472),
+	.Y(n_11729), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_4 g618128 (
+	.A_N(n_11528),
+	.B(n_11509),
+	.Y(n_11728), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g618129 (
+	.A1(n_11533),
+	.A2(n_11654),
+	.B1(n_11705),
+	.Y(n_11722), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618130 (
+	.A1(n_77),
+	.A2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[3]),
+	.B1(n_11663),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_r[3]),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618131 (
+	.A1(n_77),
+	.A2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[18]),
+	.B1(n_11663),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_r[18]),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618132 (
+	.A1(n_77),
+	.A2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[5]),
+	.B1(n_11663),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_r[5]),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618133 (
+	.A1(n_77),
+	.A2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[4]),
+	.B1(n_11663),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_r[4]),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618134 (
+	.A1(n_77),
+	.A2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[31]),
+	.B1(n_11663),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_r[31]),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g618135 (
+	.A1(n_11532),
+	.A2(n_11666),
+	.B1(n_11661),
+	.X(n_11721), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g618136 (
+	.A1(n_11545),
+	.A2(n_11666),
+	.B1(n_11660),
+	.X(n_11720), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618137 (
+	.A1(n_77),
+	.A2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[29]),
+	.B1(n_11663),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_r[29]),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g618138 (
+	.A(n_11708),
+	.B(n_11696),
+	.Y(n_11719), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618139 (
+	.A1(n_77),
+	.A2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[30]),
+	.B1(n_11663),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_r[30]),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618140 (
+	.A1(n_77),
+	.A2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[6]),
+	.B1(n_11663),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_r[6]),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618141 (
+	.A1(n_77),
+	.A2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[28]),
+	.B1(n_11663),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_r[28]),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618142 (
+	.A1(n_77),
+	.A2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[27]),
+	.B1(n_11663),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_r[27]),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618143 (
+	.A1(n_77),
+	.A2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[26]),
+	.B1(n_11663),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_r[26]),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618144 (
+	.A1(n_77),
+	.A2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[24]),
+	.B1(n_11663),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_r[24]),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618145 (
+	.A1(n_77),
+	.A2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[25]),
+	.B1(n_11663),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_r[25]),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618146 (
+	.A1(n_77),
+	.A2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[23]),
+	.B1(n_11663),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_r[23]),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618147 (
+	.A1(n_77),
+	.A2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[22]),
+	.B1(n_11663),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_r[22]),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618148 (
+	.A1(n_77),
+	.A2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[21]),
+	.B1(n_11663),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_r[21]),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618149 (
+	.A1(n_77),
+	.A2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[20]),
+	.B1(n_11663),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_r[20]),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618150 (
+	.A1(n_77),
+	.A2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[7]),
+	.B1(n_11663),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_r[7]),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g618151 (
+	.A(n_11706),
+	.B(n_11707),
+	.Y(n_11723), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g618152 (
+	.A(n_11699),
+	.Y(n_38092), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g618153 (
+	.A(n_11698),
+	.Y(n_38084), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g618154 (
+	.A(n_11697),
+	.Y(n_38096), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g618155 (
+	.A(n_11695),
+	.Y(n_38083), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g618157 (
+	.A(n_11694),
+	.Y(n_38091), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g618158 (
+	.A(n_11693),
+	.Y(n_38082), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g618159 (
+	.A(n_11692),
+	.Y(n_38081), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g618160 (
+	.A(n_11717),
+	.Y(n_35623), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g618161 (
+	.A(n_11716),
+	.Y(brqrv_top_brqrv_ifu_aln_q0eff[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g618163 (
+	.A(n_11713),
+	.Y(n_35625), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g618164 (
+	.A(n_11712),
+	.Y(n_35538), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g618166 (
+	.A(n_11710),
+	.Y(brqrv_top_brqrv_ifu_aln_q0eff[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g618172 (
+	.A(n_11527),
+	.B(n_11472),
+	.C(n_11531),
+	.D(brqrv_top_brqrv_ifu_aln_q0[11]),
+	.Y(n_11708), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g618173 (
+	.A1(n_43203),
+	.A2(n_11603),
+	.B1(n_11647),
+	.Y(n_11707), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g618174 (
+	.A1(n_43202),
+	.A2(n_11527),
+	.B1(n_11646),
+	.Y(n_11706), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g618175 (
+	.A(n_11638),
+	.B(brqrv_top_brqrv_ifu_aln_q1[10]),
+	.Y(n_11705), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g618177 (
+	.A(n_11583),
+	.B(n_11665),
+	.Y(n_11704), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g618178 (
+	.A(n_11588),
+	.B(n_11665),
+	.Y(n_11703), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g618179 (
+	.A(n_35612),
+	.B(n_11662),
+	.Y(n_11702), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g618180 (
+	.A(n_35584),
+	.B(n_11657),
+	.C(n_11590),
+	.Y(n_11701), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g618181 (
+	.A(n_11656),
+	.B(n_11503),
+	.Y(n_11700), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g618183 (
+	.A1(brqrv_top_brqrv_ifu_aln_q0pc[15]),
+	.A2(FE_DBTN9_n_11562),
+	.B1(FE_DBTN8_n_11566),
+	.B2(brqrv_top_brqrv_ifu_aln_q2pc[15]),
+	.C1(n_11517),
+	.C2(brqrv_top_brqrv_ifu_aln_q1pc[15]),
+	.Y(n_11699), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g618184 (
+	.A1(brqrv_top_brqrv_ifu_aln_q0pc[7]),
+	.A2(FE_DBTN9_n_11562),
+	.B1(FE_DBTN8_n_11566),
+	.B2(brqrv_top_brqrv_ifu_aln_q2pc[7]),
+	.C1(n_11517),
+	.C2(brqrv_top_brqrv_ifu_aln_q1pc[7]),
+	.Y(n_11698), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g618185 (
+	.A1(brqrv_top_brqrv_ifu_aln_q0pc[19]),
+	.A2(FE_DBTN9_n_11562),
+	.B1(FE_DBTN8_n_11566),
+	.B2(brqrv_top_brqrv_ifu_aln_q2pc[19]),
+	.C1(n_11517),
+	.C2(brqrv_top_brqrv_ifu_aln_q1pc[19]),
+	.Y(n_11697), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g618186 (
+	.A(n_11527),
+	.B(n_11472),
+	.C(brqrv_top_brqrv_ifu_aln_rdptr[0]),
+	.D(brqrv_top_brqrv_ifu_aln_q1[11]),
+	.Y(n_11696), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g618187 (
+	.A1(brqrv_top_brqrv_ifu_aln_q0pc[6]),
+	.A2(FE_DBTN9_n_11562),
+	.B1(FE_DBTN8_n_11566),
+	.B2(brqrv_top_brqrv_ifu_aln_q2pc[6]),
+	.C1(n_11517),
+	.C2(brqrv_top_brqrv_ifu_aln_q1pc[6]),
+	.Y(n_11695), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g618188 (
+	.A(n_11631),
+	.B(n_11630),
+	.C(n_11629),
+	.Y(n_11718), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g618189 (
+	.A1(brqrv_top_brqrv_ifu_aln_q0pc[14]),
+	.A2(FE_DBTN9_n_11562),
+	.B1(FE_DBTN8_n_11566),
+	.B2(brqrv_top_brqrv_ifu_aln_q2pc[14]),
+	.C1(n_11517),
+	.C2(brqrv_top_brqrv_ifu_aln_q1pc[14]),
+	.Y(n_11694), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g618190 (
+	.A1(brqrv_top_brqrv_ifu_aln_q0pc[5]),
+	.A2(FE_DBTN9_n_11562),
+	.B1(FE_DBTN8_n_11566),
+	.B2(brqrv_top_brqrv_ifu_aln_q2pc[5]),
+	.C1(n_11517),
+	.C2(brqrv_top_brqrv_ifu_aln_q1pc[5]),
+	.Y(n_11693), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g618191 (
+	.A1(brqrv_top_brqrv_ifu_aln_q0pc[4]),
+	.A2(FE_DBTN9_n_11562),
+	.B1(FE_DBTN8_n_11566),
+	.B2(brqrv_top_brqrv_ifu_aln_q2pc[4]),
+	.C1(n_11517),
+	.C2(brqrv_top_brqrv_ifu_aln_q1pc[4]),
+	.Y(n_11692), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g618192 (
+	.A(n_11642),
+	.B(n_11477),
+	.Y(n_11717), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g618193 (
+	.A1(n_11517),
+	.A2(brqrv_top_brqrv_ifu_aln_q1[16]),
+	.B1(n_11639),
+	.Y(n_11716), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g618194 (
+	.A(n_11626),
+	.B(n_11625),
+	.C(n_11624),
+	.Y(n_11714), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g618195 (
+	.A(n_11487),
+	.B(n_11641),
+	.Y(n_11713), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g618196 (
+	.A(brqrv_top_brqrv_dbg_n_975),
+	.B(n_35542),
+	.Y(n_11712), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g618197 (
+	.A(n_11621),
+	.B(n_11622),
+	.C(n_11620),
+	.Y(n_35592), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g618198 (
+	.A(n_11645),
+	.B(n_11644),
+	.Y(n_11711), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_2 g618199 (
+	.A1(n_11517),
+	.A2(brqrv_top_brqrv_ifu_aln_q1[17]),
+	.B1(n_11640),
+	.Y(n_11710), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_4 g618200 (
+	.A(n_11643),
+	.B(n_11592),
+	.Y(n_11528), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g618201 (
+	.A(n_11683),
+	.Y(n_38088), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g618202 (
+	.A(n_11681),
+	.Y(n_38080), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g618203 (
+	.A(n_11680),
+	.Y(n_38085), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g618204 (
+	.A(n_11679),
+	.Y(n_38100), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g618205 (
+	.A(n_11678),
+	.Y(n_38090), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g618206 (
+	.A(n_11677),
+	.Y(n_38093), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g618207 (
+	.A(n_11676),
+	.Y(n_38079), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g618208 (
+	.A(n_11675),
+	.Y(n_38097), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g618209 (
+	.A(n_11674),
+	.Y(n_38078), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g618210 (
+	.A(n_11673),
+	.Y(n_38098), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g618211 (
+	.A(n_11672),
+	.Y(n_38086), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g618212 (
+	.A(n_11671),
+	.Y(n_38087), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g618213 (
+	.A(n_11670),
+	.Y(n_38095), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g618214 (
+	.A(n_11669),
+	.Y(n_38089), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g618215 (
+	.A(n_11668),
+	.Y(n_38094), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g618216 (
+	.A(n_11667),
+	.Y(n_38099), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g618217 (
+	.A(n_11691),
+	.Y(brqrv_top_brqrv_ifu_aln_q0eff[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g618218 (
+	.A(n_11690),
+	.Y(n_35606), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g618219 (
+	.A(n_11689),
+	.Y(brqrv_top_brqrv_ifu_aln_q0eff[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g618220 (
+	.A(n_11688),
+	.Y(n_35604), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g618221 (
+	.A(n_11687),
+	.Y(n_35600), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g618222 (
+	.A(n_11686),
+	.Y(n_35617), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g618223 (
+	.A(n_11685),
+	.Y(n_35628), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g618224 (
+	.A(n_11659),
+	.B(n_11578),
+	.Y(n_11684), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g618225 (
+	.A1(brqrv_top_brqrv_ifu_aln_q0pc[11]),
+	.A2(FE_DBTN9_n_11562),
+	.B1(FE_DBTN8_n_11566),
+	.B2(brqrv_top_brqrv_ifu_aln_q2pc[11]),
+	.C1(n_11517),
+	.C2(brqrv_top_brqrv_ifu_aln_q1pc[11]),
+	.Y(n_11683), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g618226 (
+	.A(n_11655),
+	.B(n_11561),
+	.Y(n_11682), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g618227 (
+	.A1(brqrv_top_brqrv_ifu_aln_q0pc[3]),
+	.A2(FE_DBTN9_n_11562),
+	.B1(FE_DBTN8_n_11566),
+	.B2(brqrv_top_brqrv_ifu_aln_q2pc[3]),
+	.C1(n_11517),
+	.C2(brqrv_top_brqrv_ifu_aln_q1pc[3]),
+	.Y(n_11681), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g618228 (
+	.A1(brqrv_top_brqrv_ifu_aln_q0pc[8]),
+	.A2(FE_DBTN9_n_11562),
+	.B1(FE_DBTN8_n_11566),
+	.B2(brqrv_top_brqrv_ifu_aln_q2pc[8]),
+	.C1(n_11517),
+	.C2(brqrv_top_brqrv_ifu_aln_q1pc[8]),
+	.Y(n_11680), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g618229 (
+	.A1(brqrv_top_brqrv_ifu_aln_q0pc[23]),
+	.A2(FE_DBTN9_n_11562),
+	.B1(FE_DBTN8_n_11566),
+	.B2(brqrv_top_brqrv_ifu_aln_q2pc[23]),
+	.C1(n_11517),
+	.C2(brqrv_top_brqrv_ifu_aln_q1pc[23]),
+	.Y(n_11679), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g618230 (
+	.A1(brqrv_top_brqrv_ifu_aln_q0pc[13]),
+	.A2(FE_DBTN9_n_11562),
+	.B1(FE_DBTN8_n_11566),
+	.B2(brqrv_top_brqrv_ifu_aln_q2pc[13]),
+	.C1(n_11517),
+	.C2(brqrv_top_brqrv_ifu_aln_q1pc[13]),
+	.Y(n_11678), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g618231 (
+	.A1(brqrv_top_brqrv_ifu_aln_q0pc[16]),
+	.A2(FE_DBTN9_n_11562),
+	.B1(FE_DBTN8_n_11566),
+	.B2(brqrv_top_brqrv_ifu_aln_q2pc[16]),
+	.C1(n_11517),
+	.C2(brqrv_top_brqrv_ifu_aln_q1pc[16]),
+	.Y(n_11677), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g618232 (
+	.A1(brqrv_top_brqrv_ifu_aln_q0pc[2]),
+	.A2(FE_DBTN9_n_11562),
+	.B1(FE_DBTN8_n_11566),
+	.B2(brqrv_top_brqrv_ifu_aln_q2pc[2]),
+	.C1(n_11517),
+	.C2(brqrv_top_brqrv_ifu_aln_q1pc[2]),
+	.Y(n_11676), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g618233 (
+	.A1(brqrv_top_brqrv_ifu_aln_q0pc[20]),
+	.A2(FE_DBTN9_n_11562),
+	.B1(FE_DBTN8_n_11566),
+	.B2(brqrv_top_brqrv_ifu_aln_q2pc[20]),
+	.C1(n_11517),
+	.C2(brqrv_top_brqrv_ifu_aln_q1pc[20]),
+	.Y(n_11675), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g618234 (
+	.A1(n_43591),
+	.A2(n_43204),
+	.B1(n_35544),
+	.Y(brqrv_top_brqrv_dbg_dma_bubble), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g618235 (
+	.A1(FE_DBTN8_n_11566),
+	.A2(brqrv_top_brqrv_ifu_aln_q2pc[1]),
+	.B1(brqrv_top_brqrv_ifu_aln_q0pc[1]),
+	.B2(FE_DBTN9_n_11562),
+	.C1(brqrv_top_brqrv_ifu_aln_q1pc[1]),
+	.C2(n_11517),
+	.Y(n_11674), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g618236 (
+	.A1(brqrv_top_brqrv_ifu_aln_q0pc[21]),
+	.A2(FE_DBTN9_n_11562),
+	.B1(FE_DBTN8_n_11566),
+	.B2(brqrv_top_brqrv_ifu_aln_q2pc[21]),
+	.C1(n_11517),
+	.C2(brqrv_top_brqrv_ifu_aln_q1pc[21]),
+	.Y(n_11673), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g618237 (
+	.A1(brqrv_top_brqrv_ifu_aln_q0pc[9]),
+	.A2(FE_DBTN9_n_11562),
+	.B1(FE_DBTN8_n_11566),
+	.B2(brqrv_top_brqrv_ifu_aln_q2pc[9]),
+	.C1(n_11517),
+	.C2(brqrv_top_brqrv_ifu_aln_q1pc[9]),
+	.Y(n_11672), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g618238 (
+	.A1(brqrv_top_brqrv_ifu_aln_q0pc[10]),
+	.A2(FE_DBTN9_n_11562),
+	.B1(FE_DBTN8_n_11566),
+	.B2(brqrv_top_brqrv_ifu_aln_q2pc[10]),
+	.C1(n_11517),
+	.C2(brqrv_top_brqrv_ifu_aln_q1pc[10]),
+	.Y(n_11671), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g618239 (
+	.A1(brqrv_top_brqrv_ifu_aln_q0pc[18]),
+	.A2(FE_DBTN9_n_11562),
+	.B1(FE_DBTN8_n_11566),
+	.B2(brqrv_top_brqrv_ifu_aln_q2pc[18]),
+	.C1(n_11517),
+	.C2(brqrv_top_brqrv_ifu_aln_q1pc[18]),
+	.Y(n_11670), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g618240 (
+	.A1(brqrv_top_brqrv_ifu_aln_q0pc[12]),
+	.A2(FE_DBTN9_n_11562),
+	.B1(FE_DBTN8_n_11566),
+	.B2(brqrv_top_brqrv_ifu_aln_q2pc[12]),
+	.C1(n_11517),
+	.C2(brqrv_top_brqrv_ifu_aln_q1pc[12]),
+	.Y(n_11669), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g618241 (
+	.A1(brqrv_top_brqrv_ifu_aln_q0pc[17]),
+	.A2(FE_DBTN9_n_11562),
+	.B1(FE_DBTN8_n_11566),
+	.B2(brqrv_top_brqrv_ifu_aln_q2pc[17]),
+	.C1(n_11517),
+	.C2(brqrv_top_brqrv_ifu_aln_q1pc[17]),
+	.Y(n_11668), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g618242 (
+	.A1(brqrv_top_brqrv_ifu_aln_q0pc[22]),
+	.A2(FE_DBTN9_n_11562),
+	.B1(FE_DBTN8_n_11566),
+	.B2(brqrv_top_brqrv_ifu_aln_q2pc[22]),
+	.C1(n_11517),
+	.C2(brqrv_top_brqrv_ifu_aln_q1pc[22]),
+	.Y(n_11667), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g618243 (
+	.A(n_11609),
+	.B(n_11600),
+	.C(n_11607),
+	.Y(brqrv_top_brqrv_ifu_aln_q0eff[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g618244 (
+	.A(n_11623),
+	.B(n_11598),
+	.C(n_11597),
+	.Y(brqrv_top_brqrv_ifu_aln_q0eff[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g618245 (
+	.A(n_11586),
+	.B(n_11602),
+	.C(n_11601),
+	.Y(n_35620), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211a_1 g618246 (
+	.A1(n_11538),
+	.A2(n_11556),
+	.B1(n_11595),
+	.C1(n_11610),
+	.X(n_11691), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211a_1 g618247 (
+	.A1(n_11542),
+	.A2(n_11566),
+	.B1(n_11608),
+	.C1(n_11605),
+	.X(n_11690), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211a_1 g618248 (
+	.A1(n_11549),
+	.A2(n_11556),
+	.B1(n_11634),
+	.C1(n_11596),
+	.X(n_11689), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211a_1 g618249 (
+	.A1(n_11554),
+	.A2(n_11566),
+	.B1(n_11611),
+	.C1(n_11612),
+	.X(n_11688), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211a_1 g618250 (
+	.A1(n_11539),
+	.A2(n_11556),
+	.B1(n_11613),
+	.C1(n_11614),
+	.X(n_11687), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g618251 (
+	.A(n_11648),
+	.B(n_11615),
+	.Y(n_35608), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211a_1 g618252 (
+	.A1(n_11546),
+	.A2(n_11556),
+	.B1(n_11616),
+	.C1(n_11617),
+	.X(n_11686), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g618253 (
+	.A(n_11499),
+	.B(n_11651),
+	.Y(n_11685), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g618254 (
+	.A(n_77),
+	.Y(n_34818), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g618255 (
+	.A(n_34817),
+	.Y(n_11663), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g618256 (
+	.A(n_11594),
+	.B(brqrv_top_brqrv_dbg_dmcontrol_wren_Q),
+	.Y(n_11662), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g618257 (
+	.A(n_11472),
+	.B(n_11521),
+	.C(brqrv_top_brqrv_ifu_aln_q2[27]),
+	.Y(n_11661), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g618259 (
+	.A(n_11472),
+	.B(n_11521),
+	.C(brqrv_top_brqrv_ifu_aln_q2[26]),
+	.Y(n_11660), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g618260 (
+	.A(n_11637),
+	.B(brqrv_top_brqrv_dec_tlu_mtdata1_t2[2]),
+	.Y(n_11659), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g618261 (
+	.A1(n_11495),
+	.A2(brqrv_top_brqrv_dec_decode_lsu_trigger_match_r[0]),
+	.B1(brqrv_top_brqrv_dec_decode_r_t[8]),
+	.X(n_11658), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g618262 (
+	.A1(n_11495),
+	.A2(brqrv_top_brqrv_dec_decode_lsu_trigger_match_r[1]),
+	.B1(brqrv_top_brqrv_dec_decode_r_t[9]),
+	.Y(n_11657), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g618263 (
+	.A1(n_11495),
+	.A2(brqrv_top_brqrv_dec_decode_lsu_trigger_match_r[3]),
+	.B1(brqrv_top_brqrv_dec_decode_r_t[11]),
+	.Y(n_11656), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g618264 (
+	.A(n_11637),
+	.B(brqrv_top_brqrv_dec_tlu_mtdata1_t0[2]),
+	.Y(n_11655), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g618265 (
+	.A(n_11472),
+	.B(n_11582),
+	.C(n_11531),
+	.Y(n_11666), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g618266 (
+	.A_N(n_35543),
+	.B(brqrv_top_brqrv_dbg_dmstatus_halted),
+	.Y(n_35542), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g618267 (
+	.A(n_11472),
+	.B(n_11603),
+	.Y(n_11665), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g618268 (
+	.A(n_11527),
+	.B(n_11472),
+	.C(n_11531),
+	.Y(n_11654), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g618269 (
+	.A(n_11604),
+	.B(brqrv_top_brqrv_lsu_lsu_busreq_r),
+	.X(n_77), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g618270 (
+	.A(n_35662),
+	.B(brqrv_top_brqrv_lsu_lsu_busreq_r),
+	.Y(n_34817), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g618271 (
+	.A(n_11652),
+	.Y(n_11653), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g618272 (
+	.A1(n_11550),
+	.A2(n_11566),
+	.B1(n_11535),
+	.B2(n_11556),
+	.Y(n_11651), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g618273 (
+	.A(n_11637),
+	.B(brqrv_top_brqrv_trigger_pkt_any[75]),
+	.C(brqrv_top_brqrv_dec_tlu_mtdata1_t1[2]),
+	.Y(n_11650), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g618274 (
+	.A(n_11637),
+	.B(brqrv_top_brqrv_trigger_pkt_any[151]),
+	.C(brqrv_top_brqrv_dec_tlu_mtdata1_t3[2]),
+	.Y(n_11649), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g618275 (
+	.A1(FE_DBTN9_n_11562),
+	.A2(brqrv_top_brqrv_ifu_aln_q0[30]),
+	.B1(n_11476),
+	.Y(n_11648), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g618276 (
+	.A(n_11603),
+	.B(n_11581),
+	.C(n_11560),
+	.Y(n_11647), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g618277 (
+	.A(n_11527),
+	.B(n_11587),
+	.Y(n_11646), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g618278 (
+	.A1(brqrv_top_brqrv_ifu_aln_q2[17]),
+	.A2(n_11582),
+	.B1(brqrv_top_brqrv_ifu_aln_q2[0]),
+	.B2(n_11521),
+	.Y(n_11645), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g618279 (
+	.A1(brqrv_top_brqrv_ifu_aln_q2[16]),
+	.A2(n_11582),
+	.B1(brqrv_top_brqrv_ifu_aln_q2[1]),
+	.B2(n_11521),
+	.Y(n_11644), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g618280 (
+	.A(n_11589),
+	.B(n_11591),
+	.Y(n_11643), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g618281 (
+	.A(n_11618),
+	.B(n_11619),
+	.Y(n_11642), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g618282 (
+	.A(n_11635),
+	.B(n_11636),
+	.Y(n_11641), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g618283 (
+	.A(n_11632),
+	.B(n_11633),
+	.Y(n_11640), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g618284 (
+	.A(n_11627),
+	.B(n_11628),
+	.Y(n_11639), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g618285 (
+	.A(n_11531),
+	.B(n_11572),
+	.C(n_11603),
+	.Y(n_11638), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3b_1 g618286 (
+	.A(brqrv_top_brqrv_dbg_dbg_state[0]),
+	.B(n_35638),
+	.C_N(brqrv_top_brqrv_dbg_dbg_state[1]),
+	.X(brqrv_top_brqrv_dbg_n_975), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g618287 (
+	.A(n_11606),
+	.B(n_11523),
+	.Y(n_11652), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g618288 (
+	.A(n_11637),
+	.Y(n_35583), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g618290 (
+	.A(FE_DBTN8_n_11566),
+	.B(brqrv_top_brqrv_ifu_aln_q2[20]),
+	.Y(n_11636), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g618291 (
+	.A(FE_DBTN9_n_11562),
+	.B(brqrv_top_brqrv_ifu_aln_q0[20]),
+	.Y(n_11635), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g618292 (
+	.A_N(n_11566),
+	.B(brqrv_top_brqrv_ifu_aln_q2[23]),
+	.Y(n_11634), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g618293 (
+	.A(FE_DBTN8_n_11566),
+	.B(brqrv_top_brqrv_ifu_aln_q2[17]),
+	.Y(n_11633), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g618294 (
+	.A(FE_DBTN9_n_11562),
+	.B(brqrv_top_brqrv_ifu_aln_q0[17]),
+	.Y(n_11632), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g618295 (
+	.A(n_11517),
+	.B(brqrv_top_brqrv_ifu_aln_q1[0]),
+	.Y(n_11631), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g618296 (
+	.A(FE_DBTN8_n_11566),
+	.B(brqrv_top_brqrv_ifu_aln_q2[0]),
+	.Y(n_11630), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g618297 (
+	.A(FE_DBTN9_n_11562),
+	.B(brqrv_top_brqrv_ifu_aln_q0[0]),
+	.Y(n_11629), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g618298 (
+	.A(FE_DBTN8_n_11566),
+	.B(brqrv_top_brqrv_ifu_aln_q2[16]),
+	.Y(n_11628), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g618299 (
+	.A(FE_DBTN9_n_11562),
+	.B(brqrv_top_brqrv_ifu_aln_q0[16]),
+	.Y(n_11627), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g618300 (
+	.A(n_11517),
+	.B(brqrv_top_brqrv_ifu_aln_q1[1]),
+	.Y(n_11626), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g618301 (
+	.A(FE_DBTN8_n_11566),
+	.B(brqrv_top_brqrv_ifu_aln_q2[1]),
+	.Y(n_11625), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g618302 (
+	.A(brqrv_top_brqrv_ifu_aln_q0[1]),
+	.B(FE_DBTN9_n_11562),
+	.Y(n_11624), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g618303 (
+	.A(n_11517),
+	.B(brqrv_top_brqrv_ifu_aln_q1[21]),
+	.Y(n_11623), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g618304 (
+	.A(FE_DBTN9_n_11562),
+	.B(brqrv_top_brqrv_ifu_aln_q0[18]),
+	.Y(n_11622), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g618305 (
+	.A(n_11517),
+	.B(brqrv_top_brqrv_ifu_aln_q1[18]),
+	.Y(n_11621), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g618306 (
+	.A(FE_DBTN8_n_11566),
+	.B(brqrv_top_brqrv_ifu_aln_q2[18]),
+	.Y(n_11620), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g618308 (
+	.A(FE_DBTN8_n_11566),
+	.B(brqrv_top_brqrv_ifu_aln_q2[19]),
+	.Y(n_11619), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g618309 (
+	.A(FE_DBTN9_n_11562),
+	.B(brqrv_top_brqrv_ifu_aln_q0[19]),
+	.Y(n_11618), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g618310 (
+	.A_N(n_11566),
+	.B(brqrv_top_brqrv_ifu_aln_q2[26]),
+	.Y(n_11617), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g618311 (
+	.A(FE_DBTN9_n_11562),
+	.B(brqrv_top_brqrv_ifu_aln_q0[26]),
+	.Y(n_11616), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g618312 (
+	.A(n_11517),
+	.B(brqrv_top_brqrv_ifu_aln_q1[30]),
+	.Y(n_11615), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g618314 (
+	.A(FE_DBTN9_n_11562),
+	.B(brqrv_top_brqrv_ifu_aln_q0[25]),
+	.Y(n_11614), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g618315 (
+	.A_N(n_11566),
+	.B(brqrv_top_brqrv_ifu_aln_q2[25]),
+	.Y(n_11613), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g618316 (
+	.A(FE_DBTN9_n_11562),
+	.B(brqrv_top_brqrv_ifu_aln_q0[28]),
+	.Y(n_11612), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g618317 (
+	.A(n_11517),
+	.B(brqrv_top_brqrv_ifu_aln_q1[28]),
+	.Y(n_11611), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g618318 (
+	.A(FE_DBTN9_n_11562),
+	.B(brqrv_top_brqrv_ifu_aln_q0[24]),
+	.Y(n_11610), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g618319 (
+	.A(FE_DBTN9_n_11562),
+	.B(brqrv_top_brqrv_ifu_aln_q0[22]),
+	.Y(n_11609), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g618320 (
+	.A(n_11517),
+	.B(brqrv_top_brqrv_ifu_aln_q1[29]),
+	.Y(n_11608), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g618321 (
+	.A_N(n_11566),
+	.B(brqrv_top_brqrv_ifu_aln_q2[22]),
+	.Y(n_11607), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g618322 (
+	.A(n_43037),
+	.B(brqrv_top_brqrv_dec_tlu_dbg_halted),
+	.Y(n_11606), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g618323 (
+	.A(FE_DBTN9_n_11562),
+	.B(brqrv_top_brqrv_ifu_aln_q0[29]),
+	.Y(n_11605), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g618324 (
+	.A(n_11523),
+	.B(brqrv_top_brqrv_dec_decode_r_t[16]),
+	.X(n_11637), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g618331 (
+	.A(n_11604),
+	.Y(n_35662), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g618334 (
+	.A(n_11603),
+	.Y(n_11527), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g618336 (
+	.A(n_11517),
+	.B(brqrv_top_brqrv_ifu_aln_q1[27]),
+	.Y(n_11602), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g618337 (
+	.A(FE_DBTN8_n_11566),
+	.B(brqrv_top_brqrv_ifu_aln_q2[27]),
+	.Y(n_11601), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g618338 (
+	.A(n_11517),
+	.B(brqrv_top_brqrv_ifu_aln_q1[22]),
+	.Y(n_11600), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g618340 (
+	.A(n_11495),
+	.B(brqrv_top_brqrv_dec_decode_lsu_trigger_match_r[2]),
+	.X(n_11599), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g618341 (
+	.A_N(n_11566),
+	.B(brqrv_top_brqrv_ifu_aln_q2[21]),
+	.Y(n_11598), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g618342 (
+	.A(FE_DBTN9_n_11562),
+	.B(brqrv_top_brqrv_ifu_aln_q0[21]),
+	.Y(n_11597), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g618343 (
+	.A(FE_DBTN9_n_11562),
+	.B(brqrv_top_brqrv_ifu_aln_q0[23]),
+	.Y(n_11596), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g618344 (
+	.A_N(n_11566),
+	.B(brqrv_top_brqrv_ifu_aln_q2[24]),
+	.Y(n_11595), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g618345 (
+	.A(n_35451),
+	.B(brqrv_top_brqrv_dbg_dbg_state[3]),
+	.Y(n_11594), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g618346 (
+	.A_N(brqrv_top_brqrv_dec_decode_r_t[5]),
+	.B(n_11523),
+	.C(brqrv_top_brqrv_dec_tlu_dbg_halted),
+	.Y(n_11593), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g618347 (
+	.A(FE_DBTN9_n_11562),
+	.B(brqrv_top_brqrv_ifu_aln_q0off),
+	.Y(n_11592), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g618348 (
+	.A(n_11582),
+	.B(brqrv_top_brqrv_ifu_aln_rdptr[1]),
+	.Y(n_11591), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g618349 (
+	.A(n_11544),
+	.B(n_35613),
+	.Y(n_11590), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g618350 (
+	.A(n_11526),
+	.B(n_11543),
+	.Y(n_11589), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 g618351 (
+	.A0(brqrv_top_brqrv_ifu_aln_q1[26]),
+	.A1(brqrv_top_brqrv_ifu_aln_q0[26]),
+	.S(n_11531),
+	.Y(n_11588), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g618352 (
+	.A1(brqrv_top_brqrv_ifu_aln_q0[0]),
+	.A2(n_11531),
+	.B1(brqrv_top_brqrv_ifu_aln_q1[0]),
+	.B2(brqrv_top_brqrv_ifu_aln_rdptr[0]),
+	.Y(n_11587), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g618353 (
+	.A(FE_DBTN9_n_11562),
+	.B(brqrv_top_brqrv_ifu_aln_q0[27]),
+	.Y(n_11586), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g618358 (
+	.A1(brqrv_top_brqrv_ifu_aln_q0[27]),
+	.A2(n_11531),
+	.B1(brqrv_top_brqrv_ifu_aln_q1[27]),
+	.B2(brqrv_top_brqrv_ifu_aln_rdptr[0]),
+	.Y(n_11583), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g618359 (
+	.A1(brqrv_top_brqrv_dec_tlu_mtdata1_t0[6]),
+	.A2(brqrv_top_brqrv_dec_tlu_mstatus[0]),
+	.B1(brqrv_top_brqrv_trigger_pkt_any[32]),
+	.Y(n_35576), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g618362 (
+	.A_N(brqrv_top_brqrv_dbg_dmcontrol_reg[31]),
+	.B(brqrv_top_brqrv_dbg_dmcontrol_reg[30]),
+	.C(brqrv_top_brqrv_dbg_dmcontrol_wren_Q),
+	.Y(n_35543), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g618363 (
+	.A1(brqrv_top_brqrv_dec_tlu_mtdata1_t3[6]),
+	.A2(brqrv_top_brqrv_dec_tlu_mstatus[0]),
+	.B1(brqrv_top_brqrv_trigger_pkt_any[146]),
+	.Y(n_35585), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g618364 (
+	.A1(brqrv_top_brqrv_dec_tlu_mtdata1_t2[6]),
+	.A2(brqrv_top_brqrv_dec_tlu_mstatus[0]),
+	.B1(brqrv_top_brqrv_trigger_pkt_any[108]),
+	.Y(n_35580), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g618365 (
+	.A1(brqrv_top_brqrv_dec_tlu_mtdata1_t1[6]),
+	.A2(brqrv_top_brqrv_dec_tlu_mstatus[0]),
+	.B1(brqrv_top_brqrv_trigger_pkt_any[70]),
+	.Y(n_35584), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g618366 (
+	.A(n_11573),
+	.B(n_11574),
+	.Y(n_35612), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 g618367 (
+	.A(brqrv_top_brqrv_dbg_n_18),
+	.B(brqrv_top_brqrv_dbg_n_5),
+	.X(n_35544), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_4 g618368 (
+	.A(n_11523),
+	.B(n_43037),
+	.Y(n_35573), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g618369 (
+	.A1(brqrv_top_brqrv_lsu_lsu_addr_r[2]),
+	.A2(brqrv_top_brqrv_lsu_end_addr_r[2]),
+	.B1(n_11580),
+	.X(n_11604), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g618370 (
+	.A(n_11526),
+	.B(n_11558),
+	.Y(n_11603), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g618373 (
+	.A(n_11523),
+	.Y(n_35615), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g618380 (
+	.A(n_11582),
+	.Y(n_11521), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g618385 (
+	.A_N(brqrv_top_brqrv_ifu_aln_f0val[1]),
+	.B(brqrv_top_brqrv_ifu_aln_f0val[0]),
+	.Y(n_33909), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g618386 (
+	.A(brqrv_top_brqrv_ifu_aln_q0[16]),
+	.B(n_11531),
+	.Y(n_11581), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g618387 (
+	.A(brqrv_top_brqrv_lsu_end_addr_r[2]),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_r[2]),
+	.Y(n_11580), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g618388 (
+	.A(brqrv_top_brqrv_lsu_lsu_busreq_r),
+	.B(brqrv_top_brqrv_lsu_end_addr_r[2]),
+	.Y(n_34820), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g618390 (
+	.A(brqrv_top_brqrv_trigger_pkt_any[111]),
+	.B(brqrv_top_brqrv_lsu_error_pkt_r[0]),
+	.Y(n_11578), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g618394 (
+	.A(brqrv_top_brqrv_ifu_aln_q1off),
+	.B(brqrv_top_brqrv_ifu_aln_rdptr[0]),
+	.Y(n_11526), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g618395 (
+	.A(brqrv_top_brqrv_dbg_dbg_state[2]),
+	.B(brqrv_top_brqrv_dbg_dbg_state[1]),
+	.X(n_35451), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g618396 (
+	.A(brqrv_top_brqrv_trigger_pkt_any[75]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[73]),
+	.Y(n_35613), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g618398 (
+	.A(n_11540),
+	.B(\brqrv_top_brqrv_dec_tlu_freeff_dff_dout[3]_63 ),
+	.Y(n_11523), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g618399 (
+	.A(brqrv_top_brqrv_ifu_aln_q2off),
+	.B(n_11531),
+	.Y(n_11582), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g618400 (
+	.A(n_11574),
+	.Y(brqrv_top_brqrv_dbg_n_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g618401 (
+	.A(n_11573),
+	.Y(n_35638), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g618407 (
+	.A(n_11472),
+	.Y(n_11572), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g891082 (
+	.A(n_11556),
+	.Y(n_11517), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g891089 (
+	.A(brqrv_top_brqrv_lsu_error_pkt_r[0]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[35]),
+	.Y(n_11561), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g891090 (
+	.A(brqrv_top_brqrv_ifu_aln_q1[16]),
+	.B(brqrv_top_brqrv_ifu_aln_rdptr[0]),
+	.Y(n_11560), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g891092 (
+	.A(brqrv_top_brqrv_ifu_aln_q0off),
+	.B(n_11531),
+	.Y(n_11558), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g891094 (
+	.A_N(brqrv_top_brqrv_dec_tlu_dcsr_single_step_running_f),
+	.B(brqrv_top_brqrv_dec_tlu_debug_mode),
+	.Y(n_35541), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g891095 (
+	.A_N(brqrv_top_brqrv_dbg_dbg_state[3]),
+	.B(brqrv_top_brqrv_dbg_dbg_state[2]),
+	.Y(brqrv_top_brqrv_dbg_n_18), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g891096 (
+	.A(brqrv_top_brqrv_trigger_pkt_any[151]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[149]),
+	.Y(n_35614), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g891097 (
+	.A_N(\brqrv_top_brqrv_dec_tlu_freeff_dff_dout[3]_63 ),
+	.B(brqrv_top_brqrv_lsu_error_pkt_r[0]),
+	.Y(n_35540), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g891098 (
+	.A(brqrv_top_brqrv_dbg_dbg_state[1]),
+	.B(brqrv_top_brqrv_dbg_dbg_state[0]),
+	.Y(n_11574), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g891099 (
+	.A(brqrv_top_brqrv_dbg_dbg_state[3]),
+	.B(brqrv_top_brqrv_dbg_dbg_state[2]),
+	.Y(n_11573), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g891100 (
+	.A(n_11531),
+	.B(brqrv_top_brqrv_ifu_aln_rdptr[1]),
+	.Y(n_11566), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g891101 (
+	.A(brqrv_top_brqrv_ifu_aln_rdptr[0]),
+	.B(n_11543),
+	.Y(n_11556), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g891102 (
+	.A(n_11531),
+	.B(n_11543),
+	.Y(n_11562), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g891104 (
+	.A(brqrv_top_brqrv_ifu_aln_q2[28]),
+	.Y(n_11554), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g891106 (
+	.A(brqrv_top_brqrv_ifu_aln_q0[6]),
+	.Y(n_11552), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g891107 (
+	.A(brqrv_top_brqrv_ifu_aln_q1[6]),
+	.Y(n_11551), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g891108 (
+	.A(brqrv_top_brqrv_ifu_aln_q2[31]),
+	.Y(n_11550), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g891109 (
+	.A(brqrv_top_brqrv_ifu_aln_q1[23]),
+	.Y(n_11549), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g891111 (
+	.A(brqrv_top_brqrv_ifu_aln_q0[5]),
+	.Y(n_11547), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g891112 (
+	.A(brqrv_top_brqrv_ifu_aln_q1[26]),
+	.Y(n_11546), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g891113 (
+	.A(brqrv_top_brqrv_ifu_aln_q2[10]),
+	.Y(n_11545), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g891114 (
+	.A(brqrv_top_brqrv_lsu_error_pkt_r[0]),
+	.Y(n_11544), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g891115 (
+	.A(brqrv_top_brqrv_ifu_aln_rdptr[1]),
+	.Y(n_11543), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g891116 (
+	.A(brqrv_top_brqrv_ifu_aln_q2[29]),
+	.Y(n_11542), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g891117 (
+	.A(brqrv_top_brqrv_ifu_aln_q2[6]),
+	.Y(n_11541), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g891119 (
+	.A(brqrv_top_brqrv_ifu_aln_q1[25]),
+	.Y(n_11539), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g891120 (
+	.A(brqrv_top_brqrv_ifu_aln_q1[24]),
+	.Y(n_11538), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g891121 (
+	.A(brqrv_top_brqrv_ifu_aln_q2[13]),
+	.Y(n_11537), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g891122 (
+	.A(brqrv_top_brqrv_ifu_aln_q1[5]),
+	.Y(n_11536), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g891123 (
+	.A(brqrv_top_brqrv_ifu_aln_q1[31]),
+	.Y(n_11535), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g891124 (
+	.A(brqrv_top_brqrv_ifu_aln_q2[5]),
+	.Y(n_11534), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g891125 (
+	.A(brqrv_top_brqrv_ifu_aln_q0[10]),
+	.Y(n_11533), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g891126 (
+	.A(brqrv_top_brqrv_ifu_aln_q2[11]),
+	.Y(n_11532), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_6 g891127 (
+	.A(brqrv_top_brqrv_ifu_aln_rdptr[0]),
+	.Y(n_11531), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g891129 (
+	.A(n_11762),
+	.B(n_11761),
+	.X(n_11512), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g891130 (
+	.A(n_11506),
+	.B(n_11734),
+	.C(n_11472),
+	.X(n_11511), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g891131 (
+	.A1(n_11714),
+	.A2(n_11528),
+	.B1(n_11472),
+	.X(n_11510), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_2 g891132 (
+	.A(n_11566),
+	.B_N(n_11472),
+	.Y(n_11509), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_0 g891133 (
+	.A(n_11472),
+	.B(FE_DBTN9_n_11562),
+	.X(n_11508), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_0 g891134 (
+	.A(n_11472),
+	.B(n_11517),
+	.X(n_11507), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g891135 (
+	.A_N(n_11718),
+	.B(FE_DBTN14_n_11528),
+	.Y(n_11506), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g891136 (
+	.A(n_11729),
+	.B_N(n_35592),
+	.Y(n_11505), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g891137 (
+	.A_N(n_35576),
+	.B(n_11658),
+	.Y(n_11504), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g891138 (
+	.A1(n_11544),
+	.A2(n_35614),
+	.B1_N(n_35585),
+	.Y(n_11503), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g891139 (
+	.A1(brqrv_top_brqrv_dec_decode_r_t[10]),
+	.A2(n_11599),
+	.B1_N(n_35580),
+	.Y(n_11502), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g891140 (
+	.A(n_11728),
+	.B_N(brqrv_top_brqrv_ifu_aln_q2[7]),
+	.Y(n_11501), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g891141 (
+	.A(n_11731),
+	.B_N(brqrv_top_brqrv_ifu_aln_q1[7]),
+	.Y(n_11500), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g891142 (
+	.A(n_11562),
+	.B_N(brqrv_top_brqrv_ifu_aln_q0[31]),
+	.Y(n_11499), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g891143 (
+	.A(n_11733),
+	.B_N(brqrv_top_brqrv_ifu_aln_q0[8]),
+	.Y(n_11498), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g891144 (
+	.A(n_11728),
+	.B_N(brqrv_top_brqrv_ifu_aln_q2[12]),
+	.Y(n_11497), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g891145 (
+	.A(n_11728),
+	.B_N(brqrv_top_brqrv_ifu_aln_q2[2]),
+	.Y(n_11496), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 g891146 (
+	.A(brqrv_top_brqrv_dec_decode_r_d[2]),
+	.B(brqrv_top_brqrv_dec_decode_r_d[3]),
+	.X(n_11495), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g891147 (
+	.A(n_11731),
+	.B_N(brqrv_top_brqrv_ifu_aln_q1[2]),
+	.Y(n_11494), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g891148 (
+	.A(n_11728),
+	.B_N(brqrv_top_brqrv_ifu_aln_q2[9]),
+	.Y(n_11493), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g891149 (
+	.A(n_11733),
+	.B_N(brqrv_top_brqrv_ifu_aln_q0[2]),
+	.Y(n_11492), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g891150 (
+	.A(n_11733),
+	.B_N(brqrv_top_brqrv_ifu_aln_q0[15]),
+	.Y(n_11491), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g891151 (
+	.A(n_11728),
+	.B_N(brqrv_top_brqrv_ifu_aln_q2[15]),
+	.Y(n_11490), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g891152 (
+	.A(n_11731),
+	.B_N(brqrv_top_brqrv_ifu_aln_q1[15]),
+	.Y(n_11489), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g891153 (
+	.A(n_11733),
+	.B_N(brqrv_top_brqrv_ifu_aln_q0[13]),
+	.Y(n_11488), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g891154 (
+	.A(n_11556),
+	.B_N(brqrv_top_brqrv_ifu_aln_q1[20]),
+	.Y(n_11487), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g891155 (
+	.A(n_11733),
+	.B_N(brqrv_top_brqrv_ifu_aln_q0[7]),
+	.Y(n_11486), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g891156 (
+	.A(n_11731),
+	.B_N(brqrv_top_brqrv_ifu_aln_q1[3]),
+	.Y(n_11485), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g891157 (
+	.A(n_11731),
+	.B_N(brqrv_top_brqrv_ifu_aln_q1[12]),
+	.Y(n_11484), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g891158 (
+	.A(n_11733),
+	.B_N(brqrv_top_brqrv_ifu_aln_q0[4]),
+	.Y(n_11483), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g891159 (
+	.A(n_11733),
+	.B_N(brqrv_top_brqrv_ifu_aln_q0[12]),
+	.Y(n_11482), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g891160 (
+	.A(n_11733),
+	.B_N(brqrv_top_brqrv_ifu_aln_q0[9]),
+	.Y(n_11481), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g891161 (
+	.A(n_11731),
+	.B_N(brqrv_top_brqrv_ifu_aln_q1[8]),
+	.Y(n_11480), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g891162 (
+	.A(n_11731),
+	.B_N(brqrv_top_brqrv_ifu_aln_q1[9]),
+	.Y(n_11479), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g618621 (
+	.A(n_11731),
+	.B_N(brqrv_top_brqrv_ifu_aln_q1[13]),
+	.Y(n_11478), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g618622 (
+	.A(n_11556),
+	.B_N(brqrv_top_brqrv_ifu_aln_q1[19]),
+	.Y(n_11477), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g618623 (
+	.A(n_11566),
+	.B_N(brqrv_top_brqrv_ifu_aln_q2[30]),
+	.Y(n_11476), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g618624 (
+	.A(n_11733),
+	.B_N(brqrv_top_brqrv_ifu_aln_q0[3]),
+	.Y(n_11475), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g618625 (
+	.A(n_11728),
+	.B_N(brqrv_top_brqrv_ifu_aln_q2[8]),
+	.Y(n_11474), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g618626 (
+	.A(n_11728),
+	.B_N(brqrv_top_brqrv_ifu_aln_q2[3]),
+	.Y(n_11473), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_4 g618627 (
+	.A(brqrv_top_brqrv_ifu_aln_f0val[1]),
+	.B(brqrv_top_brqrv_ifu_aln_f0val[0]),
+	.X(n_11472), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g618628 (
+	.A(n_11731),
+	.B_N(brqrv_top_brqrv_ifu_aln_q1[10]),
+	.Y(n_11471), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g615567 (
+	.A1(n_11392),
+	.A2(n_35823),
+	.B1(n_11469),
+	.Y(brqrv_top_brqrv_ifu_mem_ctl_miss_state_ff_n_8), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g615568 (
+	.A1(n_11384),
+	.A2(n_35823),
+	.B1(n_11470),
+	.Y(brqrv_top_brqrv_ifu_mem_ctl_miss_state_ff_n_9), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g615569 (
+	.A(n_35823),
+	.B(n_11459),
+	.Y(n_11470), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g615570 (
+	.A(n_35823),
+	.B(n_11458),
+	.Y(n_11469), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g615571 (
+	.A1(n_11467),
+	.A2(n_11399),
+	.B1(n_34926),
+	.Y(brqrv_top_brqrv_ifu_mem_ctl_ic_crit_wd_rdy_new_in), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g615572 (
+	.A(n_11468),
+	.B(n_11455),
+	.Y(n_35823), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g615573 (
+	.A(n_11464),
+	.B(n_11392),
+	.Y(n_11468), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g615574 (
+	.A1(n_11436),
+	.A2(n_11463),
+	.B1(n_11465),
+	.Y(brqrv_top_brqrv_lsu_lsu_lsc_ctl_exc_mscause_d[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g615576 (
+	.A(n_11462),
+	.B(n_41719),
+	.Y(n_11467), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g615578 (
+	.A(n_11449),
+	.B(n_11448),
+	.C(brqrv_top_brqrv_lsu_lsu_lsc_ctl_misaligned_fault_d),
+	.Y(brqrv_top_brqrv_lsu_lsu_lsc_ctl_exc_mscause_d[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g615579 (
+	.A(n_11463),
+	.B(n_11454),
+	.Y(n_11465), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g615580 (
+	.A(n_11439),
+	.B(n_11381),
+	.Y(n_11464), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g615581 (
+	.A(n_11463),
+	.Y(brqrv_top_brqrv_lsu_lsu_lsc_ctl_misaligned_fault_d), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g615582 (
+	.A(n_11460),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_uncacheable_miss_ff),
+	.Y(n_11462), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g615583 (
+	.A(n_11461),
+	.B(brqrv_top_brqrv_dec_lsu_valid_raw_d),
+	.Y(n_11463), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g615585 (
+	.A(n_11407),
+	.B(n_11380),
+	.C(n_43206),
+	.Y(brqrv_top_brqrv_ifu_mem_ctl_ifu_pmu_sigs_ff_en), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g615587 (
+	.A1(n_11447),
+	.A2(n_11449),
+	.B1(n_34925),
+	.Y(brqrv_top_brqrv_lsu_lsu_lsc_ctl_access_fault_d), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g615588 (
+	.A(n_11452),
+	.B(n_11445),
+	.Y(n_11461), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g615589 (
+	.A(n_11390),
+	.B(n_11441),
+	.C(n_11437),
+	.Y(n_11460), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g615590 (
+	.A1(brqrv_top_brqrv_dec_tlu_force_halt),
+	.A2(n_11438),
+	.B1(n_11430),
+	.Y(n_11459), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g615591 (
+	.A1(n_35057),
+	.A2(n_35434),
+	.B1(n_106844_BAR),
+	.C1(n_11456),
+	.D1(n_11390),
+	.Y(n_11458), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g615594 (
+	.A(n_11440),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_miss_state[0]),
+	.Y(n_11455), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g615595 (
+	.A(n_11432),
+	.B(n_11448),
+	.Y(n_11454), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g615596 (
+	.A1(n_11409),
+	.A2(n_43207),
+	.B1(n_1787),
+	.Y(n_11456), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g615597 (
+	.A(brqrv_top_brqrv_dec_tlu_force_halt),
+	.B(n_43207),
+	.C(n_11409),
+	.Y(n_11453), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g615598 (
+	.A(n_43209),
+	.B(n_11421),
+	.C(n_11434),
+	.D(n_43208),
+	.Y(n_11452), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g615599 (
+	.A(n_11447),
+	.B(n_11443),
+	.Y(n_11451), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g615601 (
+	.A(n_11448),
+	.Y(n_11447), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g615602 (
+	.A1(n_11378),
+	.A2(n_36784),
+	.B1(n_11425),
+	.B2(n_36800),
+	.X(brqrv_top_brqrv_ifu_ic_data_f[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g615603 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_ifc_fetch_req_qual_bf),
+	.B(n_11393),
+	.Y(n_11446), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g615604 (
+	.A(n_11436),
+	.B(brqrv_top_brqrv_lsu_lsu_pkt_d[0]),
+	.Y(n_11445), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g615606 (
+	.A(n_11426),
+	.B(n_11432),
+	.Y(n_11443), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g615607 (
+	.A1(n_11378),
+	.A2(n_36785),
+	.B1(n_11425),
+	.B2(n_36380),
+	.X(brqrv_top_brqrv_ifu_ic_data_f[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g615608 (
+	.A(n_11431),
+	.B(n_11377),
+	.Y(n_11442), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g615609 (
+	.A1(n_11387),
+	.A2(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[3]),
+	.B1(n_11428),
+	.Y(n_11441), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g615610 (
+	.A1(n_33900),
+	.A2(n_11419),
+	.B1(n_41999),
+	.Y(n_11440), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g615611 (
+	.A1(n_11378),
+	.A2(n_36786),
+	.B1(n_11425),
+	.B2(n_36381),
+	.X(brqrv_top_brqrv_ifu_ic_data_f[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g615612 (
+	.A(n_11429),
+	.B(n_11416),
+	.C(brqrv_top_brqrv_ifu_mem_ctl_miss_state[2]),
+	.Y(n_11439), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g615613 (
+	.A1(n_34737),
+	.A2(n_11420),
+	.B1(n_11422),
+	.X(n_11438), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g615614 (
+	.A1(n_11378),
+	.A2(n_36792),
+	.B1(n_11425),
+	.B2(n_36387),
+	.X(brqrv_top_brqrv_ifu_ic_data_f[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g615615 (
+	.A1(n_11378),
+	.A2(n_36791),
+	.B1(n_11425),
+	.B2(n_36386),
+	.X(brqrv_top_brqrv_ifu_ic_data_f[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g615616 (
+	.A1(n_11378),
+	.A2(n_36790),
+	.B1(n_11425),
+	.B2(n_36385),
+	.X(brqrv_top_brqrv_ifu_ic_data_f[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g891163 (
+	.A1(n_11378),
+	.A2(n_36789),
+	.B1(n_11425),
+	.B2(n_36384),
+	.X(brqrv_top_brqrv_ifu_ic_data_f[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g891164 (
+	.A1(n_11378),
+	.A2(n_36788),
+	.B1(n_11425),
+	.B2(n_36383),
+	.X(brqrv_top_brqrv_ifu_ic_data_f[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g615619 (
+	.A1(n_11378),
+	.A2(n_36787),
+	.B1(n_11425),
+	.B2(n_36382),
+	.X(brqrv_top_brqrv_ifu_ic_data_f[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g615620 (
+	.A(n_43209),
+	.B(n_11421),
+	.C(n_43208),
+	.X(brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_is_sideeffects_d), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g891165 (
+	.A(n_11426),
+	.B_N(n_11432),
+	.Y(n_11449), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g891166 (
+	.A1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_start_addr_in_pic_d),
+	.A2(n_11410),
+	.B1(n_34104),
+	.C1(n_11414),
+	.Y(n_11448), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g891167 (
+	.A1(n_11378),
+	.A2(n_36772),
+	.B1(n_11425),
+	.B2(n_36788),
+	.X(brqrv_top_brqrv_ifu_ic_data_f[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g891168 (
+	.A1(n_11378),
+	.A2(n_36782),
+	.B1(n_11425),
+	.B2(n_36798),
+	.X(brqrv_top_brqrv_ifu_ic_data_f[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g891169 (
+	.A1(n_11378),
+	.A2(n_36781),
+	.B1(n_11425),
+	.B2(n_36797),
+	.X(brqrv_top_brqrv_ifu_ic_data_f[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g891170 (
+	.A1(n_11378),
+	.A2(n_36780),
+	.B1(n_11425),
+	.B2(n_36796),
+	.X(brqrv_top_brqrv_ifu_ic_data_f[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g891171 (
+	.A1(n_11378),
+	.A2(n_36779),
+	.B1(n_11425),
+	.B2(n_36795),
+	.X(brqrv_top_brqrv_ifu_ic_data_f[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g891172 (
+	.A1(n_11378),
+	.A2(n_36778),
+	.B1(n_11425),
+	.B2(n_36794),
+	.X(brqrv_top_brqrv_ifu_ic_data_f[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g891173 (
+	.A1(n_11378),
+	.A2(n_36777),
+	.B1(n_11425),
+	.B2(n_36793),
+	.X(brqrv_top_brqrv_ifu_ic_data_f[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g891174 (
+	.A1(n_11378),
+	.A2(n_36776),
+	.B1(n_11425),
+	.B2(n_36792),
+	.X(brqrv_top_brqrv_ifu_ic_data_f[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g891175 (
+	.A1(n_11378),
+	.A2(n_36775),
+	.B1(n_11425),
+	.B2(n_36791),
+	.X(brqrv_top_brqrv_ifu_ic_data_f[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g891176 (
+	.A1(n_11378),
+	.A2(n_36774),
+	.B1(n_11425),
+	.B2(n_36790),
+	.X(brqrv_top_brqrv_ifu_ic_data_f[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g891177 (
+	.A1(n_11378),
+	.A2(n_36773),
+	.B1(n_11425),
+	.B2(n_36789),
+	.X(brqrv_top_brqrv_ifu_ic_data_f[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g891178 (
+	.A1(n_11378),
+	.A2(n_36783),
+	.B1(n_11425),
+	.B2(n_36799),
+	.X(brqrv_top_brqrv_ifu_ic_data_f[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g891179 (
+	.A1(n_11378),
+	.A2(n_36771),
+	.B1(n_11425),
+	.B2(n_36787),
+	.X(brqrv_top_brqrv_ifu_ic_data_f[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g891180 (
+	.A1(n_11378),
+	.A2(n_36800),
+	.B1(n_11425),
+	.B2(n_36395),
+	.X(brqrv_top_brqrv_ifu_ic_data_f[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g891181 (
+	.A1(n_11378),
+	.A2(n_36799),
+	.B1(n_11425),
+	.B2(n_36394),
+	.X(brqrv_top_brqrv_ifu_ic_data_f[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g891182 (
+	.A1(n_11423),
+	.A2(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[3]),
+	.B1(n_34183),
+	.B2(n_11383),
+	.C1(n_34961),
+	.X(n_11437), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g891183 (
+	.A1(n_11378),
+	.A2(n_36798),
+	.B1(n_11425),
+	.B2(n_36393),
+	.X(brqrv_top_brqrv_ifu_ic_data_f[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g891184 (
+	.A1(n_11378),
+	.A2(n_36797),
+	.B1(n_11425),
+	.B2(n_36392),
+	.X(brqrv_top_brqrv_ifu_ic_data_f[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g891185 (
+	.A1(n_11378),
+	.A2(n_36796),
+	.B1(n_11425),
+	.B2(n_36391),
+	.X(brqrv_top_brqrv_ifu_ic_data_f[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g891186 (
+	.A1(n_11378),
+	.A2(n_36795),
+	.B1(n_11425),
+	.B2(n_36390),
+	.X(brqrv_top_brqrv_ifu_ic_data_f[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g891187 (
+	.A1(n_11378),
+	.A2(n_36794),
+	.B1(n_11425),
+	.B2(n_36389),
+	.X(brqrv_top_brqrv_ifu_ic_data_f[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g891188 (
+	.A1(n_11378),
+	.A2(n_36793),
+	.B1(n_11425),
+	.B2(n_36388),
+	.X(brqrv_top_brqrv_ifu_ic_data_f[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g891190 (
+	.A1(n_11389),
+	.A2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_m_in[10]),
+	.B1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_m_in[11]),
+	.C1(n_11411),
+	.Y(n_11434), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g891191 (
+	.A(n_11405),
+	.B(n_35051),
+	.C(n_35029),
+	.Y(brqrv_top_brqrv_ifu_mem_ctl_ifc_bus_ic_req_ff_in), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g891192 (
+	.A(n_11413),
+	.B(n_264431_BAR),
+	.Y(brqrv_top_brqrv_ifu_mem_ctl_misc1_ff_en), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g891193 (
+	.A(brqrv_top_brqrv_exu_flush_final),
+	.B(n_11418),
+	.Y(brqrv_top_brqrv_ifu_ic_access_fault_f[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g891194 (
+	.A1(n_35065),
+	.A2(n_11410),
+	.B1_N(brqrv_top_brqrv_dec_extint_stall),
+	.Y(brqrv_top_brqrv_lsu_lsu_lsc_ctl_fir_dccm_access_error_d), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g891195 (
+	.A(n_11417),
+	.B(n_34695),
+	.Y(brqrv_top_brqrv_ifu_mem_ctl_ifc_fetch_req_qual_bf), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g891196 (
+	.A(n_295856_BAR),
+	.B(n_11412),
+	.Y(n_11436), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g891197 (
+	.A(n_11400),
+	.B(n_11402),
+	.C(n_11397),
+	.Y(n_11431), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g891198 (
+	.A1(n_35179),
+	.A2(n_11398),
+	.B1(brqrv_top_brqrv_ifu_mem_ctl_miss_pending),
+	.B2(brqrv_top_brqrv_ifu_mem_ctl_ic_act_miss_f),
+	.X(n_11430), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g891199 (
+	.A(n_11420),
+	.B(n_11401),
+	.Y(n_11429), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g891200 (
+	.A1(n_35364),
+	.A2(n_11385),
+	.B1(n_11424),
+	.Y(brqrv_top_brqrv_ifu_mem_ctl_uncacheable_miss_in), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g891201 (
+	.A1(n_34184),
+	.A2(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[4]),
+	.B1(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[3]),
+	.C1(n_309059_BAR),
+	.Y(n_11428), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g891203 (
+	.A(n_43137),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_addr_external_d),
+	.Y(n_11432), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g891204 (
+	.A(brqrv_top_brqrv_exu_flush_final),
+	.B(n_11408),
+	.Y(brqrv_top_brqrv_ifu_ic_access_fault_f[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g891205 (
+	.A(n_35364),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_uncacheable_miss_ff),
+	.Y(n_11424), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g891207 (
+	.A1(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[4]),
+	.A2(n_11388),
+	.B1(n_35027),
+	.Y(n_11423), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g891208 (
+	.A(n_42045),
+	.B(n_11404),
+	.Y(brqrv_top_brqrv_ifu_ic_data_f[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g891209 (
+	.A(n_42044),
+	.B(n_11404),
+	.Y(brqrv_top_brqrv_ifu_ic_data_f[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g891210 (
+	.A(n_328488_BAR),
+	.B(n_11409),
+	.Y(n_11422), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g891211 (
+	.A(n_11411),
+	.B(n_35378),
+	.Y(n_11426), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g891212 (
+	.A(n_11405),
+	.B(n_35051),
+	.Y(brqrv_top_brqrv_ifu_mem_ctl_bus_cmd_req_in), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_0 g891213 (
+	.A(n_11403),
+	.B(\brqrv_top_mem_iccm.iccm_n_1379 ),
+	.X(n_11425), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g891214 (
+	.A(n_35062),
+	.B(n_11391),
+	.Y(n_11419), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g891215 (
+	.A1(n_11391),
+	.A2(brqrv_top_brqrv_ifu_mem_ctl_n_666),
+	.B1(brqrv_top_brqrv_ifu_mem_ctl_ifc_region_acc_fault_final_f),
+	.Y(n_11418), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g891216 (
+	.A1(n_11394),
+	.A2(n_354407_BAR),
+	.B1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[31]),
+	.Y(n_11421), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g891217 (
+	.A1(n_35066),
+	.A2(n_11386),
+	.B1(n_34186),
+	.Y(n_11417), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g891218 (
+	.A(n_35434),
+	.B(n_358663_BAR),
+	.C(n_11384),
+	.Y(n_11416), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g891219 (
+	.A1(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.end_addr_dccm_rangecheck_n_15 ),
+	.A2(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.start_addr_dccm_rangecheck_n_18 ),
+	.B1_N(brqrv_top_brqrv_dec_extint_stall),
+	.Y(brqrv_top_brqrv_lsu_lsu_lsc_ctl_fir_nondccm_access_error_d), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g891221 (
+	.A1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_start_addr_in_dccm_d),
+	.A2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_end_addr_in_pic_d),
+	.B1(n_11379),
+	.Y(n_11414), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g891222 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_sel_mb_addr_ff),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_reset_tag_valid_for_miss),
+	.Y(n_11413), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g891223 (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[31]),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[31]),
+	.Y(n_11412), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g891224 (
+	.A(n_11396),
+	.B(n_35062),
+	.Y(n_11420), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g891225 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_ifc_region_acc_fault_final_f),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_ifu_pmu_bus_error_in),
+	.Y(n_11408), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g891226 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_ic_act_miss_f),
+	.B_N(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_valid[0]),
+	.Y(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_valid_in[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g891227 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_ic_act_miss_f),
+	.B_N(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_error[0]),
+	.Y(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_error_in[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g891228 (
+	.A(n_11385),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_ifu_pmu_sigs_ff_n_21),
+	.Y(n_11407), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g891229 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_ic_act_miss_f),
+	.B_N(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_valid[3]),
+	.Y(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_valid_in[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g891230 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_ic_act_miss_f),
+	.B_N(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_error[1]),
+	.Y(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_error_in[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g891231 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_ic_act_miss_f),
+	.B_N(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_error[3]),
+	.Y(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_error_in[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g891232 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_ic_act_miss_f),
+	.B_N(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_error[7]),
+	.Y(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_error_in[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g891233 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_ic_act_miss_f),
+	.B_N(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_error[6]),
+	.Y(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_error_in[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g891234 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_ic_act_miss_f),
+	.B_N(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_error[5]),
+	.Y(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_error_in[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g891235 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_ic_act_miss_f),
+	.B_N(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_error[4]),
+	.Y(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_error_in[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g891236 (
+	.A(n_34205),
+	.B_N(brqrv_top_brqrv_lsu_lsu_pkt_d[9]),
+	.Y(n_11411), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g891237 (
+	.A_N(brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_start_addr_in_dccm_d),
+	.B(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.start_addr_dccm_rangecheck_n_18 ),
+	.Y(n_11410), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g891238 (
+	.A(n_11390),
+	.B(n_34160),
+	.Y(n_35364), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g615699 (
+	.A(n_34958),
+	.B(n_35435),
+	.Y(n_11409), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g615700 (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_start_addr_in_pic_region_d),
+	.B(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.start_addr_dccm_rangecheck_n_18 ),
+	.Y(brqrv_top_brqrv_lsu_lsu_lsc_ctl_addr_external_d), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g891239 (
+	.A(n_11404),
+	.Y(n_11403), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g891240 (
+	.A_N(brqrv_top_brqrv_ifu_pmu_ic_miss),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_ic_act_miss_f),
+	.Y(n_11402), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g891241 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_ic_act_miss_f),
+	.B_N(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_valid[5]),
+	.Y(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_valid_in[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g891242 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_ic_act_miss_f),
+	.B_N(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_valid[1]),
+	.Y(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_valid_in[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g891243 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_ic_act_miss_f),
+	.B_N(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_valid[6]),
+	.Y(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_valid_in[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g891244 (
+	.A(n_11384),
+	.B(brqrv_top_brqrv_dec_tlu_force_halt),
+	.Y(n_11401), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g891245 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_ic_act_miss_f),
+	.B_N(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_valid[4]),
+	.Y(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_valid_in[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g891247 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_ic_act_miss_f),
+	.B_N(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_error[2]),
+	.Y(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_error_in[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g891248 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_ic_act_miss_f),
+	.B_N(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_valid[2]),
+	.Y(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_valid_in[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g891249 (
+	.A(n_11390),
+	.B(brqrv_top_brqrv_ifu_pmu_ic_miss),
+	.Y(n_11400), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g891250 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_ic_act_miss_f),
+	.B_N(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_valid[7]),
+	.Y(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_valid_in[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g891251 (
+	.A(n_35435),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_ic_crit_wd_rdy_new_ff),
+	.Y(n_11399), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g891252 (
+	.A(n_11391),
+	.B(n_35062),
+	.Y(n_11398), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g891253 (
+	.A(n_34226),
+	.B(n_35824),
+	.Y(n_11397), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g891254 (
+	.A(n_34103),
+	.B(n_11386),
+	.Y(n_11396), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g891256 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_ic_act_miss_f),
+	.B(n_1787),
+	.Y(n_11405), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g891257 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_ifc_fetch_req_f),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_ifc_iccm_access_f),
+	.Y(n_11404), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g891258 (
+	.A(n_34325),
+	.Y(n_11394), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g891259 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_ifc_fetch_req_f_raw),
+	.Y(n_11393), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g891260 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_miss_state[0]),
+	.Y(n_11392), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g891261 (
+	.A(n_35434),
+	.Y(n_11391), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g891262 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_ic_act_miss_f),
+	.Y(n_11390), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g891263 (
+	.A(brqrv_top_brqrv_picm_rdaddr[0]),
+	.Y(n_11389), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g891264 (
+	.A(n_34184),
+	.Y(n_11388), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g891265 (
+	.A(n_34183),
+	.Y(n_11387), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g891267 (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_uncacheable_bf),
+	.Y(n_11385), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g891268 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_miss_state[1]),
+	.Y(n_11384), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g891269 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[3]),
+	.Y(n_11383), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g891271 (
+	.A1(n_35425),
+	.A2(n_11453),
+	.B1(n_33900),
+	.B2(n_11405),
+	.X(n_11381), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g891272 (
+	.A_N(brqrv_top_brqrv_ifu_mem_ctl_ifu_pmu_sigs_ff_n_21),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_uncacheable_bf),
+	.Y(n_11380), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g891273 (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_start_addr_in_pic_d),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_end_addr_in_dccm_d),
+	.X(n_11379), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g891274 (
+	.A(\brqrv_top_mem_iccm.iccm_n_1379 ),
+	.B_N(n_11403),
+	.Y(n_11378), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g891275 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_ifu_pmu_bus_error_in),
+	.B(brqrv_top_brqrv_ifu_pmu_bus_error),
+	.X(n_11377), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g891276 (
+	.A(n_11376),
+	.B(brqrv_top_brqrv_dec_dec_div_active),
+	.Y(n_34513), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g891277 (
+	.A1(n_11366),
+	.A2(n_11373),
+	.B1(n_33865),
+	.B2(n_11375),
+	.C1(n_11371),
+	.Y(n_11376), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g891278 (
+	.A1(n_11362),
+	.A2(brqrv_top_brqrv_dec_dec_i0_waddr_r[2]),
+	.B1(n_11374),
+	.C1(n_34514),
+	.D1(n_11366),
+	.Y(n_11375), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111oi_0 g891279 (
+	.A1(n_11362),
+	.A2(brqrv_top_brqrv_dec_dec_i0_waddr_r[2]),
+	.B1(n_11365),
+	.C1(n_11369),
+	.D1(n_11372),
+	.Y(n_11374), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g891280 (
+	.A1(n_11370),
+	.A2(n_11364),
+	.B1(n_32502),
+	.Y(n_11373), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g891281 (
+	.A1(n_11363),
+	.A2(brqrv_top_brqrv_dec_dec_i0_waddr_r[1]),
+	.B1(n_11368),
+	.C1(n_11367),
+	.Y(n_11372), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g891282 (
+	.A_N(n_34514),
+	.B(n_32502),
+	.C(n_36411),
+	.Y(n_11371), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g891283 (
+	.A(brqrv_top_brqrv_dec_decode_x_d[7]),
+	.B(brqrv_top_brqrv_dec_decode_x_d[6]),
+	.C(brqrv_top_brqrv_dec_decode_x_d[5]),
+	.Y(n_11370), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g891284 (
+	.A(brqrv_top_brqrv_dec_div_waddr_wb[3]),
+	.B(brqrv_top_brqrv_dec_dec_i0_waddr_r[3]),
+	.X(n_11369), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g891285 (
+	.A(brqrv_top_brqrv_dec_div_waddr_wb[0]),
+	.B(brqrv_top_brqrv_dec_dec_i0_waddr_r[0]),
+	.Y(n_11368), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g891286 (
+	.A(brqrv_top_brqrv_dec_div_waddr_wb[4]),
+	.B(brqrv_top_brqrv_dec_dec_i0_waddr_r[4]),
+	.Y(n_11367), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g891287 (
+	.A(brqrv_top_brqrv_dec_div_waddr_wb[1]),
+	.B_N(brqrv_top_brqrv_dec_dec_i0_waddr_r[1]),
+	.Y(n_11365), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g891288 (
+	.A(brqrv_top_brqrv_dec_decode_x_d[0]),
+	.B(brqrv_top_brqrv_dec_decode_x_d[23]),
+	.Y(n_11366), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g891289 (
+	.A(brqrv_top_brqrv_dec_decode_x_d[4]),
+	.B(brqrv_top_brqrv_dec_decode_x_d[8]),
+	.Y(n_11364), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g891290 (
+	.A(brqrv_top_brqrv_dec_decode_r_d[0]),
+	.B(brqrv_top_brqrv_dec_decode_r_d[23]),
+	.Y(n_34514), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g633172 (
+	.A(n_11356),
+	.B(n_11361),
+	.C(n_11360),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_genblock.level_intpend_id [1360]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o311ai_1 g633173 (
+	.A1(n_11106),
+	.A2(n_11209),
+	.A3(n_11341),
+	.B1(n_11354),
+	.C1(n_11359),
+	.Y(n_11361), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o311ai_1 g633174 (
+	.A1(n_11060),
+	.A2(n_11205),
+	.A3(n_11342),
+	.B1(n_11353),
+	.C1(n_11358),
+	.Y(n_11360), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g633175 (
+	.A(n_11349),
+	.B(n_11350),
+	.C(n_11357),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_genblock.level_intpend_id [1361]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g633176 (
+	.A1(n_11344),
+	.A2(n_11096),
+	.B1(n_11348),
+	.B2(n_11070),
+	.C1(n_11352),
+	.Y(n_11359), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g633177 (
+	.A1(n_11340),
+	.A2(n_11223),
+	.A3(n_11095),
+	.B1(n_11351),
+	.Y(n_11358), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g633178 (
+	.A(n_11343),
+	.B(n_11344),
+	.C(n_11337),
+	.D(n_11355),
+	.X(n_11357), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g633179 (
+	.A1(n_11350),
+	.A2(n_11094),
+	.B1(n_11349),
+	.B2(n_11055),
+	.X(n_11356), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g633180 (
+	.A_N(n_11348),
+	.B(n_11338),
+	.C(n_11336),
+	.Y(n_11355), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a41oi_1 g633181 (
+	.A1(n_11333),
+	.A2(n_11283),
+	.A3(n_11203),
+	.A4(n_11052),
+	.B1(n_11345),
+	.Y(n_11354), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g633182 (
+	.A1(n_11339),
+	.A2(n_11068),
+	.B1(n_11334),
+	.Y(n_11353), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o311ai_1 g633183 (
+	.A1(n_10380),
+	.A2(n_11213),
+	.A3(n_11331),
+	.B1(n_11335),
+	.C1(n_11347),
+	.Y(n_11352), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o41ai_1 g633184 (
+	.A1(n_10381),
+	.A2(n_11225),
+	.A3(n_11286),
+	.A4(n_11329),
+	.B1(n_11346),
+	.Y(n_11351), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4b_1 g633185 (
+	.A_N(n_11340),
+	.B(n_11342),
+	.C(n_11341),
+	.D(n_11330),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_genblock.level_intpend_id [1362]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g633186 (
+	.A(n_11206),
+	.B(n_11342),
+	.Y(n_11350), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g633187 (
+	.A(n_11223),
+	.B_N(n_11340),
+	.Y(n_11349), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g633188 (
+	.A(n_11210),
+	.B(n_11341),
+	.Y(n_11348), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g633189 (
+	.A(n_11343),
+	.B(n_11090),
+	.Y(n_11347), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g633190 (
+	.A(n_11337),
+	.B(n_11057),
+	.Y(n_11346), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g633191 (
+	.A(n_10595),
+	.B(n_10533),
+	.C(n_10842),
+	.D(n_11336),
+	.Y(n_11345), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g633192 (
+	.A(n_11219),
+	.B(n_11330),
+	.Y(n_11344), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g633193 (
+	.A(n_11212),
+	.B(n_11331),
+	.Y(n_11343), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g633194 (
+	.A(n_11332),
+	.B(n_11285),
+	.Y(n_11342), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g633195 (
+	.A(n_11333),
+	.B(n_11282),
+	.Y(n_11341), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g633196 (
+	.A(n_11287),
+	.B(n_11329),
+	.Y(n_11340), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g633197 (
+	.A(n_11338),
+	.Y(n_11339), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g633198 (
+	.A_N(n_11332),
+	.B(n_11329),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_genblock.level_intpend_id [1363]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g633199 (
+	.A_N(n_11330),
+	.B(n_11219),
+	.C(n_35384),
+	.Y(n_11335), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4b_1 g633200 (
+	.A(n_10378),
+	.B(n_11222),
+	.C(n_11285),
+	.D_N(n_11332),
+	.Y(n_11334), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g633201 (
+	.A(n_11332),
+	.B(n_11284),
+	.C(n_11222),
+	.Y(n_11338), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g633202 (
+	.A(n_11226),
+	.B(n_11286),
+	.C(n_11329),
+	.Y(n_11337), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g633203 (
+	.A(n_11333),
+	.B(n_11283),
+	.C(n_11204),
+	.Y(n_11336), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g633204 (
+	.A(n_11319),
+	.B(\brqrv_top_brqrv_pic_ctrl_inst_genblock.level_intpend_id [1364]),
+	.Y(n_11333), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g633205 (
+	.A(n_11318),
+	.B(n_34672),
+	.Y(n_11332), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g633206 (
+	.A(\brqrv_top_brqrv_pic_ctrl_inst_genblock.level_intpend_id [1364]),
+	.B(n_11318),
+	.C(n_11294),
+	.Y(n_11331), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g633207 (
+	.A(\brqrv_top_brqrv_pic_ctrl_inst_genblock.level_intpend_id [1364]),
+	.B(n_11318),
+	.C(n_10382),
+	.Y(n_11330), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g633208 (
+	.A(n_34672),
+	.B(n_11319),
+	.Y(n_11329), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g633209 (
+	.A(n_34672),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_genblock.level_intpend_id [1364]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g633210 (
+	.A(n_11327),
+	.B(n_11326),
+	.Y(n_34672), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o31ai_1 g633211 (
+	.A1(n_34681),
+	.A2(n_11322),
+	.A3(n_11325),
+	.B1(n_11302),
+	.Y(n_11327), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g633212 (
+	.A1(n_11322),
+	.A2(n_11325),
+	.B1(n_34681),
+	.Y(n_11326), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g633213 (
+	.A(n_11323),
+	.B(n_11324),
+	.Y(n_11325), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g633214 (
+	.A1(n_34673),
+	.A2(n_34675),
+	.B1(n_11321),
+	.Y(n_11324), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g633215 (
+	.A1(n_34675),
+	.A2(n_34673),
+	.B1(n_34678),
+	.B2(n_11320),
+	.Y(n_11323), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g633216 (
+	.A(n_34677),
+	.B_N(n_34678),
+	.Y(n_11322), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g633217 (
+	.A_N(n_34676),
+	.B(n_34674),
+	.Y(n_11321), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g633218 (
+	.A(n_11320),
+	.Y(n_34677), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g633219 (
+	.A1(n_11317),
+	.A2(n_11298),
+	.B1(n_11318),
+	.B2(n_11304),
+	.Y(n_11320), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g633220 (
+	.A1(n_11296),
+	.A2(n_11318),
+	.B1(n_11303),
+	.B2(n_11317),
+	.Y(n_34673), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g633221 (
+	.A1_N(n_11301),
+	.A2_N(n_11319),
+	.B1(n_11289),
+	.B2(n_11319),
+	.Y(n_34678), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g633222 (
+	.A1_N(n_11299),
+	.A2_N(n_11319),
+	.B1(n_11291),
+	.B2(n_11319),
+	.Y(n_34676), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g633223 (
+	.A1(n_11293),
+	.A2(n_11318),
+	.B1(n_11306),
+	.B2(n_11317),
+	.Y(n_34674), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g633224 (
+	.A1_N(n_11300),
+	.A2_N(n_11319),
+	.B1(n_11290),
+	.B2(n_11319),
+	.Y(n_34675), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g633225 (
+	.A1(n_11315),
+	.A2(n_11167),
+	.B1(n_11316),
+	.Y(n_11319), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g633226 (
+	.A(n_11318),
+	.Y(n_11317), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g633227 (
+	.A(n_11295),
+	.B(n_11314),
+	.C(n_11166),
+	.X(n_11318), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g633228 (
+	.A1(n_11313),
+	.A2(n_11308),
+	.B1(n_11145),
+	.Y(n_11316), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g633229 (
+	.A(n_11313),
+	.B(n_11308),
+	.C(n_11145),
+	.Y(n_11315), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g633230 (
+	.A1(n_11310),
+	.A2(n_11311),
+	.B1(n_11298),
+	.B2(n_11305),
+	.Y(n_11314), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g633231 (
+	.A1(n_11307),
+	.A2(n_11290),
+	.B1(n_11301),
+	.B2(n_11289),
+	.C1(n_11312),
+	.X(n_11313), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g633232 (
+	.A1(n_11290),
+	.A2(n_11307),
+	.B1(n_11300),
+	.X(n_11312), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g633233 (
+	.A1(n_11303),
+	.A2(n_11297),
+	.B1(n_11309),
+	.Y(n_11311), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g633234 (
+	.A1_N(n_11298),
+	.A2_N(n_11305),
+	.B1(n_11297),
+	.B2(n_11303),
+	.Y(n_11310), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g633235 (
+	.A(n_11306),
+	.B(n_11292),
+	.Y(n_11309), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g633236 (
+	.A(n_11289),
+	.B(n_11301),
+	.X(n_11308), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g633237 (
+	.A(n_11299),
+	.B(n_11291),
+	.X(n_11307), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g633238 (
+	.A(n_11304),
+	.Y(n_11305), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g633239 (
+	.A1(n_11248),
+	.A2(n_11294),
+	.B1(n_11241),
+	.B2(n_10382),
+	.Y(n_11306), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g633240 (
+	.A1(n_11242),
+	.A2(n_11294),
+	.B1(n_11236),
+	.B2(n_10382),
+	.Y(n_11304), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g633241 (
+	.A1(n_11240),
+	.A2(n_11294),
+	.B1(n_11237),
+	.B2(n_10382),
+	.Y(n_11303), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g633242 (
+	.A(n_34679),
+	.Y(n_11302), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g633243 (
+	.A_N(n_11295),
+	.B(n_11166),
+	.Y(n_34679), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g633244 (
+	.A1(n_11243),
+	.A2(n_11286),
+	.B1(n_11233),
+	.B2(n_11287),
+	.Y(n_11301), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g633245 (
+	.A1(n_11244),
+	.A2(n_11286),
+	.B1(n_11245),
+	.B2(n_11287),
+	.Y(n_11300), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g633246 (
+	.A1(n_11246),
+	.A2(n_11286),
+	.B1(n_11239),
+	.B2(n_11287),
+	.Y(n_11299), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g633247 (
+	.A(n_11296),
+	.Y(n_11297), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g633248 (
+	.A(n_10382),
+	.Y(n_11294), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g633249 (
+	.A1(n_11234),
+	.A2(n_11285),
+	.B1(n_11215),
+	.B2(n_11284),
+	.Y(n_11298), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g633250 (
+	.A1(n_11235),
+	.A2(n_11285),
+	.B1(n_11214),
+	.B2(n_11284),
+	.Y(n_11296), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g633251 (
+	.A(n_11288),
+	.B(n_11117),
+	.Y(n_11295), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g633253 (
+	.A(n_11292),
+	.Y(n_11293), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g633254 (
+	.A1(n_11238),
+	.A2(n_11285),
+	.B1(n_11217),
+	.B2(n_11284),
+	.Y(n_11292), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g633255 (
+	.A1(n_11282),
+	.A2(n_11232),
+	.B1(n_11283),
+	.B2(n_11218),
+	.Y(n_11291), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g633256 (
+	.A1_N(n_11230),
+	.A2_N(n_11283),
+	.B1(n_11216),
+	.B2(n_11283),
+	.Y(n_11290), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g633257 (
+	.A1(n_11282),
+	.A2(n_11229),
+	.B1(n_11283),
+	.B2(n_11227),
+	.Y(n_11289), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g633259 (
+	.A1(n_11117),
+	.A2(n_11278),
+	.B1(n_11100),
+	.B2(n_11213),
+	.C1(n_11231),
+	.Y(n_11288), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g633260 (
+	.A(n_11287),
+	.Y(n_11286), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g633261 (
+	.A1(n_11118),
+	.A2(n_11280),
+	.B1(n_11281),
+	.Y(n_11287), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g633262 (
+	.A(n_11285),
+	.Y(n_11284), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g633263 (
+	.A(n_11279),
+	.B(n_11276),
+	.Y(n_11285), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g633264 (
+	.A(n_11283),
+	.Y(n_11282), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g633265 (
+	.A1(n_11265),
+	.A2(n_11275),
+	.B1(n_11120),
+	.Y(n_11281), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g633266 (
+	.A1(n_11121),
+	.A2(n_11274),
+	.B1(n_11277),
+	.Y(n_11283), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g633267 (
+	.A(n_11254),
+	.B(n_11275),
+	.Y(n_11280), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g633268 (
+	.A1(n_11270),
+	.A2(n_11253),
+	.A3(n_11144),
+	.B1(n_11119),
+	.Y(n_11279), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g633269 (
+	.A1(n_11247),
+	.A2(n_11273),
+	.B1(n_11146),
+	.Y(n_11277), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g633270 (
+	.A1(n_11270),
+	.A2(n_11253),
+	.B1(n_11144),
+	.Y(n_11276), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g633271 (
+	.A1(n_11269),
+	.A2(n_11271),
+	.B1(n_11255),
+	.Y(n_11278), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g633272 (
+	.A(n_11268),
+	.B(n_11272),
+	.Y(n_11275), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g633273 (
+	.A(n_11146),
+	.B(n_11247),
+	.C(n_11273),
+	.Y(n_11274), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g633274 (
+	.A(n_11245),
+	.B(n_11267),
+	.Y(n_11272), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g633275 (
+	.A(n_11240),
+	.B(n_11266),
+	.Y(n_11271), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g633276 (
+	.A(n_11262),
+	.B(n_11261),
+	.Y(n_11273), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g633277 (
+	.A1(n_11258),
+	.A2(n_11237),
+	.B1(n_11257),
+	.X(n_11269), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g633278 (
+	.A(n_11264),
+	.B(n_11256),
+	.Y(n_11268), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g633279 (
+	.A(n_11260),
+	.B(n_11263),
+	.Y(n_11270), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g633280 (
+	.A(n_11244),
+	.B(n_11259),
+	.Y(n_11267), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g633281 (
+	.A(n_11237),
+	.B(n_11258),
+	.Y(n_11266), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g633282 (
+	.A_N(n_11254),
+	.B(n_11118),
+	.Y(n_11265), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g633283 (
+	.A(n_11259),
+	.B(n_11244),
+	.Y(n_11264), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g633284 (
+	.A_N(n_11217),
+	.B(n_11251),
+	.C(n_11238),
+	.Y(n_11263), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g633285 (
+	.A1(n_11216),
+	.A2(n_11249),
+	.B1(n_11227),
+	.B2(n_11228),
+	.Y(n_11262), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g633286 (
+	.A1(n_11249),
+	.A2(n_11216),
+	.B1(n_11230),
+	.Y(n_11261), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g633287 (
+	.A(n_11252),
+	.B(n_11250),
+	.Y(n_11260), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g633288 (
+	.A(n_11242),
+	.B_N(n_11236),
+	.Y(n_11257), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g633289 (
+	.A_N(n_11233),
+	.B(n_11243),
+	.Y(n_11256), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g633290 (
+	.A_N(n_11236),
+	.B(n_11242),
+	.Y(n_11255), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g633291 (
+	.A(n_11239),
+	.B_N(n_11246),
+	.Y(n_11259), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g633292 (
+	.A(n_11241),
+	.B_N(n_11248),
+	.Y(n_11258), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g633293 (
+	.A(n_11215),
+	.B_N(n_11234),
+	.Y(n_11252), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g633294 (
+	.A_N(n_11235),
+	.B(n_11214),
+	.Y(n_11251), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g633295 (
+	.A(n_11214),
+	.B_N(n_11235),
+	.Y(n_11250), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g633296 (
+	.A(n_11243),
+	.B_N(n_11233),
+	.Y(n_11254), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g633297 (
+	.A_N(n_11234),
+	.B(n_11215),
+	.Y(n_11253), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g633298 (
+	.A_N(n_11218),
+	.B(n_11232),
+	.Y(n_11249), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g633299 (
+	.A1_N(n_35381),
+	.A2_N(n_11219),
+	.B1(n_11139),
+	.B2(n_11219),
+	.Y(n_11248), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g633300 (
+	.A(n_11228),
+	.B(n_11227),
+	.X(n_11247), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g633301 (
+	.A1(n_11226),
+	.A2(n_11130),
+	.B1(n_11225),
+	.B2(n_11085),
+	.Y(n_11246), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g633302 (
+	.A1(n_11123),
+	.A2(n_11224),
+	.B1(n_11084),
+	.B2(n_11223),
+	.Y(n_11245), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g633303 (
+	.A1(n_11226),
+	.A2(n_11129),
+	.B1(n_11225),
+	.B2(n_11082),
+	.Y(n_11244), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g633304 (
+	.A1(n_11226),
+	.A2(n_11125),
+	.B1(n_11225),
+	.B2(n_11081),
+	.Y(n_11243), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g633305 (
+	.A1(n_11220),
+	.A2(n_11138),
+	.B1(n_11219),
+	.B2(n_35382),
+	.Y(n_11242), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g633306 (
+	.A1(n_11154),
+	.A2(n_11213),
+	.B1(n_11153),
+	.B2(n_11212),
+	.Y(n_11241), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g633307 (
+	.A1(n_11126),
+	.A2(n_11219),
+	.B1(n_34680),
+	.B2(n_11220),
+	.Y(n_11240), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g633308 (
+	.A1(n_11223),
+	.A2(n_11134),
+	.B1(n_11224),
+	.B2(n_11089),
+	.Y(n_11239), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g633309 (
+	.A1(n_11221),
+	.A2(n_11135),
+	.B1(n_11222),
+	.B2(n_11113),
+	.Y(n_11238), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g633310 (
+	.A1(n_11212),
+	.A2(n_11149),
+	.B1(n_11213),
+	.B2(n_11148),
+	.Y(n_11237), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g633311 (
+	.A1(n_11212),
+	.A2(n_11151),
+	.B1(n_11213),
+	.B2(n_11147),
+	.Y(n_11236), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g633312 (
+	.A1(n_11221),
+	.A2(n_11128),
+	.B1(n_11222),
+	.B2(n_11108),
+	.Y(n_11235), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g633313 (
+	.A1(n_11221),
+	.A2(n_11132),
+	.B1(n_11222),
+	.B2(n_11109),
+	.Y(n_11234), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g633314 (
+	.A1(n_11223),
+	.A2(n_11136),
+	.B1(n_11224),
+	.B2(n_11078),
+	.Y(n_11233), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g633315 (
+	.A(n_11213),
+	.B(n_10375),
+	.Y(n_11231), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g633316 (
+	.A1(n_11114),
+	.A2(n_11210),
+	.B1(n_11163),
+	.B2(n_11209),
+	.Y(n_11232), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g633317 (
+	.A(n_11228),
+	.Y(n_11229), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g633318 (
+	.A1(n_11110),
+	.A2(n_11210),
+	.B1(n_11158),
+	.B2(n_11209),
+	.Y(n_11230), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g633319 (
+	.A1(n_11209),
+	.A2(n_11111),
+	.B1(n_11210),
+	.B2(n_11159),
+	.Y(n_11228), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g633320 (
+	.A(n_11226),
+	.Y(n_11225), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g633321 (
+	.A(n_11224),
+	.Y(n_11223), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g633322 (
+	.A(n_11222),
+	.Y(n_11221), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g633323 (
+	.A(n_11220),
+	.Y(n_11219), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g633324 (
+	.A1(n_11207),
+	.A2(brqrv_top_brqrv_exu_i0_pc_x[23]),
+	.B1(n_11211),
+	.Y(n_356373_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g633325 (
+	.A1(n_11203),
+	.A2(n_11074),
+	.B1(n_11204),
+	.B2(n_10595),
+	.X(n_11227), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g633326 (
+	.A1(n_11202),
+	.A2(n_11083),
+	.B1(n_11208),
+	.Y(n_11226), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g633327 (
+	.A1(n_11193),
+	.A2(n_11201),
+	.B1(n_11101),
+	.B2(n_11099),
+	.Y(n_11224), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g633328 (
+	.A1(n_11194),
+	.A2(n_11198),
+	.B1(n_11103),
+	.B2(n_11112),
+	.Y(n_11222), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g633329 (
+	.A1(n_11197),
+	.A2(n_11200),
+	.B1(n_35383),
+	.B2(n_10374),
+	.Y(n_11220), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g633330 (
+	.A(n_11213),
+	.Y(n_11212), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g633331 (
+	.A1(n_11076),
+	.A2(n_11204),
+	.B1(n_10536),
+	.B2(n_11203),
+	.Y(n_11218), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g633332 (
+	.A1(n_11205),
+	.A2(n_11131),
+	.B1(n_11206),
+	.B2(n_11087),
+	.Y(n_11217), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g633333 (
+	.A1(n_11086),
+	.A2(n_11204),
+	.B1(n_10553),
+	.B2(n_11203),
+	.Y(n_11216), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g633334 (
+	.A1(n_11205),
+	.A2(n_11124),
+	.B1(n_11206),
+	.B2(n_11079),
+	.Y(n_11215), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g633335 (
+	.A1(n_11205),
+	.A2(n_11140),
+	.B1(n_11206),
+	.B2(n_11080),
+	.Y(n_11214), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g633336 (
+	.A1(n_11196),
+	.A2(n_11199),
+	.B1(n_11100),
+	.B2(n_10375),
+	.Y(n_11213), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g633337 (
+	.A(n_11211),
+	.Y(n_35150), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g633338 (
+	.A1(brqrv_top_brqrv_exu_i0_pc_x[22]),
+	.A2(brqrv_top_brqrv_exu_i0_pc_x[21]),
+	.B1_N(n_11207),
+	.Y(n_356368_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g633339 (
+	.A(brqrv_top_brqrv_exu_i0_pc_x[23]),
+	.B(n_11207),
+	.Y(n_11211), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g633340 (
+	.A(n_11210),
+	.Y(n_11209), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g633341 (
+	.A1(n_11195),
+	.A2(n_11173),
+	.B1(n_11104),
+	.Y(n_11208), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g633342 (
+	.A1(n_11107),
+	.A2(n_10376),
+	.B1(n_11192),
+	.B2(n_11182),
+	.C1(n_11186),
+	.Y(n_11210), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g633343 (
+	.A(n_11206),
+	.Y(n_11205), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g633344 (
+	.A(n_11204),
+	.Y(n_11203), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g633345 (
+	.A(n_11195),
+	.B(n_11169),
+	.Y(n_11202), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g633346 (
+	.A(brqrv_top_brqrv_exu_i0_pc_x[22]),
+	.B(n_34110),
+	.X(n_11207), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g633347 (
+	.A1(n_11124),
+	.A2(n_11142),
+	.B1(n_11102),
+	.B2(n_11062),
+	.C1(n_11183),
+	.C2(n_11185),
+	.Y(n_11206), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g633348 (
+	.A(n_11077),
+	.B(n_11187),
+	.C(n_10533),
+	.X(n_11204), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g633349 (
+	.A1_N(n_11101),
+	.A2_N(n_11099),
+	.B1(n_11078),
+	.B2(n_11191),
+	.Y(n_11201), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g633350 (
+	.A1(n_11189),
+	.A2(n_35382),
+	.B1(n_10374),
+	.B2(n_35383),
+	.X(n_11200), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g633351 (
+	.A1_N(n_11100),
+	.A2_N(n_10375),
+	.B1(n_11147),
+	.B2(n_11190),
+	.Y(n_11199), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g633352 (
+	.A1_N(n_11103),
+	.A2_N(n_11112),
+	.B1(n_11109),
+	.B2(n_11188),
+	.Y(n_11198), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g633353 (
+	.A1(brqrv_top_brqrv_exu_i0_pc_x[20]),
+	.A2(brqrv_top_brqrv_exu_i0_pc_x[19]),
+	.B1_N(n_35151),
+	.Y(n_356347_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g633354 (
+	.A1(n_11174),
+	.A2(n_11170),
+	.A3(n_10390),
+	.B1(n_11138),
+	.Y(n_11197), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g633355 (
+	.A1(n_11177),
+	.A2(n_11161),
+	.A3(n_11147),
+	.B1(n_11152),
+	.Y(n_11196), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 g633356 (
+	.A(brqrv_top_brqrv_exu_i0_pc_x[21]),
+	.B(n_35151),
+	.X(n_34110), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g633357 (
+	.A1(n_11176),
+	.A2(n_11160),
+	.A3(n_11109),
+	.B1(n_11133),
+	.Y(n_11194), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g633358 (
+	.A1(n_11175),
+	.A2(n_11171),
+	.A3(n_11078),
+	.B1(n_11137),
+	.Y(n_11193), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g633359 (
+	.A(n_11150),
+	.B(n_11178),
+	.C(n_11181),
+	.Y(n_11192), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g633360 (
+	.A1(n_11082),
+	.A2(n_11168),
+	.B1(n_11164),
+	.C1(n_11184),
+	.Y(n_11195), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g633361 (
+	.A(n_11175),
+	.B(n_11171),
+	.X(n_11191), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g633362 (
+	.A(n_11177),
+	.B(n_11161),
+	.X(n_11190), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g633363 (
+	.A(n_11174),
+	.B(n_11170),
+	.Y(n_11189), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g633364 (
+	.A(n_11176),
+	.B(n_11160),
+	.X(n_11188), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g633365 (
+	.A(brqrv_top_brqrv_exu_i0_pc_x[20]),
+	.B(n_34111),
+	.X(n_35151), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g633366 (
+	.A(n_11172),
+	.B(n_11115),
+	.Y(n_11187), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g633367 (
+	.A(n_11159),
+	.B(n_11150),
+	.C_N(n_11111),
+	.Y(n_11186), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g633368 (
+	.A1(n_11080),
+	.A2(n_11162),
+	.B1_N(n_11140),
+	.Y(n_11185), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g633369 (
+	.A(n_11179),
+	.B(n_11129),
+	.Y(n_11184), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g633370 (
+	.A1(n_11162),
+	.A2(n_11080),
+	.B1(n_11122),
+	.C1(n_11155),
+	.Y(n_11183), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g633371 (
+	.A_N(n_11163),
+	.B(n_11180),
+	.C(n_11114),
+	.Y(n_11182), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g633372 (
+	.A(n_11110),
+	.B_N(n_11158),
+	.Y(n_11181), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g633373 (
+	.A_N(n_11158),
+	.B(n_11110),
+	.Y(n_11180), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g633374 (
+	.A(n_11168),
+	.B(n_11082),
+	.Y(n_11179), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g633375 (
+	.A(n_11111),
+	.B_N(n_11159),
+	.Y(n_11178), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 g633376 (
+	.A(brqrv_top_brqrv_exu_i0_pc_x[19]),
+	.B(n_35152),
+	.X(n_34111), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g633377 (
+	.A(n_11145),
+	.B(n_11167),
+	.X(n_34681), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g633378 (
+	.A(n_11083),
+	.B_N(n_11169),
+	.Y(n_11173), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g633379 (
+	.A1(n_11141),
+	.A2(n_11143),
+	.B1(n_11116),
+	.Y(n_11172), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g633380 (
+	.A_N(n_11154),
+	.B(n_11156),
+	.C(n_11153),
+	.Y(n_11177), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g633381 (
+	.A_N(n_11113),
+	.B(n_11157),
+	.C(n_11135),
+	.Y(n_11176), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g633382 (
+	.A_N(n_11089),
+	.B(n_11165),
+	.C(n_11134),
+	.Y(n_11175), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g633383 (
+	.A1(n_34680),
+	.A2(n_11127),
+	.B1(n_35381),
+	.C1(n_11139),
+	.Y(n_11174), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g633384 (
+	.A_N(n_11123),
+	.B(n_11084),
+	.Y(n_11165), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g633385 (
+	.A_N(n_11081),
+	.B(n_11125),
+	.Y(n_11164), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g633386 (
+	.A_N(n_11084),
+	.B(n_11123),
+	.Y(n_11171), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g633387 (
+	.A(n_11127),
+	.B(n_34680),
+	.Y(n_11170), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g633388 (
+	.A_N(n_11125),
+	.B(n_11081),
+	.Y(n_11169), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g633389 (
+	.A_N(n_11085),
+	.B(n_11130),
+	.Y(n_11168), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g633390 (
+	.A(n_11146),
+	.B(n_11121),
+	.Y(n_11167), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g633391 (
+	.A(n_11119),
+	.B_N(n_11144),
+	.Y(n_11166), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g633392 (
+	.A_N(n_11128),
+	.B(n_11108),
+	.Y(n_11157), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g633393 (
+	.A_N(n_11149),
+	.B(n_11148),
+	.Y(n_11156), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g633394 (
+	.A(n_11124),
+	.B_N(n_11079),
+	.Y(n_11155), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g633395 (
+	.A1_N(n_42094),
+	.A2_N(n_11106),
+	.B1(n_10575),
+	.B2(n_11106),
+	.Y(n_11163), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g633396 (
+	.A(n_11131),
+	.B_N(n_11087),
+	.Y(n_11162), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g633397 (
+	.A_N(n_11148),
+	.B(n_11149),
+	.Y(n_11161), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g633398 (
+	.A_N(n_11108),
+	.B(n_11128),
+	.Y(n_11160), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g633399 (
+	.A1(n_10557),
+	.A2(n_11105),
+	.B1(n_42097),
+	.B2(n_11106),
+	.Y(n_11159), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g633400 (
+	.A1(n_10578),
+	.A2(n_11105),
+	.B1(n_42099),
+	.B2(n_11106),
+	.Y(n_11158), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g633401 (
+	.A(n_11151),
+	.Y(n_11152), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g633402 (
+	.A1(n_10842),
+	.A2(n_11076),
+	.B1(n_11086),
+	.X(n_11143), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g633403 (
+	.A1(n_11061),
+	.A2(brqrv_top_brqrv_exu_i0_pc_x[18]),
+	.B1_N(n_35152),
+	.Y(n_356342_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g633404 (
+	.A(n_11079),
+	.B(n_11122),
+	.Y(n_11142), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g633405 (
+	.A1(n_11075),
+	.A2(n_10536),
+	.B1(n_10553),
+	.Y(n_11141), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g633406 (
+	.A1_N(n_42085),
+	.A2_N(n_10380),
+	.B1(n_10634),
+	.B2(n_10380),
+	.Y(n_11154), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g633407 (
+	.A1_N(n_10646),
+	.A2_N(n_11090),
+	.B1(n_10636),
+	.B2(n_11090),
+	.Y(n_11153), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g633408 (
+	.A1(n_10624),
+	.A2(n_11098),
+	.B1(n_42087),
+	.B2(n_10380),
+	.Y(n_11151), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g633409 (
+	.A(n_10376),
+	.B(n_11107),
+	.Y(n_11150), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g633410 (
+	.A1(n_10625),
+	.A2(n_11098),
+	.B1(n_42079),
+	.B2(n_10380),
+	.Y(n_11149), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g633411 (
+	.A1(n_10596),
+	.A2(n_11090),
+	.B1(n_42095),
+	.B2(n_11091),
+	.Y(n_11148), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g633412 (
+	.A1(n_10537),
+	.A2(n_11090),
+	.B1(n_42100),
+	.B2(n_11091),
+	.Y(n_11147), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g633413 (
+	.A(n_11107),
+	.B_N(n_10376),
+	.Y(n_11146), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g633414 (
+	.A(n_11120),
+	.B(n_11118),
+	.Y(n_11145), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g633415 (
+	.A(n_11103),
+	.B_N(n_11112),
+	.Y(n_11144), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g633416 (
+	.A(n_11136),
+	.Y(n_11137), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g633417 (
+	.A(n_11132),
+	.Y(n_11133), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g633418 (
+	.A(n_11126),
+	.Y(n_11127), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g633419 (
+	.A1(n_10621),
+	.A2(n_11094),
+	.B1(n_42084),
+	.B2(n_10379),
+	.Y(n_11140), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g633420 (
+	.A1_N(n_10570),
+	.A2_N(n_11096),
+	.B1(n_10574),
+	.B2(n_11096),
+	.Y(n_11139), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g633421 (
+	.A1(n_10559),
+	.A2(n_11096),
+	.B1(n_42098),
+	.B2(n_11097),
+	.Y(n_11138), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g633422 (
+	.A1(n_10598),
+	.A2(n_11095),
+	.B1(n_42092),
+	.B2(n_10377),
+	.Y(n_11136), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g633423 (
+	.A1(n_10648),
+	.A2(n_11092),
+	.B1(n_42081),
+	.B2(n_10378),
+	.Y(n_11135), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g633424 (
+	.A1(n_10645),
+	.A2(n_11095),
+	.B1(n_42080),
+	.B2(n_10377),
+	.Y(n_11134), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g633425 (
+	.A1(n_10606),
+	.A2(n_11092),
+	.B1(n_42089),
+	.B2(n_10378),
+	.Y(n_11132), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g633426 (
+	.A1(n_10629),
+	.A2(n_11094),
+	.B1(n_42086),
+	.B2(n_10379),
+	.Y(n_11131), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g633427 (
+	.A1(n_10580),
+	.A2(n_11093),
+	.B1(n_42083),
+	.B2(n_10381),
+	.Y(n_11130), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g633428 (
+	.A1(n_10619),
+	.A2(n_11093),
+	.B1(n_42093),
+	.B2(n_10381),
+	.Y(n_11129), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g633429 (
+	.A1(n_10602),
+	.A2(n_11092),
+	.B1(n_42082),
+	.B2(n_10378),
+	.Y(n_11128), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g633430 (
+	.A1(n_10562),
+	.A2(n_11096),
+	.B1(n_42096),
+	.B2(n_11097),
+	.Y(n_11126), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g633431 (
+	.A1(n_10623),
+	.A2(n_11093),
+	.B1(n_42088),
+	.B2(n_10381),
+	.Y(n_11125), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g633432 (
+	.A1(n_10603),
+	.A2(n_11094),
+	.B1(n_42090),
+	.B2(n_10379),
+	.Y(n_11124), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g633433 (
+	.A1(n_10641),
+	.A2(n_11095),
+	.B1(n_42091),
+	.B2(n_10377),
+	.Y(n_11123), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g633434 (
+	.A_N(n_10595),
+	.B(n_11074),
+	.Y(n_11116), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g633435 (
+	.A_N(n_11074),
+	.B(n_10595),
+	.Y(n_11115), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g633436 (
+	.A(n_11062),
+	.B(n_11102),
+	.Y(n_11122), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g633437 (
+	.A(n_10533),
+	.B_N(n_11077),
+	.Y(n_11121), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g633438 (
+	.A(n_11101),
+	.B_N(n_11099),
+	.Y(n_11120), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g633439 (
+	.A_N(n_11102),
+	.B(n_11062),
+	.Y(n_11119), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g633440 (
+	.A(n_11104),
+	.B(n_11083),
+	.Y(n_11118), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g633441 (
+	.A(n_35383),
+	.B_N(n_10374),
+	.Y(n_11117), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g633442 (
+	.A(brqrv_top_brqrv_exu_i0_pc_x[18]),
+	.B(n_11061),
+	.X(n_35152), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g633443 (
+	.A(n_11106),
+	.Y(n_11105), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g633444 (
+	.A1_N(n_10584),
+	.A2_N(n_11070),
+	.B1(n_10585),
+	.B2(n_11070),
+	.Y(n_11114), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g633445 (
+	.A1(n_10569),
+	.A2(n_11068),
+	.B1(n_10566),
+	.B2(n_11069),
+	.Y(n_11113), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g633446 (
+	.A1_N(n_10586),
+	.A2_N(n_11068),
+	.B1(n_10627),
+	.B2(n_11068),
+	.Y(n_11112), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g633447 (
+	.A1(n_10545),
+	.A2(n_11070),
+	.B1(n_10547),
+	.B2(n_11071),
+	.Y(n_11111), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g633448 (
+	.A1(n_10581),
+	.A2(n_11070),
+	.B1(n_10543),
+	.B2(n_11071),
+	.Y(n_11110), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g633450 (
+	.A1(n_10616),
+	.A2(n_11068),
+	.B1(n_10534),
+	.B2(n_11069),
+	.Y(n_11109), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g633451 (
+	.A1(n_10579),
+	.A2(n_11068),
+	.B1(n_10601),
+	.B2(n_11069),
+	.Y(n_11108), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g633452 (
+	.A1(n_10582),
+	.A2(n_11070),
+	.B1(n_10551),
+	.B2(n_11071),
+	.Y(n_11107), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g633453 (
+	.A1(n_11054),
+	.A2(n_10561),
+	.B1(n_11088),
+	.Y(n_11106), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g633454 (
+	.A(n_10380),
+	.Y(n_11098), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g633455 (
+	.A(n_11097),
+	.Y(n_11096), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g633456 (
+	.A(n_10377),
+	.Y(n_11095), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g633457 (
+	.A(n_10379),
+	.Y(n_11094), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g633458 (
+	.A(n_10381),
+	.Y(n_11093), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g633459 (
+	.A(n_10378),
+	.Y(n_11092), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g633460 (
+	.A(n_11091),
+	.Y(n_11090), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g633461 (
+	.A(n_11073),
+	.B(n_10612),
+	.Y(n_11104), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g633462 (
+	.A(n_11065),
+	.B(n_10617),
+	.Y(n_11103), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g633463 (
+	.A(n_11067),
+	.B(n_10549),
+	.Y(n_11102), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g633466 (
+	.A(n_11063),
+	.B(n_10535),
+	.Y(n_11101), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g633467 (
+	.A(n_11072),
+	.B(n_10604),
+	.Y(n_11100), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g633468 (
+	.A1_N(n_10630),
+	.A2_N(n_11055),
+	.B1(n_10605),
+	.B2(n_11055),
+	.Y(n_11099), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g633470 (
+	.A1(n_11037),
+	.A2(n_10544),
+	.B1(n_11066),
+	.Y(n_11097), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g633475 (
+	.A1(n_11042),
+	.A2(n_10550),
+	.B1(n_11064),
+	.Y(n_11091), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g633476 (
+	.A(n_11075),
+	.Y(n_11076), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g633477 (
+	.A1(n_10633),
+	.A2(n_11055),
+	.B1(n_10632),
+	.B2(n_11056),
+	.Y(n_11089), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221a_1 g633478 (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[27]),
+	.A2(n_10436),
+	.B1(n_10561),
+	.B2(n_11054),
+	.C1(n_10491),
+	.X(n_11088), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g633479 (
+	.A1(n_10568),
+	.A2(n_11059),
+	.B1(n_10576),
+	.B2(n_11060),
+	.Y(n_11087), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g633480 (
+	.A1_N(n_10373),
+	.A2_N(n_11052),
+	.B1(n_10554),
+	.B2(n_11052),
+	.Y(n_11086), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g633481 (
+	.A1(n_10564),
+	.A2(n_11057),
+	.B1(n_10639),
+	.B2(n_11058),
+	.Y(n_11085), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g633482 (
+	.A1(n_10618),
+	.A2(n_11055),
+	.B1(n_10620),
+	.B2(n_11056),
+	.Y(n_11084), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g633483 (
+	.A1(n_10640),
+	.A2(n_11057),
+	.B1(n_10615),
+	.B2(n_11058),
+	.Y(n_11083), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g633484 (
+	.A1(n_10628),
+	.A2(n_11057),
+	.B1(n_10611),
+	.B2(n_11058),
+	.Y(n_11082), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g633485 (
+	.A1(n_10613),
+	.A2(n_11057),
+	.B1(n_10614),
+	.B2(n_11058),
+	.Y(n_11081), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g633486 (
+	.A1(n_10556),
+	.A2(n_11059),
+	.B1(n_10563),
+	.B2(n_11060),
+	.Y(n_11080), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g633487 (
+	.A1(n_10546),
+	.A2(n_11059),
+	.B1(n_10541),
+	.B2(n_11060),
+	.Y(n_11079), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g633488 (
+	.A1(n_10610),
+	.A2(n_11055),
+	.B1(n_10609),
+	.B2(n_11056),
+	.Y(n_11078), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g633489 (
+	.A1_N(n_10567),
+	.A2_N(n_11052),
+	.B1(n_10542),
+	.B2(n_11052),
+	.Y(n_11077), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g633490 (
+	.A1(n_10573),
+	.A2(n_11052),
+	.B1(n_10572),
+	.B2(n_11053),
+	.Y(n_11075), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g633491 (
+	.A1(n_10552),
+	.A2(n_11052),
+	.B1(n_10548),
+	.B2(n_11053),
+	.Y(n_11074), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g633494 (
+	.A(n_11071),
+	.Y(n_11070), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g633495 (
+	.A(n_11069),
+	.Y(n_11068), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g633496 (
+	.A1(n_11002),
+	.A2(brqrv_top_brqrv_exu_i0_pc_x[17]),
+	.B1_N(n_11061),
+	.Y(n_356343_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g633497 (
+	.A1(n_10612),
+	.A2(n_11039),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[43]),
+	.B2(n_10458),
+	.C1(n_10515),
+	.Y(n_11073), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g633498 (
+	.A1(n_10604),
+	.A2(n_11040),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[75]),
+	.B2(n_10461),
+	.C1(n_10517),
+	.Y(n_11072), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g633499 (
+	.A1(n_11048),
+	.A2(n_10582),
+	.B1(n_11051),
+	.Y(n_11071), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g633500 (
+	.A1(n_11044),
+	.A2(n_10586),
+	.B1(n_11050),
+	.Y(n_11069), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g633504 (
+	.A1(n_10549),
+	.A2(n_11041),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[115]),
+	.B2(n_10468),
+	.C1(n_10509),
+	.Y(n_11067), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221a_1 g633505 (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[83]),
+	.A2(n_10419),
+	.B1(n_10544),
+	.B2(n_11037),
+	.C1(n_10496),
+	.X(n_11066), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g633506 (
+	.A1(n_10617),
+	.A2(n_11038),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[107]),
+	.B2(n_10449),
+	.C1(n_10508),
+	.Y(n_11065), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221a_1 g633507 (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[67]),
+	.A2(n_10426),
+	.B1(n_10550),
+	.B2(n_11042),
+	.C1(n_10485),
+	.X(n_11064), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g633508 (
+	.A1(n_10535),
+	.A2(n_11036),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[59]),
+	.B2(n_10422),
+	.C1(n_10524),
+	.Y(n_11063), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g633509 (
+	.A(n_11060),
+	.Y(n_11059), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g633510 (
+	.A(n_11058),
+	.Y(n_11057), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g633511 (
+	.A(n_11056),
+	.Y(n_11055), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g633512 (
+	.A(n_10968),
+	.B(n_10788),
+	.C(n_11026),
+	.D(n_11027),
+	.X(n_35893), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g633513 (
+	.A(n_10979),
+	.B(n_10906),
+	.C(n_11006),
+	.D(n_11032),
+	.X(n_35925), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g633514 (
+	.A(n_10887),
+	.B(n_10998),
+	.C(n_10944),
+	.D(n_11047),
+	.X(n_35904), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4b_1 g633515 (
+	.A_N(n_11023),
+	.B(n_11007),
+	.C(n_10783),
+	.D(n_10957),
+	.Y(n_35906), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4b_1 g633516 (
+	.A_N(n_11022),
+	.B(n_11009),
+	.C(n_10782),
+	.D(n_10958),
+	.Y(n_35910), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g633517 (
+	.A(n_11001),
+	.B(n_10790),
+	.C(n_11033),
+	.D(n_11034),
+	.X(n_35912), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g633518 (
+	.A(n_10966),
+	.B(n_11024),
+	.C(n_10786),
+	.D(n_11025),
+	.X(n_35895), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g633519 (
+	.A(n_10539),
+	.B(n_11049),
+	.Y(n_11062), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g633520 (
+	.A(brqrv_top_brqrv_exu_i0_pc_x[17]),
+	.B(n_11002),
+	.X(n_11061), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g633521 (
+	.A1(n_11021),
+	.A2(n_10538),
+	.B1(n_11049),
+	.Y(n_11060), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g633522 (
+	.A1(n_11004),
+	.A2(n_10640),
+	.B1(n_11045),
+	.Y(n_11058), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g633523 (
+	.A1(n_11005),
+	.A2(n_10630),
+	.B1(n_11046),
+	.Y(n_11056), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g633524 (
+	.A(n_11053),
+	.Y(n_11052), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g633525 (
+	.A1(n_11013),
+	.A2(n_10850),
+	.B1(n_10551),
+	.Y(n_11051), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g633526 (
+	.A(n_11019),
+	.B(n_10977),
+	.C(n_10902),
+	.D(n_11030),
+	.X(n_35932), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g633527 (
+	.A(n_10976),
+	.B(n_10900),
+	.C(n_11018),
+	.D(n_11029),
+	.X(n_35934), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g633528 (
+	.A(n_10975),
+	.B(n_11017),
+	.C(n_10898),
+	.D(n_11028),
+	.X(n_35935), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g633529 (
+	.A(n_10893),
+	.B(n_10967),
+	.C(n_11014),
+	.D(n_11035),
+	.X(n_35894), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g633530 (
+	.A1(n_11012),
+	.A2(n_10853),
+	.B1_N(n_10627),
+	.Y(n_11050), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g633531 (
+	.A(n_11020),
+	.B(n_10904),
+	.C(n_10978),
+	.D(n_11031),
+	.X(n_35927), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g633532 (
+	.A(n_11010),
+	.B(n_10994),
+	.C(n_10997),
+	.D(n_10993),
+	.X(n_35902), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g633533 (
+	.A(n_11016),
+	.B(n_10972),
+	.C(n_10973),
+	.D(n_10974),
+	.X(n_35917), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g633534 (
+	.A(n_11015),
+	.B(n_10969),
+	.C(n_10970),
+	.D(n_10971),
+	.X(n_35922), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g633535 (
+	.A(n_11011),
+	.B(n_10964),
+	.C(n_10989),
+	.D(n_10965),
+	.X(n_35900), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g633536 (
+	.A1(n_10797),
+	.A2(n_11008),
+	.B1(n_10799),
+	.Y(n_11054), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g633537 (
+	.A1(n_11003),
+	.A2(n_10567),
+	.B1(n_11043),
+	.Y(n_11053), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g633538 (
+	.A(n_11013),
+	.B(n_10850),
+	.C(n_10551),
+	.Y(n_11048), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g633539 (
+	.A(n_10784),
+	.B(n_10864),
+	.C(n_10888),
+	.D(n_10871),
+	.Y(n_11047), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g633540 (
+	.A1(n_10963),
+	.A2(n_10837),
+	.B1_N(n_10605),
+	.Y(n_11046), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g633541 (
+	.A1(n_10962),
+	.A2(n_10841),
+	.B1(n_10615),
+	.Y(n_11045), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g633542 (
+	.A_N(n_10627),
+	.B(n_11012),
+	.C(n_10853),
+	.Y(n_11044), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g633543 (
+	.A1(n_10960),
+	.A2(n_10847),
+	.B1_N(n_10542),
+	.Y(n_11043), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g633544 (
+	.A1(n_10961),
+	.A2(n_10846),
+	.A3(n_10539),
+	.B1(n_10372),
+	.Y(n_11049), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g633545 (
+	.A1(n_10933),
+	.A2(n_10950),
+	.B1(n_10792),
+	.Y(n_11042), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g633546 (
+	.A1(n_10931),
+	.A2(n_10955),
+	.B1(n_10834),
+	.Y(n_11041), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g633547 (
+	.A1(n_10930),
+	.A2(n_10954),
+	.B1(n_10826),
+	.Y(n_11040), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g633548 (
+	.A1(n_10929),
+	.A2(n_10953),
+	.B1(n_10823),
+	.Y(n_11039), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g633549 (
+	.A1(n_10928),
+	.A2(n_10952),
+	.B1(n_10814),
+	.Y(n_11038), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g633550 (
+	.A1(n_10926),
+	.A2(n_10951),
+	.B1(n_10802),
+	.Y(n_11037), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g633551 (
+	.A1(n_10932),
+	.A2(n_10956),
+	.B1(n_10785),
+	.Y(n_11036), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g633552 (
+	.A(n_10776),
+	.B(n_10892),
+	.C(n_10705),
+	.D(n_10694),
+	.Y(n_11035), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g633553 (
+	.A_N(n_35443),
+	.B(n_35105),
+	.Y(n_36890), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g633554 (
+	.A_N(n_43238),
+	.B(n_35447),
+	.Y(n_36888), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g633555 (
+	.A_N(n_43239),
+	.B(n_35103),
+	.Y(n_36891), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g633556 (
+	.A(n_10883),
+	.B(n_10909),
+	.C(n_10768),
+	.D(n_10769),
+	.Y(n_11034), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g633557 (
+	.A(n_10907),
+	.B(n_10908),
+	.C(n_10763),
+	.D(n_10764),
+	.Y(n_11033), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g633558 (
+	.A(n_10781),
+	.B(n_10905),
+	.C(n_10789),
+	.D(n_10787),
+	.Y(n_11032), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g633559 (
+	.A(n_10780),
+	.B(n_10903),
+	.C(n_10812),
+	.D(n_10810),
+	.Y(n_11031), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g633560 (
+	.A(n_10779),
+	.B(n_10901),
+	.C(n_10749),
+	.D(n_10750),
+	.Y(n_11030), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g633561 (
+	.A(n_10778),
+	.B(n_10899),
+	.C(n_10740),
+	.D(n_10739),
+	.Y(n_11029), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g633562 (
+	.A(n_10777),
+	.B(n_10897),
+	.C(n_10729),
+	.D(n_10730),
+	.Y(n_11028), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g633563 (
+	.A(n_10873),
+	.B(n_10896),
+	.C(n_10708),
+	.D(n_10707),
+	.Y(n_11027), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g633564 (
+	.A(n_10894),
+	.B(n_10895),
+	.C(n_10835),
+	.D(n_10704),
+	.Y(n_11026), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g633565 (
+	.A_N(n_35446),
+	.B(n_35445),
+	.Y(n_36889), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g633566 (
+	.A(n_10870),
+	.B(n_10891),
+	.C(n_10692),
+	.D(n_10691),
+	.Y(n_11025), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g633567 (
+	.A(n_10923),
+	.B(n_10890),
+	.C(n_10688),
+	.D(n_10687),
+	.Y(n_11024), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g633568 (
+	.A(n_10862),
+	.B(n_10886),
+	.C(n_10656),
+	.D(n_10657),
+	.Y(n_11023), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g633569 (
+	.A(n_10861),
+	.B(n_10884),
+	.C(n_10775),
+	.D(n_10649),
+	.Y(n_11022), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g633570 (
+	.A(n_10961),
+	.B(n_10846),
+	.Y(n_11021), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g633571 (
+	.A(n_10500),
+	.B(n_10881),
+	.C(n_10809),
+	.D(n_10807),
+	.Y(n_11020), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g633572 (
+	.A(n_10525),
+	.B(n_10880),
+	.C(n_10690),
+	.D(n_10752),
+	.Y(n_11019), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g633573 (
+	.A(n_10879),
+	.B(n_10507),
+	.C(n_10742),
+	.D(n_10743),
+	.Y(n_11018), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g633574 (
+	.A(n_10505),
+	.B(n_10878),
+	.C(n_10732),
+	.D(n_10733),
+	.Y(n_11017), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g633575 (
+	.A(n_10876),
+	.B(n_10516),
+	.C(n_10727),
+	.D(n_10728),
+	.Y(n_11016), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g633576 (
+	.A(n_10874),
+	.B(n_10502),
+	.C(n_10716),
+	.D(n_10717),
+	.Y(n_11015), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g633577 (
+	.A(n_10889),
+	.B(n_10504),
+	.C(n_10696),
+	.D(n_10695),
+	.Y(n_11014), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g633578 (
+	.A(n_10867),
+	.B(n_10684),
+	.C(n_10685),
+	.D(n_10497),
+	.Y(n_11011), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g633579 (
+	.A(n_10865),
+	.B(n_10493),
+	.C(n_10674),
+	.D(n_10675),
+	.Y(n_11010), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g633581 (
+	.A1(n_349937_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[365]),
+	.B1(n_349929_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[237]),
+	.C1(n_10981),
+	.Y(n_11009), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g633582 (
+	.A(n_11000),
+	.B(n_10948),
+	.Y(n_36892), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g633589 (
+	.A1_N(n_42099),
+	.A2_N(n_10849),
+	.B1(n_10578),
+	.B2(n_10912),
+	.Y(n_11008), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g633596 (
+	.A1_N(brqrv_top_brqrv_exu_i0_pc_x[16]),
+	.A2_N(n_10594),
+	.B1(brqrv_top_brqrv_exu_i0_pc_x[16]),
+	.B2(n_10594),
+	.Y(n_356344_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g633597 (
+	.A1(n_349937_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[362]),
+	.B1(n_349929_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[234]),
+	.C1(n_10925),
+	.Y(n_11007), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g633599 (
+	.A(n_10757),
+	.B(n_10754),
+	.C(n_10756),
+	.D(n_10755),
+	.Y(n_11006), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g633600 (
+	.A_N(n_10605),
+	.B(n_10963),
+	.C(n_10837),
+	.Y(n_11005), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g633601 (
+	.A(n_10962),
+	.B(n_10841),
+	.C(n_10615),
+	.Y(n_11004), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g633602 (
+	.A_N(n_10542),
+	.B(n_10960),
+	.C(n_10847),
+	.Y(n_11003), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g633603 (
+	.A1(n_10581),
+	.A2(n_10911),
+	.B1(n_10959),
+	.Y(n_11013), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g633604 (
+	.A1(n_10579),
+	.A2(n_10913),
+	.B1(n_10927),
+	.Y(n_11012), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g633605 (
+	.A(n_10882),
+	.B(n_10519),
+	.Y(n_11001), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g633606 (
+	.A(n_42952),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[17]),
+	.Y(n_11000), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g633608 (
+	.A(n_10503),
+	.B(n_10863),
+	.Y(n_10998), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g633609 (
+	.A(n_10667),
+	.B(n_10668),
+	.C(n_10669),
+	.D(n_10666),
+	.Y(n_10997), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g633612 (
+	.A(n_10672),
+	.B(n_10671),
+	.C(n_10673),
+	.D(n_10670),
+	.Y(n_10994), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g633613 (
+	.A(n_10866),
+	.B(n_10527),
+	.C(n_10528),
+	.Y(n_10993), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g633617 (
+	.A(n_10678),
+	.B(n_10679),
+	.C(n_10677),
+	.D(n_10676),
+	.Y(n_10989), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g633625 (
+	.A(n_10770),
+	.B(n_10772),
+	.C(n_10773),
+	.D(n_10771),
+	.Y(n_10981), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g633627 (
+	.A(n_10758),
+	.B(n_10760),
+	.C(n_10759),
+	.D(n_10761),
+	.Y(n_10979), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g633628 (
+	.A(n_10806),
+	.B(n_10798),
+	.C(n_10796),
+	.D(n_10794),
+	.Y(n_10978), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g633629 (
+	.A(n_10830),
+	.B(n_10825),
+	.C(n_10824),
+	.D(n_10833),
+	.Y(n_10977), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g633630 (
+	.A(n_10746),
+	.B(n_10745),
+	.C(n_10747),
+	.D(n_10744),
+	.Y(n_10976), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g633631 (
+	.A(n_10735),
+	.B(n_10736),
+	.C(n_10737),
+	.D(n_10734),
+	.Y(n_10975), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g633632 (
+	.A(n_10877),
+	.B(n_10589),
+	.C(n_10591),
+	.Y(n_10974), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g633633 (
+	.A(n_10724),
+	.B(n_10725),
+	.C(n_10723),
+	.D(n_10726),
+	.Y(n_10973), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g633634 (
+	.A(n_10720),
+	.B(n_10721),
+	.C(n_10722),
+	.D(n_10719),
+	.Y(n_10972), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g633635 (
+	.A(n_10875),
+	.B(n_10592),
+	.C(n_10587),
+	.Y(n_10971), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g633636 (
+	.A(n_10713),
+	.B(n_10712),
+	.C(n_10715),
+	.D(n_10714),
+	.Y(n_10970), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g633637 (
+	.A(n_10711),
+	.B(n_10709),
+	.C(n_10710),
+	.D(n_10718),
+	.Y(n_10969), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g633638 (
+	.A(n_10872),
+	.B(n_10488),
+	.Y(n_10968), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g633639 (
+	.A(n_10697),
+	.B(n_10699),
+	.C(n_10698),
+	.D(n_10700),
+	.Y(n_10967), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g633640 (
+	.A(n_10499),
+	.B(n_10869),
+	.Y(n_10966), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g633641 (
+	.A(n_10868),
+	.B(n_10531),
+	.C(n_10530),
+	.Y(n_10965), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g633642 (
+	.A(n_10681),
+	.B(n_10683),
+	.C(n_10682),
+	.D(n_10680),
+	.Y(n_10964), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g633643 (
+	.A(n_42952),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[14]),
+	.Y(n_35445), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g633644 (
+	.A(n_42952),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[13]),
+	.Y(n_35447), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g633645 (
+	.A_N(brqrv_top_brqrv_exu_i0_pc_x[16]),
+	.B(n_10594),
+	.Y(n_11002), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g633646 (
+	.A(n_42952),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[15]),
+	.Y(n_35105), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g633647 (
+	.A(n_42952),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[16]),
+	.Y(n_35103), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g633648 (
+	.A1(n_10851),
+	.A2(n_10543),
+	.B1(n_10791),
+	.Y(n_10959), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g633649 (
+	.A1(n_349933_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[333]),
+	.B1(n_349936_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[461]),
+	.C1(n_10910),
+	.Y(n_10958), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g633650 (
+	.A1(n_349933_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[330]),
+	.B1(n_349936_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[458]),
+	.C1(n_10885),
+	.Y(n_10957), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g633651 (
+	.A(n_10641),
+	.B(n_10914),
+	.Y(n_10956), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g633652 (
+	.A1(n_10838),
+	.A2(n_10621),
+	.B1_N(n_42084),
+	.Y(n_10955), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g633653 (
+	.A1(n_10839),
+	.A2(n_10625),
+	.B1_N(n_42079),
+	.Y(n_10954), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g633654 (
+	.A1(n_10840),
+	.A2(n_10619),
+	.B1_N(n_42093),
+	.Y(n_10953), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g633655 (
+	.A1(n_10843),
+	.A2(n_10602),
+	.B1_N(n_42082),
+	.Y(n_10952), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g633656 (
+	.A1(n_10848),
+	.A2(n_10562),
+	.B1_N(n_42096),
+	.Y(n_10951), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g633657 (
+	.A1(n_10852),
+	.A2(n_10596),
+	.B1_N(n_42095),
+	.Y(n_10950), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g633659 (
+	.A1(n_10836),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [17]),
+	.B1(n_10383),
+	.B2(n_42473),
+	.Y(n_10948), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g633663 (
+	.A(n_10660),
+	.B(n_10661),
+	.C(n_10662),
+	.D(n_10659),
+	.Y(n_10944), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g633674 (
+	.A1(n_10596),
+	.A2(n_10852),
+	.B1(n_10832),
+	.Y(n_10933), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g633675 (
+	.A1(n_10844),
+	.A2(n_42091),
+	.B1(n_10831),
+	.X(n_10932), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g633676 (
+	.A1(n_10621),
+	.A2(n_10838),
+	.B1(n_10822),
+	.Y(n_10931), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g633677 (
+	.A1(n_10625),
+	.A2(n_10839),
+	.B1(n_10821),
+	.Y(n_10930), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g633678 (
+	.A1(n_10619),
+	.A2(n_10840),
+	.B1(n_10819),
+	.Y(n_10929), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g633679 (
+	.A1(n_10602),
+	.A2(n_10843),
+	.B1(n_10811),
+	.Y(n_10928), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g633680 (
+	.A1(n_10845),
+	.A2(n_10601),
+	.B1(n_10808),
+	.Y(n_10927), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g633681 (
+	.A1(n_10562),
+	.A2(n_10848),
+	.B1(n_10795),
+	.Y(n_10926), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g633682 (
+	.A(n_10653),
+	.B(n_10652),
+	.C(n_10654),
+	.D(n_10651),
+	.Y(n_10925), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g633683 (
+	.A(n_10921),
+	.B(n_10920),
+	.Y(n_35443), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g633684 (
+	.A(n_10860),
+	.B(n_10859),
+	.Y(n_10963), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g633685 (
+	.A(n_10858),
+	.B(n_10857),
+	.Y(n_10962), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g633686 (
+	.A(n_10919),
+	.B(n_10918),
+	.Y(n_35446), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g633688 (
+	.A(n_10855),
+	.B(n_10854),
+	.Y(n_10961), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g633689 (
+	.A1(n_10554),
+	.A2(n_10373),
+	.B1(n_10801),
+	.C1(n_10856),
+	.Y(n_10960), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g633692 (
+	.A1(n_349906_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[516]),
+	.B1(n_349914_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[676]),
+	.C1(n_10686),
+	.Y(n_10923), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g633694 (
+	.A(n_10383),
+	.B(n_43574),
+	.Y(n_10921), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g633695 (
+	.A(n_10836),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [15]),
+	.Y(n_10920), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g633696 (
+	.A(n_10383),
+	.B(n_36931),
+	.Y(n_10919), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g633697 (
+	.A(n_10836),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [14]),
+	.Y(n_10918), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g633701 (
+	.A(n_42091),
+	.B(n_10844),
+	.Y(n_10914), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g633702 (
+	.A(n_10601),
+	.B(n_10845),
+	.Y(n_10913), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g633703 (
+	.A(n_10849),
+	.B(n_42099),
+	.Y(n_10912), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g633704 (
+	.A(n_10543),
+	.B(n_10851),
+	.Y(n_10911), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g633705 (
+	.A1(n_349931_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[269]),
+	.B1(n_349935_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[429]),
+	.C1(n_10774),
+	.X(n_10910), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g633706 (
+	.A1(n_349926_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[782]),
+	.B1(n_349925_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[942]),
+	.C1(n_10767),
+	.Y(n_10909), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g633707 (
+	.A1(n_349933_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[334]),
+	.B1(n_349936_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[462]),
+	.C1(n_10766),
+	.Y(n_10908), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g633708 (
+	.A1(n_349906_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[526]),
+	.B1(n_349914_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[686]),
+	.C1(n_10765),
+	.Y(n_10907), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g633709 (
+	.A1(n_349940_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[152]),
+	.B1(n_349941_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[184]),
+	.C1(n_10762),
+	.X(n_10906), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g633710 (
+	.A1(n_349915_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[632]),
+	.B1(n_349903_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[728]),
+	.C1(n_10753),
+	.Y(n_10905), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g633711 (
+	.A1(n_349940_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[153]),
+	.B1(n_349942_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[217]),
+	.C1(n_10793),
+	.X(n_10904), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g633712 (
+	.A1(n_349906_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[537]),
+	.B1(n_349908_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[569]),
+	.C1(n_10818),
+	.Y(n_10903), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g633713 (
+	.A1(n_349940_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[157]),
+	.B1(n_349941_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[189]),
+	.C1(n_10820),
+	.X(n_10902), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g633714 (
+	.A1(n_349915_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[637]),
+	.B1(n_349909_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[605]),
+	.C1(n_10751),
+	.Y(n_10901), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g633715 (
+	.A1(n_349940_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[158]),
+	.B1(n_349941_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[190]),
+	.C1(n_10748),
+	.X(n_10900), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g633716 (
+	.A1(n_349908_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[574]),
+	.B1(n_349903_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[734]),
+	.C1(n_10741),
+	.Y(n_10899), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g633717 (
+	.A1(n_349940_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[159]),
+	.B1(n_349941_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[191]),
+	.C1(n_10738),
+	.X(n_10898), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g633718 (
+	.A1(n_349915_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[639]),
+	.B1(n_349909_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[607]),
+	.C1(n_10731),
+	.Y(n_10897), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g633719 (
+	.A1(n_349926_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[768]),
+	.B1(n_349918_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[800]),
+	.C1(n_10703),
+	.Y(n_10896), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g633720 (
+	.A1(n_349931_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[256]),
+	.B1(n_349932_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[288]),
+	.C1(n_10706),
+	.Y(n_10895), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g633721 (
+	.A1(n_349906_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[512]),
+	.B1(n_349914_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[672]),
+	.C1(n_10702),
+	.Y(n_10894), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g633722 (
+	.A1(n_349940_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[129]),
+	.B1(n_349941_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[161]),
+	.C1(n_10701),
+	.X(n_10893), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g633723 (
+	.A1(n_349906_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[513]),
+	.B1(n_349908_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[545]),
+	.C1(n_10693),
+	.Y(n_10892), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g633724 (
+	.A1(n_349926_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[772]),
+	.B1(n_349925_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[932]),
+	.C1(n_10813),
+	.Y(n_10891), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g633725 (
+	.A1(n_349931_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[260]),
+	.B1(n_349935_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[420]),
+	.C1(n_10689),
+	.Y(n_10890), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g633727 (
+	.A1(brqrv_top_brqrv_dec_arf_gpr_out[449]),
+	.A2(n_349936_BAR),
+	.B1(n_349937_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[353]),
+	.C1(n_349933_BAR),
+	.C2(brqrv_top_brqrv_dec_arf_gpr_out[321]),
+	.Y(n_10889), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g633728 (
+	.A1(n_349926_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[777]),
+	.B1(n_349925_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[937]),
+	.C1(n_10664),
+	.Y(n_10888), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g633729 (
+	.A1(n_349931_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[265]),
+	.B1(n_349935_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[425]),
+	.C1(n_10663),
+	.X(n_10887), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g633730 (
+	.A1(n_349926_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[778]),
+	.B1(n_349925_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[938]),
+	.C1(n_10658),
+	.Y(n_10886), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g633731 (
+	.A1(n_349931_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[266]),
+	.B1(n_349935_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[426]),
+	.C1(n_10655),
+	.X(n_10885), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g633732 (
+	.A1(n_349923_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[909]),
+	.B1(n_349920_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[749]),
+	.C1(n_10650),
+	.Y(n_10884), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g633733 (
+	.A1(brqrv_top_brqrv_dec_arf_gpr_out[1006]),
+	.A2(n_349943_BAR),
+	.B1(n_349938_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[110]),
+	.C1(n_259993_BAR),
+	.C2(brqrv_top_brqrv_dec_arf_gpr_out[46]),
+	.Y(n_10883), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g633734 (
+	.A1(brqrv_top_brqrv_dec_arf_gpr_out[430]),
+	.A2(n_349935_BAR),
+	.B1(n_349931_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[270]),
+	.C1(n_349937_BAR),
+	.C2(brqrv_top_brqrv_dec_arf_gpr_out[366]),
+	.Y(n_10882), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g633735 (
+	.A1(brqrv_top_brqrv_dec_arf_gpr_out[377]),
+	.A2(n_349937_BAR),
+	.B1(n_349936_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[473]),
+	.C1(n_349933_BAR),
+	.C2(brqrv_top_brqrv_dec_arf_gpr_out[345]),
+	.Y(n_10881), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g633736 (
+	.A1(brqrv_top_brqrv_dec_arf_gpr_out[381]),
+	.A2(n_349937_BAR),
+	.B1(n_349933_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[349]),
+	.C1(n_349936_BAR),
+	.C2(brqrv_top_brqrv_dec_arf_gpr_out[477]),
+	.Y(n_10880), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g633737 (
+	.A1(brqrv_top_brqrv_dec_arf_gpr_out[286]),
+	.A2(n_349931_BAR),
+	.B1(n_349932_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[318]),
+	.C1(n_349933_BAR),
+	.C2(brqrv_top_brqrv_dec_arf_gpr_out[350]),
+	.Y(n_10879), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g633738 (
+	.A1(brqrv_top_brqrv_dec_arf_gpr_out[351]),
+	.A2(n_349933_BAR),
+	.B1(n_349936_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[479]),
+	.C1(n_349937_BAR),
+	.C2(brqrv_top_brqrv_dec_arf_gpr_out[383]),
+	.Y(n_10878), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g633739 (
+	.A1(brqrv_top_brqrv_dec_arf_gpr_out[1010]),
+	.A2(n_349943_BAR),
+	.B1(n_349939_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[82]),
+	.C1(n_259993_BAR),
+	.C2(brqrv_top_brqrv_dec_arf_gpr_out[50]),
+	.Y(n_10877), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g633740 (
+	.A1(brqrv_top_brqrv_dec_arf_gpr_out[274]),
+	.A2(n_349931_BAR),
+	.B1(n_349935_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[434]),
+	.C1(n_349933_BAR),
+	.C2(brqrv_top_brqrv_dec_arf_gpr_out[338]),
+	.Y(n_10876), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g633741 (
+	.A1(brqrv_top_brqrv_dec_arf_gpr_out[1014]),
+	.A2(n_349943_BAR),
+	.B1(n_349939_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[86]),
+	.C1(n_259993_BAR),
+	.C2(brqrv_top_brqrv_dec_arf_gpr_out[54]),
+	.Y(n_10875), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g633742 (
+	.A1(brqrv_top_brqrv_dec_arf_gpr_out[278]),
+	.A2(n_349931_BAR),
+	.B1(n_349933_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[342]),
+	.C1(n_349932_BAR),
+	.C2(brqrv_top_brqrv_dec_arf_gpr_out[310]),
+	.Y(n_10874), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g633743 (
+	.A1(brqrv_top_brqrv_dec_arf_gpr_out[992]),
+	.A2(n_349943_BAR),
+	.B1(n_349939_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[64]),
+	.C1(n_259993_BAR),
+	.C2(brqrv_top_brqrv_dec_arf_gpr_out[32]),
+	.Y(n_10873), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g633744 (
+	.A1(brqrv_top_brqrv_dec_arf_gpr_out[384]),
+	.A2(n_349934_BAR),
+	.B1(n_349933_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[320]),
+	.C1(n_349935_BAR),
+	.C2(brqrv_top_brqrv_dec_arf_gpr_out[416]),
+	.Y(n_10872), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g633745 (
+	.A1(n_349921_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[873]),
+	.B1(n_349919_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[841]),
+	.C1(n_10665),
+	.Y(n_10871), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g633746 (
+	.A1(brqrv_top_brqrv_dec_arf_gpr_out[996]),
+	.A2(n_349943_BAR),
+	.B1(n_349938_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[100]),
+	.C1(n_259993_BAR),
+	.C2(brqrv_top_brqrv_dec_arf_gpr_out[36]),
+	.Y(n_10870), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g633747 (
+	.A1(brqrv_top_brqrv_dec_arf_gpr_out[324]),
+	.A2(n_349933_BAR),
+	.B1(n_349937_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[356]),
+	.C1(n_349936_BAR),
+	.C2(brqrv_top_brqrv_dec_arf_gpr_out[452]),
+	.Y(n_10869), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g633748 (
+	.A1(brqrv_top_brqrv_dec_arf_gpr_out[999]),
+	.A2(n_349943_BAR),
+	.B1(n_349938_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[103]),
+	.C1(n_259993_BAR),
+	.C2(brqrv_top_brqrv_dec_arf_gpr_out[39]),
+	.Y(n_10868), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g633749 (
+	.A1(brqrv_top_brqrv_dec_arf_gpr_out[359]),
+	.A2(n_349937_BAR),
+	.B1(n_349931_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[263]),
+	.C1(n_349935_BAR),
+	.C2(brqrv_top_brqrv_dec_arf_gpr_out[423]),
+	.Y(n_10867), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g633750 (
+	.A1(brqrv_top_brqrv_dec_arf_gpr_out[1000]),
+	.A2(n_349943_BAR),
+	.B1(n_349938_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[104]),
+	.C1(n_259993_BAR),
+	.C2(brqrv_top_brqrv_dec_arf_gpr_out[40]),
+	.Y(n_10866), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g633751 (
+	.A1(brqrv_top_brqrv_dec_arf_gpr_out[392]),
+	.A2(n_349934_BAR),
+	.B1(n_349932_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[296]),
+	.C1(n_349937_BAR),
+	.C2(brqrv_top_brqrv_dec_arf_gpr_out[360]),
+	.Y(n_10865), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g633752 (
+	.A1(brqrv_top_brqrv_dec_arf_gpr_out[1001]),
+	.A2(n_349943_BAR),
+	.B1(n_349938_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[105]),
+	.C1(n_259993_BAR),
+	.C2(brqrv_top_brqrv_dec_arf_gpr_out[41]),
+	.Y(n_10864), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g633753 (
+	.A1(brqrv_top_brqrv_dec_arf_gpr_out[393]),
+	.A2(n_349934_BAR),
+	.B1(n_349937_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[361]),
+	.C1(n_349932_BAR),
+	.C2(brqrv_top_brqrv_dec_arf_gpr_out[297]),
+	.Y(n_10863), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g633754 (
+	.A1(brqrv_top_brqrv_dec_arf_gpr_out[1002]),
+	.A2(n_349943_BAR),
+	.B1(n_349938_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[106]),
+	.C1(n_259993_BAR),
+	.C2(brqrv_top_brqrv_dec_arf_gpr_out[42]),
+	.Y(n_10862), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g633755 (
+	.A1(brqrv_top_brqrv_dec_arf_gpr_out[1005]),
+	.A2(n_349943_BAR),
+	.B1(n_349938_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[109]),
+	.C1(n_259993_BAR),
+	.C2(brqrv_top_brqrv_dec_arf_gpr_out[45]),
+	.Y(n_10861), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g633756 (
+	.A(n_10829),
+	.B(n_10828),
+	.Y(n_10860), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g633757 (
+	.A_N(n_10633),
+	.B(n_10827),
+	.C(n_10632),
+	.Y(n_10859), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g633758 (
+	.A(n_10817),
+	.B(n_10816),
+	.Y(n_10858), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g633759 (
+	.A_N(n_10564),
+	.B(n_10815),
+	.C(n_10639),
+	.Y(n_10857), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g633760 (
+	.A_N(n_10573),
+	.B(n_10805),
+	.C(n_10572),
+	.Y(n_10856), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g633761 (
+	.A(n_10804),
+	.B(n_10803),
+	.Y(n_10855), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g633762 (
+	.A_N(n_10568),
+	.B(n_10800),
+	.C(n_10576),
+	.Y(n_10854), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g633763 (
+	.A(n_33854),
+	.Y(n_10836), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_4 g633783 (
+	.A(n_33853),
+	.Y(n_10383), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g633784 (
+	.A1(n_10412),
+	.A2(brqrv_top_brqrv_exu_i0_pc_x[15]),
+	.B1(n_10594),
+	.Y(n_356422_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g633785 (
+	.A1(n_349912_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[480]),
+	.B1(n_349903_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[704]),
+	.Y(n_10835), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g633786 (
+	.A_N(n_42090),
+	.B(n_10603),
+	.Y(n_10834), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g633787 (
+	.A1(n_349926_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[797]),
+	.B1(n_349925_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[957]),
+	.Y(n_10833), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g633788 (
+	.A_N(n_10537),
+	.B(n_42100),
+	.Y(n_10832), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g633789 (
+	.A(n_10598),
+	.B_N(n_42092),
+	.Y(n_10831), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g633790 (
+	.A1(n_349921_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[893]),
+	.B1(n_349919_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[861]),
+	.Y(n_10830), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g633791 (
+	.A(n_10610),
+	.B_N(n_10609),
+	.Y(n_10829), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g633792 (
+	.A(n_10618),
+	.B_N(n_10620),
+	.Y(n_10828), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g633793 (
+	.A_N(n_10620),
+	.B(n_10618),
+	.Y(n_10827), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g633794 (
+	.A_N(n_42087),
+	.B(n_10624),
+	.Y(n_10826), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g633795 (
+	.A1(n_349923_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[925]),
+	.B1(n_349918_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[829]),
+	.Y(n_10825), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g633796 (
+	.A1(n_349920_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[765]),
+	.B1(n_349924_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[989]),
+	.Y(n_10824), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g633797 (
+	.A_N(n_42088),
+	.B(n_10623),
+	.Y(n_10823), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g633798 (
+	.A_N(n_10603),
+	.B(n_42090),
+	.Y(n_10822), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g633799 (
+	.A_N(n_10624),
+	.B(n_42087),
+	.Y(n_10821), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g633800 (
+	.A1(n_349943_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[1021]),
+	.B1(n_349942_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[221]),
+	.X(n_10820), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g633801 (
+	.A_N(n_10623),
+	.B(n_42088),
+	.Y(n_10819), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g633802 (
+	.A1(n_349912_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[505]),
+	.B1(n_349909_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[601]),
+	.X(n_10818), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g633803 (
+	.A(n_10613),
+	.B_N(n_10614),
+	.Y(n_10817), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g633804 (
+	.A(n_10628),
+	.B_N(n_10611),
+	.Y(n_10816), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g633805 (
+	.A_N(n_10611),
+	.B(n_10628),
+	.Y(n_10815), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g633806 (
+	.A_N(n_42089),
+	.B(n_10606),
+	.Y(n_10814), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g633807 (
+	.A1(n_349923_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[900]),
+	.B1(n_349918_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[804]),
+	.X(n_10813), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g633808 (
+	.A1(n_349913_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[665]),
+	.B1(n_349914_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[697]),
+	.Y(n_10812), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g633809 (
+	.A_N(n_10606),
+	.B(n_42089),
+	.Y(n_10811), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g633810 (
+	.A1(n_349915_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[633]),
+	.B1(n_349903_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[729]),
+	.Y(n_10810), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g633811 (
+	.A1(n_349931_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[281]),
+	.B1(n_349935_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[441]),
+	.Y(n_10809), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g633812 (
+	.A(n_10616),
+	.B_N(n_10534),
+	.Y(n_10808), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g633813 (
+	.A1(n_349934_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[409]),
+	.B1(n_349932_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[313]),
+	.Y(n_10807), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g633814 (
+	.A1(n_349926_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[793]),
+	.B1(n_349923_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[921]),
+	.Y(n_10806), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g633815 (
+	.A(n_10373),
+	.B(n_10554),
+	.Y(n_10805), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g633816 (
+	.A(n_10546),
+	.B_N(n_10541),
+	.Y(n_10804), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g633817 (
+	.A(n_10556),
+	.B_N(n_10563),
+	.Y(n_10803), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g633818 (
+	.A_N(n_42098),
+	.B(n_10559),
+	.Y(n_10802), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g633819 (
+	.A_N(n_10552),
+	.B(n_10548),
+	.Y(n_10801), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g633820 (
+	.A_N(n_10563),
+	.B(n_10556),
+	.Y(n_10800), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g633821 (
+	.A_N(n_42097),
+	.B(n_10557),
+	.Y(n_10799), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g633822 (
+	.A1(n_349919_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[857]),
+	.B1(n_349924_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[985]),
+	.Y(n_10798), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g633823 (
+	.A(n_10557),
+	.B_N(n_42097),
+	.Y(n_10797), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g633824 (
+	.A1(n_349920_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[761]),
+	.B1(n_349921_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[889]),
+	.Y(n_10796), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g633825 (
+	.A_N(n_10559),
+	.B(n_42098),
+	.Y(n_10795), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g633826 (
+	.A1(n_349918_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[825]),
+	.B1(n_349925_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[953]),
+	.Y(n_10794), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g633827 (
+	.A1(n_349943_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[1017]),
+	.B1(n_349941_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[185]),
+	.X(n_10793), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g633828 (
+	.A_N(n_42100),
+	.B(n_10537),
+	.Y(n_10792), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g633829 (
+	.A(n_10545),
+	.B_N(n_10547),
+	.Y(n_10791), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g633830 (
+	.A1(n_349940_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[142]),
+	.B1(n_349941_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[174]),
+	.C1(n_10590),
+	.X(n_10790), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g633831 (
+	.A1(n_349906_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[536]),
+	.B1(n_349908_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[568]),
+	.Y(n_10789), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g633832 (
+	.A1(n_349940_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[128]),
+	.B1(n_349941_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[160]),
+	.C1(n_10593),
+	.X(n_10788), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g633833 (
+	.A1(n_349913_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[664]),
+	.B1(n_349914_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[696]),
+	.Y(n_10787), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g633834 (
+	.A1(n_349940_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[132]),
+	.B1(n_349939_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[68]),
+	.C1(n_10588),
+	.X(n_10786), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g633835 (
+	.A_N(n_42092),
+	.B(n_10598),
+	.Y(n_10785), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g633836 (
+	.A1(n_349940_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[137]),
+	.B1(n_349941_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[169]),
+	.C1(n_10526),
+	.Y(n_10784), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g633837 (
+	.A1(n_349940_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[138]),
+	.B1(n_349941_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[170]),
+	.C1(n_10532),
+	.Y(n_10783), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g633838 (
+	.A1(n_349940_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[141]),
+	.B1(n_349941_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[173]),
+	.C1(n_10529),
+	.Y(n_10782), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g633839 (
+	.A1(brqrv_top_brqrv_dec_arf_gpr_out[56]),
+	.A2(n_259993_BAR),
+	.B1(n_349939_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[88]),
+	.C1(n_349938_BAR),
+	.C2(brqrv_top_brqrv_dec_arf_gpr_out[120]),
+	.Y(n_10781), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g633840 (
+	.A1(brqrv_top_brqrv_dec_arf_gpr_out[57]),
+	.A2(n_259993_BAR),
+	.B1(n_349939_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[89]),
+	.C1(n_349938_BAR),
+	.C2(brqrv_top_brqrv_dec_arf_gpr_out[121]),
+	.Y(n_10780), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g633841 (
+	.A1(brqrv_top_brqrv_dec_arf_gpr_out[61]),
+	.A2(n_259993_BAR),
+	.B1(n_349939_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[93]),
+	.C1(n_349938_BAR),
+	.C2(brqrv_top_brqrv_dec_arf_gpr_out[125]),
+	.Y(n_10779), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g633842 (
+	.A1(brqrv_top_brqrv_dec_arf_gpr_out[62]),
+	.A2(n_259993_BAR),
+	.B1(n_349939_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[94]),
+	.C1(n_349938_BAR),
+	.C2(brqrv_top_brqrv_dec_arf_gpr_out[126]),
+	.Y(n_10778), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g633843 (
+	.A1(brqrv_top_brqrv_dec_arf_gpr_out[63]),
+	.A2(n_259993_BAR),
+	.B1(n_349939_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[95]),
+	.C1(n_349938_BAR),
+	.C2(brqrv_top_brqrv_dec_arf_gpr_out[127]),
+	.Y(n_10777), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g633844 (
+	.A1(brqrv_top_brqrv_dec_arf_gpr_out[33]),
+	.A2(n_259993_BAR),
+	.B1(n_349939_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[65]),
+	.C1(n_349938_BAR),
+	.C2(brqrv_top_brqrv_dec_arf_gpr_out[97]),
+	.Y(n_10776), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g633845 (
+	.A1(n_349926_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[781]),
+	.B1(n_349925_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[941]),
+	.Y(n_10775), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g633846 (
+	.A1(n_349934_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[397]),
+	.B1(n_349932_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[301]),
+	.X(n_10774), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g633847 (
+	.A1(n_349912_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[493]),
+	.B1(n_349903_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[717]),
+	.Y(n_10773), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g633848 (
+	.A1(n_349913_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[653]),
+	.B1(n_349908_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[557]),
+	.Y(n_10772), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g633849 (
+	.A1(n_349915_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[621]),
+	.B1(n_349909_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[589]),
+	.Y(n_10771), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g633850 (
+	.A1(n_349906_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[525]),
+	.B1(n_349914_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[685]),
+	.Y(n_10770), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g633851 (
+	.A1(n_349920_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[750]),
+	.B1(n_349918_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[814]),
+	.Y(n_10769), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g633852 (
+	.A1(n_349923_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[910]),
+	.B1(n_349924_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[974]),
+	.Y(n_10768), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g633853 (
+	.A1(n_349921_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[878]),
+	.B1(n_349919_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[846]),
+	.X(n_10767), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g633854 (
+	.A1(n_349934_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[398]),
+	.B1(n_349932_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[302]),
+	.X(n_10766), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g633855 (
+	.A1(n_349912_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[494]),
+	.B1(n_349903_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[718]),
+	.X(n_10765), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g633856 (
+	.A1(n_349915_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[622]),
+	.B1(n_349909_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[590]),
+	.Y(n_10764), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g633857 (
+	.A1(n_349913_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[654]),
+	.B1(n_349908_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[558]),
+	.Y(n_10763), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g633858 (
+	.A1(n_349943_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[1016]),
+	.B1(n_349942_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[216]),
+	.X(n_10762), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g633859 (
+	.A1(n_349921_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[888]),
+	.B1(n_349924_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[984]),
+	.Y(n_10761), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g633860 (
+	.A1(n_349923_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[920]),
+	.B1(n_349925_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[952]),
+	.Y(n_10760), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g633861 (
+	.A1(n_349920_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[760]),
+	.B1(n_349918_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[824]),
+	.Y(n_10759), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g633862 (
+	.A1(n_349926_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[792]),
+	.B1(n_349919_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[856]),
+	.Y(n_10758), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g633863 (
+	.A1(n_349933_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[344]),
+	.B1(n_349929_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[248]),
+	.Y(n_10757), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g633864 (
+	.A1(n_349934_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[408]),
+	.B1(n_349935_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[440]),
+	.Y(n_10756), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g633865 (
+	.A1(n_349937_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[376]),
+	.B1(n_349936_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[472]),
+	.Y(n_10755), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g633866 (
+	.A1(n_349931_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[280]),
+	.B1(n_349932_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[312]),
+	.Y(n_10754), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g633867 (
+	.A1(n_349912_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[504]),
+	.B1(n_349909_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[600]),
+	.X(n_10753), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g633868 (
+	.A_N(n_10534),
+	.B(n_10616),
+	.Y(n_10853), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 g633869 (
+	.A(n_10636),
+	.B(n_10646),
+	.X(n_10852), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g633870 (
+	.A(n_10585),
+	.B(n_10584),
+	.Y(n_10851), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g633871 (
+	.A_N(n_10547),
+	.B(n_10545),
+	.Y(n_10850), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g633872 (
+	.A(n_42094),
+	.B(n_10575),
+	.X(n_10849), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 g633873 (
+	.A(n_10574),
+	.B(n_10570),
+	.X(n_10848), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g633874 (
+	.A_N(n_10548),
+	.B(n_10552),
+	.Y(n_10847), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g633875 (
+	.A_N(n_10541),
+	.B(n_10546),
+	.Y(n_10846), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g633876 (
+	.A(n_10569),
+	.B_N(n_10566),
+	.Y(n_10845), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g633877 (
+	.A(n_10645),
+	.B_N(n_42080),
+	.Y(n_10844), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g633878 (
+	.A_N(n_10648),
+	.B(n_42081),
+	.Y(n_10843), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g633879 (
+	.A(n_10536),
+	.B(n_10553),
+	.Y(n_10842), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g633880 (
+	.A_N(n_10614),
+	.B(n_10613),
+	.Y(n_10841), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g633881 (
+	.A_N(n_10580),
+	.B(n_42083),
+	.Y(n_10840), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g633882 (
+	.A(n_42085),
+	.B(n_10634),
+	.Y(n_10839), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g633883 (
+	.A_N(n_10629),
+	.B(n_42086),
+	.Y(n_10838), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g633884 (
+	.A_N(n_10609),
+	.B(n_10610),
+	.Y(n_10837), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g633885 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_sel_data_f [4]),
+	.B(n_10405),
+	.Y(n_33854), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g633886 (
+	.A(n_10626),
+	.B(n_10402),
+	.Y(n_33853), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g633887 (
+	.A1(n_349934_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[413]),
+	.B1(n_349932_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[317]),
+	.Y(n_10752), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g633888 (
+	.A1(n_349912_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[509]),
+	.B1(n_349903_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[733]),
+	.X(n_10751), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g633889 (
+	.A1(n_349913_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[669]),
+	.B1(n_349908_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[573]),
+	.Y(n_10750), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g633890 (
+	.A1(n_349906_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[541]),
+	.B1(n_349914_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[701]),
+	.Y(n_10749), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g633891 (
+	.A1(n_349943_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[1022]),
+	.B1(n_349942_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[222]),
+	.X(n_10748), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g633892 (
+	.A1(n_349920_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[766]),
+	.B1(n_349924_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[990]),
+	.Y(n_10747), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g633893 (
+	.A1(n_349921_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[894]),
+	.B1(n_349919_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[862]),
+	.Y(n_10746), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g633894 (
+	.A1(n_349923_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[926]),
+	.B1(n_349918_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[830]),
+	.Y(n_10745), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g633895 (
+	.A1(n_349926_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[798]),
+	.B1(n_349925_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[958]),
+	.Y(n_10744), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g633896 (
+	.A1(n_349937_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[382]),
+	.B1(n_349936_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[478]),
+	.Y(n_10743), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g633897 (
+	.A1(n_349934_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[414]),
+	.B1(n_349935_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[446]),
+	.Y(n_10742), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g633898 (
+	.A1(n_349913_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[670]),
+	.B1(n_349912_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[510]),
+	.X(n_10741), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g633899 (
+	.A1(n_349915_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[638]),
+	.B1(n_349909_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[606]),
+	.Y(n_10740), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g633900 (
+	.A1(n_349906_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[542]),
+	.B1(n_349914_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[702]),
+	.Y(n_10739), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g633901 (
+	.A1(n_349943_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[1023]),
+	.B1(n_349942_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[223]),
+	.X(n_10738), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g633902 (
+	.A1(n_349920_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[767]),
+	.B1(n_349918_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[831]),
+	.Y(n_10737), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g633903 (
+	.A1(n_349923_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[927]),
+	.B1(n_349924_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[991]),
+	.Y(n_10736), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g633904 (
+	.A1(n_349921_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[895]),
+	.B1(n_349919_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[863]),
+	.Y(n_10735), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g633905 (
+	.A1(n_349926_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[799]),
+	.B1(n_349925_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[959]),
+	.Y(n_10734), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g633906 (
+	.A1(n_349934_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[415]),
+	.B1(n_349932_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[319]),
+	.Y(n_10733), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g633907 (
+	.A1(n_349931_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[287]),
+	.B1(n_349935_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[447]),
+	.Y(n_10732), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g633908 (
+	.A1(n_349912_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[511]),
+	.B1(n_349903_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[735]),
+	.X(n_10731), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g633909 (
+	.A1(n_349913_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[671]),
+	.B1(n_349908_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[575]),
+	.Y(n_10730), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g633910 (
+	.A1(n_349906_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[543]),
+	.B1(n_349914_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[703]),
+	.Y(n_10729), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g633911 (
+	.A1(n_349937_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[370]),
+	.B1(n_349936_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[466]),
+	.Y(n_10728), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g633912 (
+	.A1(n_349934_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[402]),
+	.B1(n_349932_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[306]),
+	.Y(n_10727), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g633913 (
+	.A1(n_349920_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[754]),
+	.B1(n_349925_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[946]),
+	.Y(n_10726), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g633914 (
+	.A1(n_349926_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[786]),
+	.B1(n_349924_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[978]),
+	.Y(n_10725), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g633915 (
+	.A1(n_349921_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[882]),
+	.B1(n_349919_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[850]),
+	.Y(n_10724), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g633916 (
+	.A1(n_349923_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[914]),
+	.B1(n_349918_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[818]),
+	.Y(n_10723), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g633917 (
+	.A1(n_349912_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[498]),
+	.B1(n_349903_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[722]),
+	.Y(n_10722), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g633918 (
+	.A1(n_349913_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[658]),
+	.B1(n_349908_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[562]),
+	.Y(n_10721), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g633919 (
+	.A1(n_349915_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[626]),
+	.B1(n_349909_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[594]),
+	.Y(n_10720), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g633920 (
+	.A1(n_349906_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[530]),
+	.B1(n_349914_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[690]),
+	.Y(n_10719), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g633921 (
+	.A1(n_349915_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[630]),
+	.B1(n_349903_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[726]),
+	.Y(n_10718), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g633922 (
+	.A1(n_349937_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[374]),
+	.B1(n_349936_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[470]),
+	.Y(n_10717), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g633923 (
+	.A1(n_349934_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[406]),
+	.B1(n_349935_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[438]),
+	.Y(n_10716), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g633924 (
+	.A1(n_349920_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[758]),
+	.B1(n_349925_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[950]),
+	.Y(n_10715), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g633925 (
+	.A1(n_349926_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[790]),
+	.B1(n_349924_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[982]),
+	.Y(n_10714), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g633926 (
+	.A1(n_349921_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[886]),
+	.B1(n_349919_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[854]),
+	.Y(n_10713), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g633927 (
+	.A1(n_349923_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[918]),
+	.B1(n_349918_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[822]),
+	.Y(n_10712), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g633928 (
+	.A1(n_349913_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[662]),
+	.B1(n_349914_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[694]),
+	.Y(n_10711), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g633929 (
+	.A1(n_349912_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[502]),
+	.B1(n_349909_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[598]),
+	.Y(n_10710), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g633930 (
+	.A1(n_349906_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[534]),
+	.B1(n_349908_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[566]),
+	.Y(n_10709), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g633931 (
+	.A1(n_349925_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[928]),
+	.B1(n_349924_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[960]),
+	.Y(n_10708), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g633932 (
+	.A1(n_349920_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[736]),
+	.B1(n_349921_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[864]),
+	.Y(n_10707), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g633933 (
+	.A1(n_349937_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[352]),
+	.B1(n_349936_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[448]),
+	.X(n_10706), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g633934 (
+	.A1(n_349912_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[481]),
+	.B1(n_349909_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[577]),
+	.Y(n_10705), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g633935 (
+	.A1(n_349915_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[608]),
+	.B1(n_349909_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[576]),
+	.Y(n_10704), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g633936 (
+	.A1(n_349923_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[896]),
+	.B1(n_349919_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[832]),
+	.X(n_10703), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g633937 (
+	.A1(n_349913_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[640]),
+	.B1(n_349908_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[544]),
+	.X(n_10702), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g633938 (
+	.A1(n_349943_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[993]),
+	.B1(n_349942_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[193]),
+	.X(n_10701), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g633939 (
+	.A1(n_349920_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[737]),
+	.B1(n_349918_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[801]),
+	.Y(n_10700), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g633940 (
+	.A1(n_349923_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[897]),
+	.B1(n_349925_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[929]),
+	.Y(n_10699), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g633941 (
+	.A1(n_349921_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[865]),
+	.B1(n_349924_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[961]),
+	.Y(n_10698), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g633942 (
+	.A1(n_349926_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[769]),
+	.B1(n_349919_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[833]),
+	.Y(n_10697), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g633943 (
+	.A1(n_349934_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[385]),
+	.B1(n_349935_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[417]),
+	.Y(n_10696), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g633944 (
+	.A1(n_349931_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[257]),
+	.B1(n_349932_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[289]),
+	.Y(n_10695), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g633945 (
+	.A1(n_349915_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[609]),
+	.B1(n_349903_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[705]),
+	.Y(n_10694), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g633946 (
+	.A1(n_349913_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[641]),
+	.B1(n_349914_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[673]),
+	.X(n_10693), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g633947 (
+	.A1(n_349920_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[740]),
+	.B1(n_349924_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[964]),
+	.Y(n_10692), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g633948 (
+	.A1(n_349921_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[868]),
+	.B1(n_349919_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[836]),
+	.Y(n_10691), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g633949 (
+	.A1(n_349931_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[285]),
+	.B1(n_349935_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[445]),
+	.Y(n_10690), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g633950 (
+	.A1(n_349934_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[388]),
+	.B1(n_349932_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[292]),
+	.X(n_10689), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g633951 (
+	.A1(n_349912_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[484]),
+	.B1(n_349903_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[708]),
+	.Y(n_10688), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g633952 (
+	.A1(n_349915_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[612]),
+	.B1(n_349909_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[580]),
+	.Y(n_10687), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g633953 (
+	.A1(n_349913_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[644]),
+	.B1(n_349908_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[548]),
+	.X(n_10686), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g633954 (
+	.A1(n_349933_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[327]),
+	.B1(n_349936_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[455]),
+	.Y(n_10685), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g633955 (
+	.A1(n_349934_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[391]),
+	.B1(n_349932_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[295]),
+	.Y(n_10684), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g633956 (
+	.A1(n_349920_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[743]),
+	.B1(n_349924_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[967]),
+	.Y(n_10683), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g633957 (
+	.A1(n_349926_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[775]),
+	.B1(n_349925_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[935]),
+	.Y(n_10682), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g633958 (
+	.A1(n_349921_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[871]),
+	.B1(n_349919_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[839]),
+	.Y(n_10681), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g633959 (
+	.A1(n_349923_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[903]),
+	.B1(n_349918_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[807]),
+	.Y(n_10680), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g633960 (
+	.A1(n_349909_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[583]),
+	.B1(n_349903_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[711]),
+	.Y(n_10679), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g633961 (
+	.A1(n_349908_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[551]),
+	.B1(n_349914_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[679]),
+	.Y(n_10678), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g633962 (
+	.A1(n_349915_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[615]),
+	.B1(n_349912_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[487]),
+	.Y(n_10677), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g633963 (
+	.A1(n_349906_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[519]),
+	.B1(n_349913_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[647]),
+	.Y(n_10676), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g633964 (
+	.A1(n_349933_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[328]),
+	.B1(n_349936_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[456]),
+	.Y(n_10675), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g633965 (
+	.A1(n_349931_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[264]),
+	.B1(n_349935_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[424]),
+	.Y(n_10674), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g633966 (
+	.A1(n_349920_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[744]),
+	.B1(n_349924_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[968]),
+	.Y(n_10673), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g633967 (
+	.A1(n_349921_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[872]),
+	.B1(n_349919_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[840]),
+	.Y(n_10672), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g633968 (
+	.A1(n_349923_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[904]),
+	.B1(n_349918_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[808]),
+	.Y(n_10671), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g633969 (
+	.A1(n_349926_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[776]),
+	.B1(n_349925_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[936]),
+	.Y(n_10670), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g633970 (
+	.A1(n_349912_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[488]),
+	.B1(n_349903_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[712]),
+	.Y(n_10669), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g633971 (
+	.A1(n_349915_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[616]),
+	.B1(n_349909_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[584]),
+	.Y(n_10668), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g633972 (
+	.A1(n_349913_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[648]),
+	.B1(n_349908_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[552]),
+	.Y(n_10667), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g633973 (
+	.A1(n_349906_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[520]),
+	.B1(n_349914_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[680]),
+	.Y(n_10666), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g633974 (
+	.A1(n_349920_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[745]),
+	.B1(n_349924_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[969]),
+	.X(n_10665), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g633975 (
+	.A1(n_349923_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[905]),
+	.B1(n_349918_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[809]),
+	.X(n_10664), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g633976 (
+	.A1(n_349933_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[329]),
+	.B1(n_349936_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[457]),
+	.X(n_10663), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g633977 (
+	.A1(n_349912_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[489]),
+	.B1(n_349903_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[713]),
+	.Y(n_10662), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g633978 (
+	.A1(n_349913_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[649]),
+	.B1(n_349908_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[553]),
+	.Y(n_10661), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g633979 (
+	.A1(n_349915_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[617]),
+	.B1(n_349909_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[585]),
+	.Y(n_10660), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g633980 (
+	.A1(n_349906_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[521]),
+	.B1(n_349914_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[681]),
+	.Y(n_10659), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g633981 (
+	.A1(n_349920_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[746]),
+	.B1(n_349924_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[970]),
+	.X(n_10658), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g633982 (
+	.A1(n_349921_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[874]),
+	.B1(n_349919_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[842]),
+	.Y(n_10657), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g633983 (
+	.A1(n_349923_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[906]),
+	.B1(n_349918_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[810]),
+	.Y(n_10656), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g633984 (
+	.A1(n_349934_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[394]),
+	.B1(n_349932_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[298]),
+	.X(n_10655), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g633985 (
+	.A1(n_349912_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[490]),
+	.B1(n_349903_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[714]),
+	.Y(n_10654), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g633986 (
+	.A1(n_349906_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[522]),
+	.B1(n_349914_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[682]),
+	.Y(n_10653), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g633987 (
+	.A1(n_349915_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[618]),
+	.B1(n_349909_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[586]),
+	.Y(n_10652), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g633988 (
+	.A1(n_349913_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[650]),
+	.B1(n_349908_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[554]),
+	.Y(n_10651), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g633989 (
+	.A1(n_349918_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[813]),
+	.B1(n_349924_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[973]),
+	.X(n_10650), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g633990 (
+	.A1(n_349921_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[877]),
+	.B1(n_349919_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[845]),
+	.Y(n_10649), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g633991 (
+	.A(n_10626),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_sel_data_f [4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g633992 (
+	.A1(n_349942_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[192]),
+	.B1(n_349938_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[96]),
+	.X(n_10593), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g633993 (
+	.A1(n_349942_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[214]),
+	.B1(n_349938_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[118]),
+	.Y(n_10592), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g633994 (
+	.A1(n_349940_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[146]),
+	.B1(n_349941_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[178]),
+	.Y(n_10591), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g633995 (
+	.A1(n_349942_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[206]),
+	.B1(n_349939_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[78]),
+	.X(n_10590), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g633996 (
+	.A1(n_349942_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[210]),
+	.B1(n_349938_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[114]),
+	.Y(n_10589), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g633997 (
+	.A1(n_349941_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[164]),
+	.B1(n_349942_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[196]),
+	.X(n_10588), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g633998 (
+	.A1(n_349940_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[150]),
+	.B1(n_349941_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[182]),
+	.Y(n_10587), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g633999 (
+	.A1_N(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[108]),
+	.A2_N(n_10482),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[108]),
+	.B2(n_10450),
+	.Y(n_10648), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g634001 (
+	.A1_N(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[68]),
+	.A2_N(n_10431),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[68]),
+	.B2(n_10448),
+	.Y(n_10646), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g634002 (
+	.A1_N(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[60]),
+	.A2_N(n_10424),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[60]),
+	.B2(n_10423),
+	.Y(n_10645), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g634006 (
+	.A1_N(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[61]),
+	.A2_N(n_10424),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[61]),
+	.B2(n_10423),
+	.Y(n_10641), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g634007 (
+	.A1_N(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[39]),
+	.A2_N(n_10451),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[39]),
+	.B2(n_10464),
+	.Y(n_10640), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g634008 (
+	.A1_N(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[32]),
+	.A2_N(n_10438),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[32]),
+	.B2(n_10444),
+	.Y(n_10639), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_2 g634011 (
+	.A0(n_10426),
+	.A1(n_10469),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[64]),
+	.X(n_10636), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 g634013 (
+	.A0(n_10445),
+	.A1(n_10441),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[76]),
+	.Y(n_10634), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g634014 (
+	.A1_N(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[52]),
+	.A2_N(n_10462),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[52]),
+	.B2(n_10460),
+	.Y(n_10633), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g634015 (
+	.A1_N(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[48]),
+	.A2_N(n_10467),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[48]),
+	.B2(n_10466),
+	.Y(n_10632), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g634017 (
+	.A1_N(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[55]),
+	.A2_N(n_10462),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[55]),
+	.B2(n_10460),
+	.Y(n_10630), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g634018 (
+	.A1_N(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[116]),
+	.A2_N(n_10447),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[116]),
+	.B2(n_10425),
+	.Y(n_10629), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g634019 (
+	.A1_N(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[37]),
+	.A2_N(n_10451),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[37]),
+	.B2(n_10464),
+	.Y(n_10628), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 g634020 (
+	.A0(n_10463),
+	.A1(n_10439),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[99]),
+	.Y(n_10627), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111a_1 g634021 (
+	.A1(n_10391),
+	.A2(n_35120),
+	.B1(n_10404),
+	.C1(n_10369),
+	.D1(n_10368),
+	.X(n_10626), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g634022 (
+	.A1_N(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[77]),
+	.A2_N(n_10445),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[77]),
+	.B2(n_10442),
+	.Y(n_10625), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g634023 (
+	.A1_N(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[78]),
+	.A2_N(n_10445),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[78]),
+	.B2(n_10442),
+	.Y(n_10624), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g634024 (
+	.A1_N(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[46]),
+	.A2_N(n_10459),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[46]),
+	.B2(n_10417),
+	.Y(n_10623), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g634026 (
+	.A1_N(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[117]),
+	.A2_N(n_10447),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[117]),
+	.B2(n_10425),
+	.Y(n_10621), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g634027 (
+	.A1_N(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[49]),
+	.A2_N(n_10467),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[49]),
+	.B2(n_10466),
+	.Y(n_10620), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g634028 (
+	.A1_N(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[45]),
+	.A2_N(n_10459),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[45]),
+	.B2(n_10417),
+	.Y(n_10619), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g634029 (
+	.A1_N(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[53]),
+	.A2_N(n_10462),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[53]),
+	.B2(n_10460),
+	.Y(n_10618), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g634030 (
+	.A1_N(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[111]),
+	.A2_N(n_10482),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[111]),
+	.B2(n_10450),
+	.Y(n_10617), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g634031 (
+	.A1_N(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[102]),
+	.A2_N(n_10435),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[102]),
+	.B2(n_10455),
+	.Y(n_10616), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g634032 (
+	.A1_N(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[35]),
+	.A2_N(n_10438),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[35]),
+	.B2(n_10444),
+	.Y(n_10615), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g634033 (
+	.A1_N(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[34]),
+	.A2_N(n_10438),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[34]),
+	.B2(n_10444),
+	.Y(n_10614), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g634034 (
+	.A1_N(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[38]),
+	.A2_N(n_10451),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[38]),
+	.B2(n_10464),
+	.Y(n_10613), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g634035 (
+	.A1_N(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[47]),
+	.A2_N(n_10459),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[47]),
+	.B2(n_10417),
+	.Y(n_10612), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g634036 (
+	.A1_N(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[33]),
+	.A2_N(n_10438),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[33]),
+	.B2(n_10444),
+	.Y(n_10611), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g634037 (
+	.A1_N(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[54]),
+	.A2_N(n_10462),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[54]),
+	.B2(n_10460),
+	.Y(n_10610), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g634038 (
+	.A1_N(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[50]),
+	.A2_N(n_10467),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[50]),
+	.B2(n_10466),
+	.Y(n_10609), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g634041 (
+	.A1_N(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[110]),
+	.A2_N(n_10482),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[110]),
+	.B2(n_10450),
+	.Y(n_10606), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 g634042 (
+	.A0(n_10467),
+	.A1(n_10465),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[51]),
+	.Y(n_10605), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g634043 (
+	.A1_N(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[79]),
+	.A2_N(n_10445),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[79]),
+	.B2(n_10442),
+	.Y(n_10604), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g634044 (
+	.A1_N(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[118]),
+	.A2_N(n_10447),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[118]),
+	.B2(n_10425),
+	.Y(n_10603), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g634045 (
+	.A1_N(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[109]),
+	.A2_N(n_10482),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[109]),
+	.B2(n_10450),
+	.Y(n_10602), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g634046 (
+	.A1_N(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[97]),
+	.A2_N(n_10463),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[97]),
+	.B2(n_10440),
+	.Y(n_10601), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g634049 (
+	.A1_N(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[62]),
+	.A2_N(n_10424),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[62]),
+	.B2(n_10423),
+	.Y(n_10598), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g634051 (
+	.A1_N(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[69]),
+	.A2_N(n_10431),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[69]),
+	.B2(n_10448),
+	.Y(n_10596), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 g634052 (
+	.A0(n_10454),
+	.A1(n_10472),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[6]),
+	.Y(n_10595), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g634053 (
+	.A(brqrv_top_brqrv_exu_i0_pc_x[15]),
+	.B(n_10412),
+	.Y(n_10594), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g634057 (
+	.A(n_10539),
+	.Y(n_10538), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g634058 (
+	.A1(n_349942_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[202]),
+	.B1(n_349939_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[74]),
+	.X(n_10532), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634060 (
+	.A1(n_349941_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[167]),
+	.B1(n_349939_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[71]),
+	.Y(n_10531), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634061 (
+	.A1(n_349940_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[135]),
+	.B1(n_349942_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[199]),
+	.Y(n_10530), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g634062 (
+	.A1(n_349942_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[205]),
+	.B1(n_349939_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[77]),
+	.X(n_10529), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634063 (
+	.A1(n_349942_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[200]),
+	.B1(n_349939_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[72]),
+	.Y(n_10528), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634064 (
+	.A1(n_349940_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[136]),
+	.B1(n_349941_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[168]),
+	.Y(n_10527), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g634065 (
+	.A1(n_349942_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[201]),
+	.B1(n_349939_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[73]),
+	.X(n_10526), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g634066 (
+	.A1_N(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[103]),
+	.A2_N(n_10435),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[103]),
+	.B2(n_10455),
+	.Y(n_10586), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 g634067 (
+	.A0(n_10443),
+	.A1(n_10429),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[16]),
+	.Y(n_10585), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g634068 (
+	.A1_N(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[20]),
+	.A2_N(n_10428),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[20]),
+	.B2(n_10427),
+	.Y(n_10584), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g634070 (
+	.A1_N(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[23]),
+	.A2_N(n_10428),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[23]),
+	.B2(n_10427),
+	.Y(n_10582), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g634071 (
+	.A1_N(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[21]),
+	.A2_N(n_10428),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[21]),
+	.B2(n_10427),
+	.Y(n_10581), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g634072 (
+	.A1_N(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[44]),
+	.A2_N(n_10459),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[44]),
+	.B2(n_10417),
+	.Y(n_10580), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g634073 (
+	.A1_N(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[101]),
+	.A2_N(n_10435),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[101]),
+	.B2(n_10455),
+	.Y(n_10579), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g634074 (
+	.A1_N(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[29]),
+	.A2_N(n_10416),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[29]),
+	.B2(n_10415),
+	.Y(n_10578), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g634076 (
+	.A1_N(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[120]),
+	.A2_N(n_10432),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[120]),
+	.B2(n_10453),
+	.Y(n_10576), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 g634077 (
+	.A0(n_10416),
+	.A1(n_10414),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[28]),
+	.Y(n_10575), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_2 g634078 (
+	.A0(n_10419),
+	.A1(n_10418),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[80]),
+	.X(n_10574), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g634079 (
+	.A1_N(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[12]),
+	.A2_N(n_10481),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[12]),
+	.B2(n_10421),
+	.Y(n_10573), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g634080 (
+	.A1_N(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[8]),
+	.A2_N(n_10473),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[8]),
+	.B2(n_10478),
+	.Y(n_10572), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g634082 (
+	.A1_N(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[84]),
+	.A2_N(n_10476),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[84]),
+	.B2(n_10480),
+	.Y(n_10570), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g634083 (
+	.A1_N(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[100]),
+	.A2_N(n_10435),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[100]),
+	.B2(n_10455),
+	.Y(n_10569), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g634084 (
+	.A1_N(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[124]),
+	.A2_N(n_10437),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[124]),
+	.B2(n_10434),
+	.Y(n_10568), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g634085 (
+	.A1_N(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[15]),
+	.A2_N(n_10481),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[15]),
+	.B2(n_10421),
+	.Y(n_10567), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g634086 (
+	.A1_N(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[96]),
+	.A2_N(n_10463),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[96]),
+	.B2(n_10440),
+	.Y(n_10566), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g634088 (
+	.A1_N(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[36]),
+	.A2_N(n_10451),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[36]),
+	.B2(n_10464),
+	.Y(n_10564), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g634089 (
+	.A1_N(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[121]),
+	.A2_N(n_10432),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[121]),
+	.B2(n_10453),
+	.Y(n_10563), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g634090 (
+	.A1_N(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[85]),
+	.A2_N(n_10476),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[85]),
+	.B2(n_10480),
+	.Y(n_10562), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g634091 (
+	.A1_N(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[31]),
+	.A2_N(n_10416),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[31]),
+	.B2(n_10415),
+	.Y(n_10561), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g634093 (
+	.A1_N(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[86]),
+	.A2_N(n_10476),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[86]),
+	.B2(n_10480),
+	.Y(n_10559), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g634095 (
+	.A1_N(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[30]),
+	.A2_N(n_10416),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[30]),
+	.B2(n_10415),
+	.Y(n_10557), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g634096 (
+	.A1_N(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[125]),
+	.A2_N(n_10437),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[125]),
+	.B2(n_10434),
+	.Y(n_10556), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 g634098 (
+	.A0(n_10473),
+	.A1(n_10479),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[9]),
+	.Y(n_10554), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 g634100 (
+	.A0(n_10454),
+	.A1(n_10472),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[5]),
+	.X(n_10553), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g634101 (
+	.A1_N(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[14]),
+	.A2_N(n_10481),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[14]),
+	.B2(n_10421),
+	.Y(n_10552), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g634102 (
+	.A1_N(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[19]),
+	.A2_N(n_10443),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[19]),
+	.B2(n_10430),
+	.Y(n_10551), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g634103 (
+	.A1_N(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[71]),
+	.A2_N(n_10431),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[71]),
+	.B2(n_10448),
+	.Y(n_10550), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g634104 (
+	.A1_N(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[119]),
+	.A2_N(n_10447),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[119]),
+	.B2(n_10425),
+	.Y(n_10549), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g634105 (
+	.A1_N(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[10]),
+	.A2_N(n_10473),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[10]),
+	.B2(n_10478),
+	.Y(n_10548), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g634106 (
+	.A1_N(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[18]),
+	.A2_N(n_10443),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[18]),
+	.B2(n_10430),
+	.Y(n_10547), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g634107 (
+	.A1_N(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[126]),
+	.A2_N(n_10437),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[126]),
+	.B2(n_10434),
+	.Y(n_10546), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g634108 (
+	.A1_N(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[22]),
+	.A2_N(n_10428),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[22]),
+	.B2(n_10427),
+	.Y(n_10545), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g634109 (
+	.A1_N(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[87]),
+	.A2_N(n_10476),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[87]),
+	.B2(n_10480),
+	.Y(n_10544), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g634110 (
+	.A1_N(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[17]),
+	.A2_N(n_10443),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[17]),
+	.B2(n_10430),
+	.Y(n_10543), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 g634111 (
+	.A0(n_10473),
+	.A1(n_10479),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[11]),
+	.Y(n_10542), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g634112 (
+	.A1_N(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[122]),
+	.A2_N(n_10432),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[122]),
+	.B2(n_10453),
+	.Y(n_10541), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 g634114 (
+	.A0(n_10437),
+	.A1(n_10433),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[127]),
+	.Y(n_10539), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g634115 (
+	.A1_N(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[70]),
+	.A2_N(n_10431),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[70]),
+	.B2(n_10448),
+	.Y(n_10537), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 g634116 (
+	.A0(n_10454),
+	.A1(n_10472),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[4]),
+	.X(n_10536), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g634117 (
+	.A1_N(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[63]),
+	.A2_N(n_10424),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[63]),
+	.B2(n_10423),
+	.Y(n_10535), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g634118 (
+	.A1_N(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[98]),
+	.A2_N(n_10463),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[98]),
+	.B2(n_10440),
+	.Y(n_10534), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 g634119 (
+	.A0(n_10454),
+	.A1(n_10472),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[7]),
+	.Y(n_10533), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634120 (
+	.A(n_349929_BAR),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[253]),
+	.Y(n_10525), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g634121 (
+	.A_N(n_10470),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[59]),
+	.Y(n_10524), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634126 (
+	.A(n_349929_BAR),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[238]),
+	.Y(n_10519), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g634128 (
+	.A_N(n_10456),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[75]),
+	.Y(n_10517), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634129 (
+	.A(n_349929_BAR),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[242]),
+	.Y(n_10516), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g634130 (
+	.A_N(n_10457),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[43]),
+	.Y(n_10515), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g634136 (
+	.A_N(n_10471),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[115]),
+	.Y(n_10509), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g634137 (
+	.A_N(n_10446),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[107]),
+	.Y(n_10508), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634138 (
+	.A(n_349929_BAR),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[254]),
+	.Y(n_10507), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634140 (
+	.A(n_349929_BAR),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[255]),
+	.Y(n_10505), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634141 (
+	.A(n_349929_BAR),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[225]),
+	.Y(n_10504), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634142 (
+	.A(n_349929_BAR),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[233]),
+	.Y(n_10503), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634143 (
+	.A(n_349929_BAR),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[246]),
+	.Y(n_10502), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634145 (
+	.A(n_349929_BAR),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[249]),
+	.Y(n_10500), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634146 (
+	.A(n_349929_BAR),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[228]),
+	.Y(n_10499), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634148 (
+	.A(n_349929_BAR),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[231]),
+	.Y(n_10497), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g634149 (
+	.A(n_34797),
+	.B(n_10474),
+	.Y(n_349903_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g634150 (
+	.A(n_34798),
+	.B(n_10474),
+	.Y(n_349914_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g634151 (
+	.A(n_34798),
+	.B(n_10475),
+	.Y(n_349935_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g634152 (
+	.A(n_34797),
+	.B(n_10475),
+	.Y(n_349936_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g634153 (
+	.A_N(n_10418),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[83]),
+	.Y(n_10496), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634156 (
+	.A(n_349929_BAR),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[232]),
+	.Y(n_10493), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g634158 (
+	.A_N(n_10413),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[27]),
+	.Y(n_10491), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634161 (
+	.A(n_349929_BAR),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[224]),
+	.Y(n_10488), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g634164 (
+	.A_N(n_10469),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[67]),
+	.Y(n_10485), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g634167 (
+	.A(n_10371),
+	.B(n_10408),
+	.X(n_349931_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g634168 (
+	.A(n_10370),
+	.B(n_10408),
+	.X(n_349906_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g634169 (
+	.A(n_42917),
+	.B(n_10474),
+	.Y(n_349920_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g634170 (
+	.A(n_42917),
+	.B(n_10475),
+	.Y(n_349912_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g634171 (
+	.A(n_34798),
+	.B(n_34782),
+	.Y(n_349925_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g634172 (
+	.A(n_34798),
+	.B(n_34783),
+	.Y(n_349918_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2b_1 g634173 (
+	.A_N(n_34798),
+	.B(n_10371),
+	.X(n_349932_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g634174 (
+	.A(n_34798),
+	.B(n_10477),
+	.Y(n_349908_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g634175 (
+	.A(n_34797),
+	.B(n_34782),
+	.Y(n_349924_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2b_1 g634176 (
+	.A_N(n_34797),
+	.B(n_10371),
+	.X(n_349933_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g634177 (
+	.A(n_34797),
+	.B(n_34783),
+	.Y(n_349919_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g634178 (
+	.A(n_34797),
+	.B(n_10477),
+	.Y(n_349909_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g634179 (
+	.A(n_42917),
+	.B(n_34783),
+	.Y(n_349921_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2b_1 g634180 (
+	.A_N(n_10475),
+	.B(n_10408),
+	.X(n_349934_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g634181 (
+	.A(n_10407),
+	.B(n_10474),
+	.Y(n_349913_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g634183 (
+	.A(n_42917),
+	.B(n_10477),
+	.Y(n_349915_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g634184 (
+	.A(n_42917),
+	.B(n_34782),
+	.Y(n_349943_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g634185 (
+	.A(n_10407),
+	.B(n_34782),
+	.Y(n_349923_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g634186 (
+	.A(n_10407),
+	.B(n_34783),
+	.Y(n_349926_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g634188 (
+	.A(n_10479),
+	.Y(n_10478), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g634189 (
+	.A(n_10370),
+	.Y(n_10477), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g634190 (
+	.A(n_10465),
+	.Y(n_10466), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g634191 (
+	.A(n_10452),
+	.Y(n_10453), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g634192 (
+	.A(n_10441),
+	.Y(n_10442), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g634193 (
+	.A(n_10439),
+	.Y(n_10440), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g634194 (
+	.A(n_10433),
+	.Y(n_10434), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g634196 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[27]),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_config_reg),
+	.C(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[27]),
+	.Y(n_10482), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g634197 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_config_reg),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[3]),
+	.C(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[3]),
+	.Y(n_10481), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g634198 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[21]),
+	.B(n_10392),
+	.C(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[21]),
+	.X(n_10480), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g634199 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[2]),
+	.B(n_10392),
+	.C(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[2]),
+	.Y(n_10479), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g634201 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[21]),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_config_reg),
+	.C(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[21]),
+	.Y(n_10476), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634203 (
+	.A(n_10410),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[22]),
+	.Y(n_10475), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634204 (
+	.A(n_10409),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[22]),
+	.Y(n_10474), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g634205 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_config_reg),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[2]),
+	.C(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[2]),
+	.Y(n_10473), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g634206 (
+	.A(n_10392),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[1]),
+	.C(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[1]),
+	.Y(n_10472), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g634207 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[28]),
+	.B(n_10392),
+	.C(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[28]),
+	.X(n_10471), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g634208 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[14]),
+	.B(n_10392),
+	.C(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[14]),
+	.X(n_10470), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g634209 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[16]),
+	.B(n_10392),
+	.C(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[16]),
+	.X(n_10469), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g634210 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[28]),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_config_reg),
+	.C(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[28]),
+	.X(n_10468), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g634211 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_config_reg),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[12]),
+	.C(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[12]),
+	.Y(n_10467), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g634212 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[12]),
+	.B(n_10392),
+	.C(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[12]),
+	.Y(n_10465), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g634213 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[9]),
+	.B(n_10392),
+	.C(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[9]),
+	.X(n_10464), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g634214 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_config_reg),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[24]),
+	.C(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[24]),
+	.Y(n_10463), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g634215 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[13]),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_config_reg),
+	.C(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[13]),
+	.Y(n_10462), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g634216 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[18]),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_config_reg),
+	.C(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[18]),
+	.X(n_10461), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g634217 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[13]),
+	.B(n_10392),
+	.C(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[13]),
+	.X(n_10460), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g634218 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[11]),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_config_reg),
+	.C(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[11]),
+	.Y(n_10459), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g634219 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[10]),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_config_reg),
+	.C(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[10]),
+	.X(n_10458), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g634220 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[10]),
+	.B(n_10392),
+	.C(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[10]),
+	.X(n_10457), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g634221 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[18]),
+	.B(n_10392),
+	.C(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[18]),
+	.X(n_10456), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g634222 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[25]),
+	.B(n_10392),
+	.C(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[25]),
+	.X(n_10455), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g634223 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_config_reg),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[1]),
+	.C(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[1]),
+	.Y(n_10454), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g634224 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[30]),
+	.B(n_10392),
+	.C(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[30]),
+	.Y(n_10452), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g634225 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[9]),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_config_reg),
+	.C(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[9]),
+	.Y(n_10451), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g634226 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[27]),
+	.B(n_10392),
+	.C(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[27]),
+	.X(n_10450), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g634227 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[26]),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_config_reg),
+	.C(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[26]),
+	.X(n_10449), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g634228 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[17]),
+	.B(n_10392),
+	.C(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[17]),
+	.X(n_10448), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g634229 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_config_reg),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[29]),
+	.C(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[29]),
+	.Y(n_10447), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g634230 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[26]),
+	.B(n_10392),
+	.C(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[26]),
+	.X(n_10446), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g634231 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[19]),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_config_reg),
+	.C(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[19]),
+	.Y(n_10445), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g634232 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[8]),
+	.B(n_10392),
+	.C(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[8]),
+	.X(n_10444), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g634233 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_config_reg),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[4]),
+	.C(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[4]),
+	.Y(n_10443), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g634234 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[19]),
+	.B(n_10392),
+	.C(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[19]),
+	.Y(n_10441), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g634235 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[24]),
+	.B(n_10392),
+	.C(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[24]),
+	.Y(n_10439), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g634236 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[8]),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_config_reg),
+	.C(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[8]),
+	.Y(n_10438), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g634237 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_config_reg),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[31]),
+	.C(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[31]),
+	.Y(n_10437), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g634238 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[6]),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_config_reg),
+	.C(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[6]),
+	.X(n_10436), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g634239 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_config_reg),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[25]),
+	.C(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[25]),
+	.Y(n_10435), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g634240 (
+	.A(n_10392),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[31]),
+	.C(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[31]),
+	.Y(n_10433), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g634241 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_config_reg),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[30]),
+	.C(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[30]),
+	.Y(n_10432), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g634242 (
+	.A_N(n_10411),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[22]),
+	.Y(n_34782), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g634243 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[22]),
+	.B(n_10411),
+	.X(n_34783), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g634244 (
+	.A(n_34797),
+	.B(n_10403),
+	.Y(n_349942_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3b_1 g634245 (
+	.A_N(n_34815),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[20]),
+	.C(n_8173),
+	.X(n_259993_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g634246 (
+	.A(n_34798),
+	.B(n_10403),
+	.Y(n_349941_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g634247 (
+	.A(n_10367),
+	.B(n_10408),
+	.X(n_349940_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g634248 (
+	.A(n_42917),
+	.B(n_34815),
+	.Y(n_349938_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g634249 (
+	.A(n_42917),
+	.B(n_10403),
+	.Y(n_349929_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g634250 (
+	.A(n_10429),
+	.Y(n_10430), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g634251 (
+	.A(n_10420),
+	.Y(n_10421), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g634252 (
+	.A(n_10414),
+	.Y(n_10415), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634253 (
+	.A1(n_8253),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[448]),
+	.B1(n_42959),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[352]),
+	.Y(n_406257_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634254 (
+	.A1(n_8260),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[989]),
+	.B1(n_42956),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[765]),
+	.Y(n_406775_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634255 (
+	.A1(n_42957),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[993]),
+	.B1(n_8160),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[97]),
+	.Y(n_405612_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634256 (
+	.A1(n_42957),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[1006]),
+	.B1(n_42953),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[78]),
+	.Y(n_406842_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634257 (
+	.A1(n_42958),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[704]),
+	.B1(n_8151),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[608]),
+	.Y(n_271458_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634258 (
+	.A1(n_8260),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[961]),
+	.B1(n_42961),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[865]),
+	.Y(n_405866_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634259 (
+	.A1(n_8253),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[470]),
+	.B1(n_8262),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[342]),
+	.Y(n_273936_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g634260 (
+	.A1(n_42958),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[718]),
+	.B1(n_42954),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[494]),
+	.X(n_35217), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634261 (
+	.A1(n_42958),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[726]),
+	.B1(n_8151),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[630]),
+	.Y(n_272508_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g634262 (
+	.A1(n_8260),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[982]),
+	.B1(n_42961),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[886]),
+	.X(n_33944), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634263 (
+	.A1(n_8253),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[462]),
+	.B1(n_8262),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[334]),
+	.Y(n_406364_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634264 (
+	.A1(n_42961),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[871]),
+	.B1(n_42956),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[743]),
+	.Y(n_405787_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634265 (
+	.A1(n_8260),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[973]),
+	.B1(n_42955),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[845]),
+	.Y(n_405968_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634266 (
+	.A1(n_42958),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[717]),
+	.B1(n_42954),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[493]),
+	.Y(n_406824_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634267 (
+	.A1(n_42957),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[999]),
+	.B1(n_42953),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[71]),
+	.Y(n_275490_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634268 (
+	.A1(n_42957),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[1005]),
+	.B1(n_8160),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[109]),
+	.Y(n_405658_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634269 (
+	.A1(n_42957),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[996]),
+	.B1(n_42953),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[68]),
+	.Y(n_405587_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634270 (
+	.A1(n_8260),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[964]),
+	.B1(n_42955),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[836]),
+	.Y(n_275193_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634271 (
+	.A1(n_8253),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[449]),
+	.B1(n_42959),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[353]),
+	.Y(n_406243_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g634272 (
+	.A1(brqrv_top_brqrv_exu_i0_pc_x[1]),
+	.A2(brqrv_top_brqrv_dec_decode_last_br_immed_x[1]),
+	.B1(n_34435),
+	.X(brqrv_top_brqrv_pred_correct_npc_x[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634273 (
+	.A1(n_42957),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[992]),
+	.B1(n_8160),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[96]),
+	.Y(n_406724_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634274 (
+	.A1(n_42957),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[1014]),
+	.B1(n_8160),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[118]),
+	.Y(n_406964_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634275 (
+	.A1(n_42958),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[708]),
+	.B1(n_42954),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[484]),
+	.Y(n_405823_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634276 (
+	.A1(n_8260),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[974]),
+	.B1(n_42956),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[750]),
+	.Y(n_271920_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g634277 (
+	.A1(n_42958),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[735]),
+	.B1(n_8151),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[639]),
+	.X(n_33951), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634278 (
+	.A1(n_42957),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[1023]),
+	.B1(n_8160),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[127]),
+	.Y(n_406810_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634279 (
+	.A1(n_42961),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[895]),
+	.B1(n_42956),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[767]),
+	.Y(n_271794_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634280 (
+	.A1(n_42960),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[585]),
+	.B1(n_42954),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[489]),
+	.Y(n_405714_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634281 (
+	.A1(n_42955),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[841]),
+	.B1(n_42956),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[745]),
+	.Y(n_405725_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634282 (
+	.A1(n_42957),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[1001]),
+	.B1(n_42953),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[73]),
+	.Y(n_405553_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634283 (
+	.A1(n_42960),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[583]),
+	.B1(n_42954),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[487]),
+	.Y(n_271080_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634284 (
+	.A1(n_42958),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[733]),
+	.B1(n_42954),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[509]),
+	.Y(n_405930_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634285 (
+	.A1(n_42957),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[1021]),
+	.B1(n_42953),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[93]),
+	.Y(n_270573_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634286 (
+	.A1(n_8260),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[960]),
+	.B1(n_42961),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[864]),
+	.Y(n_405886_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634287 (
+	.A1(n_42958),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[714]),
+	.B1(n_42954),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[490]),
+	.Y(n_270828_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634288 (
+	.A1(n_8260),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[970]),
+	.B1(n_42956),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[746]),
+	.Y(n_275583_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634289 (
+	.A1(n_42957),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[1002]),
+	.B1(n_42953),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[74]),
+	.Y(n_405541_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g634290 (
+	.A1(n_42958),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[705]),
+	.B1(n_8151),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[609]),
+	.X(n_35131), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g634291 (
+	.A(brqrv_top_brqrv_exu_i0_pc_x[13]),
+	.B(brqrv_top_brqrv_exu_i0_pc_x[14]),
+	.X(n_356421_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g634292 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[17]),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_config_reg),
+	.C(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[17]),
+	.Y(n_10431), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g634293 (
+	.A(n_10392),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[4]),
+	.C(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[4]),
+	.Y(n_10429), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g634294 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_config_reg),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[5]),
+	.C(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[5]),
+	.Y(n_10428), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g634295 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[5]),
+	.B(n_10392),
+	.C(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[5]),
+	.X(n_10427), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g634296 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[16]),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_config_reg),
+	.C(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[16]),
+	.X(n_10426), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g634297 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[29]),
+	.B(n_10392),
+	.C(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[29]),
+	.X(n_10425), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g634298 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[15]),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_config_reg),
+	.C(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[15]),
+	.Y(n_10424), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g634299 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[15]),
+	.B(n_10392),
+	.C(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[15]),
+	.X(n_10423), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g634300 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[14]),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_config_reg),
+	.C(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[14]),
+	.X(n_10422), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g634301 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[3]),
+	.B(n_10392),
+	.C(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[3]),
+	.Y(n_10420), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g634302 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[20]),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_config_reg),
+	.C(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[20]),
+	.X(n_10419), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g634303 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[20]),
+	.B(n_10392),
+	.C(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[20]),
+	.X(n_10418), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g634304 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[11]),
+	.B(n_10392),
+	.C(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[11]),
+	.X(n_10417), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g634305 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[7]),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_config_reg),
+	.C(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[7]),
+	.Y(n_10416), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g634306 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[7]),
+	.B(n_10392),
+	.C(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[7]),
+	.Y(n_10414), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g634307 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[6]),
+	.B(n_10392),
+	.C(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[6]),
+	.X(n_10413), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g634310 (
+	.A(n_10408),
+	.Y(n_10407), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634312 (
+	.A(n_42959),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[356]),
+	.Y(n_33943), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634313 (
+	.A(n_8160),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[125]),
+	.Y(n_35296), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634314 (
+	.A(n_42954),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[502]),
+	.Y(n_35183), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634315 (
+	.A(n_42955),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[832]),
+	.Y(n_33957), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634316 (
+	.A(n_8262),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[349]),
+	.Y(n_33935), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g634317 (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[1]),
+	.B(n_33849),
+	.Y(n_10405), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634318 (
+	.A(n_42961),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[877]),
+	.Y(n_33949), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634319 (
+	.A(n_42955),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[833]),
+	.Y(n_33959), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634320 (
+	.A(n_33849),
+	.B(n_35471),
+	.Y(n_10404), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634321 (
+	.A(n_42954),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[481]),
+	.Y(n_33924), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634322 (
+	.A(n_42960),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[590]),
+	.Y(n_35219), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634323 (
+	.A(n_42959),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[366]),
+	.Y(n_33929), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634324 (
+	.A(n_42955),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[839]),
+	.Y(n_33962), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634325 (
+	.A(n_42954),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[511]),
+	.Y(n_33952), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634327 (
+	.A(n_8160),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[106]),
+	.Y(n_33972), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634328 (
+	.A(n_42956),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[758]),
+	.Y(n_33945), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634331 (
+	.A(n_8160),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[100]),
+	.Y(n_33970), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634332 (
+	.A(n_8262),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[321]),
+	.Y(n_33942), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634333 (
+	.A(n_8160),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[110]),
+	.Y(n_33916), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634334 (
+	.A(n_8262),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[333]),
+	.Y(n_33930), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634335 (
+	.A(n_42960),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[607]),
+	.Y(n_33953), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634336 (
+	.A(n_42956),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[740]),
+	.Y(n_33925), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634337 (
+	.A(n_8151),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[618]),
+	.Y(n_33967), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634338 (
+	.A(n_42960),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[586]),
+	.Y(n_35286), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634339 (
+	.A(n_8260),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[967]),
+	.Y(n_33961), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634340 (
+	.A(n_42953),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[77]),
+	.Y(n_33968), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634341 (
+	.A(n_42961),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[893]),
+	.Y(n_33921), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634342 (
+	.A(n_8253),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[479]),
+	.Y(n_33934), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g634343 (
+	.A(n_43024),
+	.B_N(brqrv_top_brqrv_dec_arf_gpr_out[477]),
+	.Y(n_406301_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634344 (
+	.A(n_42953),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[95]),
+	.Y(n_33919), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634345 (
+	.A(n_8160),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[105]),
+	.Y(n_33971), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634346 (
+	.A(n_8260),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[969]),
+	.Y(n_33964), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634348 (
+	.A(n_42953),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[64]),
+	.Y(n_33922), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634349 (
+	.A(n_42955),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[861]),
+	.Y(n_33920), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634350 (
+	.A(n_42953),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[65]),
+	.Y(n_33969), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634351 (
+	.A(n_42958),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[711]),
+	.Y(n_35273), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634352 (
+	.A(n_42955),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[863]),
+	.Y(n_33950), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634354 (
+	.A(n_42959),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[383]),
+	.Y(n_33933), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g634355 (
+	.A(brqrv_top_brqrv_exu_i0_pc_x[14]),
+	.B(brqrv_top_brqrv_exu_i0_pc_x[13]),
+	.X(n_10412), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634356 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[23]),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[24]),
+	.Y(n_10411), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g634357 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[24]),
+	.B_N(brqrv_top_brqrv_dec_dec_i0_instr_d[23]),
+	.Y(n_10410), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g634358 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[23]),
+	.B_N(brqrv_top_brqrv_dec_dec_i0_instr_d[24]),
+	.Y(n_10409), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g634359 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[20]),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[21]),
+	.Y(n_10408), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g634361 (
+	.A(n_34797),
+	.B(n_34815),
+	.Y(n_349939_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g634362 (
+	.A(n_10367),
+	.Y(n_10403), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634363 (
+	.A(n_42960),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[589]),
+	.Y(n_33918), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634364 (
+	.A(n_42954),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[480]),
+	.Y(n_35270), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g634365 (
+	.A(n_43024),
+	.B_N(brqrv_top_brqrv_dec_arf_gpr_out[457]),
+	.Y(n_275029_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g634366 (
+	.A(brqrv_top_brqrv_dec_arf_n_3596),
+	.B_N(brqrv_top_brqrv_dec_arf_gpr_out[612]),
+	.Y(n_405819_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634367 (
+	.A(n_42961),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[873]),
+	.Y(n_35283), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634368 (
+	.A(n_42959),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[381]),
+	.Y(n_33936), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634369 (
+	.A(n_8262),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[324]),
+	.Y(n_35162), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g634370 (
+	.A(n_43024),
+	.B_N(brqrv_top_brqrv_dec_arf_gpr_out[458]),
+	.Y(n_406287_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634371 (
+	.A(n_42961),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[878]),
+	.Y(n_33947), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634372 (
+	.A(n_42959),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[362]),
+	.Y(n_33937), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g634373 (
+	.A(brqrv_top_brqrv_dec_arf_n_5378),
+	.B_N(brqrv_top_brqrv_dec_arf_gpr_out[991]),
+	.Y(n_271790_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634374 (
+	.A(n_42956),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[749]),
+	.Y(n_33948), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g634375 (
+	.A(n_43024),
+	.B_N(brqrv_top_brqrv_dec_arf_gpr_out[452]),
+	.Y(n_273088_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634376 (
+	.A(n_42959),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[361]),
+	.Y(n_35147), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634377 (
+	.A(n_8262),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[320]),
+	.Y(n_33941), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g634378 (
+	.A(brqrv_top_brqrv_dec_arf_n_2138),
+	.B_N(brqrv_top_brqrv_dec_arf_gpr_out[327]),
+	.Y(n_406263_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634379 (
+	.A(n_42961),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[874]),
+	.Y(n_35129), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634380 (
+	.A(n_42960),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[576]),
+	.Y(n_35271), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634381 (
+	.A(n_42959),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[359]),
+	.Y(n_33940), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634384 (
+	.A(n_42953),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[86]),
+	.Y(n_33915), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634385 (
+	.A(n_8151),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[617]),
+	.Y(n_33966), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634386 (
+	.A(n_42959),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[365]),
+	.Y(n_33931), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634387 (
+	.A(n_42959),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[374]),
+	.Y(n_33928), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634389 (
+	.A(n_42960),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[577]),
+	.Y(n_33923), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634390 (
+	.A(n_42958),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[713]),
+	.Y(n_33965), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634391 (
+	.A(n_8160),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[103]),
+	.Y(n_35130), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634392 (
+	.A(n_8262),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[330]),
+	.Y(n_33938), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634393 (
+	.A(n_8151),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[637]),
+	.Y(n_33955), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g634394 (
+	.A(n_43024),
+	.B_N(brqrv_top_brqrv_dec_arf_gpr_out[461]),
+	.Y(n_406342_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634395 (
+	.A(n_8253),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[455]),
+	.Y(n_33939), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634396 (
+	.A(n_8151),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[621]),
+	.Y(n_33917), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634397 (
+	.A(n_8151),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[622]),
+	.Y(n_35218), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g634398 (
+	.A(n_33849),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[1]),
+	.X(n_10402), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634399 (
+	.A(n_42960),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[598]),
+	.Y(n_35184), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634400 (
+	.A(n_42955),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[842]),
+	.Y(n_35128), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634401 (
+	.A(n_42955),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[846]),
+	.Y(n_33946), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634402 (
+	.A(n_8262),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[329]),
+	.Y(n_33927), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634403 (
+	.A(n_8151),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[615]),
+	.Y(n_33963), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634404 (
+	.A(n_42955),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[854]),
+	.Y(n_35181), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634405 (
+	.A(n_8262),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[351]),
+	.Y(n_33932), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634406 (
+	.A(n_42960),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[605]),
+	.Y(n_33954), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634407 (
+	.A(n_42956),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[737]),
+	.Y(n_33958), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634408 (
+	.A(n_42960),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[580]),
+	.Y(n_33960), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634409 (
+	.A(n_42956),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[736]),
+	.Y(n_33956), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634410 (
+	.A(n_42961),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[868]),
+	.Y(n_33926), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634411 (
+	.A(brqrv_top_brqrv_dec_decode_last_br_immed_x[1]),
+	.B(brqrv_top_brqrv_exu_i0_pc_x[1]),
+	.Y(n_34435), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g634416 (
+	.A(brqrv_top_brqrv_dec_arf_n_3596),
+	.Y(n_8151), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g634417 (
+	.A(brqrv_top_brqrv_dec_arf_n_5378),
+	.Y(n_8260), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g634419 (
+	.A(brqrv_top_brqrv_dec_arf_n_2138),
+	.Y(n_8262), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g634420 (
+	.A(brqrv_top_brqrv_dec_arf_n_10558),
+	.Y(n_8160), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g634423 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_config_reg),
+	.Y(n_10392), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g634426 (
+	.A(n_35382),
+	.Y(n_10390), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g891293 (
+	.A1(n_11278),
+	.A2(n_11117),
+	.B1_N(n_11288),
+	.Y(n_10382), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g634434 (
+	.A1(n_11039),
+	.A2(n_10612),
+	.B1_N(n_11073),
+	.Y(n_10381), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g634435 (
+	.A1(n_11040),
+	.A2(n_10604),
+	.B1_N(n_11072),
+	.Y(n_10380), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g634436 (
+	.A1(n_11041),
+	.A2(n_10549),
+	.B1_N(n_11067),
+	.Y(n_10379), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g634437 (
+	.A1(n_11038),
+	.A2(n_10617),
+	.B1_N(n_11065),
+	.Y(n_10378), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g634438 (
+	.A1(n_11036),
+	.A2(n_10535),
+	.B1_N(n_11063),
+	.Y(n_10377), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g634439 (
+	.A(n_11088),
+	.B_N(n_10561),
+	.Y(n_10376), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g634440 (
+	.A(n_11064),
+	.B_N(n_10550),
+	.Y(n_10375), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g634441 (
+	.A(n_11066),
+	.B_N(n_10544),
+	.Y(n_10374), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_2 g634442 (
+	.A0(n_10481),
+	.A1(n_10420),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[13]),
+	.X(n_10373), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 g634443 (
+	.A0(n_10432),
+	.A1(n_10452),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[123]),
+	.X(n_10372), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g634444 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[22]),
+	.B_N(n_10410),
+	.Y(n_10371), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g634445 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[22]),
+	.B_N(n_10409),
+	.Y(n_10370), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2b_1 g634446 (
+	.A_N(n_42917),
+	.B(n_10371),
+	.X(n_349937_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g634447 (
+	.A_N(n_33849),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.sv2v_tmp_F09CF [5]),
+	.Y(n_10369), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g634448 (
+	.A_N(n_35127),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_f [4]),
+	.Y(n_10368), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g634449 (
+	.A(n_34919),
+	.B_N(brqrv_top_brqrv_dec_dec_i0_instr_d[22]),
+	.Y(n_10367), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g615070 (
+	.A1(n_10366),
+	.A2(n_10339),
+	.B1(n_10360),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable ),
+	.C1(n_10361),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift [0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g615071 (
+	.A1(n_34250),
+	.A2(n_10359),
+	.B1_N(n_10361),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift [2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g615072 (
+	.A1(n_10347),
+	.A2(n_10355),
+	.B1(n_10351),
+	.B2(n_10357),
+	.C1(n_10358),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift [3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g615073 (
+	.A1(n_10343),
+	.A2(n_10364),
+	.B1(n_34250),
+	.B2(n_10356),
+	.C1(n_10362),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift [1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g615074 (
+	.A(n_10363),
+	.B(n_10365),
+	.C(n_10361),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift [4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g615075 (
+	.A1(n_10321),
+	.A2(n_10357),
+	.B1(n_10364),
+	.Y(n_10366), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o32ai_1 g615076 (
+	.A1(n_10327),
+	.A2(n_10343),
+	.A3(n_10355),
+	.B1(n_10341),
+	.B2(n_10358),
+	.Y(n_10365), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g615077 (
+	.A(n_10363),
+	.Y(n_10364), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g615078 (
+	.A_N(n_10355),
+	.B(n_10344),
+	.Y(n_10362), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g615079 (
+	.A(n_10341),
+	.B(n_10357),
+	.Y(n_10363), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g615080 (
+	.A1(n_10328),
+	.A2(n_10345),
+	.B1(n_10356),
+	.Y(n_10360), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g615081 (
+	.A1(n_10346),
+	.A2(n_10292),
+	.B1(n_10354),
+	.B2(n_10341),
+	.C1(n_10353),
+	.Y(n_10359), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g615082 (
+	.A(n_10292),
+	.B(n_10344),
+	.C(n_10355),
+	.Y(n_10361), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g615083 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable ),
+	.B(n_10339),
+	.Y(n_10358), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g615084 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable ),
+	.B(n_10328),
+	.Y(n_10357), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a311oi_1 g615085 (
+	.A1(n_10338),
+	.A2(n_10341),
+	.A3(n_10330),
+	.B1(n_10350),
+	.C1(n_10353),
+	.Y(n_10356), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g615086 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable ),
+	.B(n_10338),
+	.Y(n_10355), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g615087 (
+	.A1(n_10327),
+	.A2(n_10339),
+	.B1(n_10349),
+	.Y(n_10354), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g615088 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable ),
+	.Y(n_34250), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g615089 (
+	.A1(n_10339),
+	.A2(n_10347),
+	.B1(n_10348),
+	.Y(n_10353), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g615090 (
+	.A1(n_10336),
+	.A2(n_10298),
+	.B1(n_10346),
+	.B2(n_10338),
+	.C1(n_10299),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g615091 (
+	.A_N(n_10344),
+	.B(n_10321),
+	.Y(n_10351), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g615092 (
+	.A(n_10338),
+	.B(n_10344),
+	.Y(n_10350), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g615093 (
+	.A1(n_10328),
+	.A2(n_10339),
+	.B1(n_10343),
+	.Y(n_10349), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g615094 (
+	.A(n_10343),
+	.B(n_10339),
+	.C(n_10328),
+	.D(n_10341),
+	.Y(n_10348), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g615095 (
+	.A(n_10342),
+	.B(n_10330),
+	.Y(n_10347), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g615096 (
+	.A(n_10345),
+	.Y(n_10346), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g615097 (
+	.A(n_10342),
+	.B(n_10341),
+	.Y(n_10345), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g615098 (
+	.A(n_10343),
+	.B(n_10340),
+	.Y(n_10344), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g615099 (
+	.A(n_10343),
+	.Y(n_10342), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g615100 (
+	.A(n_10337),
+	.B(n_10332),
+	.Y(n_10343), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g615101 (
+	.A(n_10340),
+	.Y(n_10341), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g615102 (
+	.A(n_10339),
+	.Y(n_10338), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g615103 (
+	.A(n_10337),
+	.B(n_10335),
+	.Y(n_10340), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g615104 (
+	.A(n_10337),
+	.B(n_10334),
+	.Y(n_10339), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g615105 (
+	.A(n_10298),
+	.B(n_10336),
+	.X(n_10337), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 g615106 (
+	.A(n_10325),
+	.B(n_10300),
+	.CIN(n_10333),
+	.COUT(n_10336),
+	.SUM(n_10335), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 g615107 (
+	.A(n_10323),
+	.B(n_10326),
+	.CIN(n_10331),
+	.COUT(n_10333),
+	.SUM(n_10334), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 g615108 (
+	.A(n_34251),
+	.B(n_10324),
+	.CIN(n_10329),
+	.COUT(n_10331),
+	.SUM(n_10332), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g615110 (
+	.A(n_10321),
+	.B(n_10328),
+	.Y(n_10330), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g615112 (
+	.A1(n_10318),
+	.A2(n_10319),
+	.B1(n_10320),
+	.Y(n_10329), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g615113 (
+	.A(n_10318),
+	.B(n_10322),
+	.Y(n_10328), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g615114 (
+	.A(n_10321),
+	.Y(n_10327), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 g615115 (
+	.A(n_35187),
+	.B(n_10317),
+	.COUT(n_10325),
+	.SUM(n_10326), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 g615116 (
+	.A(n_35186),
+	.B(n_10316),
+	.COUT(n_10323),
+	.SUM(n_10324), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g615117 (
+	.A_N(n_10319),
+	.B(n_10320),
+	.Y(n_10322), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g615118 (
+	.A1(n_35185),
+	.A2(n_10314),
+	.B1(n_10318),
+	.Y(n_10321), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g615119 (
+	.A(n_10315),
+	.B(n_10293),
+	.Y(n_10320), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g615120 (
+	.A(n_10293),
+	.B(n_10315),
+	.Y(n_10319), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g615121 (
+	.A(n_10314),
+	.B(n_35185),
+	.Y(n_10318), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g615122 (
+	.A(n_34105),
+	.B(n_10313),
+	.Y(n_10317), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g615123 (
+	.A(n_34106),
+	.B(n_10313),
+	.Y(n_10316), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g615124 (
+	.A1(n_10307),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_control_ff [2]),
+	.B1(n_368296_BAR),
+	.C1(n_10312),
+	.Y(n_10315), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g615125 (
+	.A1(n_43028),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_control_ff [2]),
+	.B1(n_10310),
+	.C1(n_10312),
+	.Y(n_10314), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g615126 (
+	.A(n_42101),
+	.B(n_34107),
+	.Y(n_10313), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g615127 (
+	.A1(n_10308),
+	.A2(n_34108),
+	.B1_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [0]),
+	.Y(n_10312), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a311oi_1 g615129 (
+	.A1(n_10295),
+	.A2(brqrv_top_brqrv_ifu_mem_ctl_reset_all_tags),
+	.A3(brqrv_top_brqrv_ifu_mem_ctl_miss_pending),
+	.B1(n_10305),
+	.C1(n_294487_BAR),
+	.Y(n_264431_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g615130 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_control_ff [2]),
+	.B(n_10306),
+	.Y(n_10310), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g615132 (
+	.A(n_10303),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_control_ff [2]),
+	.Y(n_10308), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g615133 (
+	.A_N(n_10303),
+	.B(n_378490_BAR),
+	.Y(n_10307), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g615134 (
+	.A(n_34115),
+	.B(n_10301),
+	.Y(n_10306), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4bb_1 g615135 (
+	.A_N(n_10299),
+	.B_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_control_ff [0]),
+	.C(n_34515),
+	.D(n_34179),
+	.Y(n_34336), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o31a_1 g615136 (
+	.A1(brqrv_top_brqrv_dec_decode_debug_valid_x),
+	.A2(brqrv_top_brqrv_dec_decode_x_d[22]),
+	.A3(brqrv_top_brqrv_dec_decode_x_d[1]),
+	.B1(brqrv_top_brqrv_dec_data_en[0]),
+	.X(brqrv_top_brqrv_dec_decode_n_964), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g615137 (
+	.A1(n_10299),
+	.A2(n_10297),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_finish_ff ),
+	.Y(n_372685_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g615138 (
+	.A1(brqrv_top_brqrv_dec_tlu_ic_diag_pkt[1]),
+	.A2(brqrv_top_brqrv_ifu_ic_debug_rd_data_valid),
+	.A3(brqrv_top_brqrv_ifu_mem_ctl_ic_debug_rd_en_ff),
+	.B1(n_10302),
+	.Y(n_10305), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g615139 (
+	.A(brqrv_top_brqrv_ifu_ic_debug_rd_data_valid),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_ic_debug_rd_en_ff),
+	.C(brqrv_top_brqrv_dec_tlu_ic_diag_pkt[1]),
+	.Y(n_10302), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g615140 (
+	.A1(n_34260),
+	.A2(n_34337),
+	.B1(n_35191),
+	.Y(n_10301), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g615141 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [1]),
+	.B(n_34258),
+	.C(n_34202),
+	.Y(n_10304), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g615142 (
+	.A(n_34257),
+	.B(n_34202),
+	.C_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [2]),
+	.Y(n_10303), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g615143 (
+	.A1(n_42962),
+	.A2(n_35189),
+	.B1(n_10298),
+	.Y(n_10300), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g615144 (
+	.A1(n_10296),
+	.A2(brqrv_top_brqrv_exu_ghr_x[2]),
+	.B1(brqrv_top_brqrv_exu_n_1728),
+	.B2(brqrv_top_brqrv_exu_ghr_x[1]),
+	.X(brqrv_top_brqrv_exu_ghr_x_ns[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g615145 (
+	.A1(n_10296),
+	.A2(brqrv_top_brqrv_exu_ghr_x[4]),
+	.B1(brqrv_top_brqrv_exu_n_1728),
+	.B2(brqrv_top_brqrv_exu_ghr_x[3]),
+	.X(brqrv_top_brqrv_exu_ghr_x_ns[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g615146 (
+	.A1(n_10296),
+	.A2(brqrv_top_brqrv_exu_ghr_x[1]),
+	.B1(brqrv_top_brqrv_exu_n_1728),
+	.B2(brqrv_top_brqrv_exu_ghr_x[0]),
+	.X(brqrv_top_brqrv_exu_ghr_x_ns[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g615147 (
+	.A1(n_10296),
+	.A2(brqrv_top_brqrv_exu_ghr_x[5]),
+	.B1(brqrv_top_brqrv_exu_n_1728),
+	.B2(brqrv_top_brqrv_exu_ghr_x[4]),
+	.X(brqrv_top_brqrv_exu_ghr_x_ns[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g615148 (
+	.A1(n_10296),
+	.A2(brqrv_top_brqrv_exu_ghr_x[3]),
+	.B1(brqrv_top_brqrv_exu_n_1728),
+	.B2(brqrv_top_brqrv_exu_ghr_x[2]),
+	.X(brqrv_top_brqrv_exu_ghr_x_ns[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g615149 (
+	.A1(n_10296),
+	.A2(brqrv_top_brqrv_exu_ghr_x[6]),
+	.B1(brqrv_top_brqrv_exu_n_1728),
+	.B2(brqrv_top_brqrv_exu_ghr_x[5]),
+	.X(brqrv_top_brqrv_exu_ghr_x_ns[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g615150 (
+	.A(brqrv_top_brqrv_lsu_lsu_pkt_d[0]),
+	.B(brqrv_top_brqrv_dec_lsu_valid_raw_d),
+	.Y(n_34925), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g615151 (
+	.A(n_34513),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_valid_ff ),
+	.Y(n_10299), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g615153 (
+	.A(n_34513),
+	.B(n_34632),
+	.Y(n_10297), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g615154 (
+	.A(n_35189),
+	.B(n_42962),
+	.Y(n_10298), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g615155 (
+	.A(brqrv_top_brqrv_exu_n_1728),
+	.Y(n_10296), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g615156 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_reset_ic_ff),
+	.Y(n_10295), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g615158 (
+	.A(n_34251),
+	.Y(n_10293), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g891294 (
+	.A(n_10327),
+	.B_N(n_10328),
+	.Y(n_10292), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679007 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_n_298),
+	.B(n_10291),
+	.Y(brqrv_top_brqrv_dec_tlu_event_r[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679008 (
+	.A(brqrv_top_brqrv_dec_tlu_n_3024),
+	.B(n_10291),
+	.Y(brqrv_top_brqrv_dec_tlu_event_r[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679009 (
+	.A(n_1805),
+	.B(n_10291),
+	.Y(brqrv_top_brqrv_dec_tlu_event_r[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679010 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_n_300),
+	.B(n_10291),
+	.Y(brqrv_top_brqrv_dec_tlu_event_r[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679011 (
+	.A(n_1802),
+	.B(n_10291),
+	.Y(brqrv_top_brqrv_dec_tlu_event_r[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679012 (
+	.A(n_1508),
+	.B(n_10291),
+	.Y(brqrv_top_brqrv_dec_tlu_event_r[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679013 (
+	.A(n_1790),
+	.B(n_10291),
+	.Y(brqrv_top_brqrv_dec_tlu_event_r[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g679014 (
+	.A(brqrv_top_brqrv_dec_dec_csr_wrdata_r[15]),
+	.B(brqrv_top_brqrv_dec_dec_csr_wrdata_r[23]),
+	.C(brqrv_top_brqrv_dec_dec_csr_wrdata_r[25]),
+	.D(n_10290),
+	.X(n_10291), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g679015 (
+	.A(brqrv_top_brqrv_dec_dec_csr_wrdata_r[11]),
+	.B(brqrv_top_brqrv_dec_dec_csr_wrdata_r[29]),
+	.C(brqrv_top_brqrv_dec_dec_csr_wrdata_r[31]),
+	.D(n_10277),
+	.X(n_10290), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679016 (
+	.A(n_10289),
+	.B(n_10255),
+	.Y(n_37363), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_2 g679017 (
+	.A1(n_9667),
+	.A2(brqrv_top_brqrv_exu_i0_rs2_d[0]),
+	.B1(n_10288),
+	.Y(n_37356), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g679018 (
+	.A1_N(n_9667),
+	.A2_N(n_35919),
+	.B1(n_9667),
+	.B2(n_35919),
+	.Y(n_37376), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679019 (
+	.A(n_10275),
+	.B(n_10203),
+	.Y(n_37360), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679020 (
+	.A(n_10268),
+	.B(n_43240),
+	.Y(n_37382), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g679021 (
+	.A(n_10245),
+	.B(n_10204),
+	.C(n_9826),
+	.Y(n_37361), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679022 (
+	.A(n_9852),
+	.B(n_10276),
+	.Y(n_10289), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g679023 (
+	.A1(n_9869),
+	.A2(n_10229),
+	.B1(n_10273),
+	.Y(n_37383), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679024 (
+	.A(n_10279),
+	.B(n_10278),
+	.Y(n_37378), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679026 (
+	.A(brqrv_top_brqrv_exu_i0_rs2_d[0]),
+	.B(n_9667),
+	.Y(n_10288), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679027 (
+	.A(n_10286),
+	.B(n_10287),
+	.Y(n_37368), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_2 g679028 (
+	.A1_N(n_9667),
+	.A2_N(n_35915),
+	.B1(n_9667),
+	.B2(n_35915),
+	.Y(n_37373), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g679029 (
+	.A1(n_9667),
+	.A2(n_10272),
+	.B1(n_10284),
+	.Y(n_37370), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679030 (
+	.A(n_10283),
+	.B(n_10282),
+	.Y(n_37369), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679031 (
+	.A(n_10281),
+	.B(n_8106),
+	.Y(n_37362), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_2 g679033 (
+	.A1(n_9667),
+	.A2(n_10250),
+	.B1(n_10280),
+	.Y(n_37372), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g679034 (
+	.A1_N(n_9667),
+	.A2_N(n_10251),
+	.B1(n_9667),
+	.B2(n_10251),
+	.Y(n_37371), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g679035 (
+	.A1_N(brqrv_top_brqrv_i0_ap[7]),
+	.A2_N(FE_DBTN17_n_35916),
+	.B1(brqrv_top_brqrv_i0_ap[7]),
+	.B2(FE_DBTN17_n_35916),
+	.Y(n_37374), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679036 (
+	.A(n_35908),
+	.B(n_9667),
+	.Y(n_10287), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679037 (
+	.A(n_1986),
+	.B(brqrv_top_brqrv_i0_ap[7]),
+	.Y(n_10286), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g679038 (
+	.A1(n_10059),
+	.A2(n_34223),
+	.B1(n_10259),
+	.Y(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_m_in[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g679039 (
+	.A_N(n_10169),
+	.B(n_10247),
+	.Y(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_m_in[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g679040 (
+	.A_N(n_10170),
+	.B(n_10234),
+	.Y(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_m_in[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g679041 (
+	.A_N(n_10165),
+	.B(n_10244),
+	.Y(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_m_in[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g679043 (
+	.A1(n_34223),
+	.A2(n_10050),
+	.B1(n_10240),
+	.Y(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_m_in[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679044 (
+	.A(n_10272),
+	.B(n_9667),
+	.Y(n_10284), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679045 (
+	.A(n_35909),
+	.B(n_9667),
+	.Y(n_10283), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_2 g679046 (
+	.A1(n_35535),
+	.A2(n_8126),
+	.B1(brqrv_top_brqrv_i0_ap[7]),
+	.C1(n_10201),
+	.Y(n_10282), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679047 (
+	.A(n_35897),
+	.B(n_9667),
+	.Y(n_10281), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g679049 (
+	.A1(n_10057),
+	.A2(n_34223),
+	.B1(n_10252),
+	.Y(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_m_in[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679050 (
+	.A(n_10250),
+	.B(n_9667),
+	.Y(n_10280), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g679051 (
+	.A1(n_10056),
+	.A2(n_34223),
+	.B1(n_10253),
+	.Y(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_m_in[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679052 (
+	.A(n_35921),
+	.B(n_9667),
+	.Y(n_10279), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211o_1 g679053 (
+	.A1(n_35977),
+	.A2(n_9840),
+	.B1(n_10138),
+	.C1(n_10193),
+	.X(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_m_in[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g679054 (
+	.A1(n_34223),
+	.A2(n_10129),
+	.B1(n_10254),
+	.Y(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_m_in[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g679055 (
+	.A1(n_34223),
+	.A2(n_10114),
+	.B1(n_10239),
+	.Y(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_m_in[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g679056 (
+	.A1(n_10083),
+	.A2(n_34223),
+	.B1(n_10238),
+	.Y(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_m_in[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g679057 (
+	.A1(n_10080),
+	.A2(n_34223),
+	.B1(n_10236),
+	.Y(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_m_in[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g679058 (
+	.A1(n_9259),
+	.A2(n_10216),
+	.B1(n_10235),
+	.Y(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_m_in[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211o_1 g679059 (
+	.A1(n_9840),
+	.A2(n_35966),
+	.B1(n_10166),
+	.C1(n_10184),
+	.X(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_m_in[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211o_1 g679060 (
+	.A1(n_9840),
+	.A2(n_35958),
+	.B1(n_10153),
+	.C1(n_10179),
+	.X(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_m_in[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679061 (
+	.A(n_9792),
+	.B(n_10267),
+	.Y(n_10278), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g679062 (
+	.A1(n_43962),
+	.A2(n_34223),
+	.B1(n_10231),
+	.Y(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_m_in[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g679063 (
+	.A(n_10207),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_n_318),
+	.C(brqrv_top_brqrv_dec_tlu_n_6062),
+	.Y(n_10277), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211o_1 g679064 (
+	.A1(n_10217),
+	.A2(n_35975),
+	.B1(n_10168),
+	.C1(n_10192),
+	.X(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_m_in[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g679065 (
+	.A1(n_41853),
+	.A2(n_10216),
+	.B1(n_10068),
+	.B2(n_34223),
+	.C1(n_10182),
+	.Y(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_m_in[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g679066 (
+	.A1(n_10100),
+	.A2(n_34223),
+	.B1(n_10242),
+	.Y(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_m_in[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g679068 (
+	.A1(n_8119),
+	.A2(n_34223),
+	.B1(n_10241),
+	.Y(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_m_in[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211o_1 g679069 (
+	.A1(n_10217),
+	.A2(n_35971),
+	.B1(n_10167),
+	.C1(n_10189),
+	.X(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_m_in[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g679070 (
+	.A_N(n_10209),
+	.B(n_10208),
+	.C(n_9824),
+	.Y(n_37358), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g679071 (
+	.A1(brqrv_top_brqrv_i0_ap[7]),
+	.A2(n_10172),
+	.B1(n_9830),
+	.B2(n_33982),
+	.C1(n_10214),
+	.Y(n_10276), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g679072 (
+	.A(n_10205),
+	.B(n_8104),
+	.C(n_9849),
+	.Y(n_37367), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679073 (
+	.A(n_10211),
+	.B(n_8123),
+	.Y(n_37359), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g679074 (
+	.A1(n_10116),
+	.A2(n_34223),
+	.B1(n_10237),
+	.Y(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_m_in[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679075 (
+	.A(n_8103),
+	.B(n_10232),
+	.Y(n_37366), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g679076 (
+	.A1(n_35959),
+	.A2(n_9789),
+	.B1(n_10243),
+	.Y(n_10275), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g679077 (
+	.A1(n_8132),
+	.A2(n_10216),
+	.B1(n_10070),
+	.B2(n_34223),
+	.C1(n_10176),
+	.Y(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_m_in[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g679078 (
+	.A1(n_10217),
+	.A2(n_35973),
+	.B1(n_10109),
+	.B2(n_10033),
+	.C1(n_10190),
+	.X(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_m_in[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g679079 (
+	.A1(n_10109),
+	.A2(n_8141),
+	.B1(n_9840),
+	.B2(n_35961),
+	.C1(n_10181),
+	.X(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_m_in[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g679080 (
+	.A1(n_10217),
+	.A2(n_9257),
+	.B1(n_10109),
+	.B2(n_10032),
+	.C1(n_10191),
+	.X(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_m_in[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g679081 (
+	.A1(n_10217),
+	.A2(n_9544),
+	.B1(n_10109),
+	.B2(n_10035),
+	.C1(n_10187),
+	.X(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_m_in[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679082 (
+	.A(n_8105),
+	.B(n_10261),
+	.Y(n_37357), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g679083 (
+	.A1_N(n_9667),
+	.A2_N(n_35930),
+	.B1(n_9667),
+	.B2(n_35930),
+	.Y(n_37384), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_2 g679084 (
+	.A1_N(n_9667),
+	.A2_N(n_10221),
+	.B1(n_9667),
+	.B2(n_10221),
+	.Y(n_37379), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g679085 (
+	.A1(n_10217),
+	.A2(n_9153),
+	.B1(n_10109),
+	.B2(n_10034),
+	.C1(n_10188),
+	.X(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_m_in[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g679086 (
+	.A1(n_10217),
+	.A2(n_35968),
+	.B1(n_2074),
+	.B2(n_35912),
+	.C1(n_10186),
+	.X(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_m_in[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g679087 (
+	.A1(n_8126),
+	.A2(n_10216),
+	.B1(n_10246),
+	.Y(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_m_in[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g679088 (
+	.A1(n_10109),
+	.A2(n_10117),
+	.B1(n_9840),
+	.B2(n_35960),
+	.C1(n_10180),
+	.X(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_m_in[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679091 (
+	.A(n_10257),
+	.B(n_10256),
+	.Y(n_37380), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679095 (
+	.A(n_10266),
+	.B(n_10265),
+	.Y(n_37365), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679096 (
+	.A(n_10263),
+	.B(n_10264),
+	.Y(n_37364), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g679097 (
+	.A1(n_9815),
+	.A2(n_9789),
+	.B1(n_10262),
+	.Y(n_10273), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g679098 (
+	.A(n_10233),
+	.B(n_9834),
+	.Y(brqrv_top_brqrv_exu_i0_rs2_d[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679108 (
+	.A(n_9868),
+	.B(n_10230),
+	.Y(n_10268), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679109 (
+	.A(n_9667),
+	.B(n_10227),
+	.Y(n_10267), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679110 (
+	.A(n_35903),
+	.B(n_9667),
+	.Y(n_10266), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679111 (
+	.A(FE_DBTN19_n_35903),
+	.B(brqrv_top_brqrv_i0_ap[7]),
+	.Y(n_10265), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679112 (
+	.A(n_35901),
+	.B(n_9667),
+	.Y(n_10264), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679113 (
+	.A(FE_DBTN18_n_35901),
+	.B(brqrv_top_brqrv_i0_ap[7]),
+	.Y(n_10263), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g679114 (
+	.A(brqrv_top_brqrv_i0_ap[7]),
+	.B_N(n_10229),
+	.Y(n_10262), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679115 (
+	.A(n_10200),
+	.B(n_9667),
+	.Y(n_10261), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g679118 (
+	.A1(n_35922),
+	.A2(n_2074),
+	.B1(brqrv_top_brqrv_dma_dccm_req),
+	.B2(n_35200),
+	.C1(n_35976),
+	.C2(n_9840),
+	.Y(n_10259), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679120 (
+	.A(n_10224),
+	.B(n_9667),
+	.Y(n_10257), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679121 (
+	.A(FE_DBTN16_n_10224),
+	.B(brqrv_top_brqrv_i0_ap[7]),
+	.Y(n_10256), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679122 (
+	.A(n_10202),
+	.B(n_9397),
+	.Y(n_10255), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g679123 (
+	.A1(n_35935),
+	.A2(n_2074),
+	.B1(brqrv_top_brqrv_dma_dccm_req),
+	.B2(n_35153),
+	.C1(n_35985),
+	.C2(n_9840),
+	.Y(n_10254), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g679124 (
+	.A1(n_9840),
+	.A2(n_8135),
+	.B1(n_10183),
+	.Y(n_10253), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g679125 (
+	.A1(n_9840),
+	.A2(n_35957),
+	.B1(n_10178),
+	.Y(n_10252), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679126 (
+	.A(n_9792),
+	.B(n_10228),
+	.Y(n_35921), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679127 (
+	.A(n_10194),
+	.B(n_9783),
+	.Y(n_10272), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g679128 (
+	.A(n_10226),
+	.B(n_10084),
+	.Y(n_35897), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g679129 (
+	.A(n_9798),
+	.B(n_10210),
+	.Y(n_35908), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g679130 (
+	.A(n_9785),
+	.B(n_10213),
+	.Y(n_35915), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g679140 (
+	.A(n_10248),
+	.Y(n_35920), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g679141 (
+	.A1(n_35904),
+	.A2(n_2074),
+	.B1(brqrv_top_brqrv_dma_dccm_req),
+	.B2(n_35228),
+	.C1(n_35963),
+	.C2(n_9840),
+	.Y(n_10247), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g679142 (
+	.A1(n_2074),
+	.A2(n_35910),
+	.B1(n_10185),
+	.Y(n_10246), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g679143 (
+	.A1(n_10065),
+	.A2(n_10111),
+	.A3(n_9787),
+	.B1(n_42113),
+	.Y(n_10245), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g679144 (
+	.A1(n_9618),
+	.A2(n_2074),
+	.B1(brqrv_top_brqrv_dma_dccm_req),
+	.B2(n_35158),
+	.C1(n_9816),
+	.C2(n_9840),
+	.Y(n_10244), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g679145 (
+	.A1(brqrv_top_brqrv_i0_ap[7]),
+	.A2(n_10112),
+	.B1(brqrv_top_brqrv_i0_ap[7]),
+	.B2(n_10066),
+	.C1(n_10140),
+	.Y(n_10243), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g679146 (
+	.A1(n_35893),
+	.A2(n_2074),
+	.B1(brqrv_top_brqrv_dma_dccm_req),
+	.B2(n_34262),
+	.C1(n_9840),
+	.C2(n_35989),
+	.Y(n_10242), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g679147 (
+	.A1(n_35895),
+	.A2(n_2074),
+	.B1(brqrv_top_brqrv_dma_dccm_req),
+	.B2(n_34274),
+	.C1(n_9840),
+	.C2(n_35959),
+	.Y(n_10241), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g679148 (
+	.A1(n_9628),
+	.A2(n_2074),
+	.B1(brqrv_top_brqrv_dma_dccm_req),
+	.B2(n_35157),
+	.C1(n_9815),
+	.C2(n_9840),
+	.Y(n_10240), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g679149 (
+	.A1(n_35934),
+	.A2(n_2074),
+	.B1(brqrv_top_brqrv_dma_dccm_req),
+	.B2(n_35154),
+	.C1(n_35984),
+	.C2(n_9840),
+	.Y(n_10239), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g679150 (
+	.A1(n_35932),
+	.A2(n_2074),
+	.B1(brqrv_top_brqrv_dma_dccm_req),
+	.B2(n_35155),
+	.C1(n_9819),
+	.C2(n_9840),
+	.Y(n_10238), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g679151 (
+	.A1(n_9651),
+	.A2(n_2074),
+	.B1(brqrv_top_brqrv_dma_dccm_req),
+	.B2(n_35156),
+	.C1(n_8138),
+	.C2(n_9840),
+	.Y(n_10237), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g679152 (
+	.A1(n_35927),
+	.A2(n_2074),
+	.B1(brqrv_top_brqrv_dma_dccm_req),
+	.B2(n_35159),
+	.C1(n_9818),
+	.C2(n_9840),
+	.Y(n_10236), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g679153 (
+	.A1(n_10029),
+	.A2(n_10109),
+	.B1(n_2074),
+	.B2(n_35917),
+	.C1(brqrv_top_brqrv_dma_dccm_req),
+	.C2(n_35204),
+	.Y(n_10235), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g679154 (
+	.A1(n_35902),
+	.A2(n_2074),
+	.B1(brqrv_top_brqrv_dma_dccm_req),
+	.B2(n_34286),
+	.C1(n_35962),
+	.C2(n_9840),
+	.Y(n_10234), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679155 (
+	.A(n_9779),
+	.B(n_10175),
+	.Y(n_10233), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g679156 (
+	.A1(n_35964),
+	.A2(n_9789),
+	.B1_N(n_10212),
+	.Y(n_10232), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g679158 (
+	.A1(n_35925),
+	.A2(n_2074),
+	.B1(brqrv_top_brqrv_dma_dccm_req),
+	.B2(n_34240),
+	.C1(n_9260),
+	.C2(n_9840),
+	.Y(n_10231), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g679159 (
+	.A(n_10201),
+	.B(n_9782),
+	.Y(n_35909), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g679160 (
+	.A(n_9773),
+	.B(n_10206),
+	.Y(n_35916), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g679161 (
+	.A(n_9774),
+	.B(n_10198),
+	.Y(n_35919), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_2 g679162 (
+	.A1(n_35535),
+	.A2(n_8131),
+	.B1(n_10196),
+	.Y(n_10251), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g679163 (
+	.A(n_9772),
+	.B(n_10215),
+	.Y(n_10250), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g679164 (
+	.A1(n_35535),
+	.A2(n_8127),
+	.B1(n_10197),
+	.Y(n_10249), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_2 g679165 (
+	.A1(n_35975),
+	.A2(n_8133),
+	.B1_N(n_10199),
+	.Y(n_10248), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g679168 (
+	.A(n_10227),
+	.Y(n_10228), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g679170 (
+	.A(n_8141),
+	.Y(n_10226), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g679173 (
+	.A(n_8122),
+	.Y(n_35931), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g679175 (
+	.A(n_10223),
+	.Y(n_35933), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g679181 (
+	.A(n_10219),
+	.Y(n_10220), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g679182 (
+	.A(n_8121),
+	.Y(n_35926), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g679187 (
+	.A(n_10217),
+	.Y(n_10216), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111oi_0 g679188 (
+	.A1(n_9983),
+	.A2(brqrv_top_brqrv_dec_dec_i0_instr_d[16]),
+	.B1(n_8100),
+	.C1(n_10053),
+	.D1(n_10034),
+	.Y(n_10215), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g679190 (
+	.A1(n_9781),
+	.A2(n_9787),
+	.B1(n_10172),
+	.Y(n_10214), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679192 (
+	.A(n_10154),
+	.B(n_10122),
+	.Y(n_37959), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g679194 (
+	.A1(n_9983),
+	.A2(brqrv_top_brqrv_dec_dec_i0_instr_d[17]),
+	.B1(n_9978),
+	.B2(n_9612),
+	.C1(n_10118),
+	.Y(n_10213), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g679195 (
+	.A1(n_34057),
+	.A2(n_8140),
+	.B1(n_10147),
+	.Y(n_36375), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679196 (
+	.A(n_8120),
+	.B(n_10123),
+	.Y(n_37956), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679197 (
+	.A(n_10173),
+	.B(n_9667),
+	.Y(n_10212), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679198 (
+	.A(n_9954),
+	.B(n_10174),
+	.Y(n_10211), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211a_1 g679199 (
+	.A1(n_9643),
+	.A2(n_9979),
+	.B1(n_10119),
+	.C1(n_10076),
+	.X(n_10210), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g679200 (
+	.A(n_9977),
+	.B(n_10144),
+	.Y(n_37972), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679202 (
+	.A(brqrv_top_brqrv_i0_ap[7]),
+	.B(n_8102),
+	.Y(n_10209), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g679203 (
+	.A1(n_9787),
+	.A2(n_9804),
+	.B1(n_8102),
+	.Y(n_10208), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g679204 (
+	.A(brqrv_top_brqrv_dec_dec_csr_wrdata_r[22]),
+	.B(brqrv_top_brqrv_dec_dec_csr_wrdata_r[12]),
+	.C(n_9770),
+	.D(n_10039),
+	.Y(n_10207), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111oi_0 g679205 (
+	.A1(n_9978),
+	.A2(n_35917),
+	.B1(n_8100),
+	.C1(n_10048),
+	.D1(n_10029),
+	.Y(n_10206), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679206 (
+	.A(n_9879),
+	.B(n_8101),
+	.Y(n_10205), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679207 (
+	.A(n_10142),
+	.B(n_8208),
+	.Y(n_10204), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679208 (
+	.A(n_10141),
+	.B(n_9435),
+	.Y(n_10203), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g679209 (
+	.A(n_10172),
+	.B(n_33982),
+	.C(brqrv_top_brqrv_i0_ap[7]),
+	.X(n_10202), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g679210 (
+	.A(n_10043),
+	.B(n_10135),
+	.Y(n_37973), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679211 (
+	.A(n_34723),
+	.B(n_9800),
+	.Y(n_10230), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g679212 (
+	.A_N(n_35468),
+	.B(n_9940),
+	.Y(n_10229), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g679213 (
+	.A1(n_8174),
+	.A2(n_10063),
+	.B1(n_10108),
+	.C1(n_9799),
+	.Y(n_10227), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_2 g679214 (
+	.A(n_9823),
+	.B(n_9822),
+	.C(n_10096),
+	.Y(n_8141), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679215 (
+	.A(n_43962),
+	.B(n_10131),
+	.Y(n_10224), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679217 (
+	.A(n_10133),
+	.B(n_10113),
+	.Y(n_10223), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g679218 (
+	.A(n_9793),
+	.B(n_10163),
+	.Y(n_35903), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g679219 (
+	.A(n_10136),
+	.B(n_8113),
+	.Y(n_10221), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679220 (
+	.A(n_10129),
+	.B(n_10130),
+	.Y(n_10219), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g679222 (
+	.A(n_10116),
+	.B(n_10143),
+	.Y(n_35930), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g679223 (
+	.A(n_9794),
+	.B(n_10162),
+	.Y(n_35901), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g679224 (
+	.A1(n_35535),
+	.A2(n_34223),
+	.B1(n_34225),
+	.Y(n_10217), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g679225 (
+	.A(n_10195),
+	.Y(n_37974), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g679229 (
+	.A1(n_34057),
+	.A2(n_8114),
+	.B1(n_10157),
+	.Y(n_36373), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g679230 (
+	.A1(n_10064),
+	.A2(brqrv_top_brqrv_dec_dec_i0_instr_d[21]),
+	.B1(n_10139),
+	.Y(n_10199), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g679231 (
+	.A1(n_10064),
+	.A2(brqrv_top_brqrv_dec_dec_i0_instr_d[20]),
+	.B1(n_10137),
+	.Y(n_10198), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111oi_0 g679232 (
+	.A1(n_9983),
+	.A2(n_32720),
+	.B1(n_8100),
+	.C1(n_10054),
+	.D1(n_10033),
+	.Y(n_10197), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111oi_0 g679233 (
+	.A1(n_9983),
+	.A2(n_32738),
+	.B1(n_8100),
+	.C1(n_10052),
+	.D1(n_10035),
+	.Y(n_10196), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679234 (
+	.A(n_10152),
+	.B(n_10046),
+	.Y(n_37957), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g679235 (
+	.A1(n_9978),
+	.A2(n_35910),
+	.B1(n_9983),
+	.B2(n_31667),
+	.C1(n_10125),
+	.Y(n_10201), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679236 (
+	.A(n_10151),
+	.B(n_10045),
+	.Y(n_37953), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g679237 (
+	.A1(n_34057),
+	.A2(n_8112),
+	.B1(n_10150),
+	.Y(n_37952), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679238 (
+	.A(n_10149),
+	.B(n_10047),
+	.Y(n_37951), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679239 (
+	.A(n_10148),
+	.B(n_10044),
+	.Y(n_88), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679240 (
+	.A(n_10120),
+	.B(n_10164),
+	.Y(n_10195), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g679241 (
+	.A1(n_9978),
+	.A2(n_35912),
+	.B1(n_9983),
+	.B2(brqrv_top_brqrv_dec_dec_i0_instr_d[14]),
+	.C1(n_10126),
+	.Y(n_10194), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g679242 (
+	.A1_N(n_35199),
+	.A2_N(brqrv_top_brqrv_dma_dccm_req),
+	.B1(n_9647),
+	.B2(n_34224),
+	.Y(n_10193), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g679243 (
+	.A1_N(n_35201),
+	.A2_N(brqrv_top_brqrv_dma_dccm_req),
+	.B1(n_9645),
+	.B2(n_34224),
+	.Y(n_10192), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g679244 (
+	.A1_N(n_35202),
+	.A2_N(brqrv_top_brqrv_dma_dccm_req),
+	.B1(n_9644),
+	.B2(n_34224),
+	.Y(n_10191), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g679245 (
+	.A1_N(n_35203),
+	.A2_N(brqrv_top_brqrv_dma_dccm_req),
+	.B1(n_9622),
+	.B2(n_34224),
+	.Y(n_10190), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g679246 (
+	.A1(n_2074),
+	.A2(n_9612),
+	.B1(brqrv_top_brqrv_dma_dccm_req),
+	.B2(n_35205),
+	.X(n_10189), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g679247 (
+	.A1_N(n_35206),
+	.A2_N(brqrv_top_brqrv_dma_dccm_req),
+	.B1(n_9652),
+	.B2(n_34224),
+	.Y(n_10188), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g679248 (
+	.A1_N(n_34308),
+	.A2_N(brqrv_top_brqrv_dma_dccm_req),
+	.B1(n_9631),
+	.B2(n_34224),
+	.Y(n_10187), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g679249 (
+	.A1_N(n_34299),
+	.A2_N(brqrv_top_brqrv_dma_dccm_req),
+	.B1(n_10078),
+	.B2(n_34223),
+	.Y(n_10186), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g679250 (
+	.A1_N(n_35261),
+	.A2_N(brqrv_top_brqrv_dma_dccm_req),
+	.B1(n_10077),
+	.B2(n_34223),
+	.Y(n_10185), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g679251 (
+	.A1_N(n_35257),
+	.A2_N(brqrv_top_brqrv_dma_dccm_req),
+	.B1(n_9643),
+	.B2(n_34224),
+	.Y(n_10184), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g679252 (
+	.A1_N(n_34293),
+	.A2_N(brqrv_top_brqrv_dma_dccm_req),
+	.B1(n_9646),
+	.B2(n_34224),
+	.Y(n_10183), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g679253 (
+	.A1(n_2074),
+	.A2(n_35906),
+	.B1(brqrv_top_brqrv_dma_dccm_req),
+	.B2(n_35242),
+	.Y(n_10182), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g679254 (
+	.A1_N(n_34282),
+	.A2_N(brqrv_top_brqrv_dma_dccm_req),
+	.B1(n_9621),
+	.B2(n_34224),
+	.Y(n_10181), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g679255 (
+	.A1_N(n_34278),
+	.A2_N(brqrv_top_brqrv_dma_dccm_req),
+	.B1(n_9650),
+	.B2(n_34224),
+	.Y(n_10180), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g679256 (
+	.A1_N(n_34270),
+	.A2_N(brqrv_top_brqrv_dma_dccm_req),
+	.B1(n_9613),
+	.B2(n_34224),
+	.Y(n_10179), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g679257 (
+	.A1_N(n_34266),
+	.A2_N(brqrv_top_brqrv_dma_dccm_req),
+	.B1(n_9648),
+	.B2(n_34224),
+	.Y(n_10178), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g679259 (
+	.A1(n_2074),
+	.A2(n_35894),
+	.B1(brqrv_top_brqrv_dma_dccm_req),
+	.B2(n_34261),
+	.Y(n_10176), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679261 (
+	.A(n_10156),
+	.B(n_10041),
+	.Y(n_36372), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679262 (
+	.A(n_10160),
+	.B(n_10049),
+	.Y(n_37955), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679263 (
+	.A(n_10159),
+	.B(n_10042),
+	.Y(n_37950), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g679264 (
+	.A1(n_34057),
+	.A2(n_43249),
+	.B1(n_10158),
+	.Y(n_36374), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g679265 (
+	.A1(FE_DBTN15_n_36150),
+	.A2(n_9771),
+	.B1(n_10161),
+	.Y(n_10175), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_2 g679266 (
+	.A1(n_35535),
+	.A2(n_8132),
+	.B1(n_10146),
+	.Y(n_10200), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679270 (
+	.A(n_10092),
+	.B(n_9921),
+	.Y(n_10171), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g679271 (
+	.A1(n_9794),
+	.A2(n_10072),
+	.B1(n_34223),
+	.Y(n_10170), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g679272 (
+	.A1(n_9793),
+	.A2(n_10074),
+	.B1(n_34223),
+	.Y(n_10169), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679273 (
+	.A(n_10071),
+	.B(n_34223),
+	.Y(n_10168), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679274 (
+	.A(n_10079),
+	.B(n_34223),
+	.Y(n_10167), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g679275 (
+	.A1(n_9798),
+	.A2(n_10076),
+	.B1(n_34223),
+	.Y(n_10166), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g679276 (
+	.A1(n_9890),
+	.A2(n_9964),
+	.B1(n_34223),
+	.Y(n_10165), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g679277 (
+	.A1(n_36243),
+	.A2(n_9790),
+	.B1(n_10101),
+	.Y(n_10164), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g679278 (
+	.A1(n_9978),
+	.A2(n_35904),
+	.B1(n_8090),
+	.B2(brqrv_top_brqrv_dec_i0_predict_p_d[46]),
+	.C1(n_10075),
+	.Y(n_10163), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g679279 (
+	.A1(n_9978),
+	.A2(n_35902),
+	.B1(n_8090),
+	.B2(brqrv_top_brqrv_dec_i0_predict_p_d[45]),
+	.C1(n_10073),
+	.Y(n_10162), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g679280 (
+	.A1(n_9757),
+	.A2(n_8133),
+	.B1(n_10030),
+	.C1(n_10097),
+	.Y(n_10161), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g679281 (
+	.A(n_9801),
+	.B(n_10065),
+	.C(n_10111),
+	.Y(n_35896), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g679282 (
+	.A1(n_36243),
+	.A2(n_8213),
+	.B1(n_10105),
+	.X(n_10160), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679283 (
+	.A(n_8119),
+	.B(n_10112),
+	.Y(brqrv_top_brqrv_exu_i0_rs2_d[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g679284 (
+	.A1(n_36243),
+	.A2(n_8220),
+	.B1(n_10104),
+	.X(n_10159), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g679285 (
+	.A1(n_35968),
+	.A2(n_9841),
+	.B1_N(n_10103),
+	.Y(n_10158), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g679286 (
+	.A1(n_35967),
+	.A2(n_9841),
+	.B1_N(n_10102),
+	.Y(n_10157), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g679287 (
+	.A1(n_9841),
+	.A2(n_35966),
+	.B1(n_10086),
+	.Y(n_10156), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g679288 (
+	.A1(n_36243),
+	.A2(n_8134),
+	.B1(n_10095),
+	.Y(n_10155), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g679289 (
+	.A1(n_9816),
+	.A2(n_9841),
+	.B1_N(n_10107),
+	.Y(n_10154), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679291 (
+	.A(n_35462),
+	.B(n_9796),
+	.Y(n_10174), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g679294 (
+	.A_N(n_34720),
+	.B(n_10068),
+	.Y(n_10173), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679295 (
+	.A(n_34718),
+	.B(n_34719),
+	.Y(n_10172), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g679296 (
+	.A1(n_9987),
+	.A2(n_9968),
+	.B1(n_34223),
+	.Y(n_10153), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g679297 (
+	.A1(n_36243),
+	.A2(n_9261),
+	.B1(n_10093),
+	.X(n_10152), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g679298 (
+	.A1(n_9257),
+	.A2(n_9841),
+	.B1(n_10091),
+	.Y(n_10151), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g679299 (
+	.A1(n_36243),
+	.A2(n_8127),
+	.B1(n_10090),
+	.X(n_10150), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g679300 (
+	.A1(n_9258),
+	.A2(n_9841),
+	.B1(n_10089),
+	.Y(n_10149), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g679301 (
+	.A1(n_9153),
+	.A2(n_9841),
+	.B1(n_10088),
+	.Y(n_10148), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g679302 (
+	.A1(n_36243),
+	.A2(n_8131),
+	.B1(n_10087),
+	.X(n_10147), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g679303 (
+	.A1(n_9978),
+	.A2(n_35894),
+	.B1(n_10099),
+	.Y(n_10146), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g679304 (
+	.A1(n_36243),
+	.A2(n_8136),
+	.B1(n_10094),
+	.Y(n_10145), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g679305 (
+	.A1(n_9897),
+	.A2(n_9980),
+	.B1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_m_in[4]),
+	.B2(n_35783),
+	.C1(n_9981),
+	.C2(n_35990),
+	.Y(n_10144), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g679306 (
+	.A1(n_9978),
+	.A2(n_9651),
+	.B1(n_10064),
+	.B2(brqrv_top_brqrv_dec_i0_predict_p_d[45]),
+	.C1(n_9958),
+	.Y(n_10143), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g679307 (
+	.A(n_10065),
+	.B(n_10111),
+	.C(brqrv_top_brqrv_i0_ap[7]),
+	.X(n_10142), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g679308 (
+	.A(n_10066),
+	.B(n_10112),
+	.C(brqrv_top_brqrv_i0_ap[7]),
+	.X(n_10141), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g679309 (
+	.A(n_10066),
+	.B(n_10112),
+	.C(n_9787),
+	.Y(n_10140), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g679310 (
+	.A1(n_9645),
+	.A2(n_9979),
+	.B1(n_9959),
+	.C1(n_10071),
+	.Y(n_10139), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679311 (
+	.A(n_10127),
+	.B(n_9736),
+	.Y(brqrv_top_brqrv_exu_i0_rs1_d[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g679312 (
+	.A1(n_8113),
+	.A2(n_9966),
+	.B1(n_34223),
+	.Y(n_10138), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g679313 (
+	.A1(n_9644),
+	.A2(n_9979),
+	.B1(n_10121),
+	.Y(n_10137), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g679314 (
+	.A1(n_10064),
+	.A2(brqrv_top_brqrv_dec_dec_i0_instr_d[23]),
+	.B1(n_10098),
+	.Y(n_10136), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g679315 (
+	.A1(n_8132),
+	.A2(n_36243),
+	.B1(n_10085),
+	.X(n_10135), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g679316 (
+	.A1(n_9978),
+	.A2(n_35932),
+	.B1(n_10064),
+	.B2(brqrv_top_brqrv_dec_i0_predict_p_d[46]),
+	.C1(n_9958),
+	.Y(n_10134), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g679317 (
+	.A1(n_10064),
+	.A2(brqrv_top_brqrv_dec_i0_predict_p_d[47]),
+	.B1(n_9978),
+	.B2(n_35934),
+	.C1(n_9958),
+	.X(n_10133), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g679318 (
+	.A1(n_9978),
+	.A2(n_35927),
+	.B1(n_10064),
+	.B2(brqrv_top_brqrv_dec_i0_predict_p_d[42]),
+	.C1(n_9958),
+	.Y(n_10132), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g679319 (
+	.A1(n_9978),
+	.A2(n_35925),
+	.B1(n_10064),
+	.B2(brqrv_top_brqrv_dec_dec_i0_instr_d[24]),
+	.C1(n_9958),
+	.Y(n_10131), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g679320 (
+	.A1(n_9978),
+	.A2(n_35935),
+	.B1(n_10064),
+	.B2(brqrv_top_brqrv_dec_dec_i0_instr_d[31]),
+	.C1(n_9958),
+	.Y(n_10130), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g679321 (
+	.A1(n_10064),
+	.A2(brqrv_top_brqrv_dec_i0_predict_p_d[43]),
+	.B1(n_9978),
+	.B2(n_9618),
+	.C1(n_10055),
+	.X(n_34723), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g679322 (
+	.A(n_9963),
+	.B(n_10124),
+	.C(n_9959),
+	.D(n_10051),
+	.Y(n_35468), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g679323 (
+	.A(n_10128),
+	.Y(brqrv_top_brqrv_exu_i0_rs1_d[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679325 (
+	.A(n_10007),
+	.B(n_9985),
+	.Y(n_10128), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g679326 (
+	.A1(n_9839),
+	.A2(brqrv_top_brqrv_ifu_i0_pc[7]),
+	.B1(n_9976),
+	.C1(n_9969),
+	.Y(n_10127), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g679327 (
+	.A(n_9908),
+	.B(n_9831),
+	.C(n_9992),
+	.Y(brqrv_top_brqrv_exu_i0_rs1_d[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679328 (
+	.A(n_10078),
+	.B(n_9984),
+	.Y(n_10126), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679329 (
+	.A(n_10077),
+	.B(n_9984),
+	.Y(n_10125), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679330 (
+	.A(n_10064),
+	.B(brqrv_top_brqrv_dec_i0_predict_p_d[44]),
+	.Y(n_10124), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679331 (
+	.A(n_43249),
+	.B(n_9999),
+	.Y(brqrv_top_brqrv_exu_i0_rs1_d[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g679332 (
+	.A(n_8117),
+	.B(n_10008),
+	.Y(brqrv_top_brqrv_exu_i0_rs1_d[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679333 (
+	.A(n_8140),
+	.B(n_10000),
+	.Y(brqrv_top_brqrv_exu_i0_rs1_d[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679334 (
+	.A(n_9980),
+	.B(brqrv_top_brqrv_exu_n_909),
+	.Y(n_10123), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g679335 (
+	.A1(n_9639),
+	.A2(n_9842),
+	.B1(n_10058),
+	.C1(n_9972),
+	.Y(brqrv_top_brqrv_exu_i0_rs1_d[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g679336 (
+	.A(n_10067),
+	.B(n_10012),
+	.Y(brqrv_top_brqrv_exu_i0_rs1_d[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679338 (
+	.A(brqrv_top_brqrv_exu_n_912),
+	.B(n_9980),
+	.Y(n_10122), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g679339 (
+	.A(n_8116),
+	.B(n_10010),
+	.Y(brqrv_top_brqrv_exu_i0_rs1_d[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679340 (
+	.A(n_8118),
+	.B(n_10016),
+	.Y(brqrv_top_brqrv_exu_i0_rs1_d[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g679342 (
+	.A(n_8114),
+	.B(n_9998),
+	.Y(brqrv_top_brqrv_exu_i0_rs1_d[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679344 (
+	.A(n_10031),
+	.B(n_10014),
+	.Y(brqrv_top_brqrv_exu_i0_rs1_d[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_4 g679346 (
+	.A(n_8139),
+	.B(n_10006),
+	.Y(brqrv_top_brqrv_exu_i0_rs1_d[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g679348 (
+	.A(n_9697),
+	.B(n_9907),
+	.C(n_9995),
+	.Y(brqrv_top_brqrv_exu_i0_rs1_d[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g679350 (
+	.A(n_9669),
+	.B(n_9909),
+	.C(n_10018),
+	.Y(brqrv_top_brqrv_exu_i0_rs1_d[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g679351 (
+	.A(n_10069),
+	.B(n_10019),
+	.Y(brqrv_top_brqrv_exu_i0_rs1_d[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679352 (
+	.A(n_9961),
+	.B(n_10021),
+	.Y(brqrv_top_brqrv_exu_i0_rs1_d[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g679353 (
+	.A(n_10028),
+	.B(n_10015),
+	.Y(brqrv_top_brqrv_exu_i0_rs1_d[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_4 g679354 (
+	.A(n_9960),
+	.B(n_10009),
+	.Y(brqrv_top_brqrv_exu_i0_rs1_d[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679356 (
+	.A(n_8112),
+	.B(n_10004),
+	.Y(brqrv_top_brqrv_exu_i0_rs1_d[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679357 (
+	.A(n_9962),
+	.B(n_10003),
+	.Y(brqrv_top_brqrv_exu_i0_rs1_d[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g679359 (
+	.A(n_9859),
+	.B(n_9906),
+	.C(n_9996),
+	.Y(brqrv_top_brqrv_exu_i0_rs1_d[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g679361 (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_m_in[10]),
+	.B(n_37944),
+	.X(n_37943), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679362 (
+	.A(n_9958),
+	.B(n_10032),
+	.Y(n_10121), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g679363 (
+	.A1(n_9971),
+	.A2(n_9698),
+	.B1(n_34057),
+	.Y(n_10120), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g679365 (
+	.A1(n_9983),
+	.A2(n_32406),
+	.B1(n_8100),
+	.Y(n_10119), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679366 (
+	.A(n_10079),
+	.B(n_9984),
+	.Y(n_10118), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_2 g679367 (
+	.A1(n_35985),
+	.A2(n_8133),
+	.B1_N(n_10040),
+	.Y(n_10129), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679368 (
+	.A(n_9801),
+	.B(n_10065),
+	.Y(n_10117), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g679369 (
+	.A(n_10082),
+	.Y(n_10116), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g679371 (
+	.A(n_10113),
+	.Y(n_10114), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g679373 (
+	.A(n_2074),
+	.Y(n_34224), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g679374 (
+	.A(n_34223),
+	.Y(n_10109), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g679375 (
+	.A1(n_9978),
+	.A2(n_35922),
+	.B1(n_9958),
+	.C1(n_9965),
+	.Y(n_10108), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g679376 (
+	.A1(brqrv_top_brqrv_dec_tlu_meihap[26]),
+	.A2(n_9886),
+	.B1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_m_in[4]),
+	.B2(n_36816),
+	.C1(n_9981),
+	.C2(n_35951),
+	.Y(n_10107), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g679377 (
+	.A1(brqrv_top_brqrv_dec_tlu_meihap[23]),
+	.A2(n_9886),
+	.B1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_m_in[4]),
+	.B2(n_36813),
+	.C1(n_9981),
+	.C2(n_35950),
+	.Y(n_10106), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g679378 (
+	.A1(brqrv_top_brqrv_dec_tlu_meihap[22]),
+	.A2(n_9886),
+	.B1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_m_in[4]),
+	.B2(n_36812),
+	.C1(n_9981),
+	.C2(n_35949),
+	.Y(n_10105), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g679379 (
+	.A1(brqrv_top_brqrv_dec_tlu_meihap[17]),
+	.A2(n_9886),
+	.B1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_m_in[4]),
+	.B2(n_36807),
+	.C1(n_9981),
+	.C2(n_35948),
+	.Y(n_10104), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g679380 (
+	.A1(brqrv_top_brqrv_dec_tlu_meihap[14]),
+	.A2(n_9886),
+	.B1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_m_in[4]),
+	.B2(n_36804),
+	.C1(n_9981),
+	.C2(n_35947),
+	.Y(n_10103), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g679381 (
+	.A1(brqrv_top_brqrv_dec_tlu_meihap[13]),
+	.A2(n_9886),
+	.B1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_m_in[4]),
+	.B2(n_36803),
+	.C1(n_9981),
+	.C2(n_35946),
+	.Y(n_10102), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g679382 (
+	.A1(brqrv_top_brqrv_dec_tlu_meihap[2]),
+	.A2(n_9886),
+	.B1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_m_in[4]),
+	.B2(brqrv_top_brqrv_dma_mem_addr[2]),
+	.C1(n_9981),
+	.C2(n_35936),
+	.Y(n_10101), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g679383 (
+	.A1(n_35989),
+	.A2(n_8133),
+	.B1(n_10030),
+	.Y(n_10100), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g679384 (
+	.A1(n_8173),
+	.A2(n_9692),
+	.B1(n_8272),
+	.B2(n_35307),
+	.C1(n_10070),
+	.Y(n_10099), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g679385 (
+	.A1(n_9647),
+	.A2(n_9979),
+	.B1(n_9959),
+	.C1(n_9966),
+	.Y(n_10098), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g679386 (
+	.A1(n_9978),
+	.A2(n_35893),
+	.B1(n_9585),
+	.B2(n_32738),
+	.C1(n_9730),
+	.X(n_10097), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_2 g679387 (
+	.A(n_9989),
+	.B(n_9975),
+	.C(n_9898),
+	.Y(brqrv_top_brqrv_exu_i0_rs1_d[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g679388 (
+	.A1(n_9855),
+	.A2(brqrv_top_brqrv_dec_i0_result_r[6]),
+	.B1(n_9694),
+	.B2(n_8133),
+	.C1(n_9955),
+	.Y(n_10096), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g679389 (
+	.A1(brqrv_top_brqrv_dec_tlu_meihap[27]),
+	.A2(n_9886),
+	.B1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_m_in[4]),
+	.B2(n_36817),
+	.C1(n_9981),
+	.C2(n_35952),
+	.Y(n_10095), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g679390 (
+	.A1(brqrv_top_brqrv_dec_tlu_meihap[25]),
+	.A2(n_9886),
+	.B1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_m_in[4]),
+	.B2(n_36815),
+	.C1(n_9981),
+	.C2(n_9617),
+	.Y(n_10094), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g679391 (
+	.A1(brqrv_top_brqrv_dec_tlu_meihap[24]),
+	.A2(n_9886),
+	.B1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_m_in[4]),
+	.B2(n_36814),
+	.C1(n_9981),
+	.C2(n_9616),
+	.Y(n_10093), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g679392 (
+	.A1(brqrv_top_brqrv_dec_tlu_meihap[21]),
+	.A2(n_9886),
+	.B1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_m_in[4]),
+	.B2(n_36811),
+	.C1(n_9981),
+	.C2(n_9615),
+	.Y(n_10092), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g679393 (
+	.A1(n_9614),
+	.A2(n_34059),
+	.B1(n_10022),
+	.Y(n_10091), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g679394 (
+	.A1(brqrv_top_brqrv_dec_tlu_meihap[19]),
+	.A2(n_9886),
+	.B1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_m_in[4]),
+	.B2(n_36809),
+	.C1(n_9981),
+	.C2(n_9592),
+	.Y(n_10090), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g679395 (
+	.A1(n_9593),
+	.A2(n_34059),
+	.B1(n_10023),
+	.Y(n_10089), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g679396 (
+	.A1(n_9594),
+	.A2(n_34059),
+	.B1(n_10024),
+	.Y(n_10088), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g679397 (
+	.A1(brqrv_top_brqrv_dec_tlu_meihap[15]),
+	.A2(n_9886),
+	.B1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_m_in[4]),
+	.B2(n_36805),
+	.C1(n_9981),
+	.C2(n_9595),
+	.Y(n_10087), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g679398 (
+	.A1(n_9596),
+	.A2(n_34059),
+	.B1(n_10025),
+	.Y(n_10086), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g679399 (
+	.A1(n_8173),
+	.A2(n_34056),
+	.B1(n_10062),
+	.Y(n_37962), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g679400 (
+	.A1(n_1708),
+	.A2(n_34056),
+	.B1(n_10060),
+	.Y(n_37961), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g679401 (
+	.A1(n_8174),
+	.A2(n_34056),
+	.B1(n_10061),
+	.Y(n_37963), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g679402 (
+	.A1(n_9981),
+	.A2(n_36242),
+	.B1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_m_in[4]),
+	.B2(n_35784),
+	.Y(n_10085), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g679403 (
+	.A1_N(n_9621),
+	.A2_N(n_9979),
+	.B1(brqrv_top_brqrv_dec_i0_predict_p_d[43]),
+	.B2(n_8090),
+	.Y(n_10084), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g679404 (
+	.A(n_9858),
+	.B(n_10036),
+	.X(n_10083), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679405 (
+	.A(n_9845),
+	.B(n_10017),
+	.Y(n_10082), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g679407 (
+	.A1(n_9646),
+	.A2(n_9979),
+	.B1(n_9046),
+	.C1(n_8999),
+	.D1(n_9970),
+	.Y(n_34721), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_2 g679408 (
+	.A1(n_35535),
+	.A2(n_8111),
+	.B1(n_10020),
+	.Y(n_10113), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g679409 (
+	.A1(n_9648),
+	.A2(n_9979),
+	.B1(n_10038),
+	.Y(n_34722), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g679410 (
+	.A(n_9860),
+	.B(n_10037),
+	.X(n_10080), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g679412 (
+	.A1(n_9978),
+	.A2(n_35906),
+	.B1(n_8090),
+	.B2(brqrv_top_brqrv_dec_i0_predict_p_d[47]),
+	.X(n_34720), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g679413 (
+	.A1(n_9978),
+	.A2(n_35900),
+	.B1(n_8090),
+	.B2(brqrv_top_brqrv_dec_i0_predict_p_d[44]),
+	.X(n_34718), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g679414 (
+	.A1(n_9613),
+	.A2(n_9979),
+	.B1(n_9814),
+	.C1(n_9968),
+	.Y(n_35462), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g679415 (
+	.A1(brqrv_top_brqrv_dec_dec_i0_instr_d[24]),
+	.A2(n_9691),
+	.B1(n_9585),
+	.B2(n_32720),
+	.C1(n_9978),
+	.C2(n_35895),
+	.Y(n_10112), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g679416 (
+	.A1_N(n_9650),
+	.A2_N(n_9979),
+	.B1(brqrv_top_brqrv_dec_i0_predict_p_d[42]),
+	.B2(n_8090),
+	.Y(n_10111), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g679417 (
+	.A(n_35388),
+	.B(n_9978),
+	.C(n_9400),
+	.X(n_2074), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g679418 (
+	.A(n_9399),
+	.B(n_9887),
+	.C(n_9840),
+	.D(brqrv_top_brqrv_dma_dccm_req),
+	.X(n_34223), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g679419 (
+	.A(n_8118),
+	.Y(brqrv_top_brqrv_exu_n_917), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g679421 (
+	.A(n_10074),
+	.Y(n_10075), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g679422 (
+	.A(n_10072),
+	.Y(n_10073), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g679423 (
+	.A(brqrv_top_brqrv_exu_n_888),
+	.Y(n_10069), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g679424 (
+	.A(n_8117),
+	.Y(brqrv_top_brqrv_exu_n_909), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g679427 (
+	.A(brqrv_top_brqrv_exu_n_913),
+	.Y(n_10067), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g679430 (
+	.A(n_8116),
+	.Y(brqrv_top_brqrv_exu_n_911), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g679434 (
+	.A(brqrv_top_brqrv_exu_n_901),
+	.Y(n_8140), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g679435 (
+	.A(n_10063),
+	.Y(n_10064), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g679436 (
+	.A_N(n_34058),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[8]),
+	.Y(n_10062), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g679437 (
+	.A_N(n_34058),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[9]),
+	.Y(n_10061), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g679438 (
+	.A_N(n_34058),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[7]),
+	.Y(n_10060), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679439 (
+	.A(n_9965),
+	.B(n_9827),
+	.Y(n_10059), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g679440 (
+	.A1(n_9839),
+	.A2(brqrv_top_brqrv_ifu_i0_pc[3]),
+	.B1(n_259784_BAR),
+	.B2(brqrv_top_brqrv_dbg_cmd_wrdata[3]),
+	.C1(n_9899),
+	.Y(n_10058), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679441 (
+	.A(n_9967),
+	.B(n_34754),
+	.Y(n_10057), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g679442 (
+	.A(n_34776),
+	.B_N(n_9970),
+	.Y(n_10056), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679443 (
+	.A(n_9964),
+	.B(n_9959),
+	.Y(n_10055), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679444 (
+	.A(n_9622),
+	.B(n_9979),
+	.Y(n_10054), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679445 (
+	.A(n_9652),
+	.B(n_9979),
+	.Y(n_10053), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679446 (
+	.A(n_9631),
+	.B(n_9979),
+	.Y(n_10052), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679447 (
+	.A(n_9978),
+	.B(n_9628),
+	.Y(n_10051), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g679448 (
+	.A(n_34763),
+	.B_N(n_9963),
+	.Y(n_10050), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679449 (
+	.A(n_9980),
+	.B(n_9985),
+	.Y(n_10049), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679450 (
+	.A(n_8172),
+	.B(n_9982),
+	.Y(n_10048), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679451 (
+	.A(brqrv_top_brqrv_exu_n_904),
+	.B(n_9980),
+	.Y(n_10047), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679452 (
+	.A(n_9980),
+	.B(brqrv_top_brqrv_exu_n_910),
+	.Y(n_10046), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679453 (
+	.A(brqrv_top_brqrv_exu_n_906),
+	.B(n_9980),
+	.Y(n_10045), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679454 (
+	.A(brqrv_top_brqrv_exu_n_902),
+	.B(n_9980),
+	.Y(n_10044), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g679455 (
+	.A(brqrv_top_brqrv_exu_n_887),
+	.B(n_9980),
+	.Y(n_10043), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679456 (
+	.A(brqrv_top_brqrv_exu_n_903),
+	.B(n_9980),
+	.Y(n_10042), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679457 (
+	.A(n_9980),
+	.B(brqrv_top_brqrv_exu_n_898),
+	.Y(n_10041), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g679458 (
+	.A1(n_36329),
+	.A2(n_9854),
+	.B1(n_9855),
+	.B2(brqrv_top_brqrv_dec_i0_result_r[31]),
+	.C1(n_36022),
+	.C2(n_9689),
+	.Y(n_10040), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g679459 (
+	.A1(n_9639),
+	.A2(n_33886),
+	.B1_N(n_9969),
+	.Y(brqrv_top_brqrv_exu_n_893), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g679460 (
+	.A1(n_1508),
+	.A2(n_9777),
+	.B1(n_9956),
+	.Y(n_10039), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g679461 (
+	.A1(n_9691),
+	.A2(brqrv_top_brqrv_dec_dec_i0_instr_d[22]),
+	.B1(n_9585),
+	.B2(brqrv_top_brqrv_dec_dec_i0_instr_d[17]),
+	.C1(n_9967),
+	.Y(n_10038), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g679462 (
+	.A(brqrv_top_brqrv_lsu_lsu_pkt_d[8]),
+	.B(brqrv_top_brqrv_lsu_lsu_pkt_d[9]),
+	.X(n_37944), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g679463 (
+	.A1(brqrv_top_brqrv_dec_i0_result_r[17]),
+	.A2(n_9855),
+	.B1(n_9854),
+	.B2(n_36297),
+	.C1(n_36008),
+	.C2(n_9689),
+	.Y(n_10079), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g679465 (
+	.A1(n_36293),
+	.A2(n_9854),
+	.B1(n_9855),
+	.B2(brqrv_top_brqrv_dec_i0_result_r[14]),
+	.C1(n_36005),
+	.C2(n_9689),
+	.Y(n_10078), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g679466 (
+	.A1(brqrv_top_brqrv_dec_i0_result_r[13]),
+	.A2(n_9855),
+	.B1(n_9854),
+	.B2(n_36292),
+	.C1(n_36004),
+	.C2(n_9689),
+	.Y(n_10077), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g679467 (
+	.A1(brqrv_top_brqrv_dec_i0_result_r[12]),
+	.A2(n_9855),
+	.B1(n_9854),
+	.B2(n_36291),
+	.C1(n_36003),
+	.C2(n_9689),
+	.Y(n_10076), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g679468 (
+	.A1(n_9639),
+	.A2(n_9842),
+	.B1(n_9972),
+	.Y(brqrv_top_brqrv_exu_n_889), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g679469 (
+	.A1(brqrv_top_brqrv_dec_i0_result_r[9]),
+	.A2(n_9855),
+	.B1(n_9854),
+	.B2(n_36287),
+	.C1(n_36000),
+	.C2(n_9689),
+	.Y(n_10074), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g679470 (
+	.A1(brqrv_top_brqrv_dec_i0_result_r[8]),
+	.A2(n_9855),
+	.B1(n_9854),
+	.B2(n_36286),
+	.C1(n_35999),
+	.C2(n_9689),
+	.Y(n_10072), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g679471 (
+	.A1(n_36304),
+	.A2(n_9854),
+	.B1(n_9855),
+	.B2(brqrv_top_brqrv_dec_i0_result_r[21]),
+	.C1(n_36012),
+	.C2(n_9689),
+	.Y(n_10071), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g679472 (
+	.A1(brqrv_top_brqrv_dec_i0_result_r[1]),
+	.A2(n_9855),
+	.B1(n_9854),
+	.B2(n_36280),
+	.C1(n_35992),
+	.C2(n_9689),
+	.Y(n_10070), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679474 (
+	.A(n_9971),
+	.B(n_9698),
+	.Y(brqrv_top_brqrv_exu_n_888), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g679476 (
+	.A1(brqrv_top_brqrv_dec_i0_result_r[10]),
+	.A2(n_9855),
+	.B1(n_9854),
+	.B2(n_36288),
+	.C1(n_36001),
+	.C2(n_9689),
+	.Y(n_10068), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g679477 (
+	.A(n_9844),
+	.B(n_9949),
+	.Y(brqrv_top_brqrv_exu_n_913), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679478 (
+	.A(n_9843),
+	.B(n_9948),
+	.Y(brqrv_top_brqrv_exu_n_912), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_2 g679480 (
+	.A1(n_9639),
+	.A2(n_8131),
+	.B1(n_9945),
+	.Y(brqrv_top_brqrv_exu_n_901), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g679481 (
+	.A1(brqrv_top_brqrv_dec_i0_result_r[4]),
+	.A2(n_9855),
+	.B1(n_9854),
+	.B2(n_36283),
+	.C1(n_35995),
+	.C2(n_9689),
+	.Y(n_10066), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g679482 (
+	.A1(brqrv_top_brqrv_dec_i0_result_r[5]),
+	.A2(n_9855),
+	.B1(n_9854),
+	.B2(n_36284),
+	.C1(n_35996),
+	.C2(n_9689),
+	.Y(n_10065), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679483 (
+	.A(n_9983),
+	.B(n_35564),
+	.Y(n_10063), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g679484 (
+	.A(n_9990),
+	.Y(n_10034), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g679488 (
+	.A(brqrv_top_brqrv_exu_n_915),
+	.Y(n_10031), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g679490 (
+	.A(n_10027),
+	.Y(n_10028), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g679491 (
+	.A1(n_36312),
+	.A2(n_9854),
+	.B1(n_9855),
+	.B2(brqrv_top_brqrv_dec_i0_result_r[25]),
+	.C1(n_36016),
+	.C2(n_9689),
+	.Y(n_10037), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g679492 (
+	.A1(n_36308),
+	.A2(n_9854),
+	.B1(n_9855),
+	.B2(brqrv_top_brqrv_dec_i0_result_r[24]),
+	.C1(n_36015),
+	.C2(n_9689),
+	.Y(n_10026), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g679493 (
+	.A1(n_9886),
+	.A2(brqrv_top_brqrv_dec_tlu_meihap[12]),
+	.B1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_m_in[4]),
+	.B2(n_36802),
+	.Y(n_10025), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g679494 (
+	.A1(n_9886),
+	.A2(brqrv_top_brqrv_dec_tlu_meihap[16]),
+	.B1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_m_in[4]),
+	.B2(n_36806),
+	.Y(n_10024), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g679495 (
+	.A1(n_9886),
+	.A2(brqrv_top_brqrv_dec_tlu_meihap[18]),
+	.B1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_m_in[4]),
+	.B2(n_36808),
+	.Y(n_10023), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g679496 (
+	.A1(n_9886),
+	.A2(brqrv_top_brqrv_dec_tlu_meihap[20]),
+	.B1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_m_in[4]),
+	.B2(n_36810),
+	.Y(n_10022), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g679497 (
+	.A1(brqrv_top_brqrv_ifu_i0_pc[1]),
+	.A2(n_9839),
+	.B1(n_9838),
+	.B2(n_36242),
+	.C1(n_259784_BAR),
+	.C2(brqrv_top_brqrv_dbg_cmd_wrdata[1]),
+	.Y(n_10021), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g679498 (
+	.A1(n_36328),
+	.A2(n_9854),
+	.B1(n_9855),
+	.B2(brqrv_top_brqrv_dec_i0_result_r[30]),
+	.C1(n_36021),
+	.C2(n_9689),
+	.Y(n_10020), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g679499 (
+	.A1(n_35936),
+	.A2(n_9838),
+	.B1(n_259784_BAR),
+	.B2(brqrv_top_brqrv_dbg_cmd_wrdata[2]),
+	.C1(n_9839),
+	.C2(brqrv_top_brqrv_ifu_i0_pc[2]),
+	.Y(n_10019), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g679500 (
+	.A1(n_36324),
+	.A2(n_9854),
+	.B1(n_9855),
+	.B2(brqrv_top_brqrv_dec_i0_result_r[29]),
+	.C1(n_36020),
+	.C2(n_9689),
+	.Y(n_10036), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g679501 (
+	.A1(brqrv_top_brqrv_ifu_i0_pc[4]),
+	.A2(n_9839),
+	.B1(n_9838),
+	.B2(n_35938),
+	.C1(n_259784_BAR),
+	.C2(brqrv_top_brqrv_dbg_cmd_wrdata[4]),
+	.Y(n_10018), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g679502 (
+	.A1(n_36321),
+	.A2(n_9854),
+	.B1(n_9855),
+	.B2(brqrv_top_brqrv_dec_i0_result_r[28]),
+	.C1(n_36019),
+	.C2(n_9689),
+	.Y(n_10017), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g679503 (
+	.A1(n_35956),
+	.A2(n_9838),
+	.B1(n_259784_BAR),
+	.B2(brqrv_top_brqrv_dbg_cmd_wrdata[31]),
+	.C1(n_9839),
+	.C2(brqrv_top_brqrv_ifu_i0_pc[31]),
+	.Y(n_10016), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g679504 (
+	.A1(n_259784_BAR),
+	.A2(brqrv_top_brqrv_dbg_cmd_wrdata[30]),
+	.B1(n_9839),
+	.B2(brqrv_top_brqrv_ifu_i0_pc[30]),
+	.C1(n_9896),
+	.Y(n_10015), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g679505 (
+	.A1(n_35954),
+	.A2(n_9838),
+	.B1(n_259784_BAR),
+	.B2(brqrv_top_brqrv_dbg_cmd_wrdata[29]),
+	.C1(n_9839),
+	.C2(brqrv_top_brqrv_ifu_i0_pc[29]),
+	.Y(n_10014), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g679506 (
+	.A1(n_35953),
+	.A2(n_9838),
+	.B1(n_259784_BAR),
+	.B2(brqrv_top_brqrv_dbg_cmd_wrdata[28]),
+	.C1(n_9839),
+	.C2(brqrv_top_brqrv_ifu_i0_pc[28]),
+	.Y(n_10013), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g679507 (
+	.A1(n_35952),
+	.A2(n_9838),
+	.B1(n_259784_BAR),
+	.B2(brqrv_top_brqrv_dbg_cmd_wrdata[27]),
+	.C1(n_9839),
+	.C2(brqrv_top_brqrv_ifu_i0_pc[27]),
+	.Y(n_10012), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g679508 (
+	.A1(n_35951),
+	.A2(n_9838),
+	.B1(n_259784_BAR),
+	.B2(brqrv_top_brqrv_dbg_cmd_wrdata[26]),
+	.C1(n_9839),
+	.C2(brqrv_top_brqrv_ifu_i0_pc[26]),
+	.Y(n_10011), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g679509 (
+	.A1(n_9839),
+	.A2(brqrv_top_brqrv_ifu_i0_pc[25]),
+	.B1(n_259784_BAR),
+	.B2(brqrv_top_brqrv_dbg_cmd_wrdata[25]),
+	.C1(n_9895),
+	.Y(n_10010), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g679510 (
+	.A1(n_259784_BAR),
+	.A2(brqrv_top_brqrv_dbg_cmd_wrdata[24]),
+	.B1(n_9839),
+	.B2(brqrv_top_brqrv_ifu_i0_pc[24]),
+	.C1(n_9894),
+	.Y(n_10009), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g679511 (
+	.A1(n_35950),
+	.A2(n_9838),
+	.B1(n_259784_BAR),
+	.B2(brqrv_top_brqrv_dbg_cmd_wrdata[23]),
+	.C1(n_9839),
+	.C2(brqrv_top_brqrv_ifu_i0_pc[23]),
+	.Y(n_10008), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g679512 (
+	.A(n_35510),
+	.B(n_9861),
+	.C(n_8398),
+	.Y(n_10007), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g679513 (
+	.A1(n_259784_BAR),
+	.A2(brqrv_top_brqrv_dbg_cmd_wrdata[21]),
+	.B1(n_9839),
+	.B2(brqrv_top_brqrv_ifu_i0_pc[21]),
+	.C1(n_9893),
+	.Y(n_10006), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g679514 (
+	.A1(n_9839),
+	.A2(brqrv_top_brqrv_ifu_i0_pc[20]),
+	.B1(n_259784_BAR),
+	.B2(brqrv_top_brqrv_dbg_cmd_wrdata[20]),
+	.C1(n_9892),
+	.Y(n_10005), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g679515 (
+	.A1(n_259784_BAR),
+	.A2(brqrv_top_brqrv_dbg_cmd_wrdata[19]),
+	.B1(n_9839),
+	.B2(brqrv_top_brqrv_ifu_i0_pc[19]),
+	.C1(n_9891),
+	.Y(n_10004), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g679516 (
+	.A1(n_9839),
+	.A2(brqrv_top_brqrv_ifu_i0_pc[18]),
+	.B1(n_259784_BAR),
+	.B2(brqrv_top_brqrv_dbg_cmd_wrdata[18]),
+	.C1(n_9889),
+	.Y(n_10003), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g679517 (
+	.A1(n_35948),
+	.A2(n_9838),
+	.B1(n_259784_BAR),
+	.B2(brqrv_top_brqrv_dbg_cmd_wrdata[17]),
+	.C1(n_9839),
+	.C2(brqrv_top_brqrv_ifu_i0_pc[17]),
+	.Y(n_10002), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g679518 (
+	.A1(n_9839),
+	.A2(brqrv_top_brqrv_ifu_i0_pc[16]),
+	.B1(n_259784_BAR),
+	.B2(brqrv_top_brqrv_dbg_cmd_wrdata[16]),
+	.C1(n_9888),
+	.Y(n_10001), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g679519 (
+	.A1(n_9839),
+	.A2(brqrv_top_brqrv_ifu_i0_pc[15]),
+	.B1(n_259784_BAR),
+	.B2(brqrv_top_brqrv_dbg_cmd_wrdata[15]),
+	.C1(n_9905),
+	.Y(n_10000), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g679520 (
+	.A1(n_35947),
+	.A2(n_9838),
+	.B1(n_259784_BAR),
+	.B2(brqrv_top_brqrv_dbg_cmd_wrdata[14]),
+	.C1(n_9839),
+	.C2(brqrv_top_brqrv_ifu_i0_pc[14]),
+	.Y(n_9999), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g679521 (
+	.A1(brqrv_top_brqrv_ifu_i0_pc[13]),
+	.A2(n_9839),
+	.B1(n_9838),
+	.B2(n_35946),
+	.C1(n_259784_BAR),
+	.C2(brqrv_top_brqrv_dbg_cmd_wrdata[13]),
+	.Y(n_9998), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g679522 (
+	.A1(n_259784_BAR),
+	.A2(brqrv_top_brqrv_dbg_cmd_wrdata[12]),
+	.B1(n_9839),
+	.B2(brqrv_top_brqrv_ifu_i0_pc[12]),
+	.C1(n_9904),
+	.Y(n_9997), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g679523 (
+	.A1(n_259784_BAR),
+	.A2(brqrv_top_brqrv_dbg_cmd_wrdata[11]),
+	.B1(n_9839),
+	.B2(brqrv_top_brqrv_ifu_i0_pc[11]),
+	.C1(n_9903),
+	.Y(n_9996), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g679524 (
+	.A1(n_35944),
+	.A2(n_9838),
+	.B1(n_259784_BAR),
+	.B2(brqrv_top_brqrv_dbg_cmd_wrdata[10]),
+	.C1(n_9839),
+	.C2(brqrv_top_brqrv_ifu_i0_pc[10]),
+	.Y(n_9995), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g679525 (
+	.A1(n_35943),
+	.A2(n_9838),
+	.B1(n_259784_BAR),
+	.B2(brqrv_top_brqrv_dbg_cmd_wrdata[9]),
+	.C1(n_9839),
+	.C2(brqrv_top_brqrv_ifu_i0_pc[9]),
+	.Y(n_9994), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g679526 (
+	.A1(n_9839),
+	.A2(brqrv_top_brqrv_ifu_i0_pc[8]),
+	.B1(n_259784_BAR),
+	.B2(brqrv_top_brqrv_dbg_cmd_wrdata[8]),
+	.C1(n_9902),
+	.Y(n_9993), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g679527 (
+	.A1(n_259784_BAR),
+	.A2(brqrv_top_brqrv_dbg_cmd_wrdata[6]),
+	.B1(n_9839),
+	.B2(brqrv_top_brqrv_ifu_i0_pc[6]),
+	.C1(n_9901),
+	.Y(n_9992), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g679528 (
+	.A1(n_259784_BAR),
+	.A2(brqrv_top_brqrv_dbg_cmd_wrdata[5]),
+	.B1(n_9839),
+	.B2(brqrv_top_brqrv_ifu_i0_pc[5]),
+	.C1(n_9900),
+	.Y(n_9991), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g679529 (
+	.A1(n_9690),
+	.A2(n_9158),
+	.B1(n_9953),
+	.Y(n_10035), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g679530 (
+	.A1(brqrv_top_brqrv_dec_i0_result_r[16]),
+	.A2(n_9855),
+	.B1(n_9854),
+	.B2(n_36295),
+	.C1(n_36007),
+	.C2(n_9689),
+	.Y(n_9990), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g679531 (
+	.A1(n_9690),
+	.A2(n_9264),
+	.B1(n_9943),
+	.Y(n_10033), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g679532 (
+	.A1(n_9690),
+	.A2(n_9266),
+	.B1(n_9944),
+	.Y(n_10032), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679533 (
+	.A(n_9846),
+	.B(n_9950),
+	.Y(brqrv_top_brqrv_exu_n_914), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679534 (
+	.A(n_9847),
+	.B(n_9951),
+	.Y(brqrv_top_brqrv_exu_n_915), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g679535 (
+	.A1(n_9855),
+	.A2(brqrv_top_brqrv_dec_i0_result_r[0]),
+	.B1(n_35991),
+	.B2(n_9689),
+	.C1(n_9881),
+	.X(n_10030), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g679536 (
+	.A1(n_9690),
+	.A2(n_9265),
+	.B1(n_9957),
+	.Y(n_10029), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g679537 (
+	.A1(n_9690),
+	.A2(n_9155),
+	.B1(n_33876),
+	.B2(n_9853),
+	.C1(n_9920),
+	.Y(n_34719), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679538 (
+	.A(n_9952),
+	.B(n_9848),
+	.Y(n_10027), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g679543 (
+	.A(n_34755),
+	.Y(n_9987), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g679549 (
+	.A(n_9973),
+	.Y(n_8139), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g679561 (
+	.A(n_8100),
+	.Y(n_9984), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g679562 (
+	.A(n_9982),
+	.Y(n_9983), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g679563 (
+	.A(n_9981),
+	.Y(n_34059), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g679564 (
+	.A(n_9980),
+	.Y(n_34057), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__bufinv_8 g679565 (
+	.A(n_9979),
+	.Y(n_9978), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g679566 (
+	.A1(n_34915),
+	.A2(n_34561),
+	.B1(n_9764),
+	.C1(n_9928),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679567 (
+	.A(n_35989),
+	.B(n_9640),
+	.Y(n_9989), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679568 (
+	.A(n_9841),
+	.B(n_35989),
+	.Y(n_9977), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g679569 (
+	.A1(n_35491),
+	.A2(n_34561),
+	.B1(n_9759),
+	.C1(n_9923),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g679570 (
+	.A1(n_34830),
+	.A2(n_34561),
+	.B1(n_9760),
+	.C1(n_9924),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g679571 (
+	.A1(n_34918),
+	.A2(n_34561),
+	.B1(n_9761),
+	.C1(n_9925),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g679572 (
+	.A1(n_34831),
+	.A2(n_34561),
+	.B1(n_9762),
+	.C1(n_9926),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679573 (
+	.A(n_34781),
+	.B(n_8358),
+	.Y(n_9976), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g679574 (
+	.A1(n_259784_BAR),
+	.A2(brqrv_top_brqrv_dbg_cmd_wrdata[0]),
+	.B1_N(n_34749),
+	.Y(n_9975), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g679575 (
+	.A1(n_35487),
+	.A2(n_34561),
+	.B1(n_9763),
+	.C1(n_9927),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g679576 (
+	.A1(n_35486),
+	.A2(n_34561),
+	.B1(n_9797),
+	.C1(n_9922),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g679577 (
+	.A1(n_35483),
+	.A2(n_34561),
+	.B1(n_9751),
+	.C1(n_9910),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g679578 (
+	.A1(n_34837),
+	.A2(n_34561),
+	.B1(n_9756),
+	.C1(n_9938),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g679579 (
+	.A1(n_34911),
+	.A2(n_34561),
+	.B1(n_9755),
+	.C1(n_9937),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g679580 (
+	.A1(n_35492),
+	.A2(n_34561),
+	.B1(n_9754),
+	.C1(n_9935),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g679581 (
+	.A1(n_35485),
+	.A2(n_34561),
+	.B1(n_9753),
+	.C1(n_9936),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g679582 (
+	.A1(n_34829),
+	.A2(n_34561),
+	.B1(n_9752),
+	.C1(n_9934),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g679583 (
+	.A1(n_34913),
+	.A2(n_34561),
+	.B1(n_9739),
+	.C1(n_9933),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g679584 (
+	.A1(n_35488),
+	.A2(n_34561),
+	.B1(n_9738),
+	.C1(n_9932),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g679585 (
+	.A1(n_34832),
+	.A2(n_34561),
+	.B1(n_9767),
+	.C1(n_9931),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g679586 (
+	.A1(n_34835),
+	.A2(n_34561),
+	.B1(n_9766),
+	.C1(n_9930),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g679587 (
+	.A1(n_34833),
+	.A2(n_34561),
+	.B1(n_9765),
+	.C1(n_9929),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g679588 (
+	.A1(n_36329),
+	.A2(n_9828),
+	.B1(n_9668),
+	.B2(brqrv_top_brqrv_dec_i0_result_r[31]),
+	.C1(n_36022),
+	.C2(n_8088),
+	.Y(n_9974), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679589 (
+	.A(n_9850),
+	.B(n_9941),
+	.Y(n_34776), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g679590 (
+	.A1(n_35535),
+	.A2(n_9790),
+	.B1(n_9942),
+	.Y(n_34754), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679591 (
+	.A(n_9908),
+	.B(n_9831),
+	.Y(brqrv_top_brqrv_exu_n_892), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g679592 (
+	.A1(n_9219),
+	.A2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_m_in[4]),
+	.B1(n_9878),
+	.Y(brqrv_top_brqrv_lsu_lsu_pkt_d[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679593 (
+	.A(n_9677),
+	.B(n_9872),
+	.Y(brqrv_top_brqrv_exu_n_894), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679594 (
+	.A(n_9676),
+	.B(n_9884),
+	.Y(n_9988), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g679595 (
+	.A1(n_35535),
+	.A2(n_9842),
+	.B1_N(n_9796),
+	.Y(n_34755), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g679596 (
+	.A1(n_35535),
+	.A2(n_8134),
+	.B1(n_9940),
+	.Y(n_34763), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679597 (
+	.A(n_9678),
+	.B(n_9873),
+	.Y(brqrv_top_brqrv_exu_n_895), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679598 (
+	.A(n_9697),
+	.B(n_9907),
+	.Y(brqrv_top_brqrv_exu_n_896), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679599 (
+	.A(n_9683),
+	.B(n_9877),
+	.Y(n_9973), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679600 (
+	.A(n_9682),
+	.B(n_9876),
+	.Y(brqrv_top_brqrv_exu_n_903), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g679603 (
+	.A(n_9679),
+	.B(n_9874),
+	.Y(brqrv_top_brqrv_exu_n_898), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679604 (
+	.A(n_9684),
+	.B(n_9866),
+	.Y(n_9985), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g679605 (
+	.A(n_33985),
+	.B(n_34034),
+	.X(n_34058), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g679606 (
+	.A(n_33867),
+	.B(n_34034),
+	.X(n_34056), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g679608 (
+	.A(n_9887),
+	.B(n_31450),
+	.C(n_36250),
+	.Y(n_9982), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_2 g679609 (
+	.A(n_9837),
+	.B(n_34034),
+	.Y(n_9981), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_2 g679610 (
+	.A(n_34034),
+	.B(n_9841),
+	.Y(n_9980), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g679611 (
+	.A(n_9887),
+	.B(brqrv_top_brqrv_dec_i0_rs2_en_d),
+	.Y(n_9979), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g679614 (
+	.A(brqrv_top_brqrv_exu_n_904),
+	.Y(n_9962), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g679615 (
+	.A(n_9961),
+	.Y(brqrv_top_brqrv_exu_n_887), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_2 g679624 (
+	.A(brqrv_top_brqrv_exu_n_910),
+	.Y(n_9960), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g679625 (
+	.A(n_9959),
+	.Y(n_9958), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g679626 (
+	.A1(n_9854),
+	.A2(n_36299),
+	.B1(n_9855),
+	.B2(brqrv_top_brqrv_dec_i0_result_r[18]),
+	.Y(n_9957), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g679627 (
+	.A1(n_34890),
+	.A2(n_34561),
+	.B1(n_9750),
+	.C1(n_9919),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g679628 (
+	.A1(n_34905),
+	.A2(n_34561),
+	.B1(n_9749),
+	.C1(n_9918),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g679629 (
+	.A1(n_34907),
+	.A2(n_34561),
+	.B1(n_9748),
+	.C1(n_9917),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g679630 (
+	.A1(n_35484),
+	.A2(n_34561),
+	.B1(n_9747),
+	.C1(n_9916),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g679631 (
+	.A1(n_35490),
+	.A2(n_34561),
+	.B1(n_9746),
+	.C1(n_9915),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g679632 (
+	.A1(n_34834),
+	.A2(n_34561),
+	.B1(n_9745),
+	.C1(n_9914),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g679633 (
+	.A1(n_34891),
+	.A2(n_34561),
+	.B1(n_9744),
+	.C1(n_9913),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g679634 (
+	.A1(n_35494),
+	.A2(n_34561),
+	.B1(n_9743),
+	.C1(n_9912),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g679635 (
+	.A1(n_34836),
+	.A2(n_34561),
+	.B1(n_9742),
+	.C1(n_9911),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g679636 (
+	.A1(n_34838),
+	.A2(n_34561),
+	.B1(n_9741),
+	.C1(n_9939),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g679637 (
+	.A1(n_34909),
+	.A2(n_34561),
+	.B1(n_9740),
+	.C1(n_9883),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111oi_0 g679638 (
+	.A1(n_9653),
+	.A2(n_1790),
+	.B1(brqrv_top_brqrv_dec_dec_csr_wrdata_r[28]),
+	.C1(n_9836),
+	.D1(n_9832),
+	.Y(n_9956), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g679639 (
+	.A1_N(n_36285),
+	.A2_N(n_9854),
+	.B1(n_9690),
+	.B2(n_9157),
+	.Y(n_9955), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679640 (
+	.A(n_9880),
+	.B(n_9786),
+	.Y(n_9954), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g679641 (
+	.A1(n_9854),
+	.A2(n_36294),
+	.B1(n_9855),
+	.B2(brqrv_top_brqrv_dec_i0_result_r[15]),
+	.Y(n_9953), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g679642 (
+	.A1(n_36328),
+	.A2(n_9828),
+	.B1(n_9668),
+	.B2(brqrv_top_brqrv_dec_i0_result_r[30]),
+	.C1(n_36021),
+	.C2(n_8088),
+	.Y(n_9952), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g679643 (
+	.A1(n_36324),
+	.A2(n_9828),
+	.B1(n_9668),
+	.B2(brqrv_top_brqrv_dec_i0_result_r[29]),
+	.C1(n_36020),
+	.C2(n_8088),
+	.Y(n_9951), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g679644 (
+	.A1(n_36321),
+	.A2(n_9828),
+	.B1(n_9668),
+	.B2(brqrv_top_brqrv_dec_i0_result_r[28]),
+	.C1(n_36019),
+	.C2(n_8088),
+	.Y(n_9950), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g679645 (
+	.A1(n_36320),
+	.A2(n_9828),
+	.B1(n_9668),
+	.B2(brqrv_top_brqrv_dec_i0_result_r[27]),
+	.C1(n_36018),
+	.C2(n_8088),
+	.Y(n_9949), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g679646 (
+	.A1(n_36316),
+	.A2(n_9828),
+	.B1(n_9668),
+	.B2(brqrv_top_brqrv_dec_i0_result_r[26]),
+	.C1(n_36017),
+	.C2(n_8088),
+	.Y(n_9948), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g679647 (
+	.A1(n_36312),
+	.A2(n_9828),
+	.B1(n_9668),
+	.B2(brqrv_top_brqrv_dec_i0_result_r[25]),
+	.C1(n_36016),
+	.C2(n_8088),
+	.Y(n_9947), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g679648 (
+	.A1(n_36307),
+	.A2(n_9828),
+	.B1(n_9668),
+	.B2(brqrv_top_brqrv_dec_i0_result_r[23]),
+	.C1(n_36014),
+	.C2(n_8088),
+	.Y(n_9946), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g679649 (
+	.A1(n_36294),
+	.A2(n_9828),
+	.B1(n_9668),
+	.B2(brqrv_top_brqrv_dec_i0_result_r[15]),
+	.C1(n_36006),
+	.C2(n_8088),
+	.Y(n_9945), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g679650 (
+	.A1(n_36282),
+	.A2(n_9828),
+	.B1(n_9668),
+	.B2(brqrv_top_brqrv_dec_i0_result_r[3]),
+	.C1(n_35994),
+	.C2(n_8088),
+	.Y(n_9972), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g679651 (
+	.A1(n_9854),
+	.A2(n_36302),
+	.B1(n_9855),
+	.B2(brqrv_top_brqrv_dec_i0_result_r[20]),
+	.Y(n_9944), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g679652 (
+	.A1(n_9854),
+	.A2(n_36301),
+	.B1(n_9855),
+	.B2(brqrv_top_brqrv_dec_i0_result_r[19]),
+	.Y(n_9943), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g679653 (
+	.A1(n_9828),
+	.A2(n_36281),
+	.B1(n_35993),
+	.B2(n_8088),
+	.C1(n_9813),
+	.Y(n_9971), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679654 (
+	.A(n_9859),
+	.B(n_9906),
+	.Y(brqrv_top_brqrv_exu_n_897), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g679655 (
+	.A1(n_9854),
+	.A2(n_36290),
+	.B1(n_36002),
+	.B2(n_9689),
+	.Y(n_9970), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679656 (
+	.A(n_9669),
+	.B(n_9909),
+	.Y(brqrv_top_brqrv_exu_n_890), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g679657 (
+	.A1(n_9641),
+	.A2(n_9155),
+	.B1(n_33876),
+	.B2(n_9829),
+	.C1(n_9784),
+	.Y(n_9969), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g679658 (
+	.A1(n_9854),
+	.A2(n_36282),
+	.B1(n_9855),
+	.B2(brqrv_top_brqrv_dec_i0_result_r[3]),
+	.Y(n_9968), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g679659 (
+	.A1_N(n_36281),
+	.A2_N(n_9854),
+	.B1(n_9690),
+	.B2(n_9156),
+	.Y(n_9967), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g679661 (
+	.A1(n_9855),
+	.A2(brqrv_top_brqrv_dec_i0_result_r[23]),
+	.B1(n_36014),
+	.B2(n_9689),
+	.Y(n_9966), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g679662 (
+	.A1(n_9854),
+	.A2(n_36306),
+	.B1(n_9855),
+	.B2(brqrv_top_brqrv_dec_i0_result_r[22]),
+	.X(n_9965), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g679663 (
+	.A1(n_9854),
+	.A2(n_36316),
+	.B1(n_9855),
+	.B2(brqrv_top_brqrv_dec_i0_result_r[26]),
+	.Y(n_9964), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o41ai_1 g679664 (
+	.A1(n_8084),
+	.A2(n_35706),
+	.A3(n_36248),
+	.A4(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_m_in[4]),
+	.B1(n_9870),
+	.Y(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_m_in[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g679665 (
+	.A1(n_9854),
+	.A2(n_36320),
+	.B1(n_36018),
+	.B2(n_9689),
+	.Y(n_9963), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679666 (
+	.A(n_9664),
+	.B(n_9871),
+	.Y(brqrv_top_brqrv_exu_n_904), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_2 g679667 (
+	.A1(n_9639),
+	.A2(n_8132),
+	.B1(n_9882),
+	.X(n_9961), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679668 (
+	.A(n_9665),
+	.B(n_9863),
+	.Y(brqrv_top_brqrv_exu_n_902), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679670 (
+	.A(n_9686),
+	.B(n_9865),
+	.Y(brqrv_top_brqrv_exu_n_906), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g679671 (
+	.A(n_9685),
+	.B(n_9867),
+	.Y(brqrv_top_brqrv_exu_n_910), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g679672 (
+	.A(n_9887),
+	.B(n_8090),
+	.C(brqrv_top_brqrv_dec_dec_i0_instr_d[31]),
+	.Y(n_9959), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679675 (
+	.A(n_9856),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[22]),
+	.Y(n_9939), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679676 (
+	.A(n_9856),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[18]),
+	.Y(n_9938), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679677 (
+	.A(n_9856),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[17]),
+	.Y(n_9937), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679678 (
+	.A(n_9856),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[15]),
+	.Y(n_9936), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679679 (
+	.A(n_9856),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[16]),
+	.Y(n_9935), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679680 (
+	.A(n_9856),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[14]),
+	.Y(n_9934), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679681 (
+	.A(n_9856),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[13]),
+	.Y(n_9933), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679682 (
+	.A(n_9856),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[12]),
+	.Y(n_9932), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679683 (
+	.A(n_9856),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[11]),
+	.Y(n_9931), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679684 (
+	.A(n_9856),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[10]),
+	.Y(n_9930), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679685 (
+	.A(n_9856),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[9]),
+	.Y(n_9929), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679686 (
+	.A(n_9856),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[8]),
+	.Y(n_9928), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679687 (
+	.A(n_9856),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[7]),
+	.Y(n_9927), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679688 (
+	.A(n_9856),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[6]),
+	.Y(n_9926), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679689 (
+	.A(n_9856),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[5]),
+	.Y(n_9925), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679690 (
+	.A(n_9856),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[4]),
+	.Y(n_9924), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679691 (
+	.A(n_9856),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[3]),
+	.Y(n_9923), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679692 (
+	.A(n_9856),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[20]),
+	.Y(n_9922), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679693 (
+	.A(n_35975),
+	.B(n_9841),
+	.Y(n_9921), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679694 (
+	.A(n_9855),
+	.B(brqrv_top_brqrv_dec_i0_result_r[7]),
+	.Y(n_9920), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679695 (
+	.A(n_9856),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[31]),
+	.Y(n_9919), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679696 (
+	.A(n_9856),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[30]),
+	.Y(n_9918), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679697 (
+	.A(n_9856),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[29]),
+	.Y(n_9917), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679698 (
+	.A(n_9856),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[28]),
+	.Y(n_9916), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679699 (
+	.A(n_9856),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[27]),
+	.Y(n_9915), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679700 (
+	.A(n_9856),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[26]),
+	.Y(n_9914), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679701 (
+	.A(n_9856),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[25]),
+	.Y(n_9913), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679702 (
+	.A(n_9856),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[24]),
+	.Y(n_9912), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679703 (
+	.A(n_9856),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[23]),
+	.Y(n_9911), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679704 (
+	.A(n_9856),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[19]),
+	.Y(n_9910), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679705 (
+	.A(n_9838),
+	.B(n_35943),
+	.Y(n_34779), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679706 (
+	.A(n_9838),
+	.B(n_35941),
+	.Y(n_34781), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679708 (
+	.A(n_9838),
+	.B(n_35936),
+	.Y(n_34747), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679710 (
+	.A(n_9838),
+	.B(n_35990),
+	.Y(n_34749), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679711 (
+	.A(n_9855),
+	.B(brqrv_top_brqrv_dec_i0_result_r[2]),
+	.Y(n_9942), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679712 (
+	.A(n_9855),
+	.B(brqrv_top_brqrv_dec_i0_result_r[11]),
+	.Y(n_9941), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679713 (
+	.A(n_9855),
+	.B(brqrv_top_brqrv_dec_i0_result_r[27]),
+	.Y(n_9940), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679715 (
+	.A(n_9838),
+	.B(n_35954),
+	.Y(n_34761), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679716 (
+	.A(n_9838),
+	.B(n_35953),
+	.Y(n_34762), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679717 (
+	.A(n_9838),
+	.B(n_35952),
+	.Y(n_34764), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679718 (
+	.A(n_9838),
+	.B(n_35951),
+	.Y(n_35507), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679720 (
+	.A(n_9838),
+	.B(n_35949),
+	.Y(n_35510), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679721 (
+	.A(n_9838),
+	.B(n_35948),
+	.Y(n_35525), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679722 (
+	.A(n_9838),
+	.B(n_35947),
+	.Y(n_34773), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679723 (
+	.A(n_9838),
+	.B(n_35946),
+	.Y(n_34774), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g679725 (
+	.A(n_34772),
+	.Y(n_9905), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g679727 (
+	.A(n_34777),
+	.Y(n_9903), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g679728 (
+	.A(n_34780),
+	.Y(n_9902), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g679729 (
+	.A(n_34744),
+	.Y(n_9901), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g679730 (
+	.A(n_34745),
+	.Y(n_9900), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g679731 (
+	.A(n_34746),
+	.Y(n_9899), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g679732 (
+	.A(n_9898),
+	.Y(n_9897), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g679733 (
+	.A(n_34760),
+	.Y(n_9896), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g679734 (
+	.A(n_34766),
+	.Y(n_9895), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g679735 (
+	.A(n_34767),
+	.Y(n_9894), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g679736 (
+	.A(n_34769),
+	.Y(n_9893), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g679738 (
+	.A(n_34750),
+	.Y(n_9891), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g679739 (
+	.A(n_43253),
+	.Y(n_9890), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g679745 (
+	.A(n_9886),
+	.Y(n_34055), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g679746 (
+	.A(brqrv_top_brqrv_dma_dccm_req),
+	.Y(n_35388), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g679747 (
+	.A1(n_36284),
+	.A2(n_9828),
+	.B1(n_9668),
+	.B2(brqrv_top_brqrv_dec_i0_result_r[5]),
+	.C1(n_35996),
+	.C2(n_8088),
+	.Y(n_9884), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679748 (
+	.A(n_9856),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[21]),
+	.Y(n_9883), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g679749 (
+	.A1(n_36280),
+	.A2(n_9828),
+	.B1(n_9668),
+	.B2(brqrv_top_brqrv_dec_i0_result_r[1]),
+	.C1(n_35992),
+	.C2(n_8088),
+	.Y(n_9882), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g679750 (
+	.A(n_9853),
+	.B_N(n_36279),
+	.Y(n_9881), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679751 (
+	.A(n_9842),
+	.B(brqrv_top_brqrv_i0_ap[7]),
+	.Y(n_9880), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679752 (
+	.A(n_9833),
+	.B(n_9786),
+	.Y(n_9879), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g679753 (
+	.A1(n_36283),
+	.A2(n_9828),
+	.B1(n_9668),
+	.B2(brqrv_top_brqrv_dec_i0_result_r[4]),
+	.C1(n_35995),
+	.C2(n_8088),
+	.Y(n_9909), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g679754 (
+	.A1(n_8974),
+	.A2(n_8435),
+	.B1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_m_in[4]),
+	.Y(n_9878), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g679755 (
+	.A1(n_36304),
+	.A2(n_9828),
+	.B1(n_9668),
+	.B2(brqrv_top_brqrv_dec_i0_result_r[21]),
+	.C1(n_36012),
+	.C2(n_8088),
+	.Y(n_9877), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g679756 (
+	.A1(n_36297),
+	.A2(n_9828),
+	.B1(n_9668),
+	.B2(brqrv_top_brqrv_dec_i0_result_r[17]),
+	.C1(n_36008),
+	.C2(n_8088),
+	.Y(n_9876), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g679757 (
+	.A1(n_36292),
+	.A2(n_9828),
+	.B1(n_9668),
+	.B2(brqrv_top_brqrv_dec_i0_result_r[13]),
+	.C1(n_36004),
+	.C2(n_8088),
+	.Y(n_9875), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g679758 (
+	.A1(n_36291),
+	.A2(n_9828),
+	.B1(n_9668),
+	.B2(brqrv_top_brqrv_dec_i0_result_r[12]),
+	.C1(n_36003),
+	.C2(n_8088),
+	.Y(n_9874), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g679759 (
+	.A1(n_36287),
+	.A2(n_9828),
+	.B1(n_9668),
+	.B2(brqrv_top_brqrv_dec_i0_result_r[9]),
+	.C1(n_36000),
+	.C2(n_8088),
+	.Y(n_9873), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g679760 (
+	.A1(n_36286),
+	.A2(n_9828),
+	.B1(n_9668),
+	.B2(brqrv_top_brqrv_dec_i0_result_r[8]),
+	.C1(n_35999),
+	.C2(n_8088),
+	.Y(n_9872), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g679761 (
+	.A1(n_36285),
+	.A2(n_9828),
+	.B1(n_9668),
+	.B2(brqrv_top_brqrv_dec_i0_result_r[6]),
+	.C1(n_35997),
+	.C2(n_8088),
+	.Y(n_9908), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g679762 (
+	.A1(n_36299),
+	.A2(n_9828),
+	.B1(n_9668),
+	.B2(brqrv_top_brqrv_dec_i0_result_r[18]),
+	.C1(n_36009),
+	.C2(n_8088),
+	.Y(n_9871), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g679763 (
+	.A1(n_36288),
+	.A2(n_9828),
+	.B1(n_9668),
+	.B2(brqrv_top_brqrv_dec_i0_result_r[10]),
+	.C1(n_36001),
+	.C2(n_8088),
+	.Y(n_9907), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g679764 (
+	.A_N(n_33858),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_m_in[4]),
+	.C(brqrv_top_brqrv_dma_mem_sz[0]),
+	.Y(n_9870), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g679765 (
+	.A1(n_8134),
+	.A2(brqrv_top_brqrv_i0_ap[7]),
+	.B1(n_9787),
+	.Y(n_9869), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g679766 (
+	.A1(n_9667),
+	.A2(n_9816),
+	.B1(n_9786),
+	.Y(n_9868), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g679767 (
+	.A1(n_36308),
+	.A2(n_9828),
+	.B1(n_9668),
+	.B2(brqrv_top_brqrv_dec_i0_result_r[24]),
+	.C1(n_36015),
+	.C2(n_8088),
+	.Y(n_9867), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g679768 (
+	.A1(n_36306),
+	.A2(n_9828),
+	.B1(n_9668),
+	.B2(brqrv_top_brqrv_dec_i0_result_r[22]),
+	.C1(n_36013),
+	.C2(n_8088),
+	.Y(n_9866), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g679769 (
+	.A1(n_36302),
+	.A2(n_9828),
+	.B1(n_9668),
+	.B2(brqrv_top_brqrv_dec_i0_result_r[20]),
+	.C1(n_36011),
+	.C2(n_8088),
+	.Y(n_9865), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g679770 (
+	.A1(n_36301),
+	.A2(n_9828),
+	.B1(n_9668),
+	.B2(brqrv_top_brqrv_dec_i0_result_r[19]),
+	.C1(n_36010),
+	.C2(n_8088),
+	.Y(n_9864), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g679771 (
+	.A1(n_36295),
+	.A2(n_9828),
+	.B1(n_9668),
+	.B2(brqrv_top_brqrv_dec_i0_result_r[16]),
+	.C1(n_36007),
+	.C2(n_8088),
+	.Y(n_9863), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g679772 (
+	.A1(n_36293),
+	.A2(n_9828),
+	.B1(n_9668),
+	.B2(brqrv_top_brqrv_dec_i0_result_r[14]),
+	.C1(n_36005),
+	.C2(n_8088),
+	.Y(n_9862), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g679773 (
+	.A1(n_36290),
+	.A2(n_9828),
+	.B1(n_9668),
+	.B2(brqrv_top_brqrv_dec_i0_result_r[11]),
+	.C1(n_36002),
+	.C2(n_8088),
+	.Y(n_9906), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679774 (
+	.A(n_9839),
+	.B(brqrv_top_brqrv_ifu_i0_pc[22]),
+	.Y(n_9861), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679775 (
+	.A(n_9838),
+	.B(n_9595),
+	.Y(n_34772), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679776 (
+	.A(n_9596),
+	.B(n_9837),
+	.Y(n_9904), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679777 (
+	.A(n_9838),
+	.B(n_35945),
+	.Y(n_34777), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679778 (
+	.A(n_9838),
+	.B(n_35942),
+	.Y(n_34780), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679779 (
+	.A(n_9838),
+	.B(n_35940),
+	.Y(n_34744), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679780 (
+	.A(n_9838),
+	.B(n_35939),
+	.Y(n_34745), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679781 (
+	.A(n_9838),
+	.B(n_35937),
+	.Y(n_34746), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g679782 (
+	.A1(n_36279),
+	.A2(n_9828),
+	.B1(n_9668),
+	.B2(brqrv_top_brqrv_dec_i0_result_r[0]),
+	.C1(n_35991),
+	.C2(n_8088),
+	.Y(n_9898), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679783 (
+	.A(n_9838),
+	.B(n_35955),
+	.Y(n_34760), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679784 (
+	.A(n_9838),
+	.B(n_9617),
+	.Y(n_34766), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679785 (
+	.A(n_9838),
+	.B(n_9616),
+	.Y(n_34767), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679786 (
+	.A(n_9838),
+	.B(n_9615),
+	.Y(n_34769), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679787 (
+	.A(n_9614),
+	.B(n_9837),
+	.Y(n_9892), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679788 (
+	.A(n_9838),
+	.B(n_9592),
+	.Y(n_34750), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g679789 (
+	.A(n_36245),
+	.B(n_8435),
+	.C(brqrv_top_brqrv_dec_lsu_valid_raw_d),
+	.Y(brqrv_top_brqrv_lsu_lsu_pkt_d[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679791 (
+	.A(n_9593),
+	.B(n_9837),
+	.Y(n_9889), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679792 (
+	.A(n_9594),
+	.B(n_9837),
+	.Y(n_9888), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_4 g679793 (
+	.A(n_9835),
+	.B(n_8091),
+	.Y(n_35989), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679794 (
+	.A(n_9821),
+	.B(n_9854),
+	.Y(n_9887), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679795 (
+	.A(brqrv_top_brqrv_dec_lsu_valid_raw_d),
+	.B(n_9400),
+	.Y(n_34034), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_2 g679796 (
+	.A(n_36243),
+	.B(n_9263),
+	.C(brqrv_top_brqrv_dec_extint_stall),
+	.X(n_9886), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679797 (
+	.A(n_9656),
+	.B(brqrv_top_brqrv_dec_lsu_valid_raw_d),
+	.Y(brqrv_top_brqrv_dma_dccm_req), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g679798 (
+	.A(brqrv_top_brqrv_dec_lsu_valid_raw_d),
+	.Y(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_m_in[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g679799 (
+	.A(n_9856),
+	.Y(n_35284), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g679800 (
+	.A(n_9854),
+	.Y(n_9853), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679801 (
+	.A(n_9818),
+	.B(n_8133),
+	.Y(n_9860), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679802 (
+	.A(n_9397),
+	.B(n_9830),
+	.Y(n_9852), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679804 (
+	.A(n_8135),
+	.B(n_9640),
+	.Y(n_9859), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679805 (
+	.A(n_8135),
+	.B(n_8133),
+	.Y(n_9850), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679806 (
+	.A(n_8135),
+	.B(n_9789),
+	.Y(n_9849), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679807 (
+	.A(n_35984),
+	.B(n_9640),
+	.Y(n_9848), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679808 (
+	.A(n_9819),
+	.B(n_9640),
+	.Y(n_9847), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679809 (
+	.A(n_8138),
+	.B(n_9640),
+	.Y(n_9846), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679810 (
+	.A(n_9819),
+	.B(n_8133),
+	.Y(n_9858), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679811 (
+	.A(n_8138),
+	.B(n_8133),
+	.Y(n_9845), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679812 (
+	.A(n_9815),
+	.B(n_9640),
+	.Y(n_9844), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679813 (
+	.A(n_9816),
+	.B(n_9640),
+	.Y(n_9843), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g679814 (
+	.A(n_35397),
+	.B(n_8286),
+	.Y(brqrv_top_brqrv_dec_lsu_valid_raw_d), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g679815 (
+	.A(n_34578),
+	.B_N(n_34561),
+	.Y(n_9856), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_0 g679816 (
+	.A(n_9821),
+	.B(n_9642),
+	.X(n_9855), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 g679817 (
+	.A(n_9820),
+	.B(n_9659),
+	.X(n_9854), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g679818 (
+	.A(n_9842),
+	.Y(n_35958), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g679820 (
+	.A(n_9840),
+	.Y(n_34225), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g679821 (
+	.A(n_9838),
+	.Y(n_9837), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a41oi_1 g679822 (
+	.A1(n_9604),
+	.A2(n_1802),
+	.A3(n_1805),
+	.A4(n_1508),
+	.B1(brqrv_top_brqrv_dec_tlu_n_3024),
+	.Y(n_9836), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g679823 (
+	.A1(n_8381),
+	.A2(n_8324),
+	.B1(n_9825),
+	.X(n_9835), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679824 (
+	.A(n_8110),
+	.B(n_9803),
+	.Y(n_9834), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g679825 (
+	.A(FE_DBTN11_n_35987),
+	.B(n_9769),
+	.C(n_9401),
+	.Y(n_9833), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g679826 (
+	.A(brqrv_top_brqrv_dec_dec_csr_wrdata_r[30]),
+	.B(brqrv_top_brqrv_dec_dec_csr_wrdata_r[7]),
+	.C(brqrv_top_brqrv_dec_dec_csr_wrdata_r[6]),
+	.D(n_9657),
+	.X(n_9832), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679827 (
+	.A(n_9811),
+	.B(n_9498),
+	.Y(n_34261), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679828 (
+	.A(n_9812),
+	.B(n_9497),
+	.Y(n_34262), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679829 (
+	.A(n_9806),
+	.B(n_9501),
+	.Y(n_34282), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679830 (
+	.A(n_9807),
+	.B(n_9500),
+	.Y(n_34278), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679831 (
+	.A(n_9809),
+	.B(n_9499),
+	.Y(n_34270), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679832 (
+	.A(n_9808),
+	.B(n_9476),
+	.Y(n_34274), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679833 (
+	.A(n_9810),
+	.B(n_9475),
+	.Y(n_34266), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_4 g679834 (
+	.A(n_9151),
+	.B(n_9805),
+	.Y(n_9842), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679836 (
+	.A(n_9802),
+	.B(n_35397),
+	.Y(n_9840), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g679837 (
+	.A(n_9829),
+	.B(n_9737),
+	.C(n_9354),
+	.X(n_9839), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3b_2 g679838 (
+	.A_N(n_35399),
+	.B(n_9829),
+	.C(n_9737),
+	.X(n_9838), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g679839 (
+	.A(n_9829),
+	.Y(n_9828), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679840 (
+	.A(n_35961),
+	.B(n_9640),
+	.Y(n_9831), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679841 (
+	.A(n_9792),
+	.B(n_9799),
+	.Y(n_9827), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679842 (
+	.A(n_1790),
+	.B(n_34658),
+	.Y(brqrv_top_brqrv_dec_tlu_dicad0h_ns[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679843 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_n_320),
+	.B(n_34658),
+	.Y(brqrv_top_brqrv_dec_tlu_dicad0h_ns[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679844 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_n_319),
+	.B(n_34658),
+	.Y(brqrv_top_brqrv_dec_tlu_dicad0h_ns[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679845 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_n_310),
+	.B(n_34658),
+	.Y(brqrv_top_brqrv_dec_tlu_dicad0h_ns[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679846 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_n_320),
+	.B(n_34663),
+	.Y(brqrv_top_brqrv_dec_tlu_dicad0_ns[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679847 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_n_319),
+	.B(n_34663),
+	.Y(brqrv_top_brqrv_dec_tlu_dicad0_ns[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679848 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_n_310),
+	.B(n_34663),
+	.Y(brqrv_top_brqrv_dec_tlu_dicad0_ns[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679849 (
+	.A(n_35960),
+	.B(n_9789),
+	.Y(n_9826), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679850 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_n_323),
+	.B(n_34658),
+	.Y(brqrv_top_brqrv_dec_tlu_dicad0h_ns[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679851 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_n_322),
+	.B(n_34658),
+	.Y(brqrv_top_brqrv_dec_tlu_dicad0h_ns[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679852 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_n_321),
+	.B(n_34658),
+	.Y(brqrv_top_brqrv_dec_tlu_dicad0h_ns[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679853 (
+	.A(brqrv_top_brqrv_dec_tlu_n_6062),
+	.B(n_34658),
+	.Y(brqrv_top_brqrv_dec_tlu_dicad0h_ns[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679854 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_n_324),
+	.B(n_34658),
+	.Y(brqrv_top_brqrv_dec_tlu_dicad0h_ns[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679855 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_n_318),
+	.B(n_34658),
+	.Y(brqrv_top_brqrv_dec_tlu_dicad0h_ns[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679856 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_n_316),
+	.B(n_34658),
+	.Y(brqrv_top_brqrv_dec_tlu_dicad0h_ns[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679857 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_n_315),
+	.B(n_34658),
+	.Y(brqrv_top_brqrv_dec_tlu_dicad0h_ns[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679858 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_n_314),
+	.B(n_34658),
+	.Y(brqrv_top_brqrv_dec_tlu_dicad0h_ns[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679859 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_n_324),
+	.B(n_34663),
+	.Y(brqrv_top_brqrv_dec_tlu_dicad0_ns[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679860 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_n_323),
+	.B(n_34663),
+	.Y(brqrv_top_brqrv_dec_tlu_dicad0_ns[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679861 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_n_322),
+	.B(n_34663),
+	.Y(brqrv_top_brqrv_dec_tlu_dicad0_ns[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679862 (
+	.A(brqrv_top_brqrv_dec_tlu_n_6062),
+	.B(n_34663),
+	.Y(brqrv_top_brqrv_dec_tlu_dicad0_ns[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679863 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_n_321),
+	.B(n_34663),
+	.Y(brqrv_top_brqrv_dec_tlu_dicad0_ns[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679864 (
+	.A(brqrv_top_brqrv_dec_tlu_n_6058),
+	.B(n_34663),
+	.Y(brqrv_top_brqrv_dec_tlu_dicad0_ns[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679865 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_n_316),
+	.B(n_34663),
+	.Y(brqrv_top_brqrv_dec_tlu_dicad0_ns[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679866 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_n_315),
+	.B(n_34663),
+	.Y(brqrv_top_brqrv_dec_tlu_dicad0_ns[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679867 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_n_313),
+	.B(n_34663),
+	.Y(brqrv_top_brqrv_dec_tlu_dicad0_ns[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679868 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_n_314),
+	.B(n_34663),
+	.Y(brqrv_top_brqrv_dec_tlu_dicad0_ns[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679869 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_n_318),
+	.B(n_34663),
+	.Y(brqrv_top_brqrv_dec_tlu_dicad0_ns[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679870 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_n_313),
+	.B(n_34658),
+	.Y(brqrv_top_brqrv_dec_tlu_dicad0h_ns[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679871 (
+	.A(brqrv_top_brqrv_dec_tlu_n_6058),
+	.B(n_34658),
+	.Y(brqrv_top_brqrv_dec_tlu_dicad0h_ns[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679872 (
+	.A(n_9384),
+	.B(n_9757),
+	.Y(n_9825), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679873 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_n_298),
+	.B(n_34658),
+	.Y(brqrv_top_brqrv_dec_tlu_dicad0h_ns[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679874 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_n_298),
+	.B(n_34663),
+	.Y(brqrv_top_brqrv_dec_tlu_dicad0_ns[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679875 (
+	.A(n_35957),
+	.B(n_9789),
+	.Y(n_9824), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679876 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_n_298),
+	.B(n_34661),
+	.Y(brqrv_top_brqrv_dec_tlu_dicad1_ns[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679877 (
+	.A(n_1805),
+	.B(n_34658),
+	.Y(brqrv_top_brqrv_dec_tlu_dicad0h_ns[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679878 (
+	.A(n_9795),
+	.B(n_8820),
+	.Y(n_9823), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679879 (
+	.A(n_9795),
+	.B(n_8818),
+	.Y(n_9822), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679880 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_n_300),
+	.B(n_34661),
+	.Y(brqrv_top_brqrv_dec_tlu_dicad1_ns[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679881 (
+	.A(n_1802),
+	.B(n_34661),
+	.Y(brqrv_top_brqrv_dec_tlu_dicad1_ns[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679882 (
+	.A(n_1808),
+	.B(n_34658),
+	.Y(brqrv_top_brqrv_dec_tlu_dicad0h_ns[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679883 (
+	.A(n_1527),
+	.B(n_34658),
+	.Y(brqrv_top_brqrv_dec_tlu_dicad0h_ns[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679884 (
+	.A(n_1518),
+	.B(n_34658),
+	.Y(brqrv_top_brqrv_dec_tlu_dicad0h_ns[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679885 (
+	.A(n_1519),
+	.B(n_34658),
+	.Y(brqrv_top_brqrv_dec_tlu_dicad0h_ns[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679886 (
+	.A(n_1514),
+	.B(n_34658),
+	.Y(brqrv_top_brqrv_dec_tlu_dicad0h_ns[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679887 (
+	.A(n_1809),
+	.B(n_34658),
+	.Y(brqrv_top_brqrv_dec_tlu_dicad0h_ns[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679888 (
+	.A(n_1808),
+	.B(n_34663),
+	.Y(brqrv_top_brqrv_dec_tlu_dicad0_ns[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679889 (
+	.A(n_1527),
+	.B(n_34663),
+	.Y(brqrv_top_brqrv_dec_tlu_dicad0_ns[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679890 (
+	.A(n_1518),
+	.B(n_34663),
+	.Y(brqrv_top_brqrv_dec_tlu_dicad0_ns[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679891 (
+	.A(n_1519),
+	.B(n_34663),
+	.Y(brqrv_top_brqrv_dec_tlu_dicad0_ns[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679892 (
+	.A(n_1514),
+	.B(n_34663),
+	.Y(brqrv_top_brqrv_dec_tlu_dicad0_ns[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679893 (
+	.A(n_1809),
+	.B(n_34663),
+	.Y(brqrv_top_brqrv_dec_tlu_dicad0_ns[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679894 (
+	.A(n_1507),
+	.B(n_34663),
+	.Y(brqrv_top_brqrv_dec_tlu_dicad0_ns[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679895 (
+	.A(n_1507),
+	.B(n_34658),
+	.Y(brqrv_top_brqrv_dec_tlu_dicad0h_ns[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679896 (
+	.A(n_9041),
+	.B(n_34663),
+	.Y(brqrv_top_brqrv_dec_tlu_dicad0_ns[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679897 (
+	.A(n_9041),
+	.B(n_34658),
+	.Y(brqrv_top_brqrv_dec_tlu_dicad0h_ns[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679898 (
+	.A(brqrv_top_brqrv_dec_tlu_n_3024),
+	.B(n_34658),
+	.Y(brqrv_top_brqrv_dec_tlu_dicad0h_ns[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679899 (
+	.A(brqrv_top_brqrv_dec_tlu_n_3024),
+	.B(n_34663),
+	.Y(brqrv_top_brqrv_dec_tlu_dicad0_ns[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679900 (
+	.A(n_1506),
+	.B(n_34661),
+	.Y(brqrv_top_brqrv_dec_tlu_dicad1_ns[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679901 (
+	.A(n_1805),
+	.B(n_34661),
+	.Y(brqrv_top_brqrv_dec_tlu_dicad1_ns[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679902 (
+	.A(n_1790),
+	.B(n_34661),
+	.Y(brqrv_top_brqrv_dec_tlu_dicad1_ns[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679903 (
+	.A(n_1508),
+	.B(n_34661),
+	.Y(brqrv_top_brqrv_dec_tlu_dicad1_ns[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679904 (
+	.A(n_1802),
+	.B(n_34658),
+	.Y(brqrv_top_brqrv_dec_tlu_dicad0h_ns[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679905 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_n_300),
+	.B(n_34663),
+	.Y(brqrv_top_brqrv_dec_tlu_dicad0_ns[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679906 (
+	.A(n_1802),
+	.B(n_34663),
+	.Y(brqrv_top_brqrv_dec_tlu_dicad0_ns[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679907 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_n_300),
+	.B(n_34658),
+	.Y(brqrv_top_brqrv_dec_tlu_dicad0h_ns[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679908 (
+	.A(n_1513),
+	.B(n_34658),
+	.Y(brqrv_top_brqrv_dec_tlu_dicad0h_ns[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679909 (
+	.A(n_1511),
+	.B(n_34658),
+	.Y(brqrv_top_brqrv_dec_tlu_dicad0h_ns[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679910 (
+	.A(n_1506),
+	.B(n_34658),
+	.Y(brqrv_top_brqrv_dec_tlu_dicad0h_ns[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679911 (
+	.A(n_9789),
+	.B(n_33994),
+	.Y(n_9830), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679912 (
+	.A(n_9737),
+	.B(n_9570),
+	.Y(n_9829), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g679913 (
+	.A(n_9821),
+	.Y(n_9820), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g679923 (
+	.A(n_8111),
+	.Y(n_35984), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g679927 (
+	.A(n_9818),
+	.Y(n_8136), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g679930 (
+	.A(n_8135),
+	.Y(n_9817), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g679938 (
+	.A(n_9815),
+	.Y(n_8134), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679939 (
+	.A(n_1513),
+	.B(n_34663),
+	.Y(brqrv_top_brqrv_dec_tlu_dicad0_ns[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679940 (
+	.A(n_1511),
+	.B(n_34663),
+	.Y(brqrv_top_brqrv_dec_tlu_dicad0_ns[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679941 (
+	.A(n_1506),
+	.B(n_34663),
+	.Y(brqrv_top_brqrv_dec_tlu_dicad0_ns[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679942 (
+	.A(n_1805),
+	.B(n_34663),
+	.Y(brqrv_top_brqrv_dec_tlu_dicad0_ns[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679943 (
+	.A(n_1790),
+	.B(n_34663),
+	.Y(brqrv_top_brqrv_dec_tlu_dicad0_ns[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679944 (
+	.A(n_1508),
+	.B(n_34663),
+	.Y(brqrv_top_brqrv_dec_tlu_dicad0_ns[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679945 (
+	.A(n_1508),
+	.B(n_34658),
+	.Y(brqrv_top_brqrv_dec_tlu_dicad0h_ns[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g679946 (
+	.A1(n_9691),
+	.A2(brqrv_top_brqrv_dec_dec_i0_instr_d[23]),
+	.B1(n_9585),
+	.B2(brqrv_top_brqrv_dec_dec_i0_instr_d[18]),
+	.Y(n_9814), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g679947 (
+	.A1(n_9668),
+	.A2(brqrv_top_brqrv_dec_i0_result_r[2]),
+	.B1(n_9693),
+	.B2(n_9640),
+	.X(n_9813), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g679948 (
+	.A1(n_34264),
+	.A2(brqrv_top_brqrv_dma_mem_addr[2]),
+	.B1(n_1590),
+	.B2(n_34263),
+	.C1(n_9030),
+	.C2(n_34290),
+	.Y(n_9812), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g679949 (
+	.A1(n_35226),
+	.A2(brqrv_top_brqrv_dma_mem_addr[2]),
+	.B1(n_1590),
+	.B2(n_35225),
+	.C1(n_9030),
+	.C2(n_35232),
+	.Y(n_9811), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g679950 (
+	.A1(n_34268),
+	.A2(brqrv_top_brqrv_dma_mem_addr[2]),
+	.B1(n_1590),
+	.B2(n_34267),
+	.C1(n_9029),
+	.C2(n_35244),
+	.Y(n_9810), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g679951 (
+	.A1(n_34272),
+	.A2(brqrv_top_brqrv_dma_mem_addr[2]),
+	.B1(n_1590),
+	.B2(n_34271),
+	.C1(n_9030),
+	.C2(n_35252),
+	.Y(n_9809), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g679952 (
+	.A1(n_34276),
+	.A2(brqrv_top_brqrv_dma_mem_addr[2]),
+	.B1(n_1590),
+	.B2(n_34275),
+	.C1(n_9029),
+	.C2(n_35259),
+	.Y(n_9808), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g679953 (
+	.A1(n_34280),
+	.A2(brqrv_top_brqrv_dma_mem_addr[2]),
+	.B1(n_9027),
+	.B2(n_35262),
+	.C1(n_9030),
+	.C2(n_35265),
+	.Y(n_9807), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g679954 (
+	.A1(n_34284),
+	.A2(brqrv_top_brqrv_dma_mem_addr[2]),
+	.B1(n_1590),
+	.B2(n_34283),
+	.C1(n_9030),
+	.C2(n_34303),
+	.Y(n_9806), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g679955 (
+	.A1(n_1790),
+	.A2(n_34437),
+	.B1(n_9704),
+	.Y(brqrv_top_brqrv_dec_tlu_dpc_ns[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g679956 (
+	.A1(brqrv_top_brqrv_dec_tlu_int_timers_n_300),
+	.A2(n_34437),
+	.B1(n_9705),
+	.Y(brqrv_top_brqrv_dec_tlu_dpc_ns[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g679957 (
+	.A1(n_1802),
+	.A2(n_34437),
+	.B1(n_9706),
+	.Y(brqrv_top_brqrv_dec_tlu_dpc_ns[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g679958 (
+	.A1(n_1519),
+	.A2(n_34437),
+	.B1(n_9707),
+	.Y(brqrv_top_brqrv_dec_tlu_dpc_ns[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g679959 (
+	.A1(n_1514),
+	.A2(n_34437),
+	.B1_N(n_9658),
+	.Y(brqrv_top_brqrv_dec_tlu_dpc_ns[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g679960 (
+	.A1(n_1809),
+	.A2(n_34437),
+	.B1_N(n_9662),
+	.Y(brqrv_top_brqrv_dec_tlu_dpc_ns[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g679961 (
+	.A1(n_1507),
+	.A2(n_34437),
+	.B1_N(n_9663),
+	.Y(brqrv_top_brqrv_dec_tlu_dpc_ns[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g679962 (
+	.A1(n_1518),
+	.A2(n_34437),
+	.B1(n_9708),
+	.Y(brqrv_top_brqrv_dec_tlu_dpc_ns[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g679963 (
+	.A1(n_1527),
+	.A2(n_34437),
+	.B1(n_9722),
+	.Y(brqrv_top_brqrv_dec_tlu_dpc_ns[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g679964 (
+	.A1(brqrv_top_brqrv_dec_tlu_n_3024),
+	.A2(n_34437),
+	.B1_N(n_9661),
+	.Y(brqrv_top_brqrv_dec_tlu_dpc_ns[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g679965 (
+	.A1(n_1511),
+	.A2(n_34437),
+	.B1_N(n_9666),
+	.Y(brqrv_top_brqrv_dec_tlu_dpc_ns[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g679966 (
+	.A1(n_9041),
+	.A2(n_34437),
+	.B1(n_9723),
+	.Y(brqrv_top_brqrv_dec_tlu_dpc_ns[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g679967 (
+	.A(n_9675),
+	.B(n_9011),
+	.C(n_8979),
+	.Y(n_9805), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679968 (
+	.A(n_9398),
+	.B(n_9778),
+	.Y(n_9804), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g679969 (
+	.A1(brqrv_top_brqrv_dec_tlu_n_6062),
+	.A2(n_34437),
+	.B1(n_9721),
+	.Y(brqrv_top_brqrv_dec_tlu_dpc_ns[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g679970 (
+	.A1(brqrv_top_brqrv_dec_tlu_int_timers_n_324),
+	.A2(n_34437),
+	.B1(n_9720),
+	.Y(brqrv_top_brqrv_dec_tlu_dpc_ns[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g679971 (
+	.A1(brqrv_top_brqrv_dec_tlu_int_timers_n_323),
+	.A2(n_34437),
+	.B1(n_9719),
+	.Y(brqrv_top_brqrv_dec_tlu_dpc_ns[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g679972 (
+	.A1(brqrv_top_brqrv_dec_tlu_int_timers_n_322),
+	.A2(n_34437),
+	.B1(n_9718),
+	.Y(brqrv_top_brqrv_dec_tlu_dpc_ns[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g679973 (
+	.A1(brqrv_top_brqrv_dec_tlu_int_timers_n_321),
+	.A2(n_34437),
+	.B1(n_9717),
+	.Y(brqrv_top_brqrv_dec_tlu_dpc_ns[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g679974 (
+	.A1(brqrv_top_brqrv_dec_tlu_int_timers_n_320),
+	.A2(n_34437),
+	.B1(n_9716),
+	.Y(brqrv_top_brqrv_dec_tlu_dpc_ns[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g679975 (
+	.A1(brqrv_top_brqrv_dec_tlu_int_timers_n_319),
+	.A2(n_34437),
+	.B1(n_9715),
+	.Y(brqrv_top_brqrv_dec_tlu_dpc_ns[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g679976 (
+	.A1(brqrv_top_brqrv_dec_tlu_int_timers_n_318),
+	.A2(n_34437),
+	.B1(n_9714),
+	.Y(brqrv_top_brqrv_dec_tlu_dpc_ns[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g679977 (
+	.A1(brqrv_top_brqrv_dec_tlu_n_6058),
+	.A2(n_34437),
+	.B1(n_9713),
+	.Y(brqrv_top_brqrv_dec_tlu_dpc_ns[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g679978 (
+	.A1(brqrv_top_brqrv_dec_tlu_int_timers_n_316),
+	.A2(n_34437),
+	.B1(n_9712),
+	.Y(brqrv_top_brqrv_dec_tlu_dpc_ns[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g679979 (
+	.A1(brqrv_top_brqrv_dec_tlu_int_timers_n_315),
+	.A2(n_34437),
+	.B1(n_9711),
+	.Y(brqrv_top_brqrv_dec_tlu_dpc_ns[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g679980 (
+	.A1(brqrv_top_brqrv_dec_tlu_int_timers_n_314),
+	.A2(n_34437),
+	.B1(n_9710),
+	.Y(brqrv_top_brqrv_dec_tlu_dpc_ns[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g679981 (
+	.A1(brqrv_top_brqrv_dec_tlu_int_timers_n_313),
+	.A2(n_34437),
+	.B1(n_9709),
+	.Y(brqrv_top_brqrv_dec_tlu_dpc_ns[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679982 (
+	.A(n_8324),
+	.B(n_9776),
+	.Y(n_9803), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g679983 (
+	.A(n_33985),
+	.B(n_9642),
+	.C(n_9758),
+	.X(n_9802), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g679984 (
+	.A1(n_1513),
+	.A2(n_34437),
+	.B1(n_9724),
+	.Y(brqrv_top_brqrv_dec_tlu_dpc_ns[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g679985 (
+	.A1(n_1808),
+	.A2(n_34437),
+	.B1(n_9725),
+	.Y(brqrv_top_brqrv_dec_tlu_dpc_ns[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679986 (
+	.A(n_9734),
+	.B(n_9486),
+	.Y(n_35200), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g679987 (
+	.A1(n_1853),
+	.A2(n_9625),
+	.B1(n_9493),
+	.C1(n_9473),
+	.Y(n_35257), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g679988 (
+	.A1(n_1853),
+	.A2(n_9619),
+	.B1(n_9480),
+	.C1(n_9490),
+	.Y(n_34293), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g679989 (
+	.A1(n_1853),
+	.A2(n_9624),
+	.B1(n_9479),
+	.C1(n_9489),
+	.Y(n_35242), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g679990 (
+	.A1(n_1853),
+	.A2(n_9623),
+	.B1(n_9488),
+	.C1(n_9478),
+	.Y(n_35228), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g679991 (
+	.A1(n_1853),
+	.A2(n_9632),
+	.B1(n_9487),
+	.C1(n_9477),
+	.Y(n_34286), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g679992 (
+	.A1(n_1853),
+	.A2(n_9627),
+	.B1(n_9494),
+	.C1(n_9474),
+	.Y(n_34299), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g679993 (
+	.A1(n_1853),
+	.A2(n_9620),
+	.B1(n_9504),
+	.C1(n_9495),
+	.Y(n_34308), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679994 (
+	.A(n_9735),
+	.B(n_9505),
+	.Y(n_35199), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679995 (
+	.A(n_9732),
+	.B(n_9464),
+	.Y(n_35204), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679996 (
+	.A(n_9731),
+	.B(n_9467),
+	.Y(n_35206), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679997 (
+	.A(n_9468),
+	.B(n_9727),
+	.Y(n_35201), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679998 (
+	.A(n_9728),
+	.B(n_9485),
+	.Y(n_35205), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g679999 (
+	.A1(n_1853),
+	.A2(n_9626),
+	.B1(n_9481),
+	.C1(n_9491),
+	.Y(n_35261), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680000 (
+	.A(n_9729),
+	.B(n_9492),
+	.Y(n_35202), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680001 (
+	.A(n_9465),
+	.B(n_9733),
+	.Y(n_35203), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g680002 (
+	.A(n_9758),
+	.B(n_9690),
+	.C(n_9654),
+	.Y(n_9821), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680004 (
+	.A(n_8266),
+	.B(n_9702),
+	.Y(n_9819), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680005 (
+	.A(n_8266),
+	.B(n_9701),
+	.Y(n_8138), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680007 (
+	.A(n_8266),
+	.B(n_9726),
+	.Y(n_9818), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_2 g680008 (
+	.A(FE_DBTN11_n_35987),
+	.B(n_9696),
+	.C(n_9401),
+	.Y(n_8135), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680009 (
+	.A(n_8266),
+	.B(n_9699),
+	.Y(n_9816), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680010 (
+	.A(n_8266),
+	.B(n_9700),
+	.Y(n_9815), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211o_1 g680011 (
+	.A1(n_34649),
+	.A2(brqrv_top_brqrv_lsu_lsu_pkt_r[6]),
+	.B1(brqrv_top_brqrv_lsu_lsu_bus_buffer_pend_any),
+	.C1(n_34646),
+	.X(n_34561), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g680014 (
+	.A(n_9797),
+	.Y(n_34556), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g680018 (
+	.A(n_9790),
+	.Y(n_35957), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g680019 (
+	.A(n_9788),
+	.Y(n_9789), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g680020 (
+	.A(n_9787),
+	.Y(n_9786), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g680021 (
+	.A1(n_8092),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[96]),
+	.B1(n_9380),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[64]),
+	.C1(n_9521),
+	.X(brqrv_top_brqrv_lsu_imprecise_error_addr_any[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g680022 (
+	.A1(n_8092),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[97]),
+	.B1(n_9380),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[65]),
+	.C1(n_9511),
+	.X(brqrv_top_brqrv_lsu_imprecise_error_addr_any[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680023 (
+	.A(n_35971),
+	.B(n_8133),
+	.Y(n_9785), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680024 (
+	.A(n_9668),
+	.B(brqrv_top_brqrv_dec_i0_result_r[7]),
+	.Y(n_9784), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680025 (
+	.A(n_35968),
+	.B(n_8133),
+	.Y(n_9783), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680026 (
+	.A(n_35967),
+	.B(n_8133),
+	.Y(n_9782), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g680027 (
+	.A1(n_8092),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[98]),
+	.B1(n_9380),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[66]),
+	.C1(n_9508),
+	.X(brqrv_top_brqrv_lsu_imprecise_error_addr_any[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g680028 (
+	.A1(n_8092),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[99]),
+	.B1(n_9380),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[67]),
+	.C1(n_9522),
+	.X(brqrv_top_brqrv_lsu_imprecise_error_addr_any[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g680029 (
+	.A1(n_8092),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[100]),
+	.B1(n_9380),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[68]),
+	.C1(n_9523),
+	.X(brqrv_top_brqrv_lsu_imprecise_error_addr_any[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g680030 (
+	.A(n_33994),
+	.B(n_9667),
+	.Y(n_9781), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g680031 (
+	.A1(n_8092),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[101]),
+	.B1(n_9380),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[69]),
+	.C1(n_9524),
+	.X(brqrv_top_brqrv_lsu_imprecise_error_addr_any[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g680032 (
+	.A1(n_8092),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[102]),
+	.B1(n_9380),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[70]),
+	.C1(n_9518),
+	.X(brqrv_top_brqrv_lsu_imprecise_error_addr_any[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g680033 (
+	.A(n_9667),
+	.B(n_35964),
+	.Y(n_9780), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680034 (
+	.A(n_35960),
+	.B(n_8133),
+	.Y(n_9801), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g680035 (
+	.A(n_9045),
+	.B(n_35535),
+	.Y(n_9779), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g680037 (
+	.A_N(n_9693),
+	.B(brqrv_top_brqrv_i0_ap[7]),
+	.Y(n_9778), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g680038 (
+	.A1(n_8092),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[103]),
+	.B1(n_9380),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[71]),
+	.C1(n_9525),
+	.X(brqrv_top_brqrv_lsu_imprecise_error_addr_any[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g680039 (
+	.A1(n_8092),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[104]),
+	.B1(n_9380),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[72]),
+	.C1(n_9526),
+	.X(brqrv_top_brqrv_lsu_imprecise_error_addr_any[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g680040 (
+	.A1(n_9605),
+	.A2(n_9571),
+	.B1(brqrv_top_brqrv_dec_dec_csr_wrdata_r[5]),
+	.Y(n_9777), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g680041 (
+	.A_N(n_8381),
+	.B(n_8133),
+	.Y(n_9776), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680043 (
+	.A(n_9257),
+	.B(n_8133),
+	.Y(n_9774), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680044 (
+	.A(n_9258),
+	.B(n_8133),
+	.Y(n_9773), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680045 (
+	.A(n_9153),
+	.B(n_8133),
+	.Y(n_9772), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680046 (
+	.A(n_8133),
+	.B(n_8383),
+	.Y(n_9771), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g680047 (
+	.A(n_9063),
+	.B(n_1519),
+	.C(n_1518),
+	.D(n_1514),
+	.Y(n_9770), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g680048 (
+	.A1(n_8092),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[105]),
+	.B1(n_9380),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[73]),
+	.C1(n_9527),
+	.X(brqrv_top_brqrv_lsu_imprecise_error_addr_any[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g680049 (
+	.A(n_9696),
+	.B(brqrv_top_brqrv_i0_ap[7]),
+	.X(n_9769), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g680050 (
+	.A1(n_8092),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[106]),
+	.B1(n_9380),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[74]),
+	.C1(n_9502),
+	.X(brqrv_top_brqrv_lsu_imprecise_error_addr_any[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211a_1 g680051 (
+	.A1(n_8386),
+	.A2(n_8443),
+	.B1(brqrv_top_brqrv_dec_dec_ib0_valid_d),
+	.C1(n_35629),
+	.X(n_9768), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g680052 (
+	.A1(n_8092),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[127]),
+	.B1(n_9380),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[95]),
+	.C1(n_9579),
+	.X(brqrv_top_brqrv_lsu_imprecise_error_addr_any[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g680053 (
+	.A1(n_8092),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[126]),
+	.B1(n_9380),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[94]),
+	.C1(n_9545),
+	.X(brqrv_top_brqrv_lsu_imprecise_error_addr_any[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g680054 (
+	.A1(n_8092),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[125]),
+	.B1(n_9380),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[93]),
+	.C1(n_9543),
+	.X(brqrv_top_brqrv_lsu_imprecise_error_addr_any[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g680055 (
+	.A1(n_8092),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[124]),
+	.B1(n_9380),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[92]),
+	.C1(n_9542),
+	.X(brqrv_top_brqrv_lsu_imprecise_error_addr_any[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g680056 (
+	.A1(n_8092),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[123]),
+	.B1(n_9380),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[91]),
+	.C1(n_9541),
+	.X(brqrv_top_brqrv_lsu_imprecise_error_addr_any[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g680057 (
+	.A1(n_8092),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[122]),
+	.B1(n_9380),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[90]),
+	.C1(n_9540),
+	.X(brqrv_top_brqrv_lsu_imprecise_error_addr_any[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g680058 (
+	.A1(n_8092),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[121]),
+	.B1(n_9380),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[89]),
+	.C1(n_9539),
+	.X(brqrv_top_brqrv_lsu_imprecise_error_addr_any[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g680059 (
+	.A1(n_8092),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[120]),
+	.B1(n_9380),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[88]),
+	.C1(n_9538),
+	.X(brqrv_top_brqrv_lsu_imprecise_error_addr_any[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g680060 (
+	.A1(n_8092),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[119]),
+	.B1(n_9380),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[87]),
+	.C1(n_9537),
+	.X(brqrv_top_brqrv_lsu_imprecise_error_addr_any[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g680061 (
+	.A1(n_8092),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[118]),
+	.B1(n_9380),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[86]),
+	.C1(n_9509),
+	.X(brqrv_top_brqrv_lsu_imprecise_error_addr_any[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g680062 (
+	.A1(n_8092),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[117]),
+	.B1(n_9380),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[85]),
+	.C1(n_9536),
+	.X(brqrv_top_brqrv_lsu_imprecise_error_addr_any[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g680063 (
+	.A1(n_8092),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[116]),
+	.B1(n_9380),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[84]),
+	.C1(n_9535),
+	.X(brqrv_top_brqrv_lsu_imprecise_error_addr_any[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g680064 (
+	.A1(n_8092),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[115]),
+	.B1(n_9380),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[83]),
+	.C1(n_9534),
+	.X(brqrv_top_brqrv_lsu_imprecise_error_addr_any[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g680065 (
+	.A1(n_8092),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[114]),
+	.B1(n_9380),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[82]),
+	.C1(n_9512),
+	.X(brqrv_top_brqrv_lsu_imprecise_error_addr_any[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g680066 (
+	.A1(n_8092),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[113]),
+	.B1(n_9380),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[81]),
+	.C1(n_9533),
+	.X(brqrv_top_brqrv_lsu_imprecise_error_addr_any[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g680067 (
+	.A1(n_8092),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[112]),
+	.B1(n_9380),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[80]),
+	.C1(n_9532),
+	.X(brqrv_top_brqrv_lsu_imprecise_error_addr_any[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g680068 (
+	.A1(n_8092),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[111]),
+	.B1(n_9380),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[79]),
+	.C1(n_9531),
+	.X(brqrv_top_brqrv_lsu_imprecise_error_addr_any[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g680069 (
+	.A1(n_8092),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[110]),
+	.B1(n_9380),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[78]),
+	.C1(n_9517),
+	.X(brqrv_top_brqrv_lsu_imprecise_error_addr_any[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g680070 (
+	.A1(n_8092),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[109]),
+	.B1(n_9380),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[77]),
+	.C1(n_9530),
+	.X(brqrv_top_brqrv_lsu_imprecise_error_addr_any[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g680071 (
+	.A1(n_8092),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[108]),
+	.B1(n_9380),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[76]),
+	.C1(n_9529),
+	.X(brqrv_top_brqrv_lsu_imprecise_error_addr_any[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g680072 (
+	.A1(n_8092),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[107]),
+	.B1(n_9380),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[75]),
+	.C1(n_9528),
+	.X(brqrv_top_brqrv_lsu_imprecise_error_addr_any[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g680073 (
+	.A(n_9690),
+	.B(n_9154),
+	.Y(n_9800), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680074 (
+	.A(n_36013),
+	.B(n_9689),
+	.Y(n_9799), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680075 (
+	.A(n_35966),
+	.B(n_8133),
+	.Y(n_9798), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g680076 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[52]),
+	.A2(n_1563),
+	.B1(n_1864),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[84]),
+	.C1(n_1562),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[116]),
+	.Y(n_9797), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g680077 (
+	.A(n_9690),
+	.B(n_9159),
+	.Y(n_9796), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g680078 (
+	.A(n_34065),
+	.B(n_35535),
+	.Y(n_9795), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g680079 (
+	.A(n_35962),
+	.B(n_8133),
+	.Y(n_9794), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680080 (
+	.A(n_35963),
+	.B(n_8133),
+	.Y(n_9793), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680081 (
+	.A(n_35976),
+	.B(n_8133),
+	.Y(n_9792), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4b_2 g680082 (
+	.A(n_9457),
+	.B(n_9516),
+	.C(n_36331),
+	.D_N(n_9205),
+	.X(n_36328), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680083 (
+	.A(n_9695),
+	.B(n_9394),
+	.Y(n_35961), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g680084 (
+	.A(n_9693),
+	.B(n_9398),
+	.Y(n_9790), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g680085 (
+	.A(n_34662),
+	.B(n_34665),
+	.X(n_34661), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680086 (
+	.A(n_8133),
+	.B(n_9667),
+	.Y(n_9788), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g680087 (
+	.A(n_9667),
+	.B(n_8133),
+	.Y(n_9787), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g680088 (
+	.A(n_34659),
+	.B(n_34665),
+	.X(n_34658), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g680089 (
+	.A(n_34664),
+	.B(n_34665),
+	.X(n_34663), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g680090 (
+	.A(n_9767),
+	.Y(n_34538), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g680091 (
+	.A(n_9766),
+	.Y(n_34536), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g680093 (
+	.A(n_9764),
+	.Y(n_34542), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g680094 (
+	.A(n_9763),
+	.Y(n_34540), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g680095 (
+	.A(n_9762),
+	.Y(n_34541), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g680099 (
+	.A(n_9756),
+	.Y(n_34200), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g680100 (
+	.A(n_9755),
+	.Y(n_34532), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g680102 (
+	.A(n_9753),
+	.Y(n_34534), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g680103 (
+	.A(n_9752),
+	.Y(n_34533), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g680107 (
+	.A(n_9748),
+	.Y(n_34548), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g680109 (
+	.A(n_9746),
+	.Y(n_34550), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g680110 (
+	.A(n_9745),
+	.Y(n_34549), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g680112 (
+	.A(n_9743),
+	.Y(n_34553), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g680113 (
+	.A(n_9742),
+	.Y(n_34552), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g680114 (
+	.A(n_9741),
+	.Y(n_34554), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g680115 (
+	.A(n_9740),
+	.Y(n_34557), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g680116 (
+	.A(n_9739),
+	.Y(n_34537), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g680118 (
+	.A(n_9463),
+	.B(n_9640),
+	.C(n_33994),
+	.Y(n_9736), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g680119 (
+	.A1(n_35237),
+	.A2(n_8379),
+	.B1(n_9030),
+	.B2(n_34324),
+	.C1(n_1590),
+	.C2(n_34316),
+	.Y(n_9735), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g680120 (
+	.A1(n_34285),
+	.A2(n_8379),
+	.B1(n_9030),
+	.B2(n_34307),
+	.C1(n_9029),
+	.C2(n_34302),
+	.Y(n_9734), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g680121 (
+	.A1(n_34273),
+	.A2(n_8379),
+	.B1(n_9027),
+	.B2(n_35252),
+	.C1(n_1590),
+	.C2(n_35250),
+	.Y(n_9733), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g680122 (
+	.A1(n_34269),
+	.A2(n_8379),
+	.B1(n_9029),
+	.B2(n_35245),
+	.C1(n_1590),
+	.C2(n_35244),
+	.Y(n_9732), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g680123 (
+	.A1(n_34265),
+	.A2(n_8379),
+	.B1(n_9030),
+	.B2(n_35241),
+	.C1(n_1590),
+	.C2(n_34288),
+	.Y(n_9731), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g680124 (
+	.A1(n_9630),
+	.A2(n_8437),
+	.B1(n_1708),
+	.Y(n_9730), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g680125 (
+	.A1(n_34277),
+	.A2(n_8379),
+	.B1(n_9027),
+	.B2(n_34295),
+	.C1(n_9030),
+	.C2(n_35260),
+	.Y(n_9729), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g680126 (
+	.A1(n_35227),
+	.A2(n_8379),
+	.B1(n_9029),
+	.B2(n_35231),
+	.C1(n_9030),
+	.C2(n_35236),
+	.Y(n_9728), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g680127 (
+	.A1(n_34281),
+	.A2(n_8379),
+	.B1(n_1590),
+	.B2(n_35263),
+	.C1(n_9030),
+	.C2(n_35269),
+	.Y(n_9727), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g680128 (
+	.A1(brqrv_top_brqrv_lsu_lsu_ld_data_m[25]),
+	.A2(n_8268),
+	.B1(n_9687),
+	.Y(n_9726), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g680129 (
+	.A1(n_9462),
+	.A2(brqrv_top_brqrv_dec_tlu_npc_r[31]),
+	.B1(brqrv_top_brqrv_dec_tlu_request_debug_mode_r),
+	.B2(brqrv_top_brqrv_dec_tlu_pc_r[31]),
+	.Y(n_9725), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g680130 (
+	.A1(n_9462),
+	.A2(brqrv_top_brqrv_dec_tlu_npc_r[30]),
+	.B1(brqrv_top_brqrv_dec_tlu_request_debug_mode_r),
+	.B2(brqrv_top_brqrv_dec_tlu_pc_r[30]),
+	.Y(n_9724), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g680131 (
+	.A1(n_9462),
+	.A2(brqrv_top_brqrv_dec_tlu_npc_r[29]),
+	.B1(brqrv_top_brqrv_dec_tlu_request_debug_mode_r),
+	.B2(brqrv_top_brqrv_dec_tlu_pc_r[29]),
+	.Y(n_9723), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g680132 (
+	.A1(n_9462),
+	.A2(brqrv_top_brqrv_dec_tlu_npc_r[28]),
+	.B1(brqrv_top_brqrv_dec_tlu_request_debug_mode_r),
+	.B2(brqrv_top_brqrv_dec_tlu_pc_r[28]),
+	.Y(n_9722), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g680133 (
+	.A1(n_9462),
+	.A2(brqrv_top_brqrv_dec_tlu_npc_r[27]),
+	.B1(brqrv_top_brqrv_dec_tlu_request_debug_mode_r),
+	.B2(brqrv_top_brqrv_dec_tlu_pc_r[27]),
+	.Y(n_9721), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g680134 (
+	.A1(n_9462),
+	.A2(brqrv_top_brqrv_dec_tlu_npc_r[26]),
+	.B1(brqrv_top_brqrv_dec_tlu_request_debug_mode_r),
+	.B2(brqrv_top_brqrv_dec_tlu_pc_r[26]),
+	.Y(n_9720), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g680135 (
+	.A1(n_9462),
+	.A2(brqrv_top_brqrv_dec_tlu_npc_r[25]),
+	.B1(brqrv_top_brqrv_dec_tlu_request_debug_mode_r),
+	.B2(brqrv_top_brqrv_dec_tlu_pc_r[25]),
+	.Y(n_9719), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g680136 (
+	.A1(n_9462),
+	.A2(brqrv_top_brqrv_dec_tlu_npc_r[24]),
+	.B1(brqrv_top_brqrv_dec_tlu_request_debug_mode_r),
+	.B2(brqrv_top_brqrv_dec_tlu_pc_r[24]),
+	.Y(n_9718), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g680137 (
+	.A1(n_9462),
+	.A2(brqrv_top_brqrv_dec_tlu_npc_r[23]),
+	.B1(brqrv_top_brqrv_dec_tlu_request_debug_mode_r),
+	.B2(brqrv_top_brqrv_dec_tlu_pc_r[23]),
+	.Y(n_9717), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g680138 (
+	.A1(n_9462),
+	.A2(brqrv_top_brqrv_dec_tlu_npc_r[22]),
+	.B1(brqrv_top_brqrv_dec_tlu_request_debug_mode_r),
+	.B2(brqrv_top_brqrv_dec_tlu_pc_r[22]),
+	.Y(n_9716), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g680139 (
+	.A1(n_9462),
+	.A2(brqrv_top_brqrv_dec_tlu_npc_r[21]),
+	.B1(brqrv_top_brqrv_dec_tlu_request_debug_mode_r),
+	.B2(brqrv_top_brqrv_dec_tlu_pc_r[21]),
+	.Y(n_9715), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g680140 (
+	.A1(n_9462),
+	.A2(brqrv_top_brqrv_dec_tlu_npc_r[20]),
+	.B1(brqrv_top_brqrv_dec_tlu_request_debug_mode_r),
+	.B2(brqrv_top_brqrv_dec_tlu_pc_r[20]),
+	.Y(n_9714), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g680141 (
+	.A1(n_9462),
+	.A2(brqrv_top_brqrv_dec_tlu_npc_r[19]),
+	.B1(brqrv_top_brqrv_dec_tlu_request_debug_mode_r),
+	.B2(brqrv_top_brqrv_dec_tlu_pc_r[19]),
+	.Y(n_9713), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g680142 (
+	.A1(n_9462),
+	.A2(brqrv_top_brqrv_dec_tlu_npc_r[18]),
+	.B1(brqrv_top_brqrv_dec_tlu_request_debug_mode_r),
+	.B2(brqrv_top_brqrv_dec_tlu_pc_r[18]),
+	.Y(n_9712), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g680143 (
+	.A1(n_9462),
+	.A2(brqrv_top_brqrv_dec_tlu_npc_r[17]),
+	.B1(brqrv_top_brqrv_dec_tlu_request_debug_mode_r),
+	.B2(brqrv_top_brqrv_dec_tlu_pc_r[17]),
+	.Y(n_9711), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g680144 (
+	.A1(n_9462),
+	.A2(brqrv_top_brqrv_dec_tlu_npc_r[16]),
+	.B1(brqrv_top_brqrv_dec_tlu_request_debug_mode_r),
+	.B2(brqrv_top_brqrv_dec_tlu_pc_r[16]),
+	.Y(n_9710), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g680145 (
+	.A1(n_9462),
+	.A2(brqrv_top_brqrv_dec_tlu_npc_r[15]),
+	.B1(brqrv_top_brqrv_dec_tlu_request_debug_mode_r),
+	.B2(brqrv_top_brqrv_dec_tlu_pc_r[15]),
+	.Y(n_9709), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g680146 (
+	.A1(n_9462),
+	.A2(brqrv_top_brqrv_dec_tlu_npc_r[14]),
+	.B1(brqrv_top_brqrv_dec_tlu_request_debug_mode_r),
+	.B2(brqrv_top_brqrv_dec_tlu_pc_r[14]),
+	.Y(n_9708), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g680147 (
+	.A1(n_9462),
+	.A2(brqrv_top_brqrv_dec_tlu_npc_r[13]),
+	.B1(brqrv_top_brqrv_dec_tlu_request_debug_mode_r),
+	.B2(brqrv_top_brqrv_dec_tlu_pc_r[13]),
+	.Y(n_9707), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g680148 (
+	.A1(n_9462),
+	.A2(brqrv_top_brqrv_dec_tlu_npc_r[3]),
+	.B1(brqrv_top_brqrv_dec_tlu_request_debug_mode_r),
+	.B2(brqrv_top_brqrv_dec_tlu_pc_r[3]),
+	.Y(n_9706), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g680149 (
+	.A1(n_9462),
+	.A2(brqrv_top_brqrv_dec_tlu_npc_r[2]),
+	.B1(brqrv_top_brqrv_dec_tlu_request_debug_mode_r),
+	.B2(brqrv_top_brqrv_dec_tlu_pc_r[2]),
+	.Y(n_9705), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g680150 (
+	.A1(n_9462),
+	.A2(brqrv_top_brqrv_dec_tlu_npc_r[1]),
+	.B1(brqrv_top_brqrv_dec_tlu_request_debug_mode_r),
+	.B2(brqrv_top_brqrv_dec_tlu_pc_r[1]),
+	.Y(n_9704), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g680151 (
+	.A1(n_43244),
+	.A2(n_8268),
+	.B1(n_9674),
+	.Y(n_9703), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g680152 (
+	.A1(brqrv_top_brqrv_lsu_lsu_ld_data_m[29]),
+	.A2(n_8268),
+	.B1(n_9673),
+	.Y(n_9702), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g680153 (
+	.A1(brqrv_top_brqrv_lsu_lsu_ld_data_m[28]),
+	.A2(n_8268),
+	.B1(n_9672),
+	.Y(n_9701), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g680154 (
+	.A1(brqrv_top_brqrv_lsu_lsu_ld_data_m[27]),
+	.A2(n_8268),
+	.B1(n_9670),
+	.Y(n_9700), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g680155 (
+	.A1(brqrv_top_brqrv_lsu_lsu_ld_data_m[26]),
+	.A2(n_8268),
+	.B1(n_9671),
+	.Y(n_9699), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g680156 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[43]),
+	.A2(n_1563),
+	.B1(n_1864),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[75]),
+	.C1(n_1562),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[107]),
+	.Y(n_9767), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g680157 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[42]),
+	.A2(n_1563),
+	.B1(n_1864),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[74]),
+	.C1(n_1562),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[106]),
+	.Y(n_9766), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g680158 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[41]),
+	.A2(n_1563),
+	.B1(n_1864),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[73]),
+	.C1(n_1562),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[105]),
+	.Y(n_9765), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g680159 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[72]),
+	.A2(n_1864),
+	.B1(n_1562),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[104]),
+	.C1(n_1563),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[40]),
+	.Y(n_9764), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g680160 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[39]),
+	.A2(n_1563),
+	.B1(n_1864),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[71]),
+	.C1(n_1562),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[103]),
+	.Y(n_9763), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g680161 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[38]),
+	.A2(n_1563),
+	.B1(n_1864),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[70]),
+	.C1(n_1562),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[102]),
+	.Y(n_9762), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g680162 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[37]),
+	.A2(n_1563),
+	.B1(n_1864),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[69]),
+	.C1(n_1562),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[101]),
+	.Y(n_9761), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g680163 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[36]),
+	.A2(n_1563),
+	.B1(n_1864),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[68]),
+	.C1(n_1562),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[100]),
+	.Y(n_9760), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g680164 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[35]),
+	.A2(n_1563),
+	.B1(n_1864),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[67]),
+	.C1(n_1562),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[99]),
+	.Y(n_9759), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g680165 (
+	.A1(n_9642),
+	.A2(n_9572),
+	.B1(n_8133),
+	.Y(n_9758), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g680166 (
+	.A1(n_34064),
+	.A2(n_9629),
+	.B1(n_34065),
+	.B2(n_8385),
+	.C1(n_9024),
+	.Y(n_9757), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g680167 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[82]),
+	.A2(n_1864),
+	.B1(n_1562),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[114]),
+	.C1(n_1563),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[50]),
+	.Y(n_9756), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g680168 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[49]),
+	.A2(n_1563),
+	.B1(n_1864),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[81]),
+	.C1(n_1562),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[113]),
+	.Y(n_9755), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g680169 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[48]),
+	.A2(n_1563),
+	.B1(n_1864),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[80]),
+	.C1(n_1562),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[112]),
+	.Y(n_9754), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g680170 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[47]),
+	.A2(n_1563),
+	.B1(n_1864),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[79]),
+	.C1(n_1562),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[111]),
+	.Y(n_9753), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g680171 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[46]),
+	.A2(n_1563),
+	.B1(n_1864),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[78]),
+	.C1(n_1562),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[110]),
+	.Y(n_9752), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g680172 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[51]),
+	.A2(n_1563),
+	.B1(n_1864),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[83]),
+	.C1(n_1562),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[115]),
+	.Y(n_9751), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g680173 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[63]),
+	.A2(n_1563),
+	.B1(n_1864),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[95]),
+	.C1(n_1562),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[127]),
+	.Y(n_9750), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g680174 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[62]),
+	.A2(n_1563),
+	.B1(n_1864),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[94]),
+	.C1(n_1562),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[126]),
+	.Y(n_9749), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g680175 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[61]),
+	.A2(n_1563),
+	.B1(n_1864),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[93]),
+	.C1(n_1562),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[125]),
+	.Y(n_9748), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g680176 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[60]),
+	.A2(n_1563),
+	.B1(n_1864),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[92]),
+	.C1(n_1562),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[124]),
+	.Y(n_9747), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g680177 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[59]),
+	.A2(n_1563),
+	.B1(n_1864),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[91]),
+	.C1(n_1562),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[123]),
+	.Y(n_9746), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g680178 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[58]),
+	.A2(n_1563),
+	.B1(n_1864),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[90]),
+	.C1(n_1562),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[122]),
+	.Y(n_9745), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g680179 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[57]),
+	.A2(n_1563),
+	.B1(n_1864),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[89]),
+	.C1(n_1562),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[121]),
+	.Y(n_9744), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g680180 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[56]),
+	.A2(n_1563),
+	.B1(n_1864),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[88]),
+	.C1(n_1562),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[120]),
+	.Y(n_9743), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g680181 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[55]),
+	.A2(n_1563),
+	.B1(n_1864),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[87]),
+	.C1(n_1562),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[119]),
+	.Y(n_9742), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g680182 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[54]),
+	.A2(n_1563),
+	.B1(n_1864),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[86]),
+	.C1(n_1562),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[118]),
+	.Y(n_9741), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g680183 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[85]),
+	.A2(n_1864),
+	.B1(n_1562),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[117]),
+	.C1(n_1563),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[53]),
+	.Y(n_9740), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g680184 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[45]),
+	.A2(n_1563),
+	.B1(n_1864),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[77]),
+	.C1(n_1562),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[109]),
+	.Y(n_9739), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g680185 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[44]),
+	.A2(n_1563),
+	.B1(n_1864),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[76]),
+	.C1(n_1562),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[108]),
+	.Y(n_9738), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g680186 (
+	.A(n_8088),
+	.B(n_9640),
+	.C(n_9668),
+	.Y(n_9737), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g680187 (
+	.A1(n_8207),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[70]),
+	.B1(n_8296),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[38]),
+	.C1(n_9655),
+	.X(n_36285), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g680188 (
+	.A(n_9694),
+	.Y(n_9695), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g680189 (
+	.A(n_9691),
+	.Y(n_9692), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g680190 (
+	.A(n_9690),
+	.Y(n_9689), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_6 g680222 (
+	.A(n_8133),
+	.Y(n_35535), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g680227 (
+	.A(n_34084),
+	.B_N(brqrv_top_brqrv_lsu_bus_read_data_m[25]),
+	.Y(n_9687), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680228 (
+	.A(n_9257),
+	.B(n_9640),
+	.Y(n_9686), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680229 (
+	.A(n_9260),
+	.B(n_9640),
+	.Y(n_9685), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680230 (
+	.A(n_35976),
+	.B(n_9640),
+	.Y(n_9684), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680231 (
+	.A(n_35975),
+	.B(n_9640),
+	.Y(n_9683), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680232 (
+	.A(n_35971),
+	.B(n_9640),
+	.Y(n_9682), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680234 (
+	.A(n_35967),
+	.B(n_9640),
+	.Y(n_9680), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680235 (
+	.A(n_35966),
+	.B(n_9640),
+	.Y(n_9679), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680236 (
+	.A(n_35963),
+	.B(n_9640),
+	.Y(n_9678), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680237 (
+	.A(n_35962),
+	.B(n_9640),
+	.Y(n_9677), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680238 (
+	.A(n_9640),
+	.B(n_9398),
+	.Y(n_9698), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680239 (
+	.A(n_35960),
+	.B(n_9640),
+	.Y(n_9676), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680240 (
+	.A(brqrv_top_brqrv_lsu_bus_read_data_m[3]),
+	.B(n_8279),
+	.Y(n_9675), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g680241 (
+	.A(n_34084),
+	.B_N(brqrv_top_brqrv_lsu_bus_read_data_m[30]),
+	.Y(n_9674), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g680242 (
+	.A(n_34084),
+	.B_N(brqrv_top_brqrv_lsu_bus_read_data_m[29]),
+	.Y(n_9673), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g680243 (
+	.A(n_34084),
+	.B_N(brqrv_top_brqrv_lsu_bus_read_data_m[28]),
+	.Y(n_9672), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g680244 (
+	.A(n_34084),
+	.B_N(brqrv_top_brqrv_lsu_bus_read_data_m[26]),
+	.Y(n_9671), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680245 (
+	.A(n_35964),
+	.B(n_9640),
+	.Y(n_9697), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g680246 (
+	.A(n_34084),
+	.B_N(brqrv_top_brqrv_lsu_bus_read_data_m[27]),
+	.Y(n_9670), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680247 (
+	.A(n_9608),
+	.B(n_9496),
+	.Y(n_35153), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g680248 (
+	.A_N(n_9649),
+	.B(brqrv_top_brqrv_dma_ctrl_n_1319),
+	.Y(n_35629), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g680249 (
+	.A_N(n_34060),
+	.B(brqrv_top_brqrv_lsu_bus_read_data_m[11]),
+	.Y(n_9696), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680250 (
+	.A(n_9484),
+	.B(n_9600),
+	.Y(n_35155), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680251 (
+	.A(n_9601),
+	.B(n_9482),
+	.Y(n_35154), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680252 (
+	.A(n_9602),
+	.B(n_9466),
+	.Y(n_34240), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g680253 (
+	.A(n_34064),
+	.B_N(brqrv_top_brqrv_lsu_bus_read_data_m[6]),
+	.Y(n_9694), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680254 (
+	.A(n_9603),
+	.B(n_9471),
+	.Y(n_35158), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680255 (
+	.A(n_9609),
+	.B(n_36333),
+	.Y(n_36291), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680256 (
+	.A(n_9607),
+	.B(n_36333),
+	.Y(n_36287), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680257 (
+	.A(n_9606),
+	.B(n_36333),
+	.Y(n_36286), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680258 (
+	.A(n_9610),
+	.B(n_36333),
+	.Y(n_36292), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g680259 (
+	.A(n_34064),
+	.B_N(brqrv_top_brqrv_lsu_bus_read_data_m[2]),
+	.Y(n_9693), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680260 (
+	.A(n_9036),
+	.B(n_9630),
+	.Y(n_9691), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g680261 (
+	.A(n_8438),
+	.B(n_9642),
+	.X(n_9690), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2b_4 g680262 (
+	.A_N(n_9642),
+	.B(brqrv_top_brqrv_dec_decode_i0_x_c[1]),
+	.X(n_8133), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g680264 (
+	.A(n_8132),
+	.Y(n_36023), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_2 g680266 (
+	.A(brqrv_top_brqrv_i0_ap[7]),
+	.Y(n_9667), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g680267 (
+	.A1(n_9462),
+	.A2(brqrv_top_brqrv_dec_tlu_npc_r[11]),
+	.B1(brqrv_top_brqrv_dec_tlu_request_debug_mode_r),
+	.B2(brqrv_top_brqrv_dec_tlu_pc_r[11]),
+	.X(n_9666), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680268 (
+	.A(n_9153),
+	.B(n_9640),
+	.Y(n_9665), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680269 (
+	.A(n_9258),
+	.B(n_9640),
+	.Y(n_9664), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g680270 (
+	.A1(n_9462),
+	.A2(brqrv_top_brqrv_dec_tlu_npc_r[7]),
+	.B1(brqrv_top_brqrv_dec_tlu_request_debug_mode_r),
+	.B2(brqrv_top_brqrv_dec_tlu_pc_r[7]),
+	.X(n_9663), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g680271 (
+	.A1(n_9462),
+	.A2(brqrv_top_brqrv_dec_tlu_npc_r[8]),
+	.B1(brqrv_top_brqrv_dec_tlu_request_debug_mode_r),
+	.B2(brqrv_top_brqrv_dec_tlu_pc_r[8]),
+	.X(n_9662), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g680272 (
+	.A1(n_9462),
+	.A2(brqrv_top_brqrv_dec_tlu_npc_r[9]),
+	.B1(brqrv_top_brqrv_dec_tlu_request_debug_mode_r),
+	.B2(brqrv_top_brqrv_dec_tlu_pc_r[9]),
+	.X(n_9661), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g680273 (
+	.A(n_9640),
+	.B(n_35536),
+	.X(n_9660), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680274 (
+	.A(n_35959),
+	.B(n_9640),
+	.Y(n_9669), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g680275 (
+	.A(brqrv_top_brqrv_dec_i0_rs2_en_d),
+	.B(n_9573),
+	.C(n_8453),
+	.X(n_9659), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g680276 (
+	.A1(n_9462),
+	.A2(brqrv_top_brqrv_dec_tlu_npc_r[10]),
+	.B1(brqrv_top_brqrv_dec_tlu_request_debug_mode_r),
+	.B2(brqrv_top_brqrv_dec_tlu_pc_r[10]),
+	.X(n_9658), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g680277 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_n_315),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_n_316),
+	.C(n_1809),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_n_314),
+	.Y(n_9657), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g680278 (
+	.A1(n_34201),
+	.A2(n_8390),
+	.B1_N(n_9649),
+	.Y(n_9656), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g680279 (
+	.A1(n_42966),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[6]),
+	.B1(n_42972),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[102]),
+	.C1(n_9638),
+	.X(n_9655), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g680280 (
+	.A(n_9642),
+	.B(brqrv_top_brqrv_dec_i0_rs2_en_d),
+	.C(n_9272),
+	.D(n_9370),
+	.Y(n_9654), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o32ai_1 g680281 (
+	.A1(brqrv_top_brqrv_dec_dec_csr_wrdata_r[4]),
+	.A2(n_1805),
+	.A3(n_9387),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_300),
+	.B2(n_9576),
+	.Y(n_9653), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g680282 (
+	.A1(n_34277),
+	.A2(n_35784),
+	.B1(n_1774),
+	.B2(n_34294),
+	.C1(n_8096),
+	.X(n_34276), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680283 (
+	.A(n_9599),
+	.B(n_9470),
+	.Y(n_35159), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680284 (
+	.A(n_9483),
+	.B(n_9598),
+	.Y(n_35156), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680285 (
+	.A(n_9597),
+	.B(n_9469),
+	.Y(n_35157), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g680286 (
+	.A1(n_34265),
+	.A2(n_35784),
+	.B1(n_1774),
+	.B2(n_34289),
+	.C1(n_8094),
+	.X(n_34264), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g680287 (
+	.A1(n_35227),
+	.A2(n_35784),
+	.B1(n_1774),
+	.B2(n_35231),
+	.C1(n_8097),
+	.X(n_35226), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211o_1 g680288 (
+	.A1(n_9459),
+	.A2(n_9369),
+	.B1(n_33857),
+	.C1(n_34870),
+	.X(n_34649), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g680289 (
+	.A1(n_34269),
+	.A2(n_35784),
+	.B1(n_1774),
+	.B2(n_35245),
+	.C1(n_8093),
+	.X(n_34268), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g680290 (
+	.A1(n_34273),
+	.A2(n_35784),
+	.B1(n_1774),
+	.B2(n_35251),
+	.C1(n_8095),
+	.X(n_34272), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g680291 (
+	.A1(n_34285),
+	.A2(n_35784),
+	.B1(n_1774),
+	.B2(n_34302),
+	.C1(n_8098),
+	.X(n_34284), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g680292 (
+	.A1(n_34281),
+	.A2(n_35784),
+	.B1(n_1774),
+	.B2(n_35264),
+	.C1(n_8099),
+	.X(n_34280), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3b_2 g680293 (
+	.A(n_9514),
+	.B(n_9515),
+	.C_N(n_36333),
+	.X(n_36293), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g680294 (
+	.A1(n_8207),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[68]),
+	.B1(n_8296),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[36]),
+	.C1(n_9634),
+	.X(n_36283), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g680295 (
+	.A1(n_8207),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[69]),
+	.B1(n_8296),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[37]),
+	.C1(n_9635),
+	.X(n_36284), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g680296 (
+	.A1(n_8207),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[64]),
+	.B1(n_8296),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[32]),
+	.C1(n_9636),
+	.X(n_36279), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g680297 (
+	.A1(n_8207),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[65]),
+	.B1(n_8296),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[33]),
+	.C1(n_9637),
+	.X(n_36280), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g680298 (
+	.A(n_9520),
+	.B(n_9519),
+	.C(n_36331),
+	.X(n_36306), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g680299 (
+	.A(brqrv_top_brqrv_dec_dec_csr_wraddr_r[11]),
+	.B(n_35541),
+	.C(n_34666),
+	.X(n_34665), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_4 g680300 (
+	.A(n_9633),
+	.B(n_9146),
+	.Y(n_8132), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3b_2 g680301 (
+	.A(brqrv_top_brqrv_dec_tlu_request_debug_mode_r),
+	.B(n_35026),
+	.C_N(n_34439),
+	.X(n_34437), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g680302 (
+	.A(n_8085),
+	.B(n_9611),
+	.X(n_9668), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21bo_2 g680303 (
+	.A1(n_31450),
+	.A2(n_9546),
+	.B1_N(n_34758),
+	.X(brqrv_top_brqrv_i0_ap[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g680304 (
+	.A(n_8088),
+	.Y(n_9641), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g680305 (
+	.A(n_9640),
+	.Y(n_9639), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g680306 (
+	.A1(n_9382),
+	.A2(n_34038),
+	.B1_N(n_9503),
+	.Y(n_9638), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g680307 (
+	.A(brqrv_top_brqrv_dec_dec_csr_wrdata_r[9]),
+	.B(n_1809),
+	.Y(brqrv_top_brqrv_dec_tlu_mrac_in[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g680308 (
+	.A(brqrv_top_brqrv_dec_dec_csr_wrdata_r[7]),
+	.B(n_1506),
+	.Y(brqrv_top_brqrv_dec_tlu_mrac_in[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g680309 (
+	.A(brqrv_top_brqrv_dec_dec_csr_wrdata_r[11]),
+	.B(n_1514),
+	.Y(brqrv_top_brqrv_dec_tlu_mrac_in[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g680310 (
+	.A(brqrv_top_brqrv_dec_dec_csr_wrdata_r[13]),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_n_310),
+	.Y(brqrv_top_brqrv_dec_tlu_mrac_in[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g680311 (
+	.A(brqrv_top_brqrv_dec_dec_csr_wrdata_r[15]),
+	.B(n_1518),
+	.Y(brqrv_top_brqrv_dec_tlu_mrac_in[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g680312 (
+	.A1(n_42966),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[1]),
+	.B1(n_42972),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[97]),
+	.C1(n_9580),
+	.X(n_9637), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g680313 (
+	.A1(n_42966),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[0]),
+	.B1(n_42972),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[96]),
+	.C1(n_9547),
+	.X(n_9636), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g680314 (
+	.A1(n_42966),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[5]),
+	.B1(n_42972),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[101]),
+	.C1(n_9577),
+	.X(n_9635), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g680315 (
+	.A1(n_42966),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[4]),
+	.B1(n_42972),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[100]),
+	.C1(n_9578),
+	.X(n_9634), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680316 (
+	.A(n_9472),
+	.B(n_9019),
+	.Y(n_9633), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680317 (
+	.A(n_9569),
+	.B(n_9247),
+	.Y(brqrv_top_brqrv_dec_tlu_npc_r[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680318 (
+	.A(n_9568),
+	.B(n_9245),
+	.Y(brqrv_top_brqrv_dec_tlu_npc_r[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680319 (
+	.A(n_9567),
+	.B(n_9244),
+	.Y(brqrv_top_brqrv_dec_tlu_npc_r[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680320 (
+	.A(n_9566),
+	.B(n_9243),
+	.Y(brqrv_top_brqrv_dec_tlu_npc_r[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680321 (
+	.A(n_9242),
+	.B(n_9565),
+	.Y(brqrv_top_brqrv_dec_tlu_npc_r[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680322 (
+	.A(n_9241),
+	.B(n_9564),
+	.Y(brqrv_top_brqrv_dec_tlu_npc_r[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680323 (
+	.A(n_9240),
+	.B(n_9563),
+	.Y(brqrv_top_brqrv_dec_tlu_npc_r[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680324 (
+	.A(n_9562),
+	.B(n_9239),
+	.Y(brqrv_top_brqrv_dec_tlu_npc_r[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g680325 (
+	.A(n_9304),
+	.B(n_9202),
+	.C(n_9426),
+	.D(n_9448),
+	.Y(n_9652), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680326 (
+	.A(n_9561),
+	.B(n_9238),
+	.Y(brqrv_top_brqrv_dec_tlu_npc_r[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680327 (
+	.A(n_9559),
+	.B(n_9237),
+	.Y(brqrv_top_brqrv_dec_tlu_npc_r[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680328 (
+	.A(n_9560),
+	.B(n_9236),
+	.Y(brqrv_top_brqrv_dec_tlu_npc_r[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680329 (
+	.A(n_9574),
+	.B(brqrv_top_brqrv_dec_dec_csr_wraddr_r[3]),
+	.Y(n_34666), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680330 (
+	.A(n_9234),
+	.B(n_9557),
+	.Y(brqrv_top_brqrv_dec_tlu_npc_r[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680331 (
+	.A(n_9556),
+	.B(n_9233),
+	.Y(brqrv_top_brqrv_dec_tlu_npc_r[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g680332 (
+	.A(n_9285),
+	.B(n_9198),
+	.C(n_9425),
+	.D(n_9446),
+	.X(n_9651), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680333 (
+	.A(n_9231),
+	.B(n_9555),
+	.Y(brqrv_top_brqrv_dec_tlu_npc_r[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680334 (
+	.A(n_9229),
+	.B(n_9554),
+	.Y(brqrv_top_brqrv_dec_tlu_npc_r[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680335 (
+	.A(n_9227),
+	.B(n_9552),
+	.Y(brqrv_top_brqrv_dec_tlu_npc_r[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680336 (
+	.A(n_9553),
+	.B(n_9228),
+	.Y(brqrv_top_brqrv_dec_tlu_npc_r[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680337 (
+	.A(n_9551),
+	.B(n_9226),
+	.Y(brqrv_top_brqrv_dec_tlu_npc_r[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680338 (
+	.A(n_9223),
+	.B(n_9549),
+	.Y(brqrv_top_brqrv_dec_tlu_npc_r[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680339 (
+	.A(n_9548),
+	.B(n_9224),
+	.Y(brqrv_top_brqrv_dec_tlu_npc_r[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680340 (
+	.A(n_9225),
+	.B(n_9550),
+	.Y(brqrv_top_brqrv_dec_tlu_npc_r[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g680341 (
+	.A(n_9342),
+	.B(n_9213),
+	.C(n_9422),
+	.D(n_9443),
+	.Y(n_9650), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g680342 (
+	.A1(brqrv_top_brqrv_dma_ctrl_dma_mem_addr_in_pic),
+	.A2(brqrv_top_brqrv_dma_ctrl_dma_mem_addr_in_dccm),
+	.B1_N(n_35403),
+	.Y(n_9649), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680343 (
+	.A(n_9235),
+	.B(n_9558),
+	.Y(brqrv_top_brqrv_dec_tlu_npc_r[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g680344 (
+	.A(n_9429),
+	.B(n_9197),
+	.C(n_9414),
+	.D(n_9454),
+	.Y(n_9648), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g680345 (
+	.A(n_9341),
+	.B(n_9214),
+	.C(n_9427),
+	.D(n_9453),
+	.Y(n_9647), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g680346 (
+	.A(n_9279),
+	.B(n_9278),
+	.C(n_9423),
+	.D(n_9280),
+	.Y(n_9646), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g680347 (
+	.A(n_9340),
+	.B(n_9212),
+	.C(n_9430),
+	.D(n_9452),
+	.Y(n_9645), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g680348 (
+	.A(n_9210),
+	.B(n_9335),
+	.C(n_9428),
+	.D(n_9451),
+	.Y(n_9644), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g680349 (
+	.A1(n_34887),
+	.A2(n_9160),
+	.B1(n_35711),
+	.B2(n_9385),
+	.C1(n_8354),
+	.Y(brqrv_top_brqrv_lsu_bus_read_data_m[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g680350 (
+	.A(n_9283),
+	.B(n_9282),
+	.C(n_9424),
+	.D(n_9284),
+	.Y(n_9643), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_2 g680351 (
+	.A(brqrv_top_brqrv_dec_i0_rs2_en_d),
+	.B(n_9419),
+	.C(n_8459),
+	.Y(n_9642), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 g680353 (
+	.A(n_9591),
+	.B(brqrv_top_brqrv_dec_decode_i0_x_c[1]),
+	.X(n_9640), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g680354 (
+	.A(n_9632),
+	.Y(n_35238), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g680355 (
+	.A(n_9629),
+	.Y(brqrv_top_brqrv_lsu_bus_read_data_m[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g680356 (
+	.A(n_9627),
+	.Y(n_34304), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g680357 (
+	.A(n_9626),
+	.Y(n_35266), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g680358 (
+	.A(n_9625),
+	.Y(n_34296), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g680359 (
+	.A(n_9624),
+	.Y(n_35247), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g680360 (
+	.A(n_9623),
+	.Y(n_35233), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g680361 (
+	.A(n_9620),
+	.Y(n_34319), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g680362 (
+	.A(n_9619),
+	.Y(n_35253), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g680363 (
+	.A(n_9016),
+	.B(n_8449),
+	.C(n_9460),
+	.D(n_35399),
+	.Y(n_9611), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g680364 (
+	.A1(n_8282),
+	.A2(n_36305),
+	.B1(n_8283),
+	.B2(n_36326),
+	.C1(n_9513),
+	.Y(n_9610), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g680365 (
+	.A1(n_8282),
+	.A2(n_36303),
+	.B1(n_8283),
+	.B2(n_36322),
+	.C1(n_9510),
+	.Y(n_9609), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g680366 (
+	.A1(n_34317),
+	.A2(n_9027),
+	.B1(n_9029),
+	.B2(n_34324),
+	.C1(n_9037),
+	.C2(n_34322),
+	.Y(n_9608), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g680367 (
+	.A1(n_8282),
+	.A2(n_36298),
+	.B1(n_8283),
+	.B2(n_36314),
+	.C1(n_9507),
+	.Y(n_9607), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g680368 (
+	.A1(n_8282),
+	.A2(n_36296),
+	.B1(n_8283),
+	.B2(n_36310),
+	.C1(n_9506),
+	.Y(n_9606), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g680369 (
+	.A1(brqrv_top_brqrv_dec_dec_csr_wrdata_r[1]),
+	.A2(brqrv_top_brqrv_dec_tlu_int_timers_n_300),
+	.B1(n_9277),
+	.Y(n_9605), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g680370 (
+	.A1(brqrv_top_brqrv_dec_dec_csr_wrdata_r[0]),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[1]),
+	.B1(brqrv_top_brqrv_dec_dec_csr_wrdata_r[2]),
+	.Y(n_9604), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g680371 (
+	.A1(n_35246),
+	.A2(n_1590),
+	.B1(n_9029),
+	.B2(n_34292),
+	.C1(n_9030),
+	.C2(n_34291),
+	.Y(n_9603), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g680372 (
+	.A1(n_35241),
+	.A2(n_9029),
+	.B1(n_9037),
+	.B2(n_35239),
+	.C1(n_9030),
+	.C2(n_35240),
+	.Y(n_9602), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g680373 (
+	.A1(n_34305),
+	.A2(n_9037),
+	.B1(n_1590),
+	.B2(n_34303),
+	.C1(n_9029),
+	.C2(n_34307),
+	.Y(n_9601), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g680374 (
+	.A1(n_35267),
+	.A2(n_9037),
+	.B1(n_1590),
+	.B2(n_35265),
+	.C1(n_9027),
+	.C2(n_35264),
+	.Y(n_9600), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g680375 (
+	.A1(n_35232),
+	.A2(n_1590),
+	.B1(n_9030),
+	.B2(n_35235),
+	.C1(n_9029),
+	.C2(n_35236),
+	.Y(n_9599), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g680376 (
+	.A1(n_34295),
+	.A2(n_1590),
+	.B1(n_9037),
+	.B2(n_34297),
+	.C1(n_9027),
+	.C2(n_34294),
+	.Y(n_9598), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g680377 (
+	.A1(n_35251),
+	.A2(n_9027),
+	.B1(n_9029),
+	.B2(n_35256),
+	.C1(n_9030),
+	.C2(n_35255),
+	.Y(n_9597), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g680378 (
+	.A_N(n_35403),
+	.B(brqrv_top_brqrv_dma_ctrl_dma_mem_addr_in_iccm),
+	.C(brqrv_top_brqrv_dma_ctrl_n_1319),
+	.Y(n_34694), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g680379 (
+	.A1(n_35241),
+	.A2(n_1774),
+	.B1(n_8380),
+	.B2(n_35239),
+	.C1(n_8378),
+	.C2(n_35240),
+	.Y(n_9632), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g680380 (
+	.A(n_9293),
+	.B(n_9200),
+	.C(n_9291),
+	.D(n_9447),
+	.Y(n_9631), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g680381 (
+	.A1(n_9367),
+	.A2(n_34840),
+	.B1(n_9133),
+	.B2(n_35499),
+	.C1(n_36248),
+	.X(n_9630), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g680382 (
+	.A1(n_35712),
+	.A2(n_9385),
+	.B1(n_9441),
+	.C1(n_43005),
+	.Y(brqrv_top_brqrv_lsu_bus_read_data_m[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g680383 (
+	.A1(n_36024),
+	.A2(n_8188),
+	.B1(n_36028),
+	.B2(n_8168),
+	.C1(n_9440),
+	.Y(n_9629), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g680384 (
+	.A1(n_36031),
+	.A2(n_8168),
+	.B1(n_36025),
+	.B2(n_8188),
+	.C1(n_9438),
+	.X(brqrv_top_brqrv_lsu_bus_read_data_m[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g680385 (
+	.A1(n_36038),
+	.A2(n_8168),
+	.B1(n_36027),
+	.B2(n_8188),
+	.C1(n_9439),
+	.X(brqrv_top_brqrv_lsu_bus_read_data_m[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g680386 (
+	.A(n_9281),
+	.B(n_9194),
+	.C(n_9346),
+	.D(n_9445),
+	.X(n_9628), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g680387 (
+	.A1(n_36041),
+	.A2(n_34887),
+	.B1(n_36040),
+	.B2(n_8179),
+	.C1(n_9415),
+	.X(brqrv_top_brqrv_lsu_bus_read_data_m[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g680388 (
+	.A1(n_34305),
+	.A2(n_8380),
+	.B1(n_1774),
+	.B2(n_34307),
+	.C1(n_8378),
+	.C2(n_34306),
+	.Y(n_9627), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g680389 (
+	.A1(n_35267),
+	.A2(n_8380),
+	.B1(n_1774),
+	.B2(n_35269),
+	.C1(n_8378),
+	.C2(n_35268),
+	.Y(n_9626), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g680390 (
+	.A1(n_34297),
+	.A2(n_8380),
+	.B1(n_1774),
+	.B2(n_35260),
+	.C1(n_8378),
+	.C2(n_34298),
+	.Y(n_9625), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g680391 (
+	.A1(n_35248),
+	.A2(n_8380),
+	.B1(n_1774),
+	.B2(n_34292),
+	.C1(n_8378),
+	.C2(n_34291),
+	.Y(n_9624), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g680392 (
+	.A1(n_35234),
+	.A2(n_8380),
+	.B1(n_1774),
+	.B2(n_35236),
+	.C1(n_8378),
+	.C2(n_35235),
+	.Y(n_9623), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g680393 (
+	.A(n_9329),
+	.B(n_9207),
+	.C(n_9327),
+	.D(n_9450),
+	.Y(n_9622), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g680394 (
+	.A(n_9192),
+	.B(n_9347),
+	.C(n_9349),
+	.D(n_9444),
+	.Y(n_9621), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g680395 (
+	.A1(n_34324),
+	.A2(n_1774),
+	.B1(n_8378),
+	.B2(n_34323),
+	.C1(n_8380),
+	.C2(n_34322),
+	.Y(n_9620), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g680396 (
+	.A1(n_35256),
+	.A2(n_1774),
+	.B1(n_8380),
+	.B2(n_35254),
+	.C1(n_8378),
+	.C2(n_35255),
+	.Y(n_9619), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g680397 (
+	.A(n_9189),
+	.B(n_9350),
+	.C(n_9351),
+	.D(n_9461),
+	.X(n_9618), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g680398 (
+	.A(n_9362),
+	.B(n_9338),
+	.C(n_9339),
+	.D(n_9402),
+	.X(n_35955), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g680399 (
+	.A(n_9355),
+	.B(n_9334),
+	.C(n_9403),
+	.D(n_9336),
+	.X(n_9617), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g680400 (
+	.A(n_9356),
+	.B(n_9333),
+	.C(n_9404),
+	.D(n_9332),
+	.X(n_9616), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g680401 (
+	.A(n_9357),
+	.B(n_9331),
+	.C(n_9411),
+	.D(n_9330),
+	.X(n_9615), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g680402 (
+	.A(n_9358),
+	.B(n_9328),
+	.C(n_9412),
+	.D(n_9326),
+	.Y(n_9614), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g680403 (
+	.A(n_9359),
+	.B(n_9303),
+	.C(n_9302),
+	.D(n_9431),
+	.X(n_35945), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g680404 (
+	.A(n_9360),
+	.B(n_9290),
+	.C(n_9292),
+	.D(n_9413),
+	.X(n_35939), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g680405 (
+	.A(n_9353),
+	.B(n_9186),
+	.C(n_9345),
+	.D(n_9455),
+	.Y(n_9613), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g680406 (
+	.A1(n_8168),
+	.A2(n_9160),
+	.B1(n_9575),
+	.Y(brqrv_top_brqrv_lsu_bus_read_data_m[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g680407 (
+	.A1(n_36054),
+	.A2(n_34887),
+	.B1(n_36053),
+	.B2(n_8179),
+	.C1(n_9421),
+	.X(brqrv_top_brqrv_lsu_bus_read_data_m[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g680408 (
+	.A1(n_36051),
+	.A2(n_34887),
+	.B1(n_36050),
+	.B2(n_8179),
+	.C1(n_9420),
+	.X(brqrv_top_brqrv_lsu_bus_read_data_m[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g680409 (
+	.A1(n_36049),
+	.A2(n_34887),
+	.B1(n_36048),
+	.B2(n_8179),
+	.C1(n_9418),
+	.X(brqrv_top_brqrv_lsu_bus_read_data_m[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g680410 (
+	.A1(n_36044),
+	.A2(n_34887),
+	.B1(n_36043),
+	.B2(n_8179),
+	.C1(n_9416),
+	.X(brqrv_top_brqrv_lsu_bus_read_data_m[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g680411 (
+	.A(n_9204),
+	.B(n_9314),
+	.C(n_9456),
+	.D(n_9449),
+	.X(n_9612), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g680412 (
+	.A(n_8085),
+	.Y(n_9591), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g680415 (
+	.A(n_9544),
+	.Y(n_8131), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g680416 (
+	.A(n_1519),
+	.Y(brqrv_top_brqrv_dec_dec_csr_wrdata_r[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g680417 (
+	.A(n_1514),
+	.Y(brqrv_top_brqrv_dec_dec_csr_wrdata_r[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g680418 (
+	.A(n_1518),
+	.Y(brqrv_top_brqrv_dec_dec_csr_wrdata_r[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g680420 (
+	.A(n_1809),
+	.Y(brqrv_top_brqrv_dec_dec_csr_wrdata_r[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g680421 (
+	.A(n_9585),
+	.Y(n_35307), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g680422 (
+	.A(brqrv_top_brqrv_dec_tlu_n_3024),
+	.Y(brqrv_top_brqrv_dec_dec_csr_wrdata_r[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g680423 (
+	.A(n_1864),
+	.Y(n_34576), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g680425 (
+	.A(n_34575),
+	.Y(n_1562), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g680426 (
+	.A1(n_9389),
+	.A2(n_34039),
+	.B1(n_8879),
+	.Y(n_9580), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g680427 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_n_298),
+	.B(brqrv_top_brqrv_dec_dec_csr_wrdata_r[1]),
+	.Y(brqrv_top_brqrv_dec_tlu_mrac_in[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g680428 (
+	.A1(n_9378),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[63]),
+	.B1(n_9379),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[31]),
+	.X(n_9579), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g680429 (
+	.A1(n_9390),
+	.A2(n_34039),
+	.B1(n_8467),
+	.Y(n_9578), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g680430 (
+	.A1(n_9391),
+	.A2(n_34039),
+	.B1(n_8635),
+	.Y(n_9577), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g680431 (
+	.A(n_1508),
+	.B(n_9277),
+	.X(n_9576), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g680432 (
+	.A(n_1513),
+	.B(brqrv_top_brqrv_dec_dec_csr_wrdata_r[31]),
+	.Y(brqrv_top_brqrv_dec_tlu_mrac_in[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g680433 (
+	.A1(n_36046),
+	.A2(n_8179),
+	.B1(n_9417),
+	.Y(n_9575), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g680434 (
+	.A(brqrv_top_brqrv_dec_dec_csr_wrdata_r[5]),
+	.B(n_1508),
+	.Y(brqrv_top_brqrv_dec_tlu_mrac_in[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g680435 (
+	.A1(n_9361),
+	.A2(n_8388),
+	.B1(n_43110),
+	.X(brqrv_top_brqrv_dec_tlu_exc_cause_r[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4bb_1 g680436 (
+	.A(n_34667),
+	.B(n_33325),
+	.C_N(brqrv_top_brqrv_dec_decode_r_d[19]),
+	.D_N(brqrv_top_brqrv_dec_dec_csr_wraddr_r[7]),
+	.Y(n_9574), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g680437 (
+	.A(n_8463),
+	.B(n_8452),
+	.C(n_9365),
+	.D(n_33872),
+	.Y(n_9573), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g680438 (
+	.A1(n_9044),
+	.A2(n_9366),
+	.B1_N(n_43110),
+	.Y(brqrv_top_brqrv_dec_tlu_exc_cause_r[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4bb_1 g680439 (
+	.A(n_9171),
+	.B(n_9273),
+	.C_N(n_9272),
+	.D_N(brqrv_top_brqrv_dec_i0_rs2_en_d),
+	.Y(n_9572), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g680440 (
+	.A1(n_9387),
+	.A2(n_1802),
+	.B1(n_1790),
+	.Y(n_9571), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g680441 (
+	.A1(n_36151),
+	.A2(n_8148),
+	.B1(n_9442),
+	.Y(n_403119_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g680442 (
+	.A(n_8457),
+	.B(n_33872),
+	.C(n_9371),
+	.D(n_35399),
+	.Y(n_9570), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g680443 (
+	.A1(n_145),
+	.A2(brqrv_top_brqrv_dec_tlu_npc_r_d1[31]),
+	.B1(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[31]),
+	.B2(n_105),
+	.C1(n_42963),
+	.C2(brqrv_top_brqrv_exu_pred_correct_npc_r[31]),
+	.Y(n_9569), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g680444 (
+	.A1(n_145),
+	.A2(brqrv_top_brqrv_dec_tlu_npc_r_d1[30]),
+	.B1(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[30]),
+	.B2(n_105),
+	.C1(n_42963),
+	.C2(brqrv_top_brqrv_exu_pred_correct_npc_r[30]),
+	.Y(n_9568), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g680445 (
+	.A1(n_145),
+	.A2(brqrv_top_brqrv_dec_tlu_npc_r_d1[29]),
+	.B1(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[29]),
+	.B2(n_105),
+	.C1(n_42963),
+	.C2(brqrv_top_brqrv_exu_pred_correct_npc_r[29]),
+	.Y(n_9567), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g680446 (
+	.A1(n_145),
+	.A2(brqrv_top_brqrv_dec_tlu_npc_r_d1[28]),
+	.B1(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[28]),
+	.B2(n_105),
+	.C1(n_42963),
+	.C2(brqrv_top_brqrv_exu_pred_correct_npc_r[28]),
+	.Y(n_9566), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g680447 (
+	.A1(n_145),
+	.A2(brqrv_top_brqrv_dec_tlu_npc_r_d1[27]),
+	.B1(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[27]),
+	.B2(n_105),
+	.C1(n_42963),
+	.C2(brqrv_top_brqrv_exu_pred_correct_npc_r[27]),
+	.Y(n_9565), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g680448 (
+	.A1(n_145),
+	.A2(brqrv_top_brqrv_dec_tlu_npc_r_d1[26]),
+	.B1(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[26]),
+	.B2(n_105),
+	.C1(n_42963),
+	.C2(brqrv_top_brqrv_exu_pred_correct_npc_r[26]),
+	.Y(n_9564), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g680449 (
+	.A1(n_145),
+	.A2(brqrv_top_brqrv_dec_tlu_npc_r_d1[25]),
+	.B1(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[25]),
+	.B2(n_105),
+	.C1(n_42963),
+	.C2(brqrv_top_brqrv_exu_pred_correct_npc_r[25]),
+	.Y(n_9563), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g680450 (
+	.A1(n_145),
+	.A2(brqrv_top_brqrv_dec_tlu_npc_r_d1[24]),
+	.B1(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[24]),
+	.B2(n_105),
+	.C1(n_42963),
+	.C2(brqrv_top_brqrv_exu_pred_correct_npc_r[24]),
+	.Y(n_9562), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g680451 (
+	.A1(n_145),
+	.A2(brqrv_top_brqrv_dec_tlu_npc_r_d1[23]),
+	.B1(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[23]),
+	.B2(n_105),
+	.C1(n_42963),
+	.C2(brqrv_top_brqrv_exu_pred_correct_npc_r[23]),
+	.Y(n_9561), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g680452 (
+	.A1(n_145),
+	.A2(brqrv_top_brqrv_dec_tlu_npc_r_d1[21]),
+	.B1(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[21]),
+	.B2(n_105),
+	.C1(n_42963),
+	.C2(brqrv_top_brqrv_exu_pred_correct_npc_r[21]),
+	.Y(n_9560), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g680453 (
+	.A1(n_145),
+	.A2(brqrv_top_brqrv_dec_tlu_npc_r_d1[22]),
+	.B1(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[22]),
+	.B2(n_105),
+	.C1(n_42963),
+	.C2(brqrv_top_brqrv_exu_pred_correct_npc_r[22]),
+	.Y(n_9559), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g680454 (
+	.A1(n_145),
+	.A2(brqrv_top_brqrv_dec_tlu_npc_r_d1[20]),
+	.B1(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[20]),
+	.B2(n_105),
+	.C1(n_42963),
+	.C2(brqrv_top_brqrv_exu_pred_correct_npc_r[20]),
+	.Y(n_9558), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g680455 (
+	.A1(n_145),
+	.A2(brqrv_top_brqrv_dec_tlu_npc_r_d1[19]),
+	.B1(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[19]),
+	.B2(n_105),
+	.C1(n_42963),
+	.C2(brqrv_top_brqrv_exu_pred_correct_npc_r[19]),
+	.Y(n_9557), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g680456 (
+	.A1(n_145),
+	.A2(brqrv_top_brqrv_dec_tlu_npc_r_d1[18]),
+	.B1(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[18]),
+	.B2(n_105),
+	.C1(n_42963),
+	.C2(brqrv_top_brqrv_exu_pred_correct_npc_r[18]),
+	.Y(n_9556), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g680457 (
+	.A1(n_145),
+	.A2(brqrv_top_brqrv_dec_tlu_npc_r_d1[17]),
+	.B1(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[17]),
+	.B2(n_105),
+	.C1(n_42963),
+	.C2(brqrv_top_brqrv_exu_pred_correct_npc_r[17]),
+	.Y(n_9555), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g680458 (
+	.A1(n_145),
+	.A2(brqrv_top_brqrv_dec_tlu_npc_r_d1[16]),
+	.B1(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[16]),
+	.B2(n_105),
+	.C1(n_42963),
+	.C2(brqrv_top_brqrv_exu_pred_correct_npc_r[16]),
+	.Y(n_9554), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g680459 (
+	.A1(n_145),
+	.A2(brqrv_top_brqrv_dec_tlu_npc_r_d1[15]),
+	.B1(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[15]),
+	.B2(n_105),
+	.C1(n_42963),
+	.C2(brqrv_top_brqrv_exu_pred_correct_npc_r[15]),
+	.Y(n_9553), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g680460 (
+	.A1(n_145),
+	.A2(brqrv_top_brqrv_dec_tlu_npc_r_d1[14]),
+	.B1(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[14]),
+	.B2(n_105),
+	.C1(n_42963),
+	.C2(brqrv_top_brqrv_exu_pred_correct_npc_r[14]),
+	.Y(n_9552), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g680461 (
+	.A1(n_145),
+	.A2(brqrv_top_brqrv_dec_tlu_npc_r_d1[13]),
+	.B1(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[13]),
+	.B2(n_105),
+	.C1(n_42963),
+	.C2(brqrv_top_brqrv_exu_pred_correct_npc_r[13]),
+	.Y(n_9551), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g680462 (
+	.A1(n_145),
+	.A2(brqrv_top_brqrv_dec_tlu_npc_r_d1[3]),
+	.B1(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[3]),
+	.B2(n_105),
+	.C1(n_42963),
+	.C2(brqrv_top_brqrv_exu_pred_correct_npc_r[3]),
+	.Y(n_9550), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g680463 (
+	.A1(n_145),
+	.A2(brqrv_top_brqrv_dec_tlu_npc_r_d1[1]),
+	.B1(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[1]),
+	.B2(n_105),
+	.C1(n_42963),
+	.C2(brqrv_top_brqrv_exu_pred_correct_npc_r[1]),
+	.Y(n_9549), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g680464 (
+	.A1(n_145),
+	.A2(brqrv_top_brqrv_dec_tlu_npc_r_d1[2]),
+	.B1(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[2]),
+	.B2(n_105),
+	.C1(n_1682),
+	.C2(brqrv_top_brqrv_exu_i0_flush_path_upper_r[2]),
+	.Y(n_9548), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g680465 (
+	.A1(n_9388),
+	.A2(n_34039),
+	.B1(n_8922),
+	.Y(n_9547), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g680466 (
+	.A1(n_9217),
+	.A2(n_34901),
+	.B1(n_35495),
+	.C1(n_34756),
+	.D1(n_34734),
+	.Y(n_9546), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g680467 (
+	.A1(n_9378),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[62]),
+	.B1(n_9379),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[30]),
+	.X(n_9545), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680468 (
+	.A(n_9376),
+	.B(n_9407),
+	.Y(brqrv_top_brqrv_dec_tlu_npc_r[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680469 (
+	.A(n_9372),
+	.B(n_9405),
+	.Y(brqrv_top_brqrv_dec_tlu_npc_r[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 g680470 (
+	.A(n_34615),
+	.B(n_34650),
+	.X(n_35026), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g680471 (
+	.A(n_34583),
+	.B(n_34579),
+	.X(n_34578), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680472 (
+	.A(n_9463),
+	.B(n_33994),
+	.Y(n_33886), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680473 (
+	.A(n_9374),
+	.B(n_9409),
+	.Y(brqrv_top_brqrv_dec_tlu_npc_r[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680474 (
+	.A(n_9375),
+	.B(n_9408),
+	.Y(brqrv_top_brqrv_dec_tlu_npc_r[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g680475 (
+	.A1(n_8145),
+	.A2(n_34323),
+	.B1(n_35783),
+	.B2(n_34322),
+	.X(n_35237), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680476 (
+	.A(n_9377),
+	.B(n_9406),
+	.Y(brqrv_top_brqrv_dec_tlu_npc_r[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g680477 (
+	.A(n_9289),
+	.B(n_9286),
+	.C(n_9287),
+	.D(n_9288),
+	.X(n_35937), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g680478 (
+	.A(n_9297),
+	.B(n_9296),
+	.C(n_9295),
+	.D(n_9294),
+	.X(n_35940), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g680479 (
+	.A(n_9301),
+	.B(n_9300),
+	.C(n_9298),
+	.D(n_9299),
+	.X(n_35942), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g680480 (
+	.A(n_9308),
+	.B(n_9305),
+	.C(n_9306),
+	.D(n_9307),
+	.Y(n_9596), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g680481 (
+	.A(n_9312),
+	.B(n_9311),
+	.C(n_9310),
+	.D(n_9309),
+	.X(n_9595), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g680482 (
+	.A(n_9317),
+	.B(n_9316),
+	.C(n_9315),
+	.D(n_9313),
+	.Y(n_9594), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g680483 (
+	.A(n_9321),
+	.B(n_9318),
+	.C(n_9320),
+	.D(n_9319),
+	.Y(n_9593), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g680484 (
+	.A(n_9325),
+	.B(n_9324),
+	.C(n_9323),
+	.D(n_9322),
+	.X(n_9592), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g680485 (
+	.A1(n_8145),
+	.A2(n_35240),
+	.B1(n_35783),
+	.B2(n_35239),
+	.X(n_34265), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g680486 (
+	.A1(n_8145),
+	.A2(n_35235),
+	.B1(n_35783),
+	.B2(n_35234),
+	.X(n_35227), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g680487 (
+	.A1(n_8145),
+	.A2(n_34291),
+	.B1(n_35783),
+	.B2(n_35248),
+	.X(n_34269), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g680488 (
+	.A1(n_8145),
+	.A2(n_35255),
+	.B1(n_35783),
+	.B2(n_35254),
+	.X(n_34273), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g680489 (
+	.A1(n_8145),
+	.A2(n_34298),
+	.B1(n_35783),
+	.B2(n_34297),
+	.X(n_34277), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g680490 (
+	.A1(n_8145),
+	.A2(n_35268),
+	.B1(n_35783),
+	.B2(n_35267),
+	.X(n_34281), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g680491 (
+	.A1(n_8145),
+	.A2(n_34306),
+	.B1(n_35783),
+	.B2(n_34305),
+	.X(n_34285), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g680492 (
+	.A(n_9348),
+	.B(n_35479),
+	.C(n_36244),
+	.X(n_35403), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680494 (
+	.A(n_8266),
+	.B(n_9458),
+	.Y(n_9544), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g680495 (
+	.A1(n_36350),
+	.A2(n_8247),
+	.B1(brqrv_top_brqrv_dec_decode_write_csr_data[13]),
+	.B2(n_33833),
+	.Y(n_1519), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g680496 (
+	.A1(n_36347),
+	.A2(n_8247),
+	.B1(brqrv_top_brqrv_dec_decode_write_csr_data[10]),
+	.B2(n_33833),
+	.Y(n_1514), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g680497 (
+	.A1(n_36351),
+	.A2(n_8247),
+	.B1(brqrv_top_brqrv_dec_decode_write_csr_data[14]),
+	.B2(n_33833),
+	.Y(n_1518), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g680498 (
+	.A1(n_42990),
+	.A2(n_8247),
+	.B1(brqrv_top_brqrv_dec_decode_write_csr_data[7]),
+	.B2(n_33833),
+	.X(brqrv_top_brqrv_dec_dec_csr_wrdata_r[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g680499 (
+	.A1(n_36345),
+	.A2(n_8247),
+	.B1(brqrv_top_brqrv_dec_decode_write_csr_data[8]),
+	.B2(n_33833),
+	.Y(n_1809), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g680500 (
+	.A(n_34785),
+	.B(n_34784),
+	.Y(n_9585), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g680501 (
+	.A1(n_36346),
+	.A2(n_8247),
+	.B1(brqrv_top_brqrv_dec_decode_write_csr_data[9]),
+	.B2(n_33833),
+	.Y(brqrv_top_brqrv_dec_tlu_n_3024), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2b_4 g680502 (
+	.A_N(n_34583),
+	.B(n_34579),
+	.X(n_1864), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2b_4 g680503 (
+	.A_N(n_34579),
+	.B(n_34583),
+	.X(n_1563), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680504 (
+	.A(n_34579),
+	.B(n_34583),
+	.Y(n_34575), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g680506 (
+	.A1(n_9378),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[61]),
+	.B1(n_9379),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[29]),
+	.X(n_9543), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g680507 (
+	.A1(n_9378),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[60]),
+	.B1(n_9379),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[28]),
+	.X(n_9542), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g680508 (
+	.A1(n_9378),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[59]),
+	.B1(n_9379),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[27]),
+	.X(n_9541), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g680509 (
+	.A1(n_9378),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[58]),
+	.B1(n_9379),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[26]),
+	.X(n_9540), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g680510 (
+	.A1(n_9378),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[57]),
+	.B1(n_9379),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[25]),
+	.X(n_9539), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g680511 (
+	.A1(n_9378),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[56]),
+	.B1(n_9379),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[24]),
+	.X(n_9538), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g680512 (
+	.A1(n_9378),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[55]),
+	.B1(n_9379),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[23]),
+	.X(n_9537), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g680513 (
+	.A1(n_9378),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[53]),
+	.B1(n_9379),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[21]),
+	.X(n_9536), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g680514 (
+	.A1(n_9378),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[52]),
+	.B1(n_9379),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[20]),
+	.X(n_9535), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g680515 (
+	.A1(n_9378),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[51]),
+	.B1(n_9379),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[19]),
+	.X(n_9534), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g680516 (
+	.A1(n_9378),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[49]),
+	.B1(n_9379),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[17]),
+	.X(n_9533), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g680517 (
+	.A1(n_9378),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[48]),
+	.B1(n_9379),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[16]),
+	.X(n_9532), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g680518 (
+	.A1(n_9378),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[47]),
+	.B1(n_9379),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[15]),
+	.X(n_9531), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g680519 (
+	.A1(n_9378),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[45]),
+	.B1(n_9379),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[13]),
+	.X(n_9530), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g680520 (
+	.A1(n_9378),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[44]),
+	.B1(n_9379),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[12]),
+	.X(n_9529), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g680521 (
+	.A1(n_9378),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[43]),
+	.B1(n_9379),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[11]),
+	.X(n_9528), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g680522 (
+	.A1(n_9378),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[41]),
+	.B1(n_9379),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[9]),
+	.X(n_9527), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g680523 (
+	.A1(n_9378),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[40]),
+	.B1(n_9379),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[8]),
+	.X(n_9526), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g680524 (
+	.A1(n_9378),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[39]),
+	.B1(n_9379),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[7]),
+	.X(n_9525), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g680525 (
+	.A1(n_9378),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[37]),
+	.B1(n_9379),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[5]),
+	.X(n_9524), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g680526 (
+	.A1(n_9378),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[36]),
+	.B1(n_9379),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[4]),
+	.X(n_9523), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g680527 (
+	.A1(n_9378),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[35]),
+	.B1(n_9379),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[3]),
+	.X(n_9522), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g680528 (
+	.A1(n_9378),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[32]),
+	.B1(n_9379),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[0]),
+	.X(n_9521), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g680529 (
+	.A1(n_9386),
+	.A2(n_34080),
+	.B1(n_9381),
+	.B2(n_34051),
+	.Y(n_9520), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g680530 (
+	.A1(n_9383),
+	.A2(n_34082),
+	.B1(n_9382),
+	.B2(n_34053),
+	.Y(n_9519), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g680531 (
+	.A1(n_9378),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[38]),
+	.B1(n_9379),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[6]),
+	.X(n_9518), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g680532 (
+	.A1(n_9378),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[46]),
+	.B1(n_9379),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[14]),
+	.X(n_9517), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g680533 (
+	.A1(n_9383),
+	.A2(n_34051),
+	.B1(n_9386),
+	.B2(n_34082),
+	.Y(n_9516), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g680534 (
+	.A1(n_9392),
+	.A2(n_34066),
+	.B1(n_9381),
+	.B2(n_34036),
+	.Y(n_9515), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g680535 (
+	.A1(n_9383),
+	.A2(n_34040),
+	.B1(n_9382),
+	.B2(n_34061),
+	.Y(n_9514), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g680536 (
+	.A1(n_9391),
+	.A2(n_34066),
+	.B1(n_8226),
+	.B2(n_34036),
+	.Y(n_9513), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g680537 (
+	.A1(n_9378),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[50]),
+	.B1(n_9379),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[18]),
+	.X(n_9512), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g680538 (
+	.A1(n_9378),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[33]),
+	.B1(n_9379),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[1]),
+	.X(n_9511), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g680539 (
+	.A1(n_9390),
+	.A2(n_34066),
+	.B1(n_8327),
+	.B2(n_34036),
+	.Y(n_9510), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g680540 (
+	.A1(n_9378),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[54]),
+	.B1(n_9379),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[22]),
+	.X(n_9509), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g680541 (
+	.A1(n_9378),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[34]),
+	.B1(n_9379),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[2]),
+	.X(n_9508), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g680542 (
+	.A1(n_9389),
+	.A2(n_34066),
+	.B1(n_8317),
+	.B2(n_34036),
+	.Y(n_9507), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g680543 (
+	.A1(n_9388),
+	.A2(n_34066),
+	.B1(n_8315),
+	.B2(n_34036),
+	.Y(n_9506), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g680544 (
+	.A1(n_9027),
+	.A2(n_34318),
+	.B1(n_9029),
+	.B2(n_34317),
+	.Y(n_9505), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g680545 (
+	.A1(n_9027),
+	.A2(n_34316),
+	.B1(n_9029),
+	.B2(n_34318),
+	.Y(n_9504), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g680546 (
+	.A1(n_9392),
+	.A2(n_34039),
+	.B1(n_9381),
+	.B2(n_34037),
+	.Y(n_9503), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g680547 (
+	.A1(n_9378),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[42]),
+	.B1(n_9379),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[10]),
+	.X(n_9502), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g680548 (
+	.A1(n_9027),
+	.A2(n_34300),
+	.B1(n_9029),
+	.B2(n_34301),
+	.Y(n_9501), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g680549 (
+	.A1(n_1590),
+	.A2(n_34279),
+	.B1(n_9029),
+	.B2(n_35263),
+	.Y(n_9500), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g680550 (
+	.A1(n_9027),
+	.A2(n_35249),
+	.B1(n_9029),
+	.B2(n_35250),
+	.Y(n_9499), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g680551 (
+	.A1(n_9027),
+	.A2(n_35229),
+	.B1(n_9029),
+	.B2(n_35230),
+	.Y(n_9498), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g680552 (
+	.A1(n_9027),
+	.A2(n_34287),
+	.B1(n_9029),
+	.B2(n_34288),
+	.Y(n_9497), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g680553 (
+	.A1(n_1590),
+	.A2(n_34318),
+	.B1(n_9030),
+	.B2(n_34323),
+	.Y(n_9496), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g680554 (
+	.A1(n_1590),
+	.A2(n_34315),
+	.B1(n_9030),
+	.B2(n_34317),
+	.Y(n_9495), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g680555 (
+	.A1(n_9027),
+	.A2(n_34301),
+	.B1(n_9029),
+	.B2(n_34303),
+	.Y(n_9494), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g680556 (
+	.A1(n_9027),
+	.A2(n_35259),
+	.B1(n_9029),
+	.B2(n_34295),
+	.Y(n_9493), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g680557 (
+	.A1(n_1590),
+	.A2(n_35259),
+	.B1(n_9029),
+	.B2(n_34294),
+	.Y(n_9492), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g680558 (
+	.A1(n_1590),
+	.A2(n_35262),
+	.B1(n_9029),
+	.B2(n_35265),
+	.Y(n_9491), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g680559 (
+	.A1(n_1590),
+	.A2(n_35249),
+	.B1(n_9029),
+	.B2(n_35252),
+	.Y(n_9490), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g680560 (
+	.A1(n_1590),
+	.A2(n_35243),
+	.B1(n_9029),
+	.B2(n_35246),
+	.Y(n_9489), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g680561 (
+	.A1(n_1590),
+	.A2(n_35229),
+	.B1(n_9029),
+	.B2(n_35232),
+	.Y(n_9488), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g680562 (
+	.A1(n_1590),
+	.A2(n_34287),
+	.B1(n_9029),
+	.B2(n_34290),
+	.Y(n_9487), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g680563 (
+	.A1(n_1590),
+	.A2(n_34301),
+	.B1(n_9027),
+	.B2(n_34303),
+	.Y(n_9486), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g680564 (
+	.A1(n_1590),
+	.A2(n_35230),
+	.B1(n_9027),
+	.B2(n_35232),
+	.Y(n_9485), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g680565 (
+	.A1(n_9029),
+	.A2(n_35269),
+	.B1(n_9030),
+	.B2(n_35268),
+	.Y(n_9484), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g680566 (
+	.A1(n_9029),
+	.A2(n_35260),
+	.B1(n_9030),
+	.B2(n_34298),
+	.Y(n_9483), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g680567 (
+	.A1(n_9027),
+	.A2(n_34302),
+	.B1(n_9030),
+	.B2(n_34306),
+	.Y(n_9482), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g680568 (
+	.A1(n_9027),
+	.A2(n_35263),
+	.B1(n_9030),
+	.B2(n_35264),
+	.Y(n_9481), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g680569 (
+	.A1(n_9027),
+	.A2(n_35250),
+	.B1(n_9030),
+	.B2(n_35251),
+	.Y(n_9480), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g680570 (
+	.A1(n_9027),
+	.A2(n_35244),
+	.B1(n_9030),
+	.B2(n_35245),
+	.Y(n_9479), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g680571 (
+	.A1(n_9027),
+	.A2(n_35230),
+	.B1(n_9030),
+	.B2(n_35231),
+	.Y(n_9478), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g680572 (
+	.A1(n_9027),
+	.A2(n_34288),
+	.B1(n_9030),
+	.B2(n_34289),
+	.Y(n_9477), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g680573 (
+	.A1(n_9027),
+	.A2(n_35258),
+	.B1(n_9030),
+	.B2(n_34295),
+	.Y(n_9476), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g680574 (
+	.A1(n_9027),
+	.A2(n_35243),
+	.B1(n_9030),
+	.B2(n_35246),
+	.Y(n_9475), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g680575 (
+	.A1(n_1590),
+	.A2(n_34300),
+	.B1(n_9030),
+	.B2(n_34302),
+	.Y(n_9474), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g680576 (
+	.A1(n_1590),
+	.A2(n_35258),
+	.B1(n_9030),
+	.B2(n_34294),
+	.Y(n_9473), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g680577 (
+	.A1(n_9393),
+	.A2(n_8269),
+	.B1(n_9410),
+	.Y(n_9472), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g680578 (
+	.A1(n_9027),
+	.A2(n_35245),
+	.B1(n_9037),
+	.B2(n_35248),
+	.Y(n_9471), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g680579 (
+	.A1(n_9027),
+	.A2(n_35231),
+	.B1(n_9037),
+	.B2(n_35234),
+	.Y(n_9470), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g680580 (
+	.A1(n_1590),
+	.A2(n_35252),
+	.B1(n_9037),
+	.B2(n_35254),
+	.Y(n_9469), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g680581 (
+	.A1(n_9027),
+	.A2(n_35265),
+	.B1(n_9029),
+	.B2(n_35264),
+	.Y(n_9468), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g680582 (
+	.A1(n_9027),
+	.A2(n_34290),
+	.B1(n_9029),
+	.B2(n_34289),
+	.Y(n_9467), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g680583 (
+	.A1(n_1590),
+	.A2(n_34290),
+	.B1(n_9027),
+	.B2(n_34289),
+	.Y(n_9466), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g680584 (
+	.A1(n_9029),
+	.A2(n_35251),
+	.B1(n_9030),
+	.B2(n_35256),
+	.Y(n_9465), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g680585 (
+	.A1(n_9027),
+	.A2(n_35246),
+	.B1(n_9030),
+	.B2(n_34292),
+	.Y(n_9464), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g680586 (
+	.A(n_9462),
+	.Y(n_34438), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g680587 (
+	.A(n_9104),
+	.B(n_9187),
+	.C(n_8476),
+	.D(n_8477),
+	.Y(n_9461), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g680588 (
+	.A1(brqrv_top_brqrv_dec_dec_i0_waddr_r[1]),
+	.A2(n_8272),
+	.B1(n_8373),
+	.C1(n_8451),
+	.D1(n_9364),
+	.Y(n_9460), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g680589 (
+	.A(n_8890),
+	.B(n_8975),
+	.C(n_8471),
+	.D(n_8461),
+	.Y(n_9459), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g680590 (
+	.A(n_9220),
+	.B(n_9373),
+	.Y(n_9458), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g680591 (
+	.A(n_9381),
+	.B(n_34053),
+	.Y(n_9457), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g680592 (
+	.A(n_9248),
+	.B(n_9249),
+	.C(n_8769),
+	.Y(n_9456), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g680593 (
+	.A1(n_8436),
+	.A2(n_9275),
+	.B1_N(n_8385),
+	.Y(n_403150_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g680594 (
+	.A(n_9181),
+	.B(n_9216),
+	.C(n_8948),
+	.D(n_8949),
+	.Y(n_9455), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g680595 (
+	.A(n_9177),
+	.B(n_9215),
+	.C(n_8940),
+	.D(n_8624),
+	.Y(n_9454), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g680596 (
+	.A(n_9174),
+	.B(n_9195),
+	.C(n_8881),
+	.D(n_8925),
+	.Y(n_9453), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g680597 (
+	.A(n_9167),
+	.B(n_9211),
+	.C(n_8894),
+	.D(n_8895),
+	.Y(n_9452), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g680598 (
+	.A(n_9176),
+	.B(n_9208),
+	.C(n_8848),
+	.D(n_8851),
+	.Y(n_9451), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g680599 (
+	.A(n_9218),
+	.B(n_9206),
+	.C(n_9008),
+	.D(n_9006),
+	.Y(n_9450), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g680600 (
+	.A(n_9250),
+	.B(n_9203),
+	.C(n_8759),
+	.D(n_8761),
+	.Y(n_9449), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g680601 (
+	.A(n_9147),
+	.B(n_9201),
+	.C(n_8702),
+	.D(n_8705),
+	.Y(n_9448), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g680602 (
+	.A(n_9138),
+	.B(n_9199),
+	.C(n_8649),
+	.D(n_8652),
+	.Y(n_9447), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g680603 (
+	.A(n_9122),
+	.B(n_9196),
+	.C(n_8588),
+	.D(n_8590),
+	.Y(n_9446), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g680604 (
+	.A(n_9173),
+	.B(n_9191),
+	.C(n_8528),
+	.D(n_8530),
+	.Y(n_9445), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g680605 (
+	.A(n_9074),
+	.B(n_9190),
+	.C(n_8939),
+	.D(n_8933),
+	.Y(n_9444), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g680606 (
+	.A(n_9064),
+	.B(n_9188),
+	.C(n_8488),
+	.D(n_8490),
+	.Y(n_9443), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g680607 (
+	.A_N(n_9393),
+	.B(n_9271),
+	.Y(n_9442), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g680608 (
+	.A1(brqrv_top_brqrv_dec_tlu_n_3813),
+	.A2(n_9222),
+	.B1(n_43110),
+	.X(brqrv_top_brqrv_dec_tlu_exc_cause_r[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g680616 (
+	.A1(n_42971),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[35]),
+	.B1(n_42970),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[99]),
+	.C1(n_9352),
+	.Y(n_9441), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g680617 (
+	.A1(n_42971),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[32]),
+	.B1(n_42970),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[96]),
+	.C1(n_9343),
+	.X(n_9440), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g680618 (
+	.A1(n_42971),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[38]),
+	.B1(n_42970),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[102]),
+	.C1(n_9337),
+	.X(n_9439), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g680619 (
+	.A1(n_42971),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[34]),
+	.B1(n_42970),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[98]),
+	.C1(n_9344),
+	.X(n_9438), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680620 (
+	.A(n_33982),
+	.B(n_9397),
+	.Y(n_9463), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680621 (
+	.A(n_9395),
+	.B(n_33312),
+	.Y(n_34615), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g680622 (
+	.A(n_34664),
+	.B(n_42114),
+	.X(n_34650), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a311o_1 g680623 (
+	.A1(n_8462),
+	.A2(n_31667),
+	.A3(n_32728),
+	.B1(n_8977),
+	.C1(n_36248),
+	.X(n_34785), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680624 (
+	.A(n_34584),
+	.B(n_34586),
+	.Y(n_34583), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680625 (
+	.A(n_34584),
+	.B(n_34580),
+	.Y(n_34579), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g680626 (
+	.A(brqrv_top_brqrv_dec_tlu_request_debug_mode_r),
+	.B(n_34439),
+	.Y(n_9462), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g680629 (
+	.A(n_35959),
+	.Y(n_9435), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g680630 (
+	.A(n_1805),
+	.Y(brqrv_top_brqrv_dec_dec_csr_wrdata_r[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g680631 (
+	.A(n_1508),
+	.Y(brqrv_top_brqrv_dec_dec_csr_wrdata_r[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g680633 (
+	.A(n_8736),
+	.B(n_8737),
+	.C(n_8735),
+	.D(n_9150),
+	.Y(n_9431), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g680634 (
+	.A(n_8905),
+	.B(n_8902),
+	.C(n_8898),
+	.D(n_8900),
+	.Y(n_9430), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g680635 (
+	.A(n_8843),
+	.B(n_8891),
+	.C(n_8630),
+	.D(n_8931),
+	.Y(n_9429), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g680636 (
+	.A(n_8864),
+	.B(n_8861),
+	.C(n_8856),
+	.D(n_8858),
+	.Y(n_9428), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g680637 (
+	.A(n_8926),
+	.B(n_8893),
+	.C(n_8937),
+	.D(n_8717),
+	.Y(n_9427), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g680638 (
+	.A(n_8719),
+	.B(n_8718),
+	.C(n_8715),
+	.D(n_8716),
+	.Y(n_9426), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g680639 (
+	.A(n_8506),
+	.B(n_8613),
+	.C(n_8617),
+	.D(n_8608),
+	.Y(n_9425), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g680640 (
+	.A(n_8615),
+	.B(n_8612),
+	.C(n_8610),
+	.D(n_8941),
+	.Y(n_9424), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g680641 (
+	.A(n_8576),
+	.B(n_8485),
+	.C(n_8572),
+	.D(n_8574),
+	.Y(n_9423), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g680642 (
+	.A(n_8578),
+	.B(n_8658),
+	.C(n_8493),
+	.D(n_8495),
+	.Y(n_9422), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g680643 (
+	.A(n_8995),
+	.B(n_8985),
+	.C(n_9221),
+	.Y(n_9421), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g680644 (
+	.A(n_8721),
+	.B(n_8730),
+	.C(n_9149),
+	.Y(n_9420), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111oi_0 g680645 (
+	.A1(n_8173),
+	.A2(brqrv_top_brqrv_dec_decode_x_d[5]),
+	.B1(n_8374),
+	.C1(n_8688),
+	.D1(n_9363),
+	.Y(n_9419), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g680646 (
+	.A(n_8570),
+	.B(n_8657),
+	.C(n_9136),
+	.Y(n_9418), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g680647 (
+	.A(n_8564),
+	.B(n_8566),
+	.C(n_9097),
+	.Y(n_9417), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g680648 (
+	.A(n_8936),
+	.B(n_8505),
+	.C(n_9066),
+	.Y(n_9416), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g680649 (
+	.A(n_8468),
+	.B(n_8594),
+	.C(n_9140),
+	.Y(n_9415), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g680650 (
+	.A(n_9018),
+	.B(n_8934),
+	.C(n_8474),
+	.D(n_8799),
+	.Y(n_9414), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g680651 (
+	.A(n_9139),
+	.B(n_8671),
+	.C(n_8669),
+	.D(n_8672),
+	.Y(n_9413), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g680652 (
+	.A(n_8956),
+	.B(n_8955),
+	.C(n_8957),
+	.D(n_9183),
+	.Y(n_9412), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g680653 (
+	.A(n_8838),
+	.B(n_8839),
+	.C(n_8836),
+	.D(n_9182),
+	.Y(n_9411), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g680654 (
+	.A1_N(n_8279),
+	.A2_N(brqrv_top_brqrv_lsu_bus_read_data_m[1]),
+	.B1(n_34065),
+	.B2(n_9271),
+	.Y(n_9410), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g680655 (
+	.A1(brqrv_top_brqrv_dec_tlu_npc_r_d1[11]),
+	.A2(n_145),
+	.B1(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[11]),
+	.B2(n_105),
+	.Y(n_9409), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g680656 (
+	.A1(brqrv_top_brqrv_dec_tlu_npc_r_d1[10]),
+	.A2(n_145),
+	.B1(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[10]),
+	.B2(n_105),
+	.Y(n_9408), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g680657 (
+	.A1(brqrv_top_brqrv_dec_tlu_npc_r_d1[9]),
+	.A2(n_145),
+	.B1(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[9]),
+	.B2(n_105),
+	.Y(n_9407), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g680658 (
+	.A1(brqrv_top_brqrv_dec_tlu_npc_r_d1[8]),
+	.A2(n_145),
+	.B1(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[8]),
+	.B2(n_105),
+	.Y(n_9406), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g680659 (
+	.A1(brqrv_top_brqrv_dec_tlu_npc_r_d1[7]),
+	.A2(n_145),
+	.B1(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[7]),
+	.B2(n_105),
+	.Y(n_9405), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g680660 (
+	.A(n_8859),
+	.B(n_8860),
+	.C(n_8857),
+	.D(n_9172),
+	.Y(n_9404), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g680661 (
+	.A(n_8882),
+	.B(n_8883),
+	.C(n_8880),
+	.D(n_9251),
+	.Y(n_9403), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g680662 (
+	.A(n_8912),
+	.B(n_9169),
+	.C(n_8910),
+	.D(n_8914),
+	.Y(n_9402), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4b_2 g680663 (
+	.A(n_36331),
+	.B(n_8696),
+	.C(n_8697),
+	.D_N(n_9145),
+	.X(n_36324), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4b_2 g680664 (
+	.A(n_36331),
+	.B(n_8943),
+	.C(n_8947),
+	.D_N(n_9170),
+	.X(n_36312), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4bb_1 g680665 (
+	.A(n_36331),
+	.B(n_8508),
+	.C_N(n_9142),
+	.D_N(n_8760),
+	.X(n_36308), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4bb_1 g680666 (
+	.A(n_36331),
+	.B(n_8888),
+	.C_N(n_9168),
+	.D_N(n_8892),
+	.X(n_36329), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g680667 (
+	.A1(n_36343),
+	.A2(n_8247),
+	.B1(brqrv_top_brqrv_dec_decode_write_csr_data[6]),
+	.B2(n_33833),
+	.X(brqrv_top_brqrv_dec_dec_csr_wrdata_r[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g680668 (
+	.A1(n_36368),
+	.A2(n_8247),
+	.B1(brqrv_top_brqrv_dec_decode_write_csr_data[31]),
+	.B2(n_33833),
+	.X(brqrv_top_brqrv_dec_dec_csr_wrdata_r[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_2 g680669 (
+	.A1(n_34064),
+	.A2(n_8332),
+	.B1(n_9396),
+	.Y(n_35959), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2a_1 g680670 (
+	.A1_N(n_33833),
+	.A2_N(brqrv_top_brqrv_dec_decode_write_csr_data[5]),
+	.B1(n_33833),
+	.B2(n_9161),
+	.X(n_1805), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2a_1 g680671 (
+	.A1_N(n_33833),
+	.A2_N(brqrv_top_brqrv_dec_decode_write_csr_data[4]),
+	.B1(n_33833),
+	.B2(n_9269),
+	.X(n_1508), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g680672 (
+	.A1(n_36338),
+	.A2(n_8247),
+	.B1(brqrv_top_brqrv_dec_decode_write_csr_data[1]),
+	.B2(n_33833),
+	.X(brqrv_top_brqrv_dec_dec_csr_wrdata_r[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g680673 (
+	.A(n_9399),
+	.Y(n_9400), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680676 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[12]),
+	.B(n_9253),
+	.Y(n_34966), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g680677 (
+	.A_N(brqrv_top_brqrv_dec_tlu_lsu_i0_exc_r),
+	.B(n_9276),
+	.Y(brqrv_top_brqrv_dec_tlu_exc_cause_r[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680678 (
+	.A(brqrv_top_brqrv_lsu_lsu_ld_data_m[4]),
+	.B(n_8269),
+	.Y(n_9396), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g680679 (
+	.A(n_35541),
+	.B(n_35366),
+	.Y(n_9395), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680680 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[8]),
+	.B(n_9255),
+	.Y(n_34122), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680681 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[23]),
+	.B(n_9255),
+	.Y(n_34142), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680682 (
+	.A(brqrv_top_brqrv_lsu_lsu_ld_data_m[6]),
+	.B(n_8269),
+	.Y(n_9394), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680683 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[12]),
+	.B(n_9254),
+	.Y(n_34982), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680684 (
+	.A(brqrv_top_brqrv_lsu_lsu_pkt_m[9]),
+	.B(n_9268),
+	.Y(n_34243), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680685 (
+	.A(brqrv_top_brqrv_lsu_lsu_pkt_m[9]),
+	.B(n_9267),
+	.Y(n_35175), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680686 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[5]),
+	.B(n_9253),
+	.Y(n_35035), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680687 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[5]),
+	.B(n_9254),
+	.Y(n_34153), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680688 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[5]),
+	.B(n_9252),
+	.Y(n_35044), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680689 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[5]),
+	.B(n_9255),
+	.Y(n_34157), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680690 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[8]),
+	.B(n_9253),
+	.Y(n_34965), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680691 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[8]),
+	.B(n_9252),
+	.Y(n_34970), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680692 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[8]),
+	.B(n_9254),
+	.Y(n_34981), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680693 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[13]),
+	.B(n_9252),
+	.Y(n_34969), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680694 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[13]),
+	.B(n_9255),
+	.Y(n_34974), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680695 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[13]),
+	.B(n_9254),
+	.Y(n_34124), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680696 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[17]),
+	.B(n_9252),
+	.Y(n_34129), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680697 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[17]),
+	.B(n_9254),
+	.Y(n_35000), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680698 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[17]),
+	.B(n_9253),
+	.Y(n_35011), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680699 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[17]),
+	.B(n_9255),
+	.Y(n_35020), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680700 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[21]),
+	.B(n_9254),
+	.Y(n_34132), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680701 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[21]),
+	.B(n_9253),
+	.Y(n_35006), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680702 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[21]),
+	.B(n_9255),
+	.Y(n_34144), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680703 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[29]),
+	.B(n_9252),
+	.Y(n_34989), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680704 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[29]),
+	.B(n_9254),
+	.Y(n_34134), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680705 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[29]),
+	.B(n_9255),
+	.Y(n_35019), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680706 (
+	.A(n_9252),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_m[30]),
+	.Y(n_34992), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680707 (
+	.A(n_9254),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_m[30]),
+	.Y(n_35003), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680708 (
+	.A(n_9253),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_m[30]),
+	.Y(n_34141), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680709 (
+	.A(n_9255),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_m[30]),
+	.Y(n_35024), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680710 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[1]),
+	.B(n_9253),
+	.Y(n_35031), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680711 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[1]),
+	.B(n_9254),
+	.Y(n_34149), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680712 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[1]),
+	.B(n_9252),
+	.Y(n_35040), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680713 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[1]),
+	.B(n_9255),
+	.Y(n_35047), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680714 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[18]),
+	.B(n_9254),
+	.Y(n_34136), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680715 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[18]),
+	.B(n_9253),
+	.Y(n_35014), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680716 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[19]),
+	.B(n_9252),
+	.Y(n_34991), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680717 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[19]),
+	.B(n_9254),
+	.Y(n_34135), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680718 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[19]),
+	.B(n_9255),
+	.Y(n_35022), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680719 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[20]),
+	.B(n_9252),
+	.Y(n_34127), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680720 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[20]),
+	.B(n_9254),
+	.Y(n_34996), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680721 (
+	.A(n_9254),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_m[22]),
+	.Y(n_34995), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680722 (
+	.A(n_9255),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_m[22]),
+	.Y(n_34143), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680723 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[23]),
+	.B(n_9254),
+	.Y(n_34994), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680724 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[23]),
+	.B(n_9253),
+	.Y(n_35005), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680725 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[24]),
+	.B(n_9252),
+	.Y(n_34987), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680726 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[24]),
+	.B(n_9254),
+	.Y(n_34133), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680727 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[24]),
+	.B(n_9255),
+	.Y(n_35016), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680728 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[25]),
+	.B(n_9254),
+	.Y(n_34999), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680729 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[25]),
+	.B(n_9253),
+	.Y(n_34139), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680730 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[25]),
+	.B(n_9255),
+	.Y(n_35018), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680731 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[26]),
+	.B(n_9252),
+	.Y(n_34131), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680732 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[26]),
+	.B(n_9254),
+	.Y(n_35004), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680733 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[27]),
+	.B(n_9254),
+	.Y(n_35001), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680734 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[27]),
+	.B(n_9253),
+	.Y(n_34140), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680735 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[28]),
+	.B(n_9252),
+	.Y(n_34128), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680736 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[28]),
+	.B(n_9254),
+	.Y(n_34998), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680737 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[28]),
+	.B(n_9255),
+	.Y(n_35017), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680738 (
+	.A(n_9252),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_m[31]),
+	.Y(n_34130), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680739 (
+	.A(n_9254),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_m[31]),
+	.Y(n_35002), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680740 (
+	.A(n_9253),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_m[31]),
+	.Y(n_35013), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680741 (
+	.A(n_9255),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_m[31]),
+	.Y(n_35023), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680742 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[2]),
+	.B(n_9253),
+	.Y(n_35032), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680743 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[2]),
+	.B(n_9254),
+	.Y(n_34150), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680744 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[2]),
+	.B(n_9252),
+	.Y(n_35041), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680745 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[2]),
+	.B(n_9255),
+	.Y(n_34154), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680746 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[3]),
+	.B(n_9254),
+	.Y(n_34151), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680747 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[3]),
+	.B(n_9252),
+	.Y(n_35042), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680748 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[4]),
+	.B(n_9253),
+	.Y(n_35036), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680749 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[4]),
+	.B(n_9254),
+	.Y(n_35038), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680750 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[4]),
+	.B(n_9255),
+	.Y(n_34158), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680751 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[6]),
+	.B(n_9254),
+	.Y(n_34152), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680752 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[6]),
+	.B(n_9252),
+	.Y(n_35043), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680753 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[9]),
+	.B(n_9254),
+	.Y(n_34978), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680754 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[10]),
+	.B(n_9252),
+	.Y(n_34119), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680755 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[10]),
+	.B(n_9255),
+	.Y(n_34975), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680756 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[11]),
+	.B(n_9253),
+	.Y(n_34117), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680757 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[11]),
+	.B(n_9252),
+	.Y(n_34971), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680758 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[11]),
+	.B(n_9254),
+	.Y(n_34125), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680759 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[3]),
+	.B(n_9255),
+	.Y(n_34155), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680760 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[12]),
+	.B(n_9252),
+	.Y(n_34120), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680761 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[12]),
+	.B(n_9255),
+	.Y(n_34977), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680762 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[14]),
+	.B(n_9253),
+	.Y(n_34967), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680763 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[14]),
+	.B(n_9252),
+	.Y(n_34972), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680764 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[14]),
+	.B(n_9255),
+	.Y(n_34123), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680765 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[14]),
+	.B(n_9254),
+	.Y(n_34983), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680766 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[15]),
+	.B(n_9252),
+	.Y(n_34968), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680767 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[15]),
+	.B(n_9255),
+	.Y(n_34121), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680768 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[16]),
+	.B(n_9252),
+	.Y(n_34986), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680769 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[16]),
+	.B(n_9254),
+	.Y(n_34997), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680770 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[16]),
+	.B(n_9255),
+	.Y(n_34146), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680771 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_ldst_byteen_m[1]),
+	.B(n_9268),
+	.Y(n_34241), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680772 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_ldst_byteen_m[1]),
+	.B(n_9267),
+	.Y(n_35164), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680773 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[9]),
+	.B(n_9253),
+	.Y(n_34962), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680774 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[28]),
+	.B(n_9253),
+	.Y(n_35009), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g680775 (
+	.A_N(n_34246),
+	.B(brqrv_top_brqrv_lsu_bus_intf_ldst_byteen_m[1]),
+	.Y(n_35163), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680776 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[6]),
+	.B(n_9255),
+	.Y(n_34156), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g680777 (
+	.A_N(n_34244),
+	.B(brqrv_top_brqrv_lsu_bus_intf_ldst_byteen_m[1]),
+	.Y(n_35172), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680778 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[13]),
+	.B(n_9253),
+	.Y(n_34116), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680779 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[26]),
+	.B(n_9253),
+	.Y(n_35015), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g680780 (
+	.A_N(n_34244),
+	.B(brqrv_top_brqrv_lsu_lsu_pkt_m[9]),
+	.Y(n_34242), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680781 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[24]),
+	.B(n_9253),
+	.Y(n_35008), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680782 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[20]),
+	.B(n_9253),
+	.Y(n_35007), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680783 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[19]),
+	.B(n_9253),
+	.Y(n_35012), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680784 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[18]),
+	.B(n_9252),
+	.Y(n_34993), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680785 (
+	.A(n_9253),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_m[22]),
+	.Y(n_34137), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680786 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[6]),
+	.B(n_9253),
+	.Y(n_35034), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680787 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[4]),
+	.B(n_9252),
+	.Y(n_35045), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g680788 (
+	.A_N(n_34246),
+	.B(brqrv_top_brqrv_lsu_lsu_pkt_m[9]),
+	.Y(n_35174), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680789 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[29]),
+	.B(n_9253),
+	.Y(n_35010), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680790 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[9]),
+	.B(n_9252),
+	.Y(n_34118), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680791 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[20]),
+	.B(n_9255),
+	.Y(n_34145), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680792 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[15]),
+	.B(n_9253),
+	.Y(n_34963), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680793 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[26]),
+	.B(n_9255),
+	.Y(n_34147), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680794 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[9]),
+	.B(n_9255),
+	.Y(n_34973), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680795 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[10]),
+	.B(n_9253),
+	.Y(n_34964), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680796 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[27]),
+	.B(n_9252),
+	.Y(n_34990), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680797 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[16]),
+	.B(n_9253),
+	.Y(n_34138), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680798 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[11]),
+	.B(n_9255),
+	.Y(n_34976), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680799 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[3]),
+	.B(n_9253),
+	.Y(n_35033), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680800 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[27]),
+	.B(n_9255),
+	.Y(n_35021), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680801 (
+	.A(n_9252),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_m[22]),
+	.Y(n_34984), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680802 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[15]),
+	.B(n_9254),
+	.Y(n_34979), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680803 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[23]),
+	.B(n_9252),
+	.Y(n_34126), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680804 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[0]),
+	.B(n_9255),
+	.Y(n_35046), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680805 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[18]),
+	.B(n_9255),
+	.Y(n_35025), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680806 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[10]),
+	.B(n_9254),
+	.Y(n_34980), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680807 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[25]),
+	.B(n_9252),
+	.Y(n_34988), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680808 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[21]),
+	.B(n_9252),
+	.Y(n_34985), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680809 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[0]),
+	.B(n_9252),
+	.Y(n_35039), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680810 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[0]),
+	.B(n_9254),
+	.Y(n_35037), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680811 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[0]),
+	.B(n_9253),
+	.Y(n_35030), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680812 (
+	.A(n_9175),
+	.B(n_34794),
+	.Y(n_36346), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680814 (
+	.A(n_9134),
+	.B(n_34794),
+	.Y(n_36351), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680815 (
+	.A(n_9067),
+	.B(n_8487),
+	.Y(n_34275), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680816 (
+	.A(brqrv_top_brqrv_lsu_lsu_ld_data_m[11]),
+	.B(n_42939),
+	.Y(n_9401), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680817 (
+	.A(n_9143),
+	.B(n_34794),
+	.Y(n_36350), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g680818 (
+	.A_N(n_34757),
+	.B(n_9132),
+	.Y(n_34734), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680819 (
+	.A(n_9130),
+	.B(n_34794),
+	.Y(n_36345), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680820 (
+	.A(n_9180),
+	.B(n_34794),
+	.Y(n_36347), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680821 (
+	.A(n_9263),
+	.B(n_8286),
+	.Y(n_9399), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_2 g680822 (
+	.A(n_34065),
+	.B(n_9270),
+	.Y(n_9398), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680823 (
+	.A(n_9060),
+	.B(n_8503),
+	.Y(n_35229), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g680824 (
+	.A_N(n_34440),
+	.B(n_8442),
+	.Y(n_34439), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680825 (
+	.A(brqrv_top_brqrv_lsu_lsu_ld_data_m[7]),
+	.B(n_8229),
+	.Y(n_9397), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680826 (
+	.A(n_9128),
+	.B(n_8619),
+	.Y(n_34323), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680827 (
+	.A(n_9124),
+	.B(n_8609),
+	.Y(n_34324), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680828 (
+	.A(n_9123),
+	.B(n_8605),
+	.Y(n_34306), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680829 (
+	.A(n_9125),
+	.B(n_8611),
+	.Y(n_34322), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680830 (
+	.A(n_9120),
+	.B(n_8601),
+	.Y(n_34305), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680831 (
+	.A(n_9127),
+	.B(n_8616),
+	.Y(n_34318), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680832 (
+	.A(n_9126),
+	.B(n_8614),
+	.Y(n_34317), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680833 (
+	.A(n_9117),
+	.B(n_8593),
+	.Y(n_35268), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680834 (
+	.A(n_9112),
+	.B(n_8587),
+	.Y(n_34298), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680835 (
+	.A(n_9115),
+	.B(n_8591),
+	.Y(n_35267), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680836 (
+	.A(n_9113),
+	.B(n_8632),
+	.Y(n_35265), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680837 (
+	.A(n_9114),
+	.B(n_8589),
+	.Y(n_35264), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680838 (
+	.A(n_9116),
+	.B(n_8592),
+	.Y(n_35269), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680839 (
+	.A(n_9118),
+	.B(n_8595),
+	.Y(n_34303), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680840 (
+	.A(n_9119),
+	.B(n_8599),
+	.Y(n_34307), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680841 (
+	.A(n_9121),
+	.B(n_8504),
+	.Y(n_34302), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g680850 (
+	.A1(n_1682),
+	.A2(brqrv_top_brqrv_exu_i0_flush_path_upper_r[8]),
+	.B1(n_42963),
+	.B2(brqrv_top_brqrv_exu_pred_correct_npc_r[8]),
+	.Y(n_9377), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g680851 (
+	.A1(n_1682),
+	.A2(brqrv_top_brqrv_exu_i0_flush_path_upper_r[9]),
+	.B1(n_42963),
+	.B2(brqrv_top_brqrv_exu_pred_correct_npc_r[9]),
+	.Y(n_9376), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g680852 (
+	.A1(n_1682),
+	.A2(brqrv_top_brqrv_exu_i0_flush_path_upper_r[10]),
+	.B1(n_42963),
+	.B2(brqrv_top_brqrv_exu_pred_correct_npc_r[10]),
+	.Y(n_9375), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g680853 (
+	.A1(n_1682),
+	.A2(brqrv_top_brqrv_exu_i0_flush_path_upper_r[11]),
+	.B1(n_42963),
+	.B2(brqrv_top_brqrv_exu_pred_correct_npc_r[11]),
+	.Y(n_9374), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680854 (
+	.A(n_43248),
+	.B(n_8089),
+	.Y(n_9373), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g680855 (
+	.A1(n_1682),
+	.A2(brqrv_top_brqrv_exu_i0_flush_path_upper_r[7]),
+	.B1(n_42963),
+	.B2(brqrv_top_brqrv_exu_pred_correct_npc_r[7]),
+	.Y(n_9372), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g680856 (
+	.A(n_8512),
+	.B(n_8456),
+	.C(n_8455),
+	.D(n_8450),
+	.Y(n_9371), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4b_1 g680857 (
+	.A(n_8440),
+	.B(n_9047),
+	.C(n_9273),
+	.D_N(brqrv_top_brqrv_dec_decode_r_d[1]),
+	.Y(n_9370), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g680858 (
+	.A(n_8971),
+	.B(n_9026),
+	.C(n_8472),
+	.D(n_8473),
+	.Y(n_9369), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111oi_0 g680859 (
+	.A1(n_8172),
+	.A2(brqrv_top_brqrv_dec_decode_x_d[7]),
+	.B1(n_8982),
+	.C1(n_8920),
+	.D1(n_8458),
+	.Y(n_9368), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g680860 (
+	.A1(brqrv_top_brqrv_dec_i0_predict_p_d[44]),
+	.A2(n_8972),
+	.B1(n_32406),
+	.C1(n_28904),
+	.Y(n_9367), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111oi_0 g680861 (
+	.A1(brqrv_top_brqrv_dec_tlu_lsu_i0_exc_r),
+	.A2(brqrv_top_brqrv_dec_tlu_lsu_exc_st_r),
+	.B1(brqrv_top_brqrv_dec_tlu_i0_trigger_hit_raw_r),
+	.C1(brqrv_top_brqrv_dec_tlu_n_13200),
+	.D1(n_42994),
+	.Y(n_9366), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g680862 (
+	.A1(brqrv_top_brqrv_dec_dec_nonblock_load_waddr[0]),
+	.A2(n_1708),
+	.B1(n_9209),
+	.Y(n_9365), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g680863 (
+	.A1(n_8272),
+	.A2(brqrv_top_brqrv_dec_dec_i0_waddr_r[1]),
+	.B1(n_8980),
+	.C1(n_8448),
+	.Y(n_9364), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g680864 (
+	.A1(brqrv_top_brqrv_dec_decode_x_d[4]),
+	.A2(n_1708),
+	.B1(brqrv_top_brqrv_dec_decode_x_d[1]),
+	.C1(n_8447),
+	.D1(n_8414),
+	.Y(n_9363), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g680865 (
+	.A(n_8479),
+	.B(n_8916),
+	.C(n_8915),
+	.Y(n_9362), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g680866 (
+	.A(n_33328),
+	.B(n_9009),
+	.C(n_33327),
+	.D(n_8969),
+	.Y(n_9361), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g680867 (
+	.A(n_8676),
+	.B(n_8673),
+	.C(n_8674),
+	.Y(n_9360), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g680868 (
+	.A(n_8741),
+	.B(n_8738),
+	.C(n_8739),
+	.Y(n_9359), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g680869 (
+	.A(n_8824),
+	.B(n_8823),
+	.C(n_8822),
+	.Y(n_9358), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g680870 (
+	.A(n_8842),
+	.B(n_8841),
+	.C(n_8840),
+	.Y(n_9357), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g680871 (
+	.A(n_8865),
+	.B(n_8863),
+	.C(n_8862),
+	.Y(n_9356), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g680872 (
+	.A(n_8887),
+	.B(n_8885),
+	.C(n_8886),
+	.Y(n_9355), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g680873 (
+	.A1(n_34787),
+	.A2(n_35589),
+	.B1(n_36248),
+	.Y(n_9354), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g680874 (
+	.A(n_8466),
+	.B(n_8465),
+	.C(n_8464),
+	.D(n_8954),
+	.Y(n_9353), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g680875 (
+	.A1(n_8334),
+	.A2(n_34013),
+	.B1(n_8240),
+	.B2(n_34012),
+	.C1(n_9135),
+	.Y(n_9352), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g680876 (
+	.A(n_8483),
+	.B(n_8938),
+	.C(n_8482),
+	.D(n_8486),
+	.Y(n_9351), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g680877 (
+	.A(n_8496),
+	.B(n_8494),
+	.C(n_8489),
+	.D(n_8492),
+	.Y(n_9350), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g680878 (
+	.A(n_8518),
+	.B(n_8519),
+	.C(n_8517),
+	.D(n_8516),
+	.Y(n_9349), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680879 (
+	.A(n_9178),
+	.B(n_8481),
+	.Y(n_9348), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g680880 (
+	.A(n_8526),
+	.B(n_8524),
+	.C(n_8525),
+	.D(n_8522),
+	.Y(n_9347), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g680881 (
+	.A(n_8537),
+	.B(n_8534),
+	.C(n_8532),
+	.D(n_8539),
+	.Y(n_9346), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g680882 (
+	.A(n_8952),
+	.B(n_8626),
+	.C(n_8953),
+	.D(n_8950),
+	.Y(n_9345), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g680883 (
+	.A1(n_8234),
+	.A2(n_34013),
+	.B1(n_8243),
+	.B2(n_34012),
+	.C1(n_43243),
+	.Y(n_9344), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g680884 (
+	.A1(n_8230),
+	.A2(n_34013),
+	.B1(n_8228),
+	.B2(n_34012),
+	.C1(n_43245),
+	.Y(n_9343), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g680885 (
+	.A(n_8932),
+	.B(n_8501),
+	.C(n_8499),
+	.D(n_8498),
+	.Y(n_9342), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g680886 (
+	.A(n_9023),
+	.B(n_8543),
+	.C(n_8621),
+	.D(n_8631),
+	.Y(n_9341), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g680887 (
+	.A(n_8913),
+	.B(n_8911),
+	.C(n_8908),
+	.D(n_8909),
+	.Y(n_9340), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g680888 (
+	.A(n_8904),
+	.B(n_8906),
+	.C(n_8907),
+	.D(n_8903),
+	.Y(n_9339), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g680889 (
+	.A(n_8897),
+	.B(n_8896),
+	.C(n_8901),
+	.D(n_8899),
+	.Y(n_9338), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g680890 (
+	.A1(n_8314),
+	.A2(n_34013),
+	.B1(n_8223),
+	.B2(n_34012),
+	.C1(n_9069),
+	.Y(n_9337), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g680891 (
+	.A(n_8876),
+	.B(n_8873),
+	.C(n_8875),
+	.D(n_8877),
+	.Y(n_9336), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g680892 (
+	.A(n_8874),
+	.B(n_8868),
+	.C(n_8870),
+	.D(n_8866),
+	.Y(n_9335), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g680893 (
+	.A(n_8869),
+	.B(n_8867),
+	.C(n_8872),
+	.D(n_8871),
+	.Y(n_9334), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g680894 (
+	.A(n_8853),
+	.B(n_8852),
+	.C(n_8855),
+	.D(n_8854),
+	.Y(n_9333), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g680895 (
+	.A(n_8847),
+	.B(n_8849),
+	.C(n_8850),
+	.D(n_8846),
+	.Y(n_9332), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g680896 (
+	.A(n_8833),
+	.B(n_8831),
+	.C(n_8835),
+	.D(n_8834),
+	.Y(n_9331), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g680897 (
+	.A(n_8829),
+	.B(n_8828),
+	.C(n_8830),
+	.D(n_8827),
+	.Y(n_9330), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g680898 (
+	.A(n_8918),
+	.B(n_8825),
+	.C(n_8958),
+	.D(n_8826),
+	.Y(n_9329), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g680899 (
+	.A(n_8959),
+	.B(n_8984),
+	.C(n_8970),
+	.D(n_8983),
+	.Y(n_9328), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g680900 (
+	.A(n_8986),
+	.B(n_8976),
+	.C(n_8994),
+	.D(n_8993),
+	.Y(n_9327), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g680901 (
+	.A(n_8991),
+	.B(n_8992),
+	.C(n_8987),
+	.D(n_8990),
+	.Y(n_9326), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g680902 (
+	.A(n_9184),
+	.B(n_8997),
+	.C(n_8996),
+	.Y(n_9325), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g680903 (
+	.A(n_9005),
+	.B(n_9003),
+	.C(n_8998),
+	.D(n_9002),
+	.Y(n_9324), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g680904 (
+	.A(n_9015),
+	.B(n_9013),
+	.C(n_9007),
+	.D(n_9014),
+	.Y(n_9323), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g680905 (
+	.A(n_9022),
+	.B(n_9021),
+	.C(n_9020),
+	.D(n_9017),
+	.Y(n_9322), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g680906 (
+	.A(n_9230),
+	.B(n_8814),
+	.C(n_8628),
+	.Y(n_9321), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g680907 (
+	.A(n_8812),
+	.B(n_8813),
+	.C(n_8810),
+	.D(n_8811),
+	.Y(n_9320), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g680908 (
+	.A(n_8807),
+	.B(n_8805),
+	.C(n_8806),
+	.D(n_8808),
+	.Y(n_9319), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g680909 (
+	.A(n_8801),
+	.B(n_8800),
+	.C(n_8804),
+	.D(n_8802),
+	.Y(n_9318), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g680910 (
+	.A(n_9232),
+	.B(n_8796),
+	.C(n_8797),
+	.Y(n_9317), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g680911 (
+	.A(n_8790),
+	.B(n_8792),
+	.C(n_8795),
+	.D(n_8794),
+	.Y(n_9316), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g680912 (
+	.A(n_8787),
+	.B(n_8786),
+	.C(n_8789),
+	.D(n_8788),
+	.Y(n_9315), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g680913 (
+	.A(n_8784),
+	.B(n_8779),
+	.C(n_8780),
+	.D(n_8778),
+	.Y(n_9314), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g680914 (
+	.A(n_8783),
+	.B(n_8785),
+	.C(n_8781),
+	.D(n_8782),
+	.Y(n_9313), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g680915 (
+	.A(n_9246),
+	.B(n_8776),
+	.C(n_8777),
+	.Y(n_9312), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g680916 (
+	.A(n_8773),
+	.B(n_8775),
+	.C(n_8772),
+	.D(n_8774),
+	.Y(n_9311), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g680917 (
+	.A(n_8767),
+	.B(n_8770),
+	.C(n_8771),
+	.D(n_8768),
+	.Y(n_9310), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g680918 (
+	.A(n_8764),
+	.B(n_8765),
+	.C(n_8763),
+	.D(n_8766),
+	.Y(n_9309), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g680919 (
+	.A(n_9152),
+	.B(n_8757),
+	.C(n_8756),
+	.Y(n_9308), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g680920 (
+	.A(n_8751),
+	.B(n_8752),
+	.C(n_8753),
+	.D(n_8754),
+	.Y(n_9307), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g680921 (
+	.A(n_8750),
+	.B(n_8747),
+	.C(n_8748),
+	.D(n_8749),
+	.Y(n_9306), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g680922 (
+	.A(n_8744),
+	.B(n_8745),
+	.C(n_8743),
+	.D(n_8746),
+	.Y(n_9305), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g680923 (
+	.A(n_8727),
+	.B(n_8731),
+	.C(n_8722),
+	.D(n_8734),
+	.Y(n_9304), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g680924 (
+	.A(n_8729),
+	.B(n_8733),
+	.C(n_8728),
+	.D(n_8732),
+	.Y(n_9303), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g680925 (
+	.A(n_8723),
+	.B(n_8725),
+	.C(n_8724),
+	.D(n_8726),
+	.Y(n_9302), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g680926 (
+	.A(n_9148),
+	.B(n_8713),
+	.C(n_8714),
+	.Y(n_9301), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g680927 (
+	.A(n_8709),
+	.B(n_8711),
+	.C(n_8708),
+	.D(n_8712),
+	.Y(n_9300), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g680928 (
+	.A(n_8706),
+	.B(n_8704),
+	.C(n_8707),
+	.D(n_8703),
+	.Y(n_9299), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g680929 (
+	.A(n_8700),
+	.B(n_8701),
+	.C(n_8698),
+	.D(n_8699),
+	.Y(n_9298), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g680930 (
+	.A(n_9141),
+	.B(n_8693),
+	.C(n_8694),
+	.Y(n_9297), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g680931 (
+	.A(n_8690),
+	.B(n_8691),
+	.C(n_8689),
+	.D(n_8692),
+	.Y(n_9296), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g680932 (
+	.A(n_8684),
+	.B(n_8686),
+	.C(n_8687),
+	.D(n_8685),
+	.Y(n_9295), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g680933 (
+	.A(n_8681),
+	.B(n_8683),
+	.C(n_8679),
+	.D(n_8680),
+	.Y(n_9294), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g680934 (
+	.A(n_8677),
+	.B(n_8675),
+	.C(n_8668),
+	.D(n_8670),
+	.Y(n_9293), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g680935 (
+	.A(n_8664),
+	.B(n_8666),
+	.C(n_8667),
+	.D(n_8665),
+	.Y(n_9292), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g680936 (
+	.A(n_8660),
+	.B(n_8663),
+	.C(n_8655),
+	.D(n_8656),
+	.Y(n_9291), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g680937 (
+	.A(n_8480),
+	.B(n_8661),
+	.C(n_8659),
+	.D(n_8662),
+	.Y(n_9290), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g680938 (
+	.A(n_9137),
+	.B(n_8653),
+	.C(n_8654),
+	.Y(n_9289), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g680939 (
+	.A(n_8603),
+	.B(n_8598),
+	.C(n_8650),
+	.D(n_8651),
+	.Y(n_9288), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g680940 (
+	.A(n_8584),
+	.B(n_8647),
+	.C(n_8648),
+	.D(n_8646),
+	.Y(n_9287), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g680941 (
+	.A(n_8642),
+	.B(n_8644),
+	.C(n_8641),
+	.D(n_8645),
+	.Y(n_9286), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g680942 (
+	.A(n_8629),
+	.B(n_8625),
+	.C(n_8815),
+	.D(n_8623),
+	.Y(n_9285), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g680943 (
+	.A(n_9129),
+	.B(n_8618),
+	.C(n_8620),
+	.Y(n_9284), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g680944 (
+	.A(n_8607),
+	.B(n_8523),
+	.C(n_8606),
+	.D(n_8604),
+	.Y(n_9283), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g680945 (
+	.A(n_8602),
+	.B(n_8511),
+	.C(n_8597),
+	.D(n_8600),
+	.Y(n_9282), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g680946 (
+	.A(n_8552),
+	.B(n_8545),
+	.C(n_8542),
+	.D(n_8549),
+	.Y(n_9281), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g680947 (
+	.A(n_9108),
+	.B(n_8579),
+	.C(n_8580),
+	.Y(n_9280), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g680948 (
+	.A(n_8565),
+	.B(n_8541),
+	.C(n_8567),
+	.D(n_8569),
+	.Y(n_9279), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g680949 (
+	.A(n_8560),
+	.B(n_8562),
+	.C(n_8559),
+	.D(n_8927),
+	.Y(n_9278), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g680950 (
+	.A(n_35348),
+	.B(n_34564),
+	.C(n_34562),
+	.D(n_35350),
+	.X(brqrv_top_brqrv_lsu_lsu_bus_buffer_pend_any), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680952 (
+	.A(n_9166),
+	.B(n_8421),
+	.Y(n_9393), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680953 (
+	.A(n_9131),
+	.B(n_8478),
+	.Y(n_34263), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680954 (
+	.A(n_9110),
+	.B(n_8923),
+	.Y(n_35225), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680955 (
+	.A(n_9081),
+	.B(n_8491),
+	.Y(n_34267), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680956 (
+	.A(n_9076),
+	.B(n_8484),
+	.Y(n_34271), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680957 (
+	.A(n_9057),
+	.B(n_8720),
+	.Y(n_34279), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680958 (
+	.A(n_9058),
+	.B(n_8497),
+	.Y(n_34283), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g680959 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[46]),
+	.A2(n_8273),
+	.B1(n_8175),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[14]),
+	.C1(n_8633),
+	.Y(n_9392), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g680960 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[77]),
+	.A2(n_8176),
+	.B1(n_8175),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[13]),
+	.C1(n_8627),
+	.Y(n_9391), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g680961 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[44]),
+	.A2(n_8273),
+	.B1(n_8175),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[12]),
+	.C1(n_8622),
+	.Y(n_9390), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g680962 (
+	.A1(n_8273),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[41]),
+	.B1(n_8175),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[9]),
+	.C1(n_8547),
+	.Y(n_9389), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g680963 (
+	.A1(n_8273),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[40]),
+	.B1(n_8175),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[8]),
+	.C1(n_8538),
+	.Y(n_9388), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g680964 (
+	.A(n_1802),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_n_300),
+	.C(brqrv_top_brqrv_dec_dec_csr_wrdata_r[0]),
+	.Y(n_9387), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g680965 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[78]),
+	.A2(n_8232),
+	.B1(n_8233),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[14]),
+	.C1(n_8964),
+	.Y(n_9386), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111oi_0 g680966 (
+	.A1(n_8330),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[11]),
+	.B1(n_8962),
+	.C1(n_8961),
+	.D1(n_8960),
+	.Y(n_9385), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g680967 (
+	.A(n_9274),
+	.B(n_9004),
+	.Y(n_9384), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680968 (
+	.A(n_9072),
+	.B(n_8514),
+	.Y(n_34315), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680969 (
+	.A(n_9068),
+	.B(n_8924),
+	.Y(n_35258), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680970 (
+	.A(n_9070),
+	.B(n_8507),
+	.Y(n_35262), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680971 (
+	.A(n_9071),
+	.B(n_8510),
+	.Y(n_34300), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680972 (
+	.A(n_9065),
+	.B(n_8470),
+	.Y(n_35249), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680973 (
+	.A(n_9062),
+	.B(n_8678),
+	.Y(n_35243), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680974 (
+	.A(n_9059),
+	.B(n_8500),
+	.Y(n_34287), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g680975 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[70]),
+	.A2(n_8232),
+	.B1(n_8233),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[6]),
+	.C1(n_8963),
+	.Y(n_9383), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g680976 (
+	.A(n_8989),
+	.B(n_34856),
+	.C(n_34860),
+	.D(n_34861),
+	.Y(n_34584), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680977 (
+	.A(n_9083),
+	.B(n_8536),
+	.Y(n_34316), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g680978 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mfdc_int[15]),
+	.A2_N(brqrv_top_brqrv_dma_ctrl_dma_nack_count[2]),
+	.B1(n_8637),
+	.B2(n_8758),
+	.Y(brqrv_top_brqrv_dma_ctrl_n_1319), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g680979 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[86]),
+	.A2(n_8176),
+	.B1(n_8175),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[22]),
+	.C1(n_8919),
+	.Y(n_9382), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680980 (
+	.A(n_9193),
+	.B(n_36333),
+	.Y(n_36288), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111o_1 g680981 (
+	.A1(n_8274),
+	.A2(n_36319),
+	.B1(n_8362),
+	.C1(n_8798),
+	.D1(n_36331),
+	.X(n_36299), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680982 (
+	.A(n_9073),
+	.B(n_8515),
+	.Y(n_34288), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g680983 (
+	.A1(n_8273),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[62]),
+	.B1(n_8175),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[30]),
+	.C1(n_8793),
+	.Y(n_9381), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680984 (
+	.A(n_9079),
+	.B(n_8942),
+	.Y(n_35259), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680985 (
+	.A(n_9080),
+	.B(n_8531),
+	.Y(n_35263), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680986 (
+	.A(n_9082),
+	.B(n_8533),
+	.Y(n_34301), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680987 (
+	.A(n_9075),
+	.B(n_8929),
+	.Y(n_35230), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680988 (
+	.A(n_9085),
+	.B(n_8638),
+	.Y(n_35239), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680989 (
+	.A(n_9077),
+	.B(n_8521),
+	.Y(n_35244), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680990 (
+	.A(n_9086),
+	.B(n_8544),
+	.Y(n_35240), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680991 (
+	.A(n_9078),
+	.B(n_8527),
+	.Y(n_35250), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680992 (
+	.A(n_9090),
+	.B(n_8639),
+	.Y(n_35235), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680993 (
+	.A(n_9093),
+	.B(n_8556),
+	.Y(n_35234), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680994 (
+	.A(n_9096),
+	.B(n_8561),
+	.Y(n_34291), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680995 (
+	.A(n_9099),
+	.B(n_8535),
+	.Y(n_35248), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680996 (
+	.A(n_9101),
+	.B(n_8571),
+	.Y(n_35255), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680997 (
+	.A(n_9107),
+	.B(n_8582),
+	.Y(n_34297), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680998 (
+	.A(n_9105),
+	.B(n_8577),
+	.Y(n_35254), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g680999 (
+	.A(n_9103),
+	.B(n_8575),
+	.Y(n_35252), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g681000 (
+	.A(n_9092),
+	.B(n_8553),
+	.Y(n_35231), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g681001 (
+	.A(n_9091),
+	.B(n_8845),
+	.Y(n_35236), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g681002 (
+	.A(n_9102),
+	.B(n_8573),
+	.Y(n_35251), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g681003 (
+	.A(n_9089),
+	.B(n_8550),
+	.Y(n_35232), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g681004 (
+	.A(n_9088),
+	.B(n_8548),
+	.Y(n_34289), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g681005 (
+	.A(n_9087),
+	.B(n_8546),
+	.Y(n_34290), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g681006 (
+	.A(n_9111),
+	.B(n_8585),
+	.Y(n_35260), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g681007 (
+	.A(n_9100),
+	.B(n_8568),
+	.Y(n_35256), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g681008 (
+	.A(n_9084),
+	.B(n_8540),
+	.Y(n_35241), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g681009 (
+	.A(n_9106),
+	.B(n_8581),
+	.Y(n_34295), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g681010 (
+	.A(n_9098),
+	.B(n_8563),
+	.Y(n_35245), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g681011 (
+	.A(n_9109),
+	.B(n_8583),
+	.Y(n_34294), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g681012 (
+	.A(n_9095),
+	.B(n_8558),
+	.Y(n_34292), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g681013 (
+	.A(n_9094),
+	.B(n_8557),
+	.Y(n_35246), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g681014 (
+	.A1(n_33315),
+	.A2(n_9042),
+	.B1(n_8418),
+	.Y(n_9380), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2o_1 g681015 (
+	.A1_N(n_8206),
+	.A2_N(n_33860),
+	.B1(n_33315),
+	.B2(n_9043),
+	.X(n_9379), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g681016 (
+	.A1(n_8206),
+	.A2(n_33861),
+	.B1(n_9185),
+	.Y(n_9378), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g681017 (
+	.A(n_9276),
+	.Y(brqrv_top_brqrv_dec_tlu_exc_cause_r[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g681018 (
+	.A(n_9274),
+	.Y(n_9275), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g681019 (
+	.A(n_9270),
+	.Y(brqrv_top_brqrv_lsu_lsu_ld_data_m[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g681020 (
+	.A(n_9269),
+	.Y(n_36341), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g681021 (
+	.A(n_9268),
+	.Y(n_35177), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g681022 (
+	.A(n_9267),
+	.Y(n_34248), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g681023 (
+	.A(n_9165),
+	.Y(n_35991), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g681024 (
+	.A(n_9164),
+	.Y(n_36015), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g681025 (
+	.A(n_9266),
+	.Y(n_36011), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g681026 (
+	.A(n_9265),
+	.Y(n_36009), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g681027 (
+	.A(n_9264),
+	.Y(n_36010), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g681028 (
+	.A(n_9163),
+	.Y(n_36016), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g681029 (
+	.A(n_9162),
+	.Y(n_36021), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g681030 (
+	.A(n_9263),
+	.Y(n_33864), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g681031 (
+	.A(n_9262),
+	.Y(n_35977), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g681035 (
+	.A(n_9260),
+	.Y(n_9261), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g681037 (
+	.A(n_9258),
+	.Y(n_9259), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g681042 (
+	.A(n_8127),
+	.Y(n_35973), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g681044 (
+	.A(n_145),
+	.Y(brqrv_top_brqrv_dec_tlu_n_4856), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g681045 (
+	.A(n_9255),
+	.Y(n_34247), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g681046 (
+	.A(n_9254),
+	.Y(n_35178), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g681047 (
+	.A(n_9253),
+	.Y(n_35176), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g681048 (
+	.A(n_9252),
+	.Y(n_34245), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g681049 (
+	.A1(brqrv_top_brqrv_dec_arf_gpr_out[121]),
+	.A2(n_8160),
+	.B1(brqrv_top_brqrv_dec_arf_n_744),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[57]),
+	.C1(n_8164),
+	.C2(brqrv_top_brqrv_dec_arf_gpr_out[185]),
+	.Y(n_9251), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g681050 (
+	.A1(brqrv_top_brqrv_dec_arf_gpr_out[1009]),
+	.A2(n_349943_BAR),
+	.B1(n_349942_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[209]),
+	.C1(n_349939_BAR),
+	.C2(brqrv_top_brqrv_dec_arf_gpr_out[81]),
+	.Y(n_9250), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g681051 (
+	.A1(brqrv_top_brqrv_dec_arf_gpr_out[401]),
+	.A2(n_349934_BAR),
+	.B1(n_349937_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[369]),
+	.C1(n_349935_BAR),
+	.C2(brqrv_top_brqrv_dec_arf_gpr_out[433]),
+	.Y(n_9249), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g681052 (
+	.A1(brqrv_top_brqrv_dec_arf_gpr_out[305]),
+	.A2(n_349932_BAR),
+	.B1(n_349933_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[337]),
+	.C1(n_349929_BAR),
+	.C2(brqrv_top_brqrv_dec_arf_gpr_out[241]),
+	.Y(n_9248), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g681053 (
+	.A(n_1682),
+	.B(brqrv_top_brqrv_exu_i0_flush_path_upper_r[31]),
+	.Y(n_9247), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g681054 (
+	.A1(brqrv_top_brqrv_dec_arf_gpr_out[111]),
+	.A2(n_8160),
+	.B1(n_42953),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[79]),
+	.C1(n_8164),
+	.C2(brqrv_top_brqrv_dec_arf_gpr_out[175]),
+	.Y(n_9246), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g681055 (
+	.A(n_1682),
+	.B(brqrv_top_brqrv_exu_i0_flush_path_upper_r[30]),
+	.Y(n_9245), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g681056 (
+	.A(n_1682),
+	.B(brqrv_top_brqrv_exu_i0_flush_path_upper_r[29]),
+	.Y(n_9244), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g681057 (
+	.A(n_1682),
+	.B(brqrv_top_brqrv_exu_i0_flush_path_upper_r[28]),
+	.Y(n_9243), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g681058 (
+	.A(n_1682),
+	.B(brqrv_top_brqrv_exu_i0_flush_path_upper_r[27]),
+	.Y(n_9242), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g681059 (
+	.A(n_1682),
+	.B(brqrv_top_brqrv_exu_i0_flush_path_upper_r[26]),
+	.Y(n_9241), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g681060 (
+	.A(n_1682),
+	.B(brqrv_top_brqrv_exu_i0_flush_path_upper_r[25]),
+	.Y(n_9240), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g681061 (
+	.A(n_1682),
+	.B(brqrv_top_brqrv_exu_i0_flush_path_upper_r[24]),
+	.Y(n_9239), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g681062 (
+	.A(n_1682),
+	.B(brqrv_top_brqrv_exu_i0_flush_path_upper_r[23]),
+	.Y(n_9238), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g681063 (
+	.A(n_1682),
+	.B(brqrv_top_brqrv_exu_i0_flush_path_upper_r[22]),
+	.Y(n_9237), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g681064 (
+	.A(n_1682),
+	.B(brqrv_top_brqrv_exu_i0_flush_path_upper_r[21]),
+	.Y(n_9236), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g681065 (
+	.A(n_1682),
+	.B(brqrv_top_brqrv_exu_i0_flush_path_upper_r[20]),
+	.Y(n_9235), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g681066 (
+	.A(n_1682),
+	.B(brqrv_top_brqrv_exu_i0_flush_path_upper_r[19]),
+	.Y(n_9234), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g681068 (
+	.A(n_1682),
+	.B(brqrv_top_brqrv_exu_i0_flush_path_upper_r[18]),
+	.Y(n_9233), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g681069 (
+	.A1(brqrv_top_brqrv_dec_arf_gpr_out[112]),
+	.A2(n_8160),
+	.B1(n_42953),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[80]),
+	.C1(n_8164),
+	.C2(brqrv_top_brqrv_dec_arf_gpr_out[176]),
+	.Y(n_9232), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g681070 (
+	.A(n_1682),
+	.B(brqrv_top_brqrv_exu_i0_flush_path_upper_r[17]),
+	.Y(n_9231), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g681071 (
+	.A1(brqrv_top_brqrv_dec_arf_gpr_out[114]),
+	.A2(n_8160),
+	.B1(n_42953),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[82]),
+	.C1(n_8164),
+	.C2(brqrv_top_brqrv_dec_arf_gpr_out[178]),
+	.Y(n_9230), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g681072 (
+	.A(n_1682),
+	.B(brqrv_top_brqrv_exu_i0_flush_path_upper_r[16]),
+	.Y(n_9229), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g681073 (
+	.A(n_1682),
+	.B(brqrv_top_brqrv_exu_i0_flush_path_upper_r[15]),
+	.Y(n_9228), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g681074 (
+	.A(n_1682),
+	.B(brqrv_top_brqrv_exu_i0_flush_path_upper_r[14]),
+	.Y(n_9227), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g681075 (
+	.A(n_1682),
+	.B(brqrv_top_brqrv_exu_i0_flush_path_upper_r[13]),
+	.Y(n_9226), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g681076 (
+	.A(n_8551),
+	.B_N(brqrv_top_brqrv_lsu_lsu_pkt_m[0]),
+	.Y(brqrv_top_brqrv_lsu_pmu_misaligned_m), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g681077 (
+	.A(n_1682),
+	.B(brqrv_top_brqrv_exu_i0_flush_path_upper_r[3]),
+	.Y(n_9225), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g681078 (
+	.A(n_42963),
+	.B(brqrv_top_brqrv_exu_pred_correct_npc_r[2]),
+	.Y(n_9224), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g681079 (
+	.A(n_1682),
+	.B(brqrv_top_brqrv_exu_i0_flush_path_upper_r[1]),
+	.Y(n_9223), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g681080 (
+	.A(n_9044),
+	.B(n_33327),
+	.Y(n_9222), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g681081 (
+	.A1(n_36139),
+	.A2(n_8182),
+	.B1(n_8277),
+	.B2(n_36069),
+	.C1(n_42973),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[22]),
+	.Y(n_9221), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g681082 (
+	.A1(n_8445),
+	.A2(n_8444),
+	.B1(n_8439),
+	.Y(n_9220), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o31a_1 g681084 (
+	.A1(brqrv_top_brqrv_dec_dec_i0_instr_d[4]),
+	.A2(n_34871),
+	.A3(n_36248),
+	.B1(n_8286),
+	.X(n_9219), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g681085 (
+	.A(brqrv_top_brqrv_dec_dec_csr_wrdata_r[29]),
+	.B(n_1527),
+	.Y(brqrv_top_brqrv_dec_tlu_mrac_in[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g681086 (
+	.A(brqrv_top_brqrv_dec_dec_csr_wrdata_r[3]),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_n_300),
+	.Y(brqrv_top_brqrv_dec_tlu_mrac_in[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g681087 (
+	.A1(brqrv_top_brqrv_dec_arf_gpr_out[1011]),
+	.A2(n_349943_BAR),
+	.B1(n_349942_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[211]),
+	.C1(n_349939_BAR),
+	.C2(brqrv_top_brqrv_dec_arf_gpr_out[83]),
+	.Y(n_9218), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g681088 (
+	.A(n_8973),
+	.B(brqrv_top_brqrv_dec_i0_predict_p_d[47]),
+	.Y(n_9217), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g681089 (
+	.A1(n_349914_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[675]),
+	.B1(n_349908_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[547]),
+	.C1(n_8946),
+	.Y(n_9216), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g681090 (
+	.A1(n_349914_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[674]),
+	.B1(n_349908_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[546]),
+	.C1(n_8640),
+	.Y(n_9215), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g681091 (
+	.A1(n_349940_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[151]),
+	.B1(n_349941_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[183]),
+	.C1(n_8930),
+	.X(n_9214), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g681092 (
+	.A1(n_349940_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[133]),
+	.B1(n_349941_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[165]),
+	.C1(n_8928),
+	.X(n_9213), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g681093 (
+	.A1(n_349940_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[149]),
+	.B1(n_349941_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[181]),
+	.C1(n_8917),
+	.X(n_9212), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g681094 (
+	.A1(n_349914_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[693]),
+	.B1(n_349908_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[565]),
+	.C1(n_8889),
+	.Y(n_9211), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g681095 (
+	.A1(n_349940_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[148]),
+	.B1(n_349941_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[180]),
+	.C1(n_8878),
+	.X(n_9210), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g681096 (
+	.A1(n_1708),
+	.A2(brqrv_top_brqrv_dec_dec_nonblock_load_waddr[0]),
+	.B1(n_8509),
+	.Y(n_9209), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g681097 (
+	.A1(n_349914_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[692]),
+	.B1(n_349908_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[564]),
+	.C1(n_8844),
+	.Y(n_9208), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g681098 (
+	.A1(n_349940_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[147]),
+	.B1(n_349941_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[179]),
+	.C1(n_8832),
+	.X(n_9207), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g681099 (
+	.A1(n_349914_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[691]),
+	.B1(n_349908_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[563]),
+	.C1(n_9025),
+	.Y(n_9206), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g681100 (
+	.A1(n_8190),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[86]),
+	.B1(n_8303),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[22]),
+	.C1(n_8803),
+	.Y(n_9205), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g681101 (
+	.A1(n_349940_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[145]),
+	.B1(n_349941_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[177]),
+	.C1(n_8791),
+	.X(n_9204), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g681102 (
+	.A1(n_349906_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[529]),
+	.B1(n_349913_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[657]),
+	.C1(n_8755),
+	.Y(n_9203), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g681103 (
+	.A1(n_349940_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[144]),
+	.B1(n_349941_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[176]),
+	.C1(n_8740),
+	.X(n_9202), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g681104 (
+	.A1(n_349906_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[528]),
+	.B1(n_349913_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[656]),
+	.C1(n_8710),
+	.Y(n_9201), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g681105 (
+	.A1(n_349940_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[143]),
+	.B1(n_349941_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[175]),
+	.C1(n_8682),
+	.X(n_9200), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g681106 (
+	.A1(n_349914_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[687]),
+	.B1(n_349908_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[559]),
+	.C1(n_8643),
+	.Y(n_9199), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g681108 (
+	.A1(n_36160),
+	.A2(n_8265),
+	.B1(n_36153),
+	.B2(n_8148),
+	.C1(n_8636),
+	.X(brqrv_top_brqrv_lsu_lsu_ld_data_m[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g681109 (
+	.A1(n_349940_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[156]),
+	.B1(n_349941_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[188]),
+	.C1(n_8634),
+	.X(n_9198), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g681110 (
+	.A1(n_349940_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[130]),
+	.B1(n_349941_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[162]),
+	.C1(n_8513),
+	.X(n_9197), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g681111 (
+	.A1(n_349906_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[540]),
+	.B1(n_349913_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[668]),
+	.C1(n_8596),
+	.Y(n_9196), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g681112 (
+	.A1(n_349914_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[695]),
+	.B1(n_349908_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[567]),
+	.C1(n_8921),
+	.Y(n_9195), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g681113 (
+	.A1(n_349940_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[155]),
+	.B1(n_349941_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[187]),
+	.C1(n_8555),
+	.X(n_9194), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g681114 (
+	.A1(n_8282),
+	.A2(n_36300),
+	.B1(n_8283),
+	.B2(n_36318),
+	.C1(n_8554),
+	.Y(n_9193), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g681115 (
+	.A1(n_349940_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[134]),
+	.B1(n_349941_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[166]),
+	.C1(n_8529),
+	.X(n_9192), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g681116 (
+	.A1(n_349914_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[699]),
+	.B1(n_349908_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[571]),
+	.C1(n_8520),
+	.Y(n_9191), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g681117 (
+	.A1(n_349914_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[678]),
+	.B1(n_349908_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[550]),
+	.C1(n_8586),
+	.Y(n_9190), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g681118 (
+	.A1(n_349940_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[154]),
+	.B1(n_349941_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[186]),
+	.C1(n_8502),
+	.X(n_9189), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g681119 (
+	.A1(n_349914_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[677]),
+	.B1(n_349908_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[549]),
+	.C1(n_8762),
+	.Y(n_9188), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g681120 (
+	.A1(n_349914_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[698]),
+	.B1(n_349908_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[570]),
+	.C1(n_8475),
+	.Y(n_9187), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g681121 (
+	.A1(n_349940_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[131]),
+	.B1(n_349941_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[163]),
+	.C1(n_8469),
+	.X(n_9186), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4b_1 g681122 (
+	.A_N(n_33317),
+	.B(n_8206),
+	.C(n_33316),
+	.D(n_33315),
+	.Y(n_9185), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g681123 (
+	.A1(brqrv_top_brqrv_dec_arf_gpr_out[115]),
+	.A2(n_8160),
+	.B1(n_42953),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[83]),
+	.C1(n_8164),
+	.C2(brqrv_top_brqrv_dec_arf_gpr_out[179]),
+	.Y(n_9184), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g681124 (
+	.A1(brqrv_top_brqrv_dec_arf_gpr_out[116]),
+	.A2(n_8160),
+	.B1(n_42953),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[84]),
+	.C1(n_8164),
+	.C2(brqrv_top_brqrv_dec_arf_gpr_out[180]),
+	.Y(n_9183), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g681125 (
+	.A1(brqrv_top_brqrv_dec_arf_gpr_out[117]),
+	.A2(n_8160),
+	.B1(brqrv_top_brqrv_dec_arf_n_744),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[53]),
+	.C1(n_8164),
+	.C2(brqrv_top_brqrv_dec_arf_gpr_out[181]),
+	.Y(n_9182), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g681126 (
+	.A1(brqrv_top_brqrv_dec_arf_gpr_out[995]),
+	.A2(n_349943_BAR),
+	.B1(n_349942_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[195]),
+	.C1(n_349939_BAR),
+	.C2(brqrv_top_brqrv_dec_arf_gpr_out[67]),
+	.Y(n_9181), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g681127 (
+	.A1(n_42965),
+	.A2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r[10]),
+	.B1(brqrv_top_brqrv_lsu_fir_addr[10]),
+	.B2(n_42967),
+	.C1(brqrv_top_brqrv_dec_i0_result_r[10]),
+	.C2(n_33324),
+	.Y(n_9180), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g681129 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_rpend[1]),
+	.A2(n_1671),
+	.B1(n_29552),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_rpend[3]),
+	.C1(n_1669),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_rpend[2]),
+	.Y(n_9178), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g681130 (
+	.A1(brqrv_top_brqrv_dec_arf_gpr_out[994]),
+	.A2(n_349943_BAR),
+	.B1(n_349942_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[194]),
+	.C1(n_349939_BAR),
+	.C2(brqrv_top_brqrv_dec_arf_gpr_out[66]),
+	.Y(n_9177), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g681131 (
+	.A1(brqrv_top_brqrv_dec_arf_gpr_out[1012]),
+	.A2(n_349943_BAR),
+	.B1(n_349942_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[212]),
+	.C1(n_349939_BAR),
+	.C2(brqrv_top_brqrv_dec_arf_gpr_out[84]),
+	.Y(n_9176), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g681132 (
+	.A1(n_42965),
+	.A2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r[9]),
+	.B1(brqrv_top_brqrv_lsu_fir_addr[9]),
+	.B2(n_42967),
+	.C1(brqrv_top_brqrv_dec_i0_result_r[9]),
+	.C2(n_33324),
+	.Y(n_9175), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g681133 (
+	.A1(brqrv_top_brqrv_dec_arf_gpr_out[1015]),
+	.A2(n_349943_BAR),
+	.B1(n_349942_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[215]),
+	.C1(n_349938_BAR),
+	.C2(brqrv_top_brqrv_dec_arf_gpr_out[119]),
+	.Y(n_9174), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g681134 (
+	.A1(brqrv_top_brqrv_dec_arf_gpr_out[1019]),
+	.A2(n_349943_BAR),
+	.B1(n_349942_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[219]),
+	.C1(n_349938_BAR),
+	.C2(brqrv_top_brqrv_dec_arf_gpr_out[123]),
+	.Y(n_9173), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g681135 (
+	.A1(brqrv_top_brqrv_dec_arf_gpr_out[120]),
+	.A2(n_8160),
+	.B1(n_42953),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[88]),
+	.C1(n_8164),
+	.C2(brqrv_top_brqrv_dec_arf_gpr_out[184]),
+	.Y(n_9172), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g681136 (
+	.A_N(n_9047),
+	.B(brqrv_top_brqrv_dec_decode_i0_r_c[1]),
+	.C(brqrv_top_brqrv_dec_decode_r_d[1]),
+	.Y(n_9171), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g681137 (
+	.A1(n_36313),
+	.A2(n_8177),
+	.B1(n_8274),
+	.B2(n_36314),
+	.C1(n_8178),
+	.C2(n_36315),
+	.Y(n_9170), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g681138 (
+	.A1(brqrv_top_brqrv_dec_arf_gpr_out[126]),
+	.A2(n_8160),
+	.B1(n_42953),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[94]),
+	.C1(n_8164),
+	.C2(brqrv_top_brqrv_dec_arf_gpr_out[190]),
+	.Y(n_9169), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g681139 (
+	.A1(n_36330),
+	.A2(n_8177),
+	.B1(n_8274),
+	.B2(n_36332),
+	.C1(n_8178),
+	.C2(n_36334),
+	.Y(n_9168), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g681140 (
+	.A1(brqrv_top_brqrv_dec_arf_gpr_out[1013]),
+	.A2(n_349943_BAR),
+	.B1(n_349942_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[213]),
+	.C1(n_349939_BAR),
+	.C2(brqrv_top_brqrv_dec_arf_gpr_out[85]),
+	.Y(n_9167), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g681141 (
+	.A(n_8436),
+	.B(n_8967),
+	.Y(n_9166), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4b_1 g681142 (
+	.A_N(n_34954),
+	.B(n_34854),
+	.C(n_34855),
+	.D(n_34853),
+	.Y(n_34586), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g681143 (
+	.A(brqrv_top_brqrv_dec_dec_csr_wrdata_r[3]),
+	.B(brqrv_top_brqrv_dec_dec_csr_wrdata_r[0]),
+	.Y(n_9277), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_2 g681144 (
+	.A1(n_33885),
+	.A2(n_8326),
+	.B1(n_8399),
+	.C1(n_8425),
+	.D1(n_8403),
+	.Y(brqrv_top_brqrv_lsu_lsu_ld_data_m[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g681145 (
+	.A_N(brqrv_top_brqrv_dec_tlu_dbg_halted),
+	.B(brqrv_top_brqrv_dec_tlu_dbg_tlu_halted),
+	.Y(n_34440), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g681146 (
+	.A(n_8387),
+	.B(brqrv_top_brqrv_dec_tlu_n_3813),
+	.Y(n_9276), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g681147 (
+	.A(n_8966),
+	.B(n_9001),
+	.Y(n_9274), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g681148 (
+	.A1(n_35107),
+	.A2(n_8328),
+	.B1(n_35113),
+	.C1(n_8342),
+	.D1(n_8357),
+	.Y(n_36368), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g681149 (
+	.A(n_33867),
+	.B(n_33985),
+	.Y(n_35536), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 g681150 (
+	.A(n_35774),
+	.B(brqrv_top_brqrv_dma_mem_sz[1]),
+	.X(n_33858), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g681151 (
+	.A(n_35115),
+	.B(n_34162),
+	.X(n_34662), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g681153 (
+	.A(n_8366),
+	.B(n_8350),
+	.C(n_8367),
+	.D(n_8365),
+	.Y(brqrv_top_brqrv_lsu_lsu_ld_data_m[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g681154 (
+	.A_N(n_34660),
+	.B(n_35115),
+	.Y(n_34659), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g681155 (
+	.A(n_8364),
+	.B(n_8363),
+	.C(n_8415),
+	.D(n_8416),
+	.Y(brqrv_top_brqrv_lsu_lsu_ld_data_m[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g681156 (
+	.A1(brqrv_top_brqrv_dec_dec_i0_waddr_r[0]),
+	.A2(n_1708),
+	.B1(n_8454),
+	.C1(n_8408),
+	.Y(n_9273), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g681157 (
+	.A1(n_8174),
+	.A2(brqrv_top_brqrv_dec_dec_i0_waddr_r[2]),
+	.B1(brqrv_top_brqrv_dec_dec_i0_instr_d[22]),
+	.B2(n_1565),
+	.C1(n_8695),
+	.Y(n_9272), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g681158 (
+	.A(n_33312),
+	.B(brqrv_top_brqrv_dec_dec_csr_wraddr_r[5]),
+	.C(brqrv_top_brqrv_dec_dec_csr_wraddr_r[4]),
+	.X(n_34667), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g681159 (
+	.A(n_8436),
+	.B(n_9000),
+	.Y(n_9271), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g681160 (
+	.A(n_43252),
+	.B(n_43251),
+	.Y(brqrv_top_brqrv_lsu_lsu_ld_data_m[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g681161 (
+	.A1(brqrv_top_brqrv_dec_i0_result_r[1]),
+	.A2(n_33324),
+	.B1(brqrv_top_brqrv_lsu_fir_addr[1]),
+	.B2(n_42969),
+	.C1(n_8402),
+	.X(n_36338), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_2 g681162 (
+	.A(n_8945),
+	.B(n_8951),
+	.Y(n_9270), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g681163 (
+	.A(n_8351),
+	.B(n_8429),
+	.C(n_8086),
+	.D(n_8353),
+	.Y(brqrv_top_brqrv_lsu_lsu_ld_data_m[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g681164 (
+	.A(n_8413),
+	.B(n_8401),
+	.C(n_8406),
+	.D(n_8411),
+	.Y(brqrv_top_brqrv_lsu_lsu_ld_data_m[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 g681165 (
+	.A(brqrv_top_brqrv_dec_dec_csr_wraddr_r[3]),
+	.B(n_34163),
+	.X(n_35366), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g681166 (
+	.A(n_8419),
+	.B(n_8345),
+	.C(n_8346),
+	.D(n_8426),
+	.Y(brqrv_top_brqrv_lsu_lsu_ld_data_m[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g681167 (
+	.A1(n_8308),
+	.A2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r[4]),
+	.B1(brqrv_top_brqrv_lsu_fir_addr[4]),
+	.B2(n_42969),
+	.C1(brqrv_top_brqrv_dec_i0_result_r[4]),
+	.C2(n_33324),
+	.Y(n_9269), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g681168 (
+	.A(n_8821),
+	.B(n_8819),
+	.Y(brqrv_top_brqrv_lsu_lsu_ld_data_m[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g681169 (
+	.A(n_35613),
+	.B(n_43247),
+	.Y(n_9268), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g681170 (
+	.A(n_35614),
+	.B(n_43247),
+	.Y(n_9267), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g681171 (
+	.A1(n_38015),
+	.A2(n_42968),
+	.B1(n_8147),
+	.B2(brqrv_top_brqrv_exu_alu_result_x[0]),
+	.C1(n_37984),
+	.C2(n_8248),
+	.Y(n_9165), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g681172 (
+	.A1(n_42968),
+	.A2(n_38039),
+	.B1(n_8147),
+	.B2(brqrv_top_brqrv_exu_alu_result_x[24]),
+	.C1(n_38008),
+	.C2(n_8248),
+	.Y(n_9164), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g681173 (
+	.A1(n_42968),
+	.A2(n_38035),
+	.B1(n_8147),
+	.B2(brqrv_top_brqrv_exu_alu_result_x[20]),
+	.C1(n_38004),
+	.C2(n_8248),
+	.Y(n_9266), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g681174 (
+	.A1(n_42968),
+	.A2(n_38033),
+	.B1(n_8147),
+	.B2(brqrv_top_brqrv_exu_alu_result_x[18]),
+	.C1(n_38002),
+	.C2(n_8248),
+	.Y(n_9265), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g681175 (
+	.A1(n_42968),
+	.A2(n_38034),
+	.B1(n_8147),
+	.B2(brqrv_top_brqrv_exu_alu_result_x[19]),
+	.C1(n_38003),
+	.C2(n_8248),
+	.Y(n_9264), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g681176 (
+	.A1(n_42968),
+	.A2(n_38040),
+	.B1(n_8147),
+	.B2(brqrv_top_brqrv_exu_alu_result_x[25]),
+	.C1(n_38009),
+	.C2(n_8248),
+	.Y(n_9163), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g681177 (
+	.A(n_35297),
+	.B(brqrv_top_brqrv_trigger_pkt_any[37]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[35]),
+	.Y(n_34246), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g681178 (
+	.A(n_35297),
+	.B(brqrv_top_brqrv_trigger_pkt_any[113]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[111]),
+	.Y(n_34244), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g681179 (
+	.A1(n_42968),
+	.A2(n_38045),
+	.B1(n_8147),
+	.B2(brqrv_top_brqrv_exu_alu_result_x[30]),
+	.C1(n_38014),
+	.C2(n_8248),
+	.Y(n_9162), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g681180 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[4]),
+	.B(n_43112),
+	.C(brqrv_top_brqrv_dec_dec_i0_instr_d[2]),
+	.D(n_36248),
+	.Y(n_9263), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g681181 (
+	.A_N(n_35060),
+	.B(n_35115),
+	.Y(n_34664), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g681182 (
+	.A(n_41906),
+	.B(n_8935),
+	.Y(n_9262), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g681183 (
+	.A(n_8266),
+	.B(n_8944),
+	.Y(n_9260), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g681184 (
+	.A(n_8266),
+	.B(n_8809),
+	.Y(n_9258), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g681185 (
+	.A(n_8266),
+	.B(n_8884),
+	.Y(n_9257), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_2 g681186 (
+	.A(n_8837),
+	.B(n_41905),
+	.Y(n_8127), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g681187 (
+	.A(n_33906),
+	.B(brqrv_top_brqrv_dec_tlu_pc0_valid_r_BAR),
+	.X(n_145), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g681188 (
+	.A(brqrv_top_brqrv_trigger_pkt_any[151]),
+	.B(n_43247),
+	.Y(n_9255), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g681189 (
+	.A(brqrv_top_brqrv_trigger_pkt_any[113]),
+	.B(n_43247),
+	.Y(n_9254), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g681190 (
+	.A(brqrv_top_brqrv_trigger_pkt_any[75]),
+	.B(n_43247),
+	.Y(n_9253), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g681191 (
+	.A(brqrv_top_brqrv_trigger_pkt_any[37]),
+	.B(n_43247),
+	.Y(n_9252), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g681192 (
+	.A(n_9161),
+	.Y(n_36342), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g681193 (
+	.A(n_9056),
+	.Y(n_36343), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g681194 (
+	.A(n_9055),
+	.Y(n_36002), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g681195 (
+	.A(n_9054),
+	.Y(n_36014), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g681196 (
+	.A(n_9053),
+	.Y(n_36019), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g681197 (
+	.A(n_9159),
+	.Y(n_35994), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g681198 (
+	.A(n_9158),
+	.Y(n_36006), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g681199 (
+	.A(n_9052),
+	.Y(n_35995), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g681200 (
+	.A(n_9051),
+	.Y(n_35992), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g681201 (
+	.A(n_9157),
+	.Y(n_35997), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g681202 (
+	.A(n_9156),
+	.Y(n_35993), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g681204 (
+	.A(n_9050),
+	.Y(n_36018), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g681205 (
+	.A(n_9049),
+	.Y(n_36007), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g681206 (
+	.A(n_9048),
+	.Y(n_36020), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g681207 (
+	.A(n_9154),
+	.Y(n_36017), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g681210 (
+	.A1(brqrv_top_brqrv_dec_arf_gpr_out[108]),
+	.A2(n_8160),
+	.B1(n_42953),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[76]),
+	.C1(n_8164),
+	.C2(brqrv_top_brqrv_dec_arf_gpr_out[172]),
+	.Y(n_9152), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g681211 (
+	.A1(n_8381),
+	.A2(n_8318),
+	.B1(n_9012),
+	.Y(n_9151), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g681212 (
+	.A1(brqrv_top_brqrv_dec_arf_gpr_out[107]),
+	.A2(n_8160),
+	.B1(n_42953),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[75]),
+	.C1(n_8164),
+	.C2(brqrv_top_brqrv_dec_arf_gpr_out[171]),
+	.Y(n_9150), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g681213 (
+	.A1(n_36134),
+	.A2(n_8182),
+	.B1(n_8277),
+	.B2(n_36067),
+	.C1(n_42973),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[21]),
+	.Y(n_9149), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g681214 (
+	.A1(brqrv_top_brqrv_dec_arf_gpr_out[104]),
+	.A2(n_8160),
+	.B1(n_42953),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[72]),
+	.C1(n_8164),
+	.C2(brqrv_top_brqrv_dec_arf_gpr_out[168]),
+	.Y(n_9148), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g681215 (
+	.A1(brqrv_top_brqrv_dec_arf_gpr_out[1008]),
+	.A2(n_349943_BAR),
+	.B1(n_349942_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[208]),
+	.C1(n_349939_BAR),
+	.C2(brqrv_top_brqrv_dec_arf_gpr_out[80]),
+	.Y(n_9147), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g681216 (
+	.A(n_8087),
+	.B(n_9010),
+	.Y(n_9146), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g681217 (
+	.A1(n_36325),
+	.A2(n_8177),
+	.B1(n_8274),
+	.B2(n_36326),
+	.C1(n_8178),
+	.C2(n_36327),
+	.Y(n_9145), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g681219 (
+	.A1(n_42965),
+	.A2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r[13]),
+	.B1(brqrv_top_brqrv_lsu_fir_addr[13]),
+	.B2(n_42967),
+	.C1(brqrv_top_brqrv_dec_i0_result_r[13]),
+	.C2(n_33324),
+	.Y(n_9143), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g681220 (
+	.A1(n_36309),
+	.A2(n_8177),
+	.B1(n_8274),
+	.B2(n_36310),
+	.C1(n_8178),
+	.C2(n_36311),
+	.Y(n_9142), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g681221 (
+	.A1(brqrv_top_brqrv_dec_arf_gpr_out[102]),
+	.A2(n_8160),
+	.B1(n_42953),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[70]),
+	.C1(n_8164),
+	.C2(brqrv_top_brqrv_dec_arf_gpr_out[166]),
+	.Y(n_9141), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g681222 (
+	.A1(n_36114),
+	.A2(n_8182),
+	.B1(n_8277),
+	.B2(n_36059),
+	.C1(n_42973),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[17]),
+	.Y(n_9140), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g681223 (
+	.A1(brqrv_top_brqrv_dec_arf_gpr_out[69]),
+	.A2(n_42953),
+	.B1(brqrv_top_brqrv_dec_arf_n_744),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[37]),
+	.C1(n_8164),
+	.C2(brqrv_top_brqrv_dec_arf_gpr_out[165]),
+	.Y(n_9139), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g681224 (
+	.A1(brqrv_top_brqrv_dec_arf_gpr_out[1007]),
+	.A2(n_349943_BAR),
+	.B1(n_349942_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[207]),
+	.C1(n_349939_BAR),
+	.C2(brqrv_top_brqrv_dec_arf_gpr_out[79]),
+	.Y(n_9138), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g681225 (
+	.A1(brqrv_top_brqrv_dec_arf_gpr_out[99]),
+	.A2(n_8160),
+	.B1(n_42953),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[67]),
+	.C1(n_8164),
+	.C2(brqrv_top_brqrv_dec_arf_gpr_out[163]),
+	.Y(n_9137), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g681226 (
+	.A1(n_36129),
+	.A2(n_8182),
+	.B1(n_8277),
+	.B2(n_36065),
+	.C1(n_42973),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[20]),
+	.Y(n_9136), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g681227 (
+	.A1(n_36121),
+	.A2(n_8309),
+	.B1(n_8311),
+	.B2(n_36096),
+	.C1(n_42964),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[3]),
+	.Y(n_9135), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g681228 (
+	.A1(n_42965),
+	.A2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r[14]),
+	.B1(brqrv_top_brqrv_lsu_fir_addr[14]),
+	.B2(n_42967),
+	.C1(brqrv_top_brqrv_dec_i0_result_r[14]),
+	.C2(n_33324),
+	.Y(n_9134), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4b_1 g681229 (
+	.A(n_31667),
+	.B(brqrv_top_brqrv_dec_i0_predict_p_d[47]),
+	.C(n_34849),
+	.D_N(brqrv_top_brqrv_dec_decode_i0_dec_n_483),
+	.X(n_9133), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g681230 (
+	.A(brqrv_top_brqrv_dec_i0_predict_p_d[42]),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[2]),
+	.C(n_34873),
+	.D(brqrv_top_brqrv_dec_i0_predict_p_d[46]),
+	.Y(n_9132), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g681231 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_data[64]),
+	.A2(n_1671),
+	.B1(n_1887),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[256]),
+	.C1(n_1677),
+	.C2(brqrv_top_brqrv_dma_ctrl_n_999),
+	.Y(n_9131), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g681232 (
+	.A1(n_42965),
+	.A2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r[8]),
+	.B1(brqrv_top_brqrv_lsu_fir_addr[8]),
+	.B2(n_42967),
+	.C1(brqrv_top_brqrv_dec_i0_result_r[8]),
+	.C2(n_33324),
+	.Y(n_9130), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g681233 (
+	.A1(brqrv_top_brqrv_dec_arf_gpr_out[1004]),
+	.A2(n_349943_BAR),
+	.B1(n_349942_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[204]),
+	.C1(n_349939_BAR),
+	.C2(brqrv_top_brqrv_dec_arf_gpr_out[76]),
+	.Y(n_9129), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g681234 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_data[311]),
+	.A2(n_1887),
+	.B1(n_29552),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[247]),
+	.C1(n_1669),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_data[183]),
+	.Y(n_9128), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g681235 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_data[95]),
+	.A2(n_1671),
+	.B1(n_1677),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_1154),
+	.C1(n_1887),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_data[287]),
+	.Y(n_9127), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g681236 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_data[103]),
+	.A2(n_1671),
+	.B1(n_1677),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_1194),
+	.C1(n_1887),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_data[295]),
+	.Y(n_9126), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g681237 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_data[127]),
+	.A2(n_1671),
+	.B1(n_1677),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_1314),
+	.C1(n_1887),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_data[319]),
+	.Y(n_9125), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g681238 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_data[111]),
+	.A2(n_1671),
+	.B1(n_1677),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_1234),
+	.C1(n_1887),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_data[303]),
+	.Y(n_9124), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g681239 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_data[246]),
+	.A2(n_29552),
+	.B1(n_1669),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[182]),
+	.C1(n_1887),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_data[310]),
+	.Y(n_9123), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g681240 (
+	.A1(brqrv_top_brqrv_dec_arf_gpr_out[1020]),
+	.A2(n_349943_BAR),
+	.B1(n_349942_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[220]),
+	.C1(n_349938_BAR),
+	.C2(brqrv_top_brqrv_dec_arf_gpr_out[124]),
+	.Y(n_9122), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g681241 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_data[102]),
+	.A2(n_1671),
+	.B1(n_1677),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_1189),
+	.C1(n_1887),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_data[294]),
+	.Y(n_9121), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g681242 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_data[126]),
+	.A2(n_1671),
+	.B1(n_1677),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_1309),
+	.C1(n_1887),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_data[318]),
+	.Y(n_9120), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g681243 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_data[110]),
+	.A2(n_1671),
+	.B1(n_1677),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_1229),
+	.C1(n_1887),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_data[302]),
+	.Y(n_9119), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g681244 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_data[94]),
+	.A2(n_1671),
+	.B1(n_1677),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_1149),
+	.C1(n_1887),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_data[286]),
+	.Y(n_9118), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g681245 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_data[117]),
+	.A2(n_1671),
+	.B1(n_1887),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[309]),
+	.C1(n_1677),
+	.C2(brqrv_top_brqrv_dma_ctrl_n_1264),
+	.Y(n_9117), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g681246 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_data[109]),
+	.A2(n_1671),
+	.B1(n_1887),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[301]),
+	.C1(n_1677),
+	.C2(brqrv_top_brqrv_dma_ctrl_n_1224),
+	.Y(n_9116), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g681247 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_data[125]),
+	.A2(n_1671),
+	.B1(n_1887),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[317]),
+	.C1(n_1677),
+	.C2(brqrv_top_brqrv_dma_ctrl_n_1304),
+	.Y(n_9115), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g681248 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_data[101]),
+	.A2(n_1671),
+	.B1(n_1887),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[293]),
+	.C1(n_1677),
+	.C2(brqrv_top_brqrv_dma_ctrl_n_1184),
+	.Y(n_9114), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g681249 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_data[93]),
+	.A2(n_1671),
+	.B1(n_1887),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[285]),
+	.C1(n_1677),
+	.C2(brqrv_top_brqrv_dma_ctrl_n_1144),
+	.Y(n_9113), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g681250 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_data[116]),
+	.A2(n_1671),
+	.B1(n_1677),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_1259),
+	.C1(n_1887),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_data[308]),
+	.Y(n_9112), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g681251 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_data[108]),
+	.A2(n_1671),
+	.B1(n_1677),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_1219),
+	.C1(n_1887),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_data[300]),
+	.Y(n_9111), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g681252 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_data[65]),
+	.A2(n_1671),
+	.B1(n_1887),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[257]),
+	.C1(n_1677),
+	.C2(brqrv_top_brqrv_dma_ctrl_n_1004),
+	.Y(n_9110), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g681253 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_data[100]),
+	.A2(n_1671),
+	.B1(n_1677),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_1179),
+	.C1(n_1887),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_data[292]),
+	.Y(n_9109), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g681254 (
+	.A1(brqrv_top_brqrv_dec_arf_gpr_out[1003]),
+	.A2(n_349943_BAR),
+	.B1(n_349942_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[203]),
+	.C1(n_349939_BAR),
+	.C2(brqrv_top_brqrv_dec_arf_gpr_out[75]),
+	.Y(n_9108), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g681255 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_data[188]),
+	.A2(n_1669),
+	.B1(n_1671),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[124]),
+	.C1(n_29552),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_data[252]),
+	.Y(n_9107), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g681256 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_data[92]),
+	.A2(n_1671),
+	.B1(n_1677),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_1139),
+	.C1(n_1887),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_data[284]),
+	.Y(n_9106), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g681257 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_data[123]),
+	.A2(n_1671),
+	.B1(n_1887),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[315]),
+	.C1(n_1677),
+	.C2(brqrv_top_brqrv_dma_ctrl_n_1294),
+	.Y(n_9105), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g681258 (
+	.A1(brqrv_top_brqrv_dec_arf_gpr_out[1018]),
+	.A2(n_349943_BAR),
+	.B1(n_349942_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[218]),
+	.C1(n_349938_BAR),
+	.C2(brqrv_top_brqrv_dec_arf_gpr_out[122]),
+	.Y(n_9104), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g681259 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_data[91]),
+	.A2(n_1671),
+	.B1(n_1887),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[283]),
+	.C1(n_1677),
+	.C2(brqrv_top_brqrv_dma_ctrl_n_1134),
+	.Y(n_9103), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g681260 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_data[99]),
+	.A2(n_1671),
+	.B1(n_1887),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[291]),
+	.C1(n_1677),
+	.C2(brqrv_top_brqrv_dma_ctrl_n_1174),
+	.Y(n_9102), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g681261 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_data[115]),
+	.A2(n_1671),
+	.B1(n_1887),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[307]),
+	.C1(n_1677),
+	.C2(brqrv_top_brqrv_dma_ctrl_n_1254),
+	.Y(n_9101), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g681262 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_data[107]),
+	.A2(n_1671),
+	.B1(n_1887),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[299]),
+	.C1(n_1677),
+	.C2(brqrv_top_brqrv_dma_ctrl_n_1214),
+	.Y(n_9100), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g681263 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_data[122]),
+	.A2(n_1671),
+	.B1(n_1887),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[314]),
+	.C1(n_1677),
+	.C2(brqrv_top_brqrv_dma_ctrl_n_1289),
+	.Y(n_9099), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g681264 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_data[98]),
+	.A2(n_1671),
+	.B1(n_1887),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[290]),
+	.C1(n_1677),
+	.C2(brqrv_top_brqrv_dma_ctrl_n_1169),
+	.Y(n_9098), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g681265 (
+	.A1(n_36124),
+	.A2(n_8182),
+	.B1(n_8277),
+	.B2(n_36063),
+	.C1(n_42973),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[19]),
+	.Y(n_9097), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g681266 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_data[114]),
+	.A2(n_1671),
+	.B1(n_1887),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[306]),
+	.C1(n_1677),
+	.C2(brqrv_top_brqrv_dma_ctrl_n_1249),
+	.Y(n_9096), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g681267 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_data[106]),
+	.A2(n_1671),
+	.B1(n_1887),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[298]),
+	.C1(n_1677),
+	.C2(brqrv_top_brqrv_dma_ctrl_n_1209),
+	.Y(n_9095), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g681268 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_data[90]),
+	.A2(n_1671),
+	.B1(n_1887),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[282]),
+	.C1(n_1677),
+	.C2(brqrv_top_brqrv_dma_ctrl_n_1129),
+	.Y(n_9094), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g681269 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_data[121]),
+	.A2(n_1671),
+	.B1(n_1677),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_1284),
+	.C1(n_1887),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_data[313]),
+	.Y(n_9093), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g681270 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_data[97]),
+	.A2(n_1671),
+	.B1(n_1677),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_1164),
+	.C1(n_1887),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_data[289]),
+	.Y(n_9092), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g681271 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_data[105]),
+	.A2(n_1671),
+	.B1(n_1677),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_1204),
+	.C1(n_1887),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_data[297]),
+	.Y(n_9091), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g681272 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_data[113]),
+	.A2(n_1671),
+	.B1(n_1677),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_1244),
+	.C1(n_1887),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_data[305]),
+	.Y(n_9090), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g681273 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_data[89]),
+	.A2(n_1671),
+	.B1(n_1677),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_1124),
+	.C1(n_1887),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_data[281]),
+	.Y(n_9089), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g681274 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_data[96]),
+	.A2(n_1671),
+	.B1(n_1677),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_1159),
+	.C1(n_1887),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_data[288]),
+	.Y(n_9088), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g681275 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_data[216]),
+	.A2(n_29552),
+	.B1(n_1669),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[152]),
+	.C1(n_1887),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_data[280]),
+	.Y(n_9087), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g681276 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_data[112]),
+	.A2(n_1671),
+	.B1(n_1677),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_1239),
+	.C1(n_1887),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_data[304]),
+	.Y(n_9086), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g681277 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_data[120]),
+	.A2(n_1671),
+	.B1(n_1677),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_1279),
+	.C1(n_1887),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_data[312]),
+	.Y(n_9085), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g681278 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_data[104]),
+	.A2(n_1671),
+	.B1(n_1677),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_1199),
+	.C1(n_1887),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_data[296]),
+	.Y(n_9084), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g681279 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_data[87]),
+	.A2(n_1671),
+	.B1(n_1677),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_1114),
+	.C1(n_1887),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_data[279]),
+	.Y(n_9083), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g681280 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_data[86]),
+	.A2(n_1671),
+	.B1(n_1677),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_1109),
+	.C1(n_1887),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_data[278]),
+	.Y(n_9082), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g681281 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_data[66]),
+	.A2(n_1671),
+	.B1(n_1887),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[258]),
+	.C1(n_1677),
+	.C2(brqrv_top_brqrv_dma_ctrl_n_1009),
+	.Y(n_9081), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g681282 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_data[85]),
+	.A2(n_1671),
+	.B1(n_1887),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[277]),
+	.C1(n_1677),
+	.C2(brqrv_top_brqrv_dma_ctrl_n_1104),
+	.Y(n_9080), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g681283 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_data[84]),
+	.A2(n_1671),
+	.B1(n_1677),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_1099),
+	.C1(n_1887),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_data[276]),
+	.Y(n_9079), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g681284 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_data[83]),
+	.A2(n_1671),
+	.B1(n_1887),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[275]),
+	.C1(n_1677),
+	.C2(brqrv_top_brqrv_dma_ctrl_n_1094),
+	.Y(n_9078), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g681285 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_data[82]),
+	.A2(n_1671),
+	.B1(n_1887),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[274]),
+	.C1(n_1677),
+	.C2(brqrv_top_brqrv_dma_ctrl_n_1089),
+	.Y(n_9077), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g681286 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_data[131]),
+	.A2(n_1669),
+	.B1(n_29552),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[195]),
+	.C1(n_1887),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_data[259]),
+	.Y(n_9076), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g681287 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_data[81]),
+	.A2(n_1671),
+	.B1(n_1677),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_1084),
+	.C1(n_1887),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_data[273]),
+	.Y(n_9075), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g681288 (
+	.A1(brqrv_top_brqrv_dec_arf_gpr_out[998]),
+	.A2(n_349943_BAR),
+	.B1(n_349942_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[198]),
+	.C1(n_349939_BAR),
+	.C2(brqrv_top_brqrv_dec_arf_gpr_out[70]),
+	.Y(n_9074), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g681289 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_data[80]),
+	.A2(n_1671),
+	.B1(n_1677),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_1079),
+	.C1(n_1887),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_data[272]),
+	.Y(n_9073), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g681290 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_data[79]),
+	.A2(n_1671),
+	.B1(n_1887),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[271]),
+	.C1(n_1677),
+	.C2(brqrv_top_brqrv_dma_ctrl_n_1074),
+	.Y(n_9072), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g681291 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_data[78]),
+	.A2(n_1671),
+	.B1(n_1677),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_1069),
+	.C1(n_1887),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_data[270]),
+	.Y(n_9071), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g681292 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_data[77]),
+	.A2(n_1671),
+	.B1(n_1887),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[269]),
+	.C1(n_1677),
+	.C2(brqrv_top_brqrv_dma_ctrl_n_1064),
+	.Y(n_9070), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g681293 (
+	.A1(n_36136),
+	.A2(n_8309),
+	.B1(n_8311),
+	.B2(n_36102),
+	.C1(n_42964),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[6]),
+	.Y(n_9069), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g681294 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_data[76]),
+	.A2(n_1671),
+	.B1(n_1677),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_1059),
+	.C1(n_1887),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_data[268]),
+	.Y(n_9068), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g681295 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_data[68]),
+	.A2(n_1671),
+	.B1(n_1677),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_1019),
+	.C1(n_1887),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_data[260]),
+	.Y(n_9067), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g681296 (
+	.A1(n_36119),
+	.A2(n_8182),
+	.B1(n_8277),
+	.B2(n_36061),
+	.C1(n_42973),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[18]),
+	.Y(n_9066), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g681297 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_data[75]),
+	.A2(n_1671),
+	.B1(n_1887),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[267]),
+	.C1(n_1677),
+	.C2(brqrv_top_brqrv_dma_ctrl_n_1054),
+	.Y(n_9065), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g681298 (
+	.A1(brqrv_top_brqrv_dec_arf_gpr_out[997]),
+	.A2(n_349943_BAR),
+	.B1(n_349942_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[197]),
+	.C1(n_349939_BAR),
+	.C2(brqrv_top_brqrv_dec_arf_gpr_out[69]),
+	.Y(n_9064), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g681299 (
+	.A(brqrv_top_brqrv_dec_dec_csr_wrdata_r[26]),
+	.B(brqrv_top_brqrv_dec_dec_csr_wrdata_r[24]),
+	.C(brqrv_top_brqrv_dec_dec_csr_wrdata_r[21]),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[19]),
+	.Y(n_9063), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g681300 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_data[74]),
+	.A2(n_1671),
+	.B1(n_1887),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[266]),
+	.C1(n_1677),
+	.C2(brqrv_top_brqrv_dma_ctrl_n_1049),
+	.Y(n_9062), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g681302 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_data[73]),
+	.A2(n_1671),
+	.B1(n_1677),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_1044),
+	.C1(n_1887),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_data[265]),
+	.Y(n_9060), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g681303 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_data[72]),
+	.A2(n_1671),
+	.B1(n_1677),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_1039),
+	.C1(n_1887),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_data[264]),
+	.Y(n_9059), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g681304 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_data[70]),
+	.A2(n_1671),
+	.B1(n_1677),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_1029),
+	.C1(n_1887),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_data[262]),
+	.Y(n_9058), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g681305 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_data[69]),
+	.A2(n_1671),
+	.B1(n_1677),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_1024),
+	.C1(n_1887),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_data[261]),
+	.Y(n_9057), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g681306 (
+	.A1(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[20]),
+	.A2(n_8430),
+	.B1(brqrv_top_brqrv_dec_tlu_pc_r_d1[20]),
+	.B2(brqrv_top_brqrv_dec_tlu_pc0_valid_r_BAR),
+	.X(brqrv_top_brqrv_dec_tlu_pc_r[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g681307 (
+	.A(n_8988),
+	.B(n_34864),
+	.C(n_34867),
+	.D(n_34862),
+	.Y(n_34580), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g681308 (
+	.A1(n_8308),
+	.A2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r[5]),
+	.B1(brqrv_top_brqrv_lsu_fir_addr[5]),
+	.B2(n_42969),
+	.C1(brqrv_top_brqrv_dec_i0_result_r[5]),
+	.C2(n_33324),
+	.Y(n_9161), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g681309 (
+	.A(n_8348),
+	.B(n_8355),
+	.C(n_8338),
+	.D(n_33983),
+	.Y(brqrv_top_brqrv_lsu_lsu_ld_data_m[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g681310 (
+	.A1(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[30]),
+	.A2(n_8430),
+	.B1(brqrv_top_brqrv_dec_tlu_pc_r_d1[30]),
+	.B2(brqrv_top_brqrv_dec_tlu_pc0_valid_r_BAR),
+	.X(brqrv_top_brqrv_dec_tlu_pc_r[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g681311 (
+	.A1(n_8242),
+	.A2(n_36147),
+	.B1(n_8236),
+	.B2(n_36120),
+	.C1(n_36047),
+	.C2(n_8238),
+	.Y(n_9160), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g681312 (
+	.A1(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[1]),
+	.A2(n_8430),
+	.B1(brqrv_top_brqrv_dec_tlu_pc_r_d1[1]),
+	.B2(brqrv_top_brqrv_dec_tlu_pc0_valid_r_BAR),
+	.X(brqrv_top_brqrv_dec_tlu_pc_r[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g681313 (
+	.A1(n_8308),
+	.A2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r[6]),
+	.B1(brqrv_top_brqrv_lsu_fir_addr[6]),
+	.B2(n_42969),
+	.C1(brqrv_top_brqrv_dec_i0_result_r[6]),
+	.C2(n_33324),
+	.Y(n_9056), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g681314 (
+	.A1(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[2]),
+	.A2(n_8430),
+	.B1(brqrv_top_brqrv_dec_tlu_pc_r_d1[2]),
+	.B2(brqrv_top_brqrv_dec_tlu_pc0_valid_r_BAR),
+	.X(brqrv_top_brqrv_dec_tlu_pc_r[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g681315 (
+	.A1(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[27]),
+	.A2(n_8430),
+	.B1(brqrv_top_brqrv_dec_tlu_pc_r_d1[27]),
+	.B2(brqrv_top_brqrv_dec_tlu_pc0_valid_r_BAR),
+	.X(brqrv_top_brqrv_dec_tlu_pc_r[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g681316 (
+	.A1(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[31]),
+	.A2(n_8430),
+	.B1(brqrv_top_brqrv_dec_tlu_pc_r_d1[31]),
+	.B2(brqrv_top_brqrv_dec_tlu_pc0_valid_r_BAR),
+	.X(brqrv_top_brqrv_dec_tlu_pc_r[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g681317 (
+	.A1(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[7]),
+	.A2(n_8430),
+	.B1(brqrv_top_brqrv_dec_tlu_pc_r_d1[7]),
+	.B2(brqrv_top_brqrv_dec_tlu_pc0_valid_r_BAR),
+	.X(brqrv_top_brqrv_dec_tlu_pc_r[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g681318 (
+	.A1(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[8]),
+	.A2(n_8430),
+	.B1(brqrv_top_brqrv_dec_tlu_pc_r_d1[8]),
+	.B2(brqrv_top_brqrv_dec_tlu_pc0_valid_r_BAR),
+	.X(brqrv_top_brqrv_dec_tlu_pc_r[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g681319 (
+	.A1(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[9]),
+	.A2(n_8430),
+	.B1(brqrv_top_brqrv_dec_tlu_pc_r_d1[9]),
+	.B2(brqrv_top_brqrv_dec_tlu_pc0_valid_r_BAR),
+	.X(brqrv_top_brqrv_dec_tlu_pc_r[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g681320 (
+	.A1(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[29]),
+	.A2(n_8430),
+	.B1(brqrv_top_brqrv_dec_tlu_pc_r_d1[29]),
+	.B2(brqrv_top_brqrv_dec_tlu_pc0_valid_r_BAR),
+	.X(brqrv_top_brqrv_dec_tlu_pc_r[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g681321 (
+	.A1(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[28]),
+	.A2(n_8430),
+	.B1(brqrv_top_brqrv_dec_tlu_pc_r_d1[28]),
+	.B2(brqrv_top_brqrv_dec_tlu_pc0_valid_r_BAR),
+	.X(brqrv_top_brqrv_dec_tlu_pc_r[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g681322 (
+	.A1(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[3]),
+	.A2(n_8430),
+	.B1(brqrv_top_brqrv_dec_tlu_pc_r_d1[3]),
+	.B2(brqrv_top_brqrv_dec_tlu_pc0_valid_r_BAR),
+	.X(brqrv_top_brqrv_dec_tlu_pc_r[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g681323 (
+	.A1(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[26]),
+	.A2(n_8430),
+	.B1(brqrv_top_brqrv_dec_tlu_pc_r_d1[26]),
+	.B2(brqrv_top_brqrv_dec_tlu_pc0_valid_r_BAR),
+	.X(brqrv_top_brqrv_dec_tlu_pc_r[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g681324 (
+	.A1(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[25]),
+	.A2(n_8430),
+	.B1(brqrv_top_brqrv_dec_tlu_pc_r_d1[25]),
+	.B2(brqrv_top_brqrv_dec_tlu_pc0_valid_r_BAR),
+	.X(brqrv_top_brqrv_dec_tlu_pc_r[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g681325 (
+	.A1(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[24]),
+	.A2(n_8430),
+	.B1(brqrv_top_brqrv_dec_tlu_pc_r_d1[24]),
+	.B2(brqrv_top_brqrv_dec_tlu_pc0_valid_r_BAR),
+	.X(brqrv_top_brqrv_dec_tlu_pc_r[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g681326 (
+	.A1(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[23]),
+	.A2(n_8430),
+	.B1(brqrv_top_brqrv_dec_tlu_pc_r_d1[23]),
+	.B2(brqrv_top_brqrv_dec_tlu_pc0_valid_r_BAR),
+	.X(brqrv_top_brqrv_dec_tlu_pc_r[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g681327 (
+	.A1(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[22]),
+	.A2(n_8430),
+	.B1(brqrv_top_brqrv_dec_tlu_pc_r_d1[22]),
+	.B2(brqrv_top_brqrv_dec_tlu_pc0_valid_r_BAR),
+	.X(brqrv_top_brqrv_dec_tlu_pc_r[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g681328 (
+	.A1(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[21]),
+	.A2(n_8430),
+	.B1(brqrv_top_brqrv_dec_tlu_pc_r_d1[21]),
+	.B2(brqrv_top_brqrv_dec_tlu_pc0_valid_r_BAR),
+	.X(brqrv_top_brqrv_dec_tlu_pc_r[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g681329 (
+	.A1(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[10]),
+	.A2(n_8430),
+	.B1(brqrv_top_brqrv_dec_tlu_pc_r_d1[10]),
+	.B2(brqrv_top_brqrv_dec_tlu_pc0_valid_r_BAR),
+	.X(brqrv_top_brqrv_dec_tlu_pc_r[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g681330 (
+	.A1(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[19]),
+	.A2(n_8430),
+	.B1(brqrv_top_brqrv_dec_tlu_pc_r_d1[19]),
+	.B2(brqrv_top_brqrv_dec_tlu_pc0_valid_r_BAR),
+	.X(brqrv_top_brqrv_dec_tlu_pc_r[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g681331 (
+	.A1(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[18]),
+	.A2(n_8430),
+	.B1(brqrv_top_brqrv_dec_tlu_pc_r_d1[18]),
+	.B2(brqrv_top_brqrv_dec_tlu_pc0_valid_r_BAR),
+	.X(brqrv_top_brqrv_dec_tlu_pc_r[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g681332 (
+	.A1(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[17]),
+	.A2(n_8430),
+	.B1(brqrv_top_brqrv_dec_tlu_pc_r_d1[17]),
+	.B2(brqrv_top_brqrv_dec_tlu_pc0_valid_r_BAR),
+	.X(brqrv_top_brqrv_dec_tlu_pc_r[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g681333 (
+	.A1(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[16]),
+	.A2(n_8430),
+	.B1(brqrv_top_brqrv_dec_tlu_pc_r_d1[16]),
+	.B2(brqrv_top_brqrv_dec_tlu_pc0_valid_r_BAR),
+	.X(brqrv_top_brqrv_dec_tlu_pc_r[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g681334 (
+	.A1(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[15]),
+	.A2(n_8430),
+	.B1(brqrv_top_brqrv_dec_tlu_pc_r_d1[15]),
+	.B2(brqrv_top_brqrv_dec_tlu_pc0_valid_r_BAR),
+	.X(brqrv_top_brqrv_dec_tlu_pc_r[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g681335 (
+	.A1(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[14]),
+	.A2(n_8430),
+	.B1(brqrv_top_brqrv_dec_tlu_pc_r_d1[14]),
+	.B2(brqrv_top_brqrv_dec_tlu_pc0_valid_r_BAR),
+	.X(brqrv_top_brqrv_dec_tlu_pc_r[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g681336 (
+	.A1(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[13]),
+	.A2(n_8430),
+	.B1(brqrv_top_brqrv_dec_tlu_pc_r_d1[13]),
+	.B2(brqrv_top_brqrv_dec_tlu_pc0_valid_r_BAR),
+	.X(brqrv_top_brqrv_dec_tlu_pc_r[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g681337 (
+	.A1(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[11]),
+	.A2(n_8430),
+	.B1(brqrv_top_brqrv_dec_tlu_pc_r_d1[11]),
+	.B2(brqrv_top_brqrv_dec_tlu_pc0_valid_r_BAR),
+	.X(brqrv_top_brqrv_dec_tlu_pc_r[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g681338 (
+	.A1(n_42968),
+	.A2(n_42983),
+	.B1(n_8147),
+	.B2(brqrv_top_brqrv_exu_alu_result_x[11]),
+	.C1(n_37995),
+	.C2(n_8248),
+	.Y(n_9055), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g681339 (
+	.A1(n_42968),
+	.A2(n_38038),
+	.B1(n_8147),
+	.B2(brqrv_top_brqrv_exu_alu_result_x[23]),
+	.C1(n_38007),
+	.C2(n_8248),
+	.Y(n_9054), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g681340 (
+	.A1(n_42968),
+	.A2(n_38043),
+	.B1(n_8147),
+	.B2(brqrv_top_brqrv_exu_alu_result_x[28]),
+	.C1(n_42981),
+	.C2(n_8248),
+	.Y(n_9053), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g681341 (
+	.A1(n_42968),
+	.A2(n_38018),
+	.B1(n_8147),
+	.B2(brqrv_top_brqrv_exu_alu_result_x[3]),
+	.C1(n_37987),
+	.C2(n_8248),
+	.Y(n_9159), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g681342 (
+	.A1(n_42968),
+	.A2(n_38030),
+	.B1(n_8147),
+	.B2(brqrv_top_brqrv_exu_alu_result_x[15]),
+	.C1(n_37999),
+	.C2(n_8248),
+	.Y(n_9158), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g681343 (
+	.A1(n_42968),
+	.A2(n_38019),
+	.B1(n_8147),
+	.B2(brqrv_top_brqrv_exu_alu_result_x[4]),
+	.C1(n_37988),
+	.C2(n_8248),
+	.Y(n_9052), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g681344 (
+	.A1(n_38016),
+	.A2(n_42968),
+	.B1(n_8147),
+	.B2(brqrv_top_brqrv_exu_alu_result_x[1]),
+	.C1(n_37985),
+	.C2(n_8248),
+	.Y(n_9051), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g681345 (
+	.A1(n_42968),
+	.A2(n_43077),
+	.B1(n_8147),
+	.B2(brqrv_top_brqrv_exu_alu_result_x[6]),
+	.C1(n_37990),
+	.C2(n_8248),
+	.Y(n_9157), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g681346 (
+	.A1(n_38017),
+	.A2(n_42968),
+	.B1(n_8147),
+	.B2(brqrv_top_brqrv_exu_alu_result_x[2]),
+	.C1(n_37986),
+	.C2(n_8248),
+	.Y(n_9156), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g681347 (
+	.A1(n_42968),
+	.A2(n_42986),
+	.B1(n_8147),
+	.B2(brqrv_top_brqrv_exu_alu_result_x[7]),
+	.C1(n_37991),
+	.C2(n_8248),
+	.Y(n_9155), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g681348 (
+	.A1(n_42968),
+	.A2(n_38042),
+	.B1(n_8147),
+	.B2(brqrv_top_brqrv_exu_alu_result_x[27]),
+	.C1(n_42982),
+	.C2(n_8248),
+	.Y(n_9050), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g681349 (
+	.A1(n_42968),
+	.A2(n_38031),
+	.B1(n_8147),
+	.B2(brqrv_top_brqrv_exu_alu_result_x[16]),
+	.C1(n_38000),
+	.C2(n_8248),
+	.Y(n_9049), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g681350 (
+	.A1(n_42968),
+	.A2(n_38044),
+	.B1(n_8147),
+	.B2(brqrv_top_brqrv_exu_alu_result_x[29]),
+	.C1(n_38013),
+	.C2(n_8248),
+	.Y(n_9048), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g681351 (
+	.A1(n_42968),
+	.A2(n_38041),
+	.B1(n_8147),
+	.B2(brqrv_top_brqrv_exu_alu_result_x[26]),
+	.C1(n_38010),
+	.C2(n_8248),
+	.Y(n_9154), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g681352 (
+	.A(n_8266),
+	.B(n_8742),
+	.Y(n_9153), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g681355 (
+	.A(n_9042),
+	.Y(n_9043), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g681356 (
+	.A(brqrv_top_brqrv_dec_dec_csr_wrdata_r[29]),
+	.Y(n_9041), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g681360 (
+	.A(n_8090),
+	.Y(n_9036), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g681365 (
+	.A(n_105),
+	.Y(n_33906), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g681368 (
+	.A(n_1590),
+	.Y(n_34313), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g681369 (
+	.A(n_9027),
+	.Y(n_34310), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g681370 (
+	.A(n_35806),
+	.B(n_35796),
+	.C(n_35804),
+	.Y(n_9026), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g681371 (
+	.A1(n_349912_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[499]),
+	.B1(n_349915_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[627]),
+	.X(n_9025), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g681372 (
+	.A(n_33975),
+	.B(n_8382),
+	.Y(n_9024), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681373 (
+	.A1(n_349926_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[791]),
+	.B1(n_349919_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[855]),
+	.Y(n_9023), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681374 (
+	.A1(n_42930),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[403]),
+	.B1(n_8252),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[435]),
+	.Y(n_9022), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g681375 (
+	.A(n_8433),
+	.B_N(brqrv_top_brqrv_trigger_pkt_any[0]),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681376 (
+	.A1(n_8253),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[467]),
+	.B1(n_42926),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[243]),
+	.Y(n_9021), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g681377 (
+	.A(n_8432),
+	.B_N(brqrv_top_brqrv_trigger_pkt_any[38]),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[32]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681378 (
+	.A1(n_42924),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[275]),
+	.B1(n_8262),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[339]),
+	.Y(n_9020), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g681379 (
+	.A(n_8431),
+	.B_N(brqrv_top_brqrv_trigger_pkt_any[76]),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[64]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g681380 (
+	.A(brqrv_top_brqrv_dec_tlu_dbg_halted),
+	.B(n_8442),
+	.Y(brqrv_top_brqrv_dec_tlu_request_debug_mode_done), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g681381 (
+	.A(n_36165),
+	.B(n_8382),
+	.Y(n_9019), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681382 (
+	.A1(n_349929_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[226]),
+	.B1(n_349934_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[386]),
+	.Y(n_9018), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g681383 (
+	.A(n_8434),
+	.B_N(brqrv_top_brqrv_trigger_pkt_any[114]),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[96]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681384 (
+	.A1(n_42927),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[307]),
+	.B1(n_42959),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[371]),
+	.Y(n_9017), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g681386 (
+	.A(brqrv_top_brqrv_dec_decode_i0_r_c[1]),
+	.B_N(n_8440),
+	.Y(n_9016), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681387 (
+	.A1(n_42921),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[659]),
+	.B1(n_8256),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[691]),
+	.Y(n_9015), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681388 (
+	.A1(n_42958),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[723]),
+	.B1(n_42954),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[499]),
+	.Y(n_9014), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681389 (
+	.A1(n_42932),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[531]),
+	.B1(n_8151),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[627]),
+	.Y(n_9013), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g681390 (
+	.A(n_36167),
+	.B(n_8382),
+	.Y(n_9012), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g681391 (
+	.A(n_36153),
+	.B(n_8383),
+	.Y(n_9011), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g681392 (
+	.A(n_36151),
+	.B(n_8383),
+	.Y(n_9010), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g681393 (
+	.A(brqrv_top_brqrv_dec_tlu_lsu_i0_exc_r),
+	.B(brqrv_top_brqrv_lsu_error_pkt_r[38]),
+	.Y(n_9009), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g681394 (
+	.A(n_8433),
+	.B(n_8205),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g681395 (
+	.A(n_8433),
+	.B(n_8306),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g681396 (
+	.A(n_8433),
+	.B(n_8305),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g681397 (
+	.A(n_8433),
+	.B(n_89),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g681398 (
+	.A(n_8433),
+	.B(n_2055),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g681399 (
+	.A(n_8433),
+	.B(n_8184),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g681400 (
+	.A(n_8433),
+	.B(n_97),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g681401 (
+	.A(n_8433),
+	.B(n_8300),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g681402 (
+	.A(n_8433),
+	.B(n_86),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g681403 (
+	.A(n_8433),
+	.B(n_1953),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g681404 (
+	.A(n_8433),
+	.B(n_1963),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g681405 (
+	.A(n_8433),
+	.B(n_8307),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g681406 (
+	.A(n_8433),
+	.B(n_8201),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g681407 (
+	.A(n_8433),
+	.B(n_8183),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g681408 (
+	.A(n_8433),
+	.B(n_78),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g681409 (
+	.A(n_8433),
+	.B(n_8210),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g681410 (
+	.A(n_8433),
+	.B(n_8295),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g681411 (
+	.A(n_8433),
+	.B(n_8196),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g681412 (
+	.A(n_8433),
+	.B(n_8293),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g681413 (
+	.A(n_8433),
+	.B(n_8189),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g681414 (
+	.A(n_8433),
+	.B(n_8185),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g681415 (
+	.A(n_8433),
+	.B(n_8287),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g681416 (
+	.A(n_8433),
+	.B(n_8288),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g681417 (
+	.A(n_8433),
+	.B(n_8204),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g681418 (
+	.A(n_8432),
+	.B(n_8290),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[63]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g681419 (
+	.A(n_8432),
+	.B(n_8205),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[62]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g681420 (
+	.A(n_8432),
+	.B(n_8306),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[61]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g681421 (
+	.A(n_8432),
+	.B(n_89),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[60]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g681422 (
+	.A(n_8432),
+	.B(n_2055),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[59]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g681423 (
+	.A(n_8432),
+	.B(n_96),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[58]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g681424 (
+	.A(n_8432),
+	.B(n_8297),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[57]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g681425 (
+	.A(n_8432),
+	.B(n_135),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[56]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g681426 (
+	.A(n_8432),
+	.B(n_8184),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[55]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g681427 (
+	.A(n_8432),
+	.B(n_97),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[54]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g681428 (
+	.A(n_8432),
+	.B(n_8300),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[53]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g681429 (
+	.A(n_8432),
+	.B(n_82),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[52]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g681430 (
+	.A(n_8432),
+	.B(n_83),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[50]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g681431 (
+	.A(n_8432),
+	.B(n_8305),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[51]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g681432 (
+	.A(n_8432),
+	.B(n_1676),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[49]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g681433 (
+	.A(n_8432),
+	.B(n_86),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[48]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g681434 (
+	.A(n_8432),
+	.B(n_1953),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[47]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g681435 (
+	.A(n_8432),
+	.B(n_1963),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[46]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g681436 (
+	.A(n_8432),
+	.B(n_8307),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[45]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g681437 (
+	.A(n_8432),
+	.B(n_8201),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[44]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g681438 (
+	.A(n_8432),
+	.B(n_8183),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[43]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g681439 (
+	.A(n_8432),
+	.B(n_78),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[42]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g681440 (
+	.A(n_8432),
+	.B(n_8210),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[41]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g681441 (
+	.A(n_8432),
+	.B(n_8295),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[40]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g681442 (
+	.A(n_8432),
+	.B(n_8293),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[39]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g681443 (
+	.A(n_8432),
+	.B(n_8196),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[38]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g681444 (
+	.A(n_8432),
+	.B(n_8189),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[37]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g681445 (
+	.A(n_8432),
+	.B(n_8185),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[36]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g681446 (
+	.A(n_8432),
+	.B(n_8288),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[34]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g681447 (
+	.A(n_8432),
+	.B(n_8287),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[35]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g681448 (
+	.A(n_8432),
+	.B(n_8204),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[33]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g681449 (
+	.A(n_8431),
+	.B(n_8290),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[95]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g681450 (
+	.A(n_8431),
+	.B(n_8205),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[94]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g681451 (
+	.A(n_8431),
+	.B(n_8306),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[93]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g681452 (
+	.A(n_8431),
+	.B(n_89),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[92]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g681453 (
+	.A(n_8431),
+	.B(n_2055),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[91]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g681454 (
+	.A(n_8431),
+	.B(n_96),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[90]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g681455 (
+	.A(n_8431),
+	.B(n_8297),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[89]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g681456 (
+	.A(n_8431),
+	.B(n_135),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[88]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g681457 (
+	.A(n_8431),
+	.B(n_8184),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[87]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g681458 (
+	.A(n_8431),
+	.B(n_97),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[86]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g681459 (
+	.A(n_8431),
+	.B(n_8300),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[85]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g681460 (
+	.A(n_8431),
+	.B(n_82),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[84]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g681461 (
+	.A(n_8433),
+	.B(n_8297),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g681462 (
+	.A(n_8431),
+	.B(n_8305),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[83]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g681463 (
+	.A(n_8431),
+	.B(n_83),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[82]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g681464 (
+	.A(n_8431),
+	.B(n_1676),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[81]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g681465 (
+	.A(n_8431),
+	.B(n_86),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[80]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g681466 (
+	.A(n_8431),
+	.B(n_1953),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[79]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g681467 (
+	.A(n_8431),
+	.B(n_1963),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[78]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g681468 (
+	.A(n_8431),
+	.B(n_8307),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[77]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g681469 (
+	.A(n_8431),
+	.B(n_8201),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[76]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g681470 (
+	.A(n_8431),
+	.B(n_8183),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[75]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g681471 (
+	.A(n_8431),
+	.B(n_78),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[74]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g681472 (
+	.A(n_8433),
+	.B(n_96),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g681473 (
+	.A(n_8431),
+	.B(n_8210),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[73]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g681474 (
+	.A(n_8431),
+	.B(n_8295),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[72]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g681475 (
+	.A(n_8431),
+	.B(n_8196),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[70]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g681476 (
+	.A(n_8431),
+	.B(n_8293),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[71]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g681477 (
+	.A(n_8431),
+	.B(n_8189),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[69]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g681478 (
+	.A(n_8431),
+	.B(n_8185),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[68]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g681479 (
+	.A(n_8431),
+	.B(n_8287),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[67]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g681480 (
+	.A(n_8431),
+	.B(n_8288),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[66]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g681481 (
+	.A(n_8431),
+	.B(n_8204),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[65]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g681482 (
+	.A(n_8434),
+	.B(n_8290),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[127]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g681483 (
+	.A(n_8434),
+	.B(n_8205),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[126]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g681484 (
+	.A(n_8434),
+	.B(n_8306),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[125]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g681485 (
+	.A(n_8433),
+	.B(n_135),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g681486 (
+	.A(n_8434),
+	.B(n_89),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[124]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g681487 (
+	.A(n_8434),
+	.B(n_96),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[122]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g681488 (
+	.A(n_8434),
+	.B(n_2055),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[123]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g681489 (
+	.A(n_8434),
+	.B(n_8201),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[108]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g681490 (
+	.A(n_8434),
+	.B(n_8297),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[121]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g681491 (
+	.A(n_8434),
+	.B(n_135),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[120]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g681492 (
+	.A(n_8434),
+	.B(n_8184),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[119]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g681493 (
+	.A(n_8434),
+	.B(n_97),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[118]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g681494 (
+	.A(n_8434),
+	.B(n_8300),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[117]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g681495 (
+	.A(n_8434),
+	.B(n_82),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[116]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g681496 (
+	.A(n_8434),
+	.B(n_8305),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[115]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g681497 (
+	.A(n_8434),
+	.B(n_83),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[114]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g681498 (
+	.A(n_8434),
+	.B(n_1676),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[113]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g681499 (
+	.A(n_8434),
+	.B(n_86),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[112]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g681500 (
+	.A(n_8434),
+	.B(n_1953),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[111]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g681501 (
+	.A(n_8434),
+	.B(n_1963),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[110]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g681502 (
+	.A(n_8434),
+	.B(n_8307),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[109]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g681503 (
+	.A(n_8434),
+	.B(n_8183),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[107]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g681504 (
+	.A(n_8434),
+	.B(n_78),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[106]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g681505 (
+	.A(n_8433),
+	.B(n_82),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g681506 (
+	.A(n_8434),
+	.B(n_8210),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[105]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g681507 (
+	.A(n_8434),
+	.B(n_8295),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[104]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g681508 (
+	.A(n_8434),
+	.B(n_8293),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[103]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g681509 (
+	.A(n_8434),
+	.B(n_8196),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[102]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g681510 (
+	.A(n_8434),
+	.B(n_8189),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[101]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g681511 (
+	.A(n_8434),
+	.B(n_8185),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[100]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g681512 (
+	.A(n_8434),
+	.B(n_8287),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[99]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g681513 (
+	.A(n_8434),
+	.B(n_8288),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[98]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g681514 (
+	.A(n_8434),
+	.B(n_8204),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[97]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g681515 (
+	.A(n_8433),
+	.B(n_8290),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g681516 (
+	.A(n_8433),
+	.B(n_83),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g681517 (
+	.A(n_8433),
+	.B(n_1676),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681518 (
+	.A1(n_349906_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[531]),
+	.B1(n_349913_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[659]),
+	.Y(n_9008), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681519 (
+	.A1(n_42923),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[563]),
+	.B1(n_42960),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[595]),
+	.Y(n_9007), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681520 (
+	.A1(n_349903_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[723]),
+	.B1(n_349909_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[595]),
+	.Y(n_9006), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681521 (
+	.A1(n_42928),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[915]),
+	.B1(n_42925),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[947]),
+	.Y(n_9005), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g681522 (
+	.A(n_8436),
+	.B(n_8269),
+	.Y(n_9004), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681523 (
+	.A1(n_8260),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[979]),
+	.B1(n_42956),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[755]),
+	.Y(n_9003), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681524 (
+	.A1(n_42922),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[787]),
+	.B1(n_42961),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[883]),
+	.Y(n_9002), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g681525 (
+	.A(n_33977),
+	.B(n_8420),
+	.Y(n_9001), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g681526 (
+	.A(n_35465),
+	.B(n_8372),
+	.Y(n_9000), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g681527 (
+	.A(n_8441),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[20]),
+	.Y(n_8999), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681528 (
+	.A1(n_42929),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[819]),
+	.B1(n_42955),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[851]),
+	.Y(n_8998), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681529 (
+	.A1(n_42933),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[147]),
+	.B1(n_42931),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[211]),
+	.Y(n_8997), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681530 (
+	.A1(n_42957),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[1011]),
+	.B1(brqrv_top_brqrv_dec_arf_n_744),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[51]),
+	.Y(n_8996), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681531 (
+	.A1(n_8278),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[22]),
+	.B1(n_8281),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[86]),
+	.Y(n_8995), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681532 (
+	.A1(n_349937_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[371]),
+	.B1(n_349935_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[435]),
+	.Y(n_8994), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681533 (
+	.A1(n_349931_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[275]),
+	.B1(n_349934_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[403]),
+	.Y(n_8993), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681534 (
+	.A1(n_42930),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[404]),
+	.B1(n_8252),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[436]),
+	.Y(n_8992), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681536 (
+	.A1(n_8253),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[468]),
+	.B1(n_42926),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[244]),
+	.Y(n_8991), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681537 (
+	.A1(n_42924),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[276]),
+	.B1(n_8262),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[340]),
+	.Y(n_8990), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g681538 (
+	.A(n_34585),
+	.B_N(n_43008),
+	.Y(n_8989), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g681539 (
+	.A(n_34581),
+	.B_N(n_34582),
+	.Y(n_8988), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681540 (
+	.A1(n_42927),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[308]),
+	.B1(n_42959),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[372]),
+	.Y(n_8987), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681541 (
+	.A1(n_349929_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[243]),
+	.B1(n_349936_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[467]),
+	.Y(n_8986), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681542 (
+	.A1(n_8280),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[118]),
+	.B1(n_8276),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[54]),
+	.Y(n_8985), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681543 (
+	.A1(n_42928),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[916]),
+	.B1(n_42925),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[948]),
+	.Y(n_8984), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681544 (
+	.A1(n_42922),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[788]),
+	.B1(n_42961),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[884]),
+	.Y(n_8983), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g681545 (
+	.A1(brqrv_top_brqrv_dec_decode_x_d[8]),
+	.A2(n_8171),
+	.B1(brqrv_top_brqrv_dec_decode_x_d[1]),
+	.Y(n_8982), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g681546 (
+	.A1(brqrv_top_brqrv_dec_decode_x_d[5]),
+	.A2(brqrv_top_brqrv_dec_dec_i0_instr_d[16]),
+	.B1(n_35072),
+	.Y(n_8981), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g681547 (
+	.A1(brqrv_top_brqrv_dec_dec_i0_waddr_r[4]),
+	.A2(n_8171),
+	.B1(brqrv_top_brqrv_dec_decode_r_d[1]),
+	.Y(n_8980), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g681548 (
+	.A(n_36187),
+	.B(n_8369),
+	.Y(n_8979), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g681550 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[14]),
+	.B(n_43112),
+	.C(brqrv_top_brqrv_dec_dec_i0_instr_d[4]),
+	.Y(n_8977), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681551 (
+	.A1(n_349932_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[307]),
+	.B1(n_349933_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[339]),
+	.Y(n_8976), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g681552 (
+	.A(n_35810),
+	.B(n_35814),
+	.C(n_35818),
+	.Y(n_8975), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g681553 (
+	.A(n_35774),
+	.B(n_35772),
+	.C_N(n_35773),
+	.Y(n_8974), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g681554 (
+	.A(n_34874),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[2]),
+	.C(brqrv_top_brqrv_dec_dec_i0_instr_d[14]),
+	.Y(n_8973), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g681555 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[5]),
+	.B(brqrv_top_brqrv_dec_i0_predict_p_d[47]),
+	.C(n_34849),
+	.Y(n_8972), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g681556 (
+	.A_N(brqrv_top_brqrv_lsu_lsu_pkt_m[7]),
+	.B(n_35813),
+	.C(n_35811),
+	.Y(n_8971), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681557 (
+	.A1(n_42929),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[820]),
+	.B1(n_42955),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[852]),
+	.Y(n_8970), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g681558 (
+	.A(brqrv_top_brqrv_dec_tlu_i0_trigger_hit_raw_r),
+	.B(brqrv_top_brqrv_dec_tlu_inst_acc_r),
+	.C_N(n_33840),
+	.Y(n_8969), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g681560 (
+	.A(n_8412),
+	.B(n_8405),
+	.Y(n_8967), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g681561 (
+	.A(n_8349),
+	.B(n_8370),
+	.Y(n_8966), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g681563 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_5853),
+	.A2(n_8321),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_6153),
+	.B2(n_8325),
+	.Y(n_8964), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g681564 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_5853),
+	.A2(n_8222),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_6153),
+	.B2(n_8316),
+	.Y(n_8963), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g681565 (
+	.A1(n_1595),
+	.A2(n_34009),
+	.B1(n_8329),
+	.B2(n_34075),
+	.Y(n_8962), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g681566 (
+	.A1(n_8336),
+	.A2(n_34015),
+	.B1(n_1636),
+	.B2(n_34069),
+	.Y(n_8961), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g681567 (
+	.A1(n_8235),
+	.A2(n_34008),
+	.B1(n_8335),
+	.B2(n_34014),
+	.Y(n_8960), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681568 (
+	.A1(n_8260),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[980]),
+	.B1(n_42956),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[756]),
+	.Y(n_8959), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681569 (
+	.A1(n_349921_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[883]),
+	.B1(n_349920_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[755]),
+	.Y(n_8958), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681570 (
+	.A1(n_42957),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[1012]),
+	.B1(brqrv_top_brqrv_dec_arf_n_744),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[52]),
+	.Y(n_8957), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681571 (
+	.A1(n_42932),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[532]),
+	.B1(n_42960),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[596]),
+	.Y(n_8956), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681572 (
+	.A1(n_42933),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[148]),
+	.B1(n_42931),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[212]),
+	.Y(n_8955), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681573 (
+	.A1(n_349921_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[867]),
+	.B1(n_349920_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[739]),
+	.Y(n_8954), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681574 (
+	.A1(n_349934_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[387]),
+	.B1(n_349933_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[323]),
+	.Y(n_8953), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681575 (
+	.A1(n_349929_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[227]),
+	.B1(n_349936_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[451]),
+	.Y(n_8952), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_2 g681576 (
+	.A1(n_35713),
+	.A2(FE_DBTN13_n_36183),
+	.B1(n_8424),
+	.Y(n_8951), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681577 (
+	.A1(n_349937_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[355]),
+	.B1(n_349935_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[419]),
+	.Y(n_8950), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681578 (
+	.A1(n_349903_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[707]),
+	.B1(n_349909_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[579]),
+	.Y(n_8949), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681579 (
+	.A1(n_349906_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[515]),
+	.B1(n_349913_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[643]),
+	.Y(n_8948), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g681580 (
+	.A1(n_8187),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[49]),
+	.B1(n_8304),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[113]),
+	.X(n_8947), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g681581 (
+	.A1(n_349912_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[483]),
+	.B1(n_349915_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[611]),
+	.X(n_8946), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g681582 (
+	.A(n_8427),
+	.B(n_8356),
+	.Y(n_8945), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g681583 (
+	.A1(brqrv_top_brqrv_lsu_lsu_ld_data_m[24]),
+	.A2(n_8268),
+	.B1(n_8344),
+	.Y(n_8944), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g681584 (
+	.A1(n_8190),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[81]),
+	.B1(n_8303),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[17]),
+	.X(n_8943), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681585 (
+	.A1(n_29552),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[212]),
+	.B1(n_1669),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[148]),
+	.Y(n_8942), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681586 (
+	.A1(n_349934_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[396]),
+	.B1(n_349933_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[332]),
+	.Y(n_8941), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681587 (
+	.A1(n_349906_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[514]),
+	.B1(n_349913_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[642]),
+	.Y(n_8940), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681588 (
+	.A1(n_349906_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[518]),
+	.B1(n_349913_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[646]),
+	.Y(n_8939), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681589 (
+	.A1(n_349932_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[314]),
+	.B1(n_349933_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[346]),
+	.Y(n_8938), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681590 (
+	.A1(n_349937_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[375]),
+	.B1(n_349935_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[439]),
+	.Y(n_8937), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681591 (
+	.A1(n_8278),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[18]),
+	.B1(n_8281),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[82]),
+	.Y(n_8936), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g681592 (
+	.A(n_8347),
+	.B(n_8417),
+	.Y(n_8935), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681593 (
+	.A1(n_349937_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[354]),
+	.B1(n_349932_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[290]),
+	.Y(n_8934), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681594 (
+	.A1(n_349903_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[710]),
+	.B1(n_349909_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[582]),
+	.Y(n_8933), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681595 (
+	.A1(n_349926_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[773]),
+	.B1(n_349919_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[837]),
+	.Y(n_8932), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681596 (
+	.A1(n_349921_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[866]),
+	.B1(n_349920_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[738]),
+	.Y(n_8931), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g681597 (
+	.A1(n_349939_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[87]),
+	.B1(n_259993_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[55]),
+	.X(n_8930), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681598 (
+	.A1(n_29552),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[209]),
+	.B1(n_1669),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[145]),
+	.Y(n_8929), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g681599 (
+	.A1(n_349938_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[101]),
+	.B1(n_259993_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[37]),
+	.X(n_8928), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681600 (
+	.A1(n_349903_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[715]),
+	.B1(n_349909_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[587]),
+	.Y(n_8927), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681601 (
+	.A1(n_349929_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[247]),
+	.B1(n_349936_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[471]),
+	.Y(n_8926), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681602 (
+	.A1(n_349903_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[727]),
+	.B1(n_349909_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[599]),
+	.Y(n_8925), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681603 (
+	.A1(n_29552),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[204]),
+	.B1(n_1669),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[140]),
+	.Y(n_8924), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681604 (
+	.A1(n_29552),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[193]),
+	.B1(n_1669),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[129]),
+	.Y(n_8923), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g681605 (
+	.A1_N(n_8315),
+	.A2_N(n_34037),
+	.B1(n_36296),
+	.B2(n_8199),
+	.Y(n_8922), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g681606 (
+	.A1(n_349912_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[503]),
+	.B1(n_349915_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[631]),
+	.X(n_8921), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g681607 (
+	.A1_N(brqrv_top_brqrv_dec_decode_x_d[8]),
+	.A2_N(n_8171),
+	.B1(brqrv_top_brqrv_dec_decode_x_d[7]),
+	.B2(n_8172),
+	.Y(n_8920), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g681608 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[54]),
+	.A2(n_8273),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[118]),
+	.B2(n_8275),
+	.X(n_8919), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681609 (
+	.A1(n_349926_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[787]),
+	.B1(n_349919_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[851]),
+	.Y(n_8918), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g681610 (
+	.A1(n_349938_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[117]),
+	.B1(n_259993_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[53]),
+	.X(n_8917), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681611 (
+	.A1(n_8253),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[478]),
+	.B1(n_42931),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[222]),
+	.Y(n_8916), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681612 (
+	.A1(n_42930),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[414]),
+	.B1(n_8252),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[446]),
+	.Y(n_8915), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681613 (
+	.A1(n_42926),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[254]),
+	.B1(n_42933),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[158]),
+	.Y(n_8914), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681614 (
+	.A1(n_349926_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[789]),
+	.B1(n_349919_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[853]),
+	.Y(n_8913), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681615 (
+	.A1(n_8262),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[350]),
+	.B1(n_42959),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[382]),
+	.Y(n_8912), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681616 (
+	.A1(n_349923_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[917]),
+	.B1(n_349924_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[981]),
+	.Y(n_8911), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681617 (
+	.A1(n_42957),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[1022]),
+	.B1(brqrv_top_brqrv_dec_arf_n_744),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[62]),
+	.Y(n_8910), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681618 (
+	.A1(n_349918_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[821]),
+	.B1(n_349925_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[949]),
+	.Y(n_8909), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681619 (
+	.A1(n_349921_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[885]),
+	.B1(n_349920_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[757]),
+	.Y(n_8908), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681620 (
+	.A1(n_42958),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[734]),
+	.B1(n_42954),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[510]),
+	.Y(n_8907), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681621 (
+	.A1(n_42932),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[542]),
+	.B1(n_42960),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[606]),
+	.Y(n_8906), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681622 (
+	.A1(n_349929_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[245]),
+	.B1(n_349934_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[405]),
+	.Y(n_8905), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681623 (
+	.A1(n_42923),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[574]),
+	.B1(n_8151),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[638]),
+	.Y(n_8904), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681624 (
+	.A1(n_42921),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[670]),
+	.B1(n_8256),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[702]),
+	.Y(n_8903), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681625 (
+	.A1(n_349931_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[277]),
+	.B1(n_349936_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[469]),
+	.Y(n_8902), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681626 (
+	.A1(n_42929),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[830]),
+	.B1(n_42955),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[862]),
+	.Y(n_8901), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681627 (
+	.A1(n_349932_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[309]),
+	.B1(n_349933_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[341]),
+	.Y(n_8900), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681628 (
+	.A1(n_42922),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[798]),
+	.B1(n_42961),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[894]),
+	.Y(n_8899), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681629 (
+	.A1(n_349937_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[373]),
+	.B1(n_349935_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[437]),
+	.Y(n_8898), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681630 (
+	.A1(n_8260),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[990]),
+	.B1(n_42956),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[766]),
+	.Y(n_8897), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681631 (
+	.A1(n_42928),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[926]),
+	.B1(n_42925),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[958]),
+	.Y(n_8896), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681632 (
+	.A1(n_349903_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[725]),
+	.B1(n_349909_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[597]),
+	.Y(n_8895), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681633 (
+	.A1(n_349906_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[533]),
+	.B1(n_349913_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[661]),
+	.Y(n_8894), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681634 (
+	.A1(n_349932_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[311]),
+	.B1(n_349933_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[343]),
+	.Y(n_8893), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681635 (
+	.A1(n_8187),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[55]),
+	.B1(n_8304),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[119]),
+	.Y(n_8892), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681636 (
+	.A1(n_349923_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[898]),
+	.B1(n_349924_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[962]),
+	.Y(n_8891), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g681637 (
+	.A(n_35797),
+	.B(n_35807),
+	.C(n_35821),
+	.D(n_35808),
+	.Y(n_8890), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g681638 (
+	.A1(n_349912_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[501]),
+	.B1(n_349915_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[629]),
+	.X(n_8889), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g681639 (
+	.A1(n_8190),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[87]),
+	.B1(n_8303),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[23]),
+	.X(n_8888), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681640 (
+	.A1(n_42930),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[409]),
+	.B1(n_42931),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[217]),
+	.Y(n_8887), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681641 (
+	.A1(n_8252),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[441]),
+	.B1(n_8253),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[473]),
+	.Y(n_8886), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681642 (
+	.A1(n_42924),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[281]),
+	.B1(n_42959),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[377]),
+	.Y(n_8885), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g681643 (
+	.A1(brqrv_top_brqrv_lsu_lsu_ld_data_m[20]),
+	.A2(n_8268),
+	.B1_N(n_8404),
+	.Y(n_8884), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681644 (
+	.A1(n_42926),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[249]),
+	.B1(n_42933),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[153]),
+	.Y(n_8883), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681645 (
+	.A1(n_42927),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[313]),
+	.B1(n_8262),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[345]),
+	.Y(n_8882), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681646 (
+	.A1(n_349906_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[535]),
+	.B1(n_349913_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[663]),
+	.Y(n_8881), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681647 (
+	.A1(n_42957),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[1017]),
+	.B1(n_42953),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[89]),
+	.Y(n_8880), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g681648 (
+	.A1_N(n_8317),
+	.A2_N(n_34037),
+	.B1(n_36298),
+	.B2(n_8199),
+	.Y(n_8879), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g681649 (
+	.A1(n_349938_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[116]),
+	.B1(n_259993_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[52]),
+	.X(n_8878), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681650 (
+	.A1(n_42923),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[569]),
+	.B1(n_8151),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[633]),
+	.Y(n_8877), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681651 (
+	.A1(n_42932),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[537]),
+	.B1(n_42960),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[601]),
+	.Y(n_8876), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681652 (
+	.A1(n_42958),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[729]),
+	.B1(n_42954),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[505]),
+	.Y(n_8875), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681653 (
+	.A1(n_349926_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[788]),
+	.B1(n_349919_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[852]),
+	.Y(n_8874), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681654 (
+	.A1(n_42921),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[665]),
+	.B1(n_8256),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[697]),
+	.Y(n_8873), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681655 (
+	.A1(n_42929),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[825]),
+	.B1(n_42955),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[857]),
+	.Y(n_8872), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681656 (
+	.A1(n_42922),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[793]),
+	.B1(n_42961),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[889]),
+	.Y(n_8871), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681657 (
+	.A1(n_349923_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[916]),
+	.B1(n_349924_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[980]),
+	.Y(n_8870), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681658 (
+	.A1(n_8260),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[985]),
+	.B1(n_42956),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[761]),
+	.Y(n_8869), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681659 (
+	.A1(n_349918_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[820]),
+	.B1(n_349925_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[948]),
+	.Y(n_8868), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681660 (
+	.A1(n_42928),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[921]),
+	.B1(n_42925),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[953]),
+	.Y(n_8867), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681661 (
+	.A1(n_349921_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[884]),
+	.B1(n_349920_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[756]),
+	.Y(n_8866), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681662 (
+	.A1(n_42932),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[536]),
+	.B1(n_42923),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[568]),
+	.Y(n_8865), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681663 (
+	.A1(n_349929_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[244]),
+	.B1(n_349934_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[404]),
+	.Y(n_8864), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681664 (
+	.A1(n_42958),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[728]),
+	.B1(n_42954),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[504]),
+	.Y(n_8863), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681665 (
+	.A1(n_42921),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[664]),
+	.B1(n_8256),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[696]),
+	.Y(n_8862), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681666 (
+	.A1(n_349931_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[276]),
+	.B1(n_349936_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[468]),
+	.Y(n_8861), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681667 (
+	.A1(n_42933),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[152]),
+	.B1(n_42931),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[216]),
+	.Y(n_8860), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681668 (
+	.A1(n_42960),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[600]),
+	.B1(n_8151),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[632]),
+	.Y(n_8859), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681669 (
+	.A1(n_349932_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[308]),
+	.B1(n_349933_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[340]),
+	.Y(n_8858), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681670 (
+	.A1(n_42957),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[1016]),
+	.B1(brqrv_top_brqrv_dec_arf_n_744),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[56]),
+	.Y(n_8857), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681671 (
+	.A1(n_349937_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[372]),
+	.B1(n_349935_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[436]),
+	.Y(n_8856), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681672 (
+	.A1(n_42929),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[824]),
+	.B1(n_42955),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[856]),
+	.Y(n_8855), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681673 (
+	.A1(n_42922),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[792]),
+	.B1(n_42961),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[888]),
+	.Y(n_8854), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681674 (
+	.A1(n_8260),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[984]),
+	.B1(n_42956),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[760]),
+	.Y(n_8853), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681675 (
+	.A1(n_42928),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[920]),
+	.B1(n_42925),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[952]),
+	.Y(n_8852), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681676 (
+	.A1(n_349903_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[724]),
+	.B1(n_349909_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[596]),
+	.Y(n_8851), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681677 (
+	.A1(n_42927),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[312]),
+	.B1(n_42959),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[376]),
+	.Y(n_8850), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681678 (
+	.A1(n_42924),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[280]),
+	.B1(n_8262),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[344]),
+	.Y(n_8849), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681679 (
+	.A1(n_349906_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[532]),
+	.B1(n_349913_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[660]),
+	.Y(n_8848), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681680 (
+	.A1(n_8252),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[440]),
+	.B1(n_42926),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[248]),
+	.Y(n_8847), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681681 (
+	.A1(n_42930),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[408]),
+	.B1(n_8253),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[472]),
+	.Y(n_8846), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681682 (
+	.A1(n_29552),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[233]),
+	.B1(n_1669),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[169]),
+	.Y(n_8845), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g681683 (
+	.A1(n_349912_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[500]),
+	.B1(n_349915_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[628]),
+	.X(n_8844), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681684 (
+	.A1(n_349926_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[770]),
+	.B1(n_349919_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[834]),
+	.Y(n_8843), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681685 (
+	.A1(n_42923),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[565]),
+	.B1(n_42960),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[597]),
+	.Y(n_8842), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681686 (
+	.A1(n_42958),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[725]),
+	.B1(n_42954),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[501]),
+	.Y(n_8841), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681687 (
+	.A1(n_42921),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[661]),
+	.B1(n_8256),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[693]),
+	.Y(n_8840), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681688 (
+	.A1(n_42933),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[149]),
+	.B1(n_42931),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[213]),
+	.Y(n_8839), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681689 (
+	.A1(n_42932),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[533]),
+	.B1(n_8151),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[629]),
+	.Y(n_8838), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g681690 (
+	.A(n_8395),
+	.B(n_8397),
+	.Y(n_8837), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681691 (
+	.A1(n_42957),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[1013]),
+	.B1(n_42953),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[85]),
+	.Y(n_8836), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681692 (
+	.A1(n_42929),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[821]),
+	.B1(n_42961),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[885]),
+	.Y(n_8835), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681693 (
+	.A1(n_42922),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[789]),
+	.B1(n_42955),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[853]),
+	.Y(n_8834), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681694 (
+	.A1(n_8260),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[981]),
+	.B1(n_42956),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[757]),
+	.Y(n_8833), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g681695 (
+	.A1(n_349938_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[115]),
+	.B1(n_259993_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[51]),
+	.X(n_8832), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681696 (
+	.A1(n_42928),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[917]),
+	.B1(n_42925),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[949]),
+	.Y(n_8831), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681698 (
+	.A1(n_8253),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[469]),
+	.B1(n_42926),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[245]),
+	.Y(n_8830), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681699 (
+	.A1(n_42924),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[277]),
+	.B1(n_42927),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[309]),
+	.Y(n_8829), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681700 (
+	.A1(n_8262),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[341]),
+	.B1(n_42959),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[373]),
+	.Y(n_8828), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681701 (
+	.A1(n_42930),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[405]),
+	.B1(n_8252),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[437]),
+	.Y(n_8827), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681702 (
+	.A1(n_349918_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[819]),
+	.B1(n_349925_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[947]),
+	.Y(n_8826), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681703 (
+	.A1(n_349923_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[915]),
+	.B1(n_349924_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[979]),
+	.Y(n_8825), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681704 (
+	.A1(n_42923),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[564]),
+	.B1(n_8151),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[628]),
+	.Y(n_8824), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681705 (
+	.A1(n_42958),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[724]),
+	.B1(n_42954),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[500]),
+	.Y(n_8823), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681706 (
+	.A1(n_42921),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[660]),
+	.B1(n_8256),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[692]),
+	.Y(n_8822), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g681707 (
+	.A1_N(brqrv_top_brqrv_dec_dec_i0_waddr_r[4]),
+	.A2_N(brqrv_top_brqrv_dec_dec_i0_instr_d[24]),
+	.B1(brqrv_top_brqrv_dec_dec_i0_waddr_r[4]),
+	.B2(brqrv_top_brqrv_dec_dec_i0_instr_d[24]),
+	.Y(n_9047), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g681708 (
+	.A(n_35499),
+	.B(n_34757),
+	.X(n_34756), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g681709 (
+	.A_N(n_8437),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[31]),
+	.Y(n_9046), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g681710 (
+	.A(n_8331),
+	.B(n_8382),
+	.Y(n_9045), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g681711 (
+	.A(n_8435),
+	.B_N(n_35772),
+	.Y(brqrv_top_brqrv_dma_mem_sz[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 g681712 (
+	.A(n_35773),
+	.B(n_8435),
+	.X(brqrv_top_brqrv_dma_mem_sz[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g681713 (
+	.A(brqrv_top_brqrv_dec_dec_csr_wraddr_r[5]),
+	.B(brqrv_top_brqrv_dec_dec_csr_wraddr_r[4]),
+	.Y(n_34163), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g681714 (
+	.A(brqrv_top_brqrv_dec_dec_csr_wraddr_r[0]),
+	.B(brqrv_top_brqrv_dec_dec_csr_wraddr_r[2]),
+	.X(n_34162), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g681715 (
+	.A(n_8387),
+	.B_N(n_33840),
+	.Y(n_9044), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g681716 (
+	.A(n_34581),
+	.B(n_42999),
+	.Y(n_34564), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g681717 (
+	.A(n_34587),
+	.B(n_42998),
+	.Y(n_35348), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g681718 (
+	.A(n_34585),
+	.B(n_43000),
+	.Y(n_35350), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g681719 (
+	.A(n_34573),
+	.B(n_43002),
+	.Y(n_34562), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g681720 (
+	.A_N(n_34587),
+	.B(n_43007),
+	.Y(n_34954), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g681721 (
+	.A_N(brqrv_top_brqrv_dec_dec_csr_wraddr_r[2]),
+	.B(brqrv_top_brqrv_dec_dec_csr_wraddr_r[0]),
+	.Y(n_35060), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g681722 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[2]),
+	.B(n_8409),
+	.Y(n_34787), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g681723 (
+	.A(n_8206),
+	.B(n_33316),
+	.C(n_33317),
+	.Y(n_9042), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g681724 (
+	.A_N(brqrv_top_brqrv_dec_dec_csr_wraddr_r[0]),
+	.B(brqrv_top_brqrv_dec_dec_csr_wraddr_r[2]),
+	.Y(n_34660), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g681725 (
+	.A1(n_8239),
+	.A2(n_35123),
+	.B1(n_35463),
+	.Y(brqrv_top_brqrv_dec_tlu_dbg_tlu_halted), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g681726 (
+	.A(n_33842),
+	.B(n_8389),
+	.Y(brqrv_top_brqrv_dec_tlu_n_3813), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g681727 (
+	.A(n_31450),
+	.B(n_8443),
+	.Y(n_33867), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g681728 (
+	.A(n_31450),
+	.B(n_8386),
+	.Y(n_33985), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g681729 (
+	.A1(n_36366),
+	.A2(n_8247),
+	.B1(n_33833),
+	.B2(brqrv_top_brqrv_dec_decode_write_csr_data[29]),
+	.X(brqrv_top_brqrv_dec_dec_csr_wrdata_r[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g681730 (
+	.A1(n_36365),
+	.A2(n_8247),
+	.B1(n_33833),
+	.B2(brqrv_top_brqrv_dec_decode_write_csr_data[28]),
+	.X(brqrv_top_brqrv_dec_dec_csr_wrdata_r[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g681731 (
+	.A(n_8428),
+	.B(n_35028),
+	.Y(brqrv_top_brqrv_dec_dec_csr_wrdata_r[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g681732 (
+	.A(n_8343),
+	.B(n_35456),
+	.Y(brqrv_top_brqrv_dec_dec_csr_wrdata_r[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g681733 (
+	.A(n_34321),
+	.B(n_1853),
+	.Y(n_9037), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g681735 (
+	.A1(n_36339),
+	.A2(n_8247),
+	.B1(brqrv_top_brqrv_dec_decode_write_csr_data[2]),
+	.B2(n_33833),
+	.X(brqrv_top_brqrv_dec_dec_csr_wrdata_r[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g681736 (
+	.A1(n_36340),
+	.A2(n_8247),
+	.B1(brqrv_top_brqrv_dec_decode_write_csr_data[3]),
+	.B2(n_33833),
+	.X(brqrv_top_brqrv_dec_dec_csr_wrdata_r[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g681738 (
+	.A(brqrv_top_brqrv_dec_tlu_pc0_valid_r_BAR),
+	.B(brqrv_top_brqrv_exu_i0_pred_correct_upper_r),
+	.Y(n_1682), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g681739 (
+	.A(n_8392),
+	.B(brqrv_top_brqrv_dec_tlu_dec_tlu_flush_noredir_r_d1),
+	.Y(n_105), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3b_2 g681740 (
+	.A(n_8371),
+	.B(brqrv_top_brqrv_dec_tlu_trigger_hit_dmode_r),
+	.C_N(n_33330),
+	.X(brqrv_top_brqrv_dec_tlu_request_debug_mode_r), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g681741 (
+	.A(n_8145),
+	.B(n_34312),
+	.Y(n_9030), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g681742 (
+	.A(n_35783),
+	.B(n_34312),
+	.Y(n_9029), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_0 g681743 (
+	.A(n_1853),
+	.B(n_1774),
+	.X(n_1590), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_0 g681744 (
+	.A(n_1853),
+	.B(n_8378),
+	.X(n_9027), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g681747 (
+	.A(n_8820),
+	.Y(n_8821), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g681748 (
+	.A(n_8818),
+	.Y(n_8819), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g681750 (
+	.A(n_43247),
+	.Y(n_35297), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681751 (
+	.A1(n_349923_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[924]),
+	.B1(n_349924_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[988]),
+	.Y(n_8815), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681752 (
+	.A1(n_42933),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[146]),
+	.B1(n_42931),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[210]),
+	.Y(n_8814), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681753 (
+	.A1(n_42927),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[306]),
+	.B1(n_8262),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[338]),
+	.Y(n_8813), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681754 (
+	.A1(n_42924),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[274]),
+	.B1(n_42959),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[370]),
+	.Y(n_8812), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681755 (
+	.A1(n_8252),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[434]),
+	.B1(n_8253),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[466]),
+	.Y(n_8811), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681756 (
+	.A1(n_42930),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[402]),
+	.B1(n_42926),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[242]),
+	.Y(n_8810), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g681757 (
+	.A1(brqrv_top_brqrv_lsu_lsu_ld_data_m[18]),
+	.A2(n_8268),
+	.B1(n_8391),
+	.Y(n_8809), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681758 (
+	.A1(n_42960),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[594]),
+	.B1(n_8151),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[626]),
+	.Y(n_8808), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681759 (
+	.A1(n_42932),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[530]),
+	.B1(n_42923),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[562]),
+	.Y(n_8807), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681760 (
+	.A1(n_42958),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[722]),
+	.B1(n_42954),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[498]),
+	.Y(n_8806), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681761 (
+	.A1(n_42921),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[658]),
+	.B1(n_8256),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[690]),
+	.Y(n_8805), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681762 (
+	.A1(n_42955),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[850]),
+	.B1(n_42961),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[882]),
+	.Y(n_8804), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g681763 (
+	.A1(n_8187),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[54]),
+	.B1(n_8304),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[118]),
+	.X(n_8803), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681764 (
+	.A1(n_42922),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[786]),
+	.B1(n_42929),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[818]),
+	.Y(n_8802), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681765 (
+	.A1(n_8260),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[978]),
+	.B1(n_42956),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[754]),
+	.Y(n_8801), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681766 (
+	.A1(n_42928),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[914]),
+	.B1(n_42925),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[946]),
+	.Y(n_8800), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681767 (
+	.A1(n_349931_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[258]),
+	.B1(n_349936_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[450]),
+	.Y(n_8799), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g681768 (
+	.A1(n_8177),
+	.A2(n_36318),
+	.B1(n_8178),
+	.B2(n_36300),
+	.X(n_8798), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681769 (
+	.A1(n_42957),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[1008]),
+	.B1(brqrv_top_brqrv_dec_arf_n_744),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[48]),
+	.Y(n_8797), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681770 (
+	.A1(n_42933),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[144]),
+	.B1(n_42931),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[208]),
+	.Y(n_8796), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681771 (
+	.A1(n_42929),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[816]),
+	.B1(n_42955),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[848]),
+	.Y(n_8795), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681772 (
+	.A1(n_42922),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[784]),
+	.B1(n_42961),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[880]),
+	.Y(n_8794), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g681773 (
+	.A1(n_8176),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[94]),
+	.B1(n_8275),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[126]),
+	.X(n_8793), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681774 (
+	.A1(n_42925),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[944]),
+	.B1(n_8260),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[976]),
+	.Y(n_8792), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g681775 (
+	.A1(n_349938_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[113]),
+	.B1(n_259993_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[49]),
+	.X(n_8791), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681776 (
+	.A1(n_42928),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[912]),
+	.B1(n_42956),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[752]),
+	.Y(n_8790), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681777 (
+	.A1(n_42923),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[560]),
+	.B1(n_42960),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[592]),
+	.Y(n_8789), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681778 (
+	.A1(n_42932),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[528]),
+	.B1(n_8151),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[624]),
+	.Y(n_8788), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681779 (
+	.A1(n_8256),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[688]),
+	.B1(n_42954),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[496]),
+	.Y(n_8787), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681780 (
+	.A1(n_42921),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[656]),
+	.B1(n_42958),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[720]),
+	.Y(n_8786), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681781 (
+	.A1(n_42927),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[304]),
+	.B1(n_42959),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[368]),
+	.Y(n_8785), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681782 (
+	.A1(n_349926_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[785]),
+	.B1(n_349919_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[849]),
+	.Y(n_8784), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681783 (
+	.A1(n_42924),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[272]),
+	.B1(n_8262),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[336]),
+	.Y(n_8783), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681784 (
+	.A1(n_8252),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[432]),
+	.B1(n_8253),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[464]),
+	.Y(n_8782), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681785 (
+	.A1(n_42930),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[400]),
+	.B1(n_42926),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[240]),
+	.Y(n_8781), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681786 (
+	.A1(n_349923_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[913]),
+	.B1(n_349924_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[977]),
+	.Y(n_8780), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681787 (
+	.A1(n_349918_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[817]),
+	.B1(n_349925_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[945]),
+	.Y(n_8779), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681788 (
+	.A1(n_349921_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[881]),
+	.B1(n_349920_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[753]),
+	.Y(n_8778), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681789 (
+	.A1(n_42957),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[1007]),
+	.B1(brqrv_top_brqrv_dec_arf_n_744),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[47]),
+	.Y(n_8777), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681790 (
+	.A1(n_42933),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[143]),
+	.B1(n_42931),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[207]),
+	.Y(n_8776), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681791 (
+	.A1(n_8262),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[335]),
+	.B1(n_42959),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[367]),
+	.Y(n_8775), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681792 (
+	.A1(n_8252),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[431]),
+	.B1(n_42926),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[239]),
+	.Y(n_8774), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681793 (
+	.A1(n_42924),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[271]),
+	.B1(n_42927),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[303]),
+	.Y(n_8773), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681794 (
+	.A1(n_42930),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[399]),
+	.B1(n_8253),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[463]),
+	.Y(n_8772), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681795 (
+	.A1(n_42960),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[591]),
+	.B1(n_8151),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[623]),
+	.Y(n_8771), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681796 (
+	.A1(n_42932),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[527]),
+	.B1(n_42923),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[559]),
+	.Y(n_8770), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681797 (
+	.A1(n_349931_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[273]),
+	.B1(n_349936_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[465]),
+	.Y(n_8769), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681798 (
+	.A1(n_42958),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[719]),
+	.B1(n_42954),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[495]),
+	.Y(n_8768), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681799 (
+	.A1(n_42921),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[655]),
+	.B1(n_8256),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[687]),
+	.Y(n_8767), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681800 (
+	.A1(n_42955),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[847]),
+	.B1(n_42961),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[879]),
+	.Y(n_8766), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681801 (
+	.A1(n_42922),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[783]),
+	.B1(n_42929),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[815]),
+	.Y(n_8765), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681802 (
+	.A1(n_8260),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[975]),
+	.B1(n_42956),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[751]),
+	.Y(n_8764), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681803 (
+	.A1(n_42928),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[911]),
+	.B1(n_42925),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[943]),
+	.Y(n_8763), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g681804 (
+	.A1(n_349912_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[485]),
+	.B1(n_349915_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[613]),
+	.X(n_8762), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681806 (
+	.A1(n_349903_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[721]),
+	.B1(n_349909_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[593]),
+	.Y(n_8761), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681807 (
+	.A1(n_8187),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[48]),
+	.B1(n_8304),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[112]),
+	.Y(n_8760), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681808 (
+	.A1(n_349914_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[689]),
+	.B1(n_349908_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[561]),
+	.Y(n_8759), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g681809 (
+	.A1(brqrv_top_brqrv_dec_tlu_mfdc_int[14]),
+	.A2(brqrv_top_brqrv_dma_ctrl_dma_nack_count[1]),
+	.B1(brqrv_top_brqrv_dec_tlu_mfdc_int[13]),
+	.C1(brqrv_top_brqrv_dma_ctrl_dma_nack_count[0]),
+	.Y(n_8758), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681810 (
+	.A1(n_42957),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[1004]),
+	.B1(brqrv_top_brqrv_dec_arf_n_744),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[44]),
+	.Y(n_8757), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681811 (
+	.A1(n_42933),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[140]),
+	.B1(n_42931),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[204]),
+	.Y(n_8756), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g681812 (
+	.A1(n_349912_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[497]),
+	.B1(n_349915_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[625]),
+	.X(n_8755), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681813 (
+	.A1(n_42929),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[812]),
+	.B1(n_42955),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[844]),
+	.Y(n_8754), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681814 (
+	.A1(n_42922),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[780]),
+	.B1(n_42961),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[876]),
+	.Y(n_8753), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681815 (
+	.A1(n_42925),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[940]),
+	.B1(n_42956),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[748]),
+	.Y(n_8752), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681816 (
+	.A1(n_42928),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[908]),
+	.B1(n_8260),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[972]),
+	.Y(n_8751), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681817 (
+	.A1(n_42960),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[588]),
+	.B1(n_8151),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[620]),
+	.Y(n_8750), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681818 (
+	.A1(n_42932),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[524]),
+	.B1(n_42923),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[556]),
+	.Y(n_8749), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681819 (
+	.A1(n_42958),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[716]),
+	.B1(n_42954),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[492]),
+	.Y(n_8748), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681820 (
+	.A1(n_42921),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[652]),
+	.B1(n_8256),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[684]),
+	.Y(n_8747), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681821 (
+	.A1(n_8262),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[332]),
+	.B1(n_42959),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[364]),
+	.Y(n_8746), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681822 (
+	.A1(n_42924),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[268]),
+	.B1(n_42927),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[300]),
+	.Y(n_8745), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681823 (
+	.A1(n_8253),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[460]),
+	.B1(n_42926),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[236]),
+	.Y(n_8744), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681824 (
+	.A1(n_42930),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[396]),
+	.B1(n_8252),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[428]),
+	.Y(n_8743), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g681825 (
+	.A1(brqrv_top_brqrv_lsu_lsu_ld_data_m[16]),
+	.A2(n_8268),
+	.B1(n_8410),
+	.Y(n_8742), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681826 (
+	.A1(n_42932),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[523]),
+	.B1(n_42923),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[555]),
+	.Y(n_8741), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g681827 (
+	.A1(n_349938_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[112]),
+	.B1(n_259993_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[48]),
+	.X(n_8740), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681828 (
+	.A1(n_42958),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[715]),
+	.B1(n_42954),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[491]),
+	.Y(n_8739), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681829 (
+	.A1(n_42921),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[651]),
+	.B1(n_8256),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[683]),
+	.Y(n_8738), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681830 (
+	.A1(n_42933),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[139]),
+	.B1(n_42931),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[203]),
+	.Y(n_8737), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681831 (
+	.A1(n_42960),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[587]),
+	.B1(n_8151),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[619]),
+	.Y(n_8736), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681832 (
+	.A1(n_42957),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[1003]),
+	.B1(brqrv_top_brqrv_dec_arf_n_744),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[43]),
+	.Y(n_8735), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681833 (
+	.A1(n_349918_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[816]),
+	.B1(n_349925_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[944]),
+	.Y(n_8734), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681834 (
+	.A1(n_42929),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[811]),
+	.B1(n_42961),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[875]),
+	.Y(n_8733), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681835 (
+	.A1(n_42922),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[779]),
+	.B1(n_42955),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[843]),
+	.Y(n_8732), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681836 (
+	.A1(n_349923_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[912]),
+	.B1(n_349924_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[976]),
+	.Y(n_8731), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681837 (
+	.A1(n_8280),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[117]),
+	.B1(n_8276),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[53]),
+	.Y(n_8730), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681838 (
+	.A1(n_8260),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[971]),
+	.B1(n_42956),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[747]),
+	.Y(n_8729), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681839 (
+	.A1(n_42928),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[907]),
+	.B1(n_42925),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[939]),
+	.Y(n_8728), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681840 (
+	.A1(n_349926_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[784]),
+	.B1(n_349919_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[848]),
+	.Y(n_8727), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681841 (
+	.A1(n_42927),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[299]),
+	.B1(n_42959),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[363]),
+	.Y(n_8726), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681842 (
+	.A1(n_42924),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[267]),
+	.B1(n_8262),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[331]),
+	.Y(n_8725), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681843 (
+	.A1(n_8252),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[427]),
+	.B1(n_8253),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[459]),
+	.Y(n_8724), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681844 (
+	.A1(n_42930),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[395]),
+	.B1(n_42926),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[235]),
+	.Y(n_8723), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681845 (
+	.A1(n_349921_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[880]),
+	.B1(n_349920_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[752]),
+	.Y(n_8722), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681846 (
+	.A1(n_8278),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[21]),
+	.B1(n_8281),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[85]),
+	.Y(n_8721), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681847 (
+	.A1(n_29552),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[197]),
+	.B1(n_1669),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[133]),
+	.Y(n_8720), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681848 (
+	.A1(n_349929_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[240]),
+	.B1(n_349934_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[400]),
+	.Y(n_8719), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681849 (
+	.A1(n_349931_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[272]),
+	.B1(n_349936_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[464]),
+	.Y(n_8718), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681850 (
+	.A1(n_349931_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[279]),
+	.B1(n_349934_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[407]),
+	.Y(n_8717), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681851 (
+	.A1(n_349932_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[304]),
+	.B1(n_349933_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[336]),
+	.Y(n_8716), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681852 (
+	.A1(n_349937_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[368]),
+	.B1(n_349935_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[432]),
+	.Y(n_8715), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681853 (
+	.A1(n_42957),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[1000]),
+	.B1(brqrv_top_brqrv_dec_arf_n_744),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[40]),
+	.Y(n_8714), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681854 (
+	.A1(n_42933),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[136]),
+	.B1(n_42931),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[200]),
+	.Y(n_8713), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681855 (
+	.A1(n_42929),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[808]),
+	.B1(n_42961),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[872]),
+	.Y(n_8712), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681856 (
+	.A1(n_42922),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[776]),
+	.B1(n_42955),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[840]),
+	.Y(n_8711), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g681857 (
+	.A1(n_349903_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[720]),
+	.B1(n_349909_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[592]),
+	.X(n_8710), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681858 (
+	.A1(n_42925),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[936]),
+	.B1(n_42956),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[744]),
+	.Y(n_8709), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681859 (
+	.A1(n_42928),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[904]),
+	.B1(n_8260),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[968]),
+	.Y(n_8708), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681860 (
+	.A1(n_42960),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[584]),
+	.B1(n_8151),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[616]),
+	.Y(n_8707), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681861 (
+	.A1(n_42932),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[520]),
+	.B1(n_42923),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[552]),
+	.Y(n_8706), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681862 (
+	.A1(n_349914_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[688]),
+	.B1(n_349908_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[560]),
+	.Y(n_8705), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681863 (
+	.A1(n_42958),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[712]),
+	.B1(n_42954),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[488]),
+	.Y(n_8704), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681864 (
+	.A1(n_42921),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[648]),
+	.B1(n_8256),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[680]),
+	.Y(n_8703), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681865 (
+	.A1(n_349912_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[496]),
+	.B1(n_349915_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[624]),
+	.Y(n_8702), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681866 (
+	.A1(n_42927),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[296]),
+	.B1(n_42959),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[360]),
+	.Y(n_8701), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681867 (
+	.A1(n_42924),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[264]),
+	.B1(n_8262),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[328]),
+	.Y(n_8700), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681868 (
+	.A1(n_8252),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[424]),
+	.B1(n_8253),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[456]),
+	.Y(n_8699), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681869 (
+	.A1(n_42930),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[392]),
+	.B1(n_42926),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[232]),
+	.Y(n_8698), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g681870 (
+	.A1(n_8187),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[53]),
+	.B1(n_8304),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[117]),
+	.X(n_8697), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g681871 (
+	.A1(n_8190),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[85]),
+	.B1(n_8303),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[21]),
+	.X(n_8696), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g681872 (
+	.A(brqrv_top_brqrv_dec_dec_i0_waddr_r[3]),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[23]),
+	.X(n_8695), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681873 (
+	.A1(n_42957),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[998]),
+	.B1(brqrv_top_brqrv_dec_arf_n_744),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[38]),
+	.Y(n_8694), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681874 (
+	.A1(n_42933),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[134]),
+	.B1(n_42931),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[198]),
+	.Y(n_8693), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681875 (
+	.A1(n_42929),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[806]),
+	.B1(n_42961),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[870]),
+	.Y(n_8692), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681876 (
+	.A1(n_42922),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[774]),
+	.B1(n_42955),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[838]),
+	.Y(n_8691), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681877 (
+	.A1(n_8260),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[966]),
+	.B1(n_42956),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[742]),
+	.Y(n_8690), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681878 (
+	.A1(n_42928),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[902]),
+	.B1(n_42925),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[934]),
+	.Y(n_8689), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g681879 (
+	.A(brqrv_top_brqrv_dec_decode_x_d[7]),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[23]),
+	.X(n_8688), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681880 (
+	.A1(n_42923),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[550]),
+	.B1(n_42960),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[582]),
+	.Y(n_8687), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681881 (
+	.A1(n_42932),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[518]),
+	.B1(n_8151),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[614]),
+	.Y(n_8686), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681882 (
+	.A1(n_42958),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[710]),
+	.B1(n_42954),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[486]),
+	.Y(n_8685), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681883 (
+	.A1(n_42921),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[646]),
+	.B1(n_8256),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[678]),
+	.Y(n_8684), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681884 (
+	.A1(n_42927),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[294]),
+	.B1(n_8262),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[326]),
+	.Y(n_8683), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g681885 (
+	.A1(n_349938_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[111]),
+	.B1(n_259993_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[47]),
+	.X(n_8682), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681886 (
+	.A1(n_42924),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[262]),
+	.B1(n_42959),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[358]),
+	.Y(n_8681), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681887 (
+	.A1(n_8252),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[422]),
+	.B1(n_42926),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[230]),
+	.Y(n_8680), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681888 (
+	.A1(n_42930),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[390]),
+	.B1(n_8253),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[454]),
+	.Y(n_8679), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681889 (
+	.A1(n_29552),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[202]),
+	.B1(n_1669),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[138]),
+	.Y(n_8678), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681890 (
+	.A1(n_349926_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[783]),
+	.B1(n_349919_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[847]),
+	.Y(n_8677), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681891 (
+	.A1(n_42930),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[389]),
+	.B1(n_42931),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[197]),
+	.Y(n_8676), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681892 (
+	.A1(n_349923_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[911]),
+	.B1(n_349924_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[975]),
+	.Y(n_8675), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681893 (
+	.A1(n_8252),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[421]),
+	.B1(n_8253),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[453]),
+	.Y(n_8674), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681894 (
+	.A1(n_42924),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[261]),
+	.B1(n_8262),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[325]),
+	.Y(n_8673), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681895 (
+	.A1(n_42926),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[229]),
+	.B1(n_42933),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[133]),
+	.Y(n_8672), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681896 (
+	.A1(n_42927),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[293]),
+	.B1(n_42959),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[357]),
+	.Y(n_8671), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681897 (
+	.A1(n_349918_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[815]),
+	.B1(n_349925_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[943]),
+	.Y(n_8670), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681898 (
+	.A1(n_42957),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[997]),
+	.B1(n_8160),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[101]),
+	.Y(n_8669), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681899 (
+	.A1(n_349921_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[879]),
+	.B1(n_349920_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[751]),
+	.Y(n_8668), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681900 (
+	.A1(n_42923),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[549]),
+	.B1(n_42960),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[581]),
+	.Y(n_8667), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681901 (
+	.A1(n_42932),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[517]),
+	.B1(n_8151),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[613]),
+	.Y(n_8666), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681902 (
+	.A1(n_42958),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[709]),
+	.B1(n_42954),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[485]),
+	.Y(n_8665), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681903 (
+	.A1(n_42921),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[645]),
+	.B1(n_8256),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[677]),
+	.Y(n_8664), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681904 (
+	.A1(n_349931_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[271]),
+	.B1(n_349932_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[303]),
+	.Y(n_8663), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681905 (
+	.A1(n_42929),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[805]),
+	.B1(n_42961),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[869]),
+	.Y(n_8662), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681906 (
+	.A1(n_42922),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[773]),
+	.B1(n_42955),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[837]),
+	.Y(n_8661), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681907 (
+	.A1(n_349929_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[239]),
+	.B1(n_349936_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[463]),
+	.Y(n_8660), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681908 (
+	.A1(n_42928),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[901]),
+	.B1(n_8260),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[965]),
+	.Y(n_8659), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681909 (
+	.A1(n_349932_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[293]),
+	.B1(n_349933_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[325]),
+	.Y(n_8658), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681910 (
+	.A1(n_8280),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[116]),
+	.B1(n_8276),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[52]),
+	.Y(n_8657), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681911 (
+	.A1(n_349934_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[399]),
+	.B1(n_349933_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[335]),
+	.Y(n_8656), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681912 (
+	.A1(n_349937_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[367]),
+	.B1(n_349935_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[431]),
+	.Y(n_8655), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681913 (
+	.A1(n_42957),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[995]),
+	.B1(brqrv_top_brqrv_dec_arf_n_744),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[35]),
+	.Y(n_8654), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681914 (
+	.A1(n_42933),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[131]),
+	.B1(n_42931),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[195]),
+	.Y(n_8653), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681915 (
+	.A1(n_349903_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[719]),
+	.B1(n_349909_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[591]),
+	.Y(n_8652), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681916 (
+	.A1(n_8252),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[419]),
+	.B1(n_8253),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[451]),
+	.Y(n_8651), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681917 (
+	.A1(n_42930),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[387]),
+	.B1(n_42926),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[227]),
+	.Y(n_8650), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681918 (
+	.A1(n_349906_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[527]),
+	.B1(n_349913_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[655]),
+	.Y(n_8649), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681919 (
+	.A1(n_42923),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[547]),
+	.B1(n_42960),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[579]),
+	.Y(n_8648), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681920 (
+	.A1(n_42932),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[515]),
+	.B1(n_8151),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[611]),
+	.Y(n_8647), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681921 (
+	.A1(n_42958),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[707]),
+	.B1(n_42954),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[483]),
+	.Y(n_8646), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681922 (
+	.A1(n_42929),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[803]),
+	.B1(n_42955),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[835]),
+	.Y(n_8645), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681923 (
+	.A1(n_42922),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[771]),
+	.B1(n_42961),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[867]),
+	.Y(n_8644), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g681924 (
+	.A1(n_349912_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[495]),
+	.B1(n_349915_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[623]),
+	.X(n_8643), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681925 (
+	.A1(n_8260),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[963]),
+	.B1(n_42956),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[739]),
+	.Y(n_8642), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681926 (
+	.A1(n_42928),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[899]),
+	.B1(n_42925),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[931]),
+	.Y(n_8641), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g681927 (
+	.A1(n_349912_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[482]),
+	.B1(n_349915_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[610]),
+	.X(n_8640), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681928 (
+	.A1(n_29552),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[241]),
+	.B1(n_1669),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[177]),
+	.Y(n_8639), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681929 (
+	.A1(n_29552),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[248]),
+	.B1(n_1669),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[184]),
+	.Y(n_8638), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g681930 (
+	.A1(brqrv_top_brqrv_dma_ctrl_dma_nack_count[1]),
+	.A2(brqrv_top_brqrv_dec_tlu_mfdc_int[14]),
+	.B1(brqrv_top_brqrv_dma_ctrl_dma_nack_count[2]),
+	.B2(brqrv_top_brqrv_dec_tlu_mfdc_int[15]),
+	.Y(n_8637), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g681931 (
+	.A1(n_36187),
+	.A2(FE_DBTN12_n_35713),
+	.B1(n_36167),
+	.B2(n_28975),
+	.X(n_8636), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g681932 (
+	.A1_N(n_8226),
+	.A2_N(n_34037),
+	.B1(n_36305),
+	.B2(n_8199),
+	.Y(n_8635), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g681933 (
+	.A1(n_349939_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[92]),
+	.B1(n_259993_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[60]),
+	.X(n_8634), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g681934 (
+	.A1_N(n_8176),
+	.A2_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[78]),
+	.B1(n_34809),
+	.B2(n_8325),
+	.Y(n_8633), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681935 (
+	.A1(n_29552),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[221]),
+	.B1(n_1669),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[157]),
+	.Y(n_8632), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681936 (
+	.A1(n_349918_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[823]),
+	.B1(n_349925_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[951]),
+	.Y(n_8631), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681937 (
+	.A1(n_349918_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[802]),
+	.B1(n_349925_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[930]),
+	.Y(n_8630), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681938 (
+	.A1(n_349926_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[796]),
+	.B1(n_349919_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[860]),
+	.Y(n_8629), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681939 (
+	.A1(n_42957),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[1010]),
+	.B1(brqrv_top_brqrv_dec_arf_n_744),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[50]),
+	.Y(n_8628), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g681940 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[45]),
+	.A2(n_8273),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[109]),
+	.B2(n_8275),
+	.X(n_8627), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681941 (
+	.A1(n_349931_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[259]),
+	.B1(n_349932_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[291]),
+	.Y(n_8626), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681942 (
+	.A1(n_349918_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[828]),
+	.B1(n_349925_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[956]),
+	.Y(n_8625), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681943 (
+	.A1(n_349903_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[706]),
+	.B1(n_349909_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[578]),
+	.Y(n_8624), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681944 (
+	.A1(n_349921_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[892]),
+	.B1(n_349920_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[764]),
+	.Y(n_8623), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g681945 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[76]),
+	.A2(n_8176),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[108]),
+	.B2(n_8275),
+	.X(n_8622), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681946 (
+	.A1(n_349921_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[887]),
+	.B1(n_349920_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[759]),
+	.Y(n_8621), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681947 (
+	.A1(n_349938_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[108]),
+	.B1(n_259993_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[44]),
+	.Y(n_8620), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681948 (
+	.A1(n_1671),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[119]),
+	.B1(n_1677),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_1274),
+	.Y(n_8619), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681949 (
+	.A1(n_349940_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[140]),
+	.B1(n_349941_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[172]),
+	.Y(n_8618), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681950 (
+	.A1(n_349934_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[412]),
+	.B1(n_349933_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[348]),
+	.Y(n_8617), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681951 (
+	.A1(n_29552),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[223]),
+	.B1(n_1669),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[159]),
+	.Y(n_8616), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681952 (
+	.A1(n_349929_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[236]),
+	.B1(n_349936_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[460]),
+	.Y(n_8615), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681953 (
+	.A1(n_29552),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[231]),
+	.B1(n_1669),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[167]),
+	.Y(n_8614), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681954 (
+	.A1(n_349931_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[284]),
+	.B1(n_349932_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[316]),
+	.Y(n_8613), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681955 (
+	.A1(n_349931_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[268]),
+	.B1(n_349932_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[300]),
+	.Y(n_8612), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681956 (
+	.A1(n_29552),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[255]),
+	.B1(n_1669),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[191]),
+	.Y(n_8611), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681957 (
+	.A1(n_349937_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[364]),
+	.B1(n_349935_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[428]),
+	.Y(n_8610), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681958 (
+	.A1(n_29552),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[239]),
+	.B1(n_1669),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[175]),
+	.Y(n_8609), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681959 (
+	.A1(n_349937_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[380]),
+	.B1(n_349935_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[444]),
+	.Y(n_8608), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681960 (
+	.A1(n_349926_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[780]),
+	.B1(n_349919_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[844]),
+	.Y(n_8607), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681961 (
+	.A1(n_349923_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[908]),
+	.B1(n_349924_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[972]),
+	.Y(n_8606), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681962 (
+	.A1(n_1671),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[118]),
+	.B1(n_1677),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_1269),
+	.Y(n_8605), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681963 (
+	.A1(n_349921_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[876]),
+	.B1(n_349920_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[748]),
+	.Y(n_8604), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681964 (
+	.A1(n_42924),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[259]),
+	.B1(n_42927),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[291]),
+	.Y(n_8603), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681965 (
+	.A1(n_349906_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[524]),
+	.B1(n_349913_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[652]),
+	.Y(n_8602), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681966 (
+	.A1(n_29552),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[254]),
+	.B1(n_1669),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[190]),
+	.Y(n_8601), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681967 (
+	.A1(n_349914_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[684]),
+	.B1(n_349908_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[556]),
+	.Y(n_8600), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681968 (
+	.A1(n_29552),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[238]),
+	.B1(n_1669),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[174]),
+	.Y(n_8599), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681969 (
+	.A1(n_8262),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[323]),
+	.B1(n_42959),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[355]),
+	.Y(n_8598), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681970 (
+	.A1(n_349912_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[492]),
+	.B1(n_349915_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[620]),
+	.Y(n_8597), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g681971 (
+	.A1(n_349914_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[700]),
+	.B1(n_349908_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[572]),
+	.X(n_8596), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681972 (
+	.A1(n_29552),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[222]),
+	.B1(n_1669),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[158]),
+	.Y(n_8595), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681973 (
+	.A1(n_8280),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[113]),
+	.B1(n_8276),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[49]),
+	.Y(n_8594), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681974 (
+	.A1(n_29552),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[245]),
+	.B1(n_1669),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[181]),
+	.Y(n_8593), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681975 (
+	.A1(n_29552),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[237]),
+	.B1(n_1669),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[173]),
+	.Y(n_8592), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681976 (
+	.A1(n_29552),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[253]),
+	.B1(n_1669),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[189]),
+	.Y(n_8591), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681977 (
+	.A1(n_349903_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[732]),
+	.B1(n_349909_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[604]),
+	.Y(n_8590), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681978 (
+	.A1(n_29552),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[229]),
+	.B1(n_1669),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[165]),
+	.Y(n_8589), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681979 (
+	.A1(n_349912_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[508]),
+	.B1(n_349915_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[636]),
+	.Y(n_8588), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681980 (
+	.A1(n_29552),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[244]),
+	.B1(n_1669),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[180]),
+	.Y(n_8587), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g681981 (
+	.A1(n_349912_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[486]),
+	.B1(n_349915_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[614]),
+	.X(n_8586), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681982 (
+	.A1(n_29552),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[236]),
+	.B1(n_1669),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[172]),
+	.Y(n_8585), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681983 (
+	.A1(n_42921),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[643]),
+	.B1(n_8256),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[675]),
+	.Y(n_8584), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681984 (
+	.A1(n_29552),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[228]),
+	.B1(n_1669),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[164]),
+	.Y(n_8583), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681985 (
+	.A1(n_1677),
+	.A2(brqrv_top_brqrv_dma_ctrl_n_1299),
+	.B1(n_1887),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[316]),
+	.Y(n_8582), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681986 (
+	.A1(n_29552),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[220]),
+	.B1(n_1669),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[156]),
+	.Y(n_8581), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681987 (
+	.A1(n_349938_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[107]),
+	.B1(n_259993_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[43]),
+	.Y(n_8580), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681988 (
+	.A1(n_349940_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[139]),
+	.B1(n_349941_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[171]),
+	.Y(n_8579), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681989 (
+	.A1(n_349929_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[229]),
+	.B1(n_349934_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[389]),
+	.Y(n_8578), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681990 (
+	.A1(n_29552),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[251]),
+	.B1(n_1669),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[187]),
+	.Y(n_8577), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681991 (
+	.A1(n_349929_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[235]),
+	.B1(n_349934_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[395]),
+	.Y(n_8576), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681992 (
+	.A1(n_29552),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[219]),
+	.B1(n_1669),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[155]),
+	.Y(n_8575), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681993 (
+	.A1(n_349936_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[459]),
+	.B1(n_349933_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[331]),
+	.Y(n_8574), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681994 (
+	.A1(n_29552),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[227]),
+	.B1(n_1669),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[163]),
+	.Y(n_8573), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681995 (
+	.A1(n_349937_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[363]),
+	.B1(n_349935_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[427]),
+	.Y(n_8572), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681996 (
+	.A1(n_29552),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[243]),
+	.B1(n_1669),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[179]),
+	.Y(n_8571), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681997 (
+	.A1(n_8278),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[20]),
+	.B1(n_8281),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[84]),
+	.Y(n_8570), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681998 (
+	.A1(n_349918_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[811]),
+	.B1(n_349925_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[939]),
+	.Y(n_8569), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g681999 (
+	.A1(n_29552),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[235]),
+	.B1(n_1669),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[171]),
+	.Y(n_8568), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682000 (
+	.A1(n_349926_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[779]),
+	.B1(n_349919_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[843]),
+	.Y(n_8567), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682001 (
+	.A1(n_8280),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[115]),
+	.B1(n_8276),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[51]),
+	.Y(n_8566), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682002 (
+	.A1(n_349923_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[907]),
+	.B1(n_349924_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[971]),
+	.Y(n_8565), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682003 (
+	.A1(n_8278),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[19]),
+	.B1(n_8281),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[83]),
+	.Y(n_8564), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682004 (
+	.A1(n_29552),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[226]),
+	.B1(n_1669),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[162]),
+	.Y(n_8563), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682005 (
+	.A1(n_349906_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[523]),
+	.B1(n_349913_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[651]),
+	.Y(n_8562), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682006 (
+	.A1(n_29552),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[242]),
+	.B1(n_1669),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[178]),
+	.Y(n_8561), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682007 (
+	.A1(n_349914_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[683]),
+	.B1(n_349908_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[555]),
+	.Y(n_8560), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682008 (
+	.A1(n_349912_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[491]),
+	.B1(n_349915_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[619]),
+	.Y(n_8559), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682009 (
+	.A1(n_29552),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[234]),
+	.B1(n_1669),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[170]),
+	.Y(n_8558), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682010 (
+	.A1(n_29552),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[218]),
+	.B1(n_1669),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[154]),
+	.Y(n_8557), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682011 (
+	.A1(n_29552),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[249]),
+	.B1(n_1669),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[185]),
+	.Y(n_8556), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g682012 (
+	.A1(n_349939_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[91]),
+	.B1(n_259993_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[59]),
+	.X(n_8555), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g682013 (
+	.A1(n_8180),
+	.A2(n_36289),
+	.B1(n_8284),
+	.B2(n_36319),
+	.X(n_8554), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682014 (
+	.A1(n_29552),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[225]),
+	.B1(n_1669),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[161]),
+	.Y(n_8553), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682015 (
+	.A1(n_349926_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[795]),
+	.B1(n_349919_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[859]),
+	.Y(n_8552), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682016 (
+	.A1(n_33885),
+	.A2(brqrv_top_brqrv_lsu_lsu_pkt_m[9]),
+	.B1(brqrv_top_brqrv_lsu_lsu_addr_m[0]),
+	.B2(brqrv_top_brqrv_lsu_lsu_pkt_m[10]),
+	.Y(n_8551), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682017 (
+	.A1(n_29552),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[217]),
+	.B1(n_1669),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[153]),
+	.Y(n_8550), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682018 (
+	.A1(n_349923_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[923]),
+	.B1(n_349925_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[955]),
+	.Y(n_8549), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682019 (
+	.A1(n_29552),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[224]),
+	.B1(n_1669),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[160]),
+	.Y(n_8548), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g682020 (
+	.A1(n_8176),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[73]),
+	.B1(n_8275),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[105]),
+	.X(n_8547), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682021 (
+	.A1(n_1671),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[88]),
+	.B1(n_1677),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_1119),
+	.Y(n_8546), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682022 (
+	.A1(n_349918_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[827]),
+	.B1(n_349924_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[987]),
+	.Y(n_8545), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682023 (
+	.A1(n_29552),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[240]),
+	.B1(n_1669),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[176]),
+	.Y(n_8544), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682024 (
+	.A1(n_349923_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[919]),
+	.B1(n_349924_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[983]),
+	.Y(n_8543), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682025 (
+	.A1(n_349921_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[891]),
+	.B1(n_349920_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[763]),
+	.Y(n_8542), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682026 (
+	.A1(n_349921_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[875]),
+	.B1(n_349920_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[747]),
+	.Y(n_8541), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682027 (
+	.A1(n_29552),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[232]),
+	.B1(n_1669),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[168]),
+	.Y(n_8540), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682028 (
+	.A1(n_349931_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[283]),
+	.B1(n_349936_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[475]),
+	.Y(n_8539), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g682029 (
+	.A1(n_8176),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[72]),
+	.B1(n_8275),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[104]),
+	.X(n_8538), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682030 (
+	.A1(n_349929_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[251]),
+	.B1(n_349934_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[411]),
+	.Y(n_8537), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682031 (
+	.A1(n_29552),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[215]),
+	.B1(n_1669),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[151]),
+	.Y(n_8536), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682032 (
+	.A1(n_29552),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[250]),
+	.B1(n_1669),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[186]),
+	.Y(n_8535), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682033 (
+	.A1(n_349932_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[315]),
+	.B1(n_349933_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[347]),
+	.Y(n_8534), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682034 (
+	.A1(n_29552),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[214]),
+	.B1(n_1669),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[150]),
+	.Y(n_8533), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682035 (
+	.A1(n_349937_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[379]),
+	.B1(n_349935_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[443]),
+	.Y(n_8532), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682036 (
+	.A1(n_29552),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[213]),
+	.B1(n_1669),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[149]),
+	.Y(n_8531), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682037 (
+	.A1(n_349903_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[731]),
+	.B1(n_349909_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[603]),
+	.Y(n_8530), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g682038 (
+	.A1(n_349938_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[102]),
+	.B1(n_259993_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[38]),
+	.X(n_8529), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682039 (
+	.A1(n_349906_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[539]),
+	.B1(n_349913_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[667]),
+	.Y(n_8528), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682040 (
+	.A1(n_29552),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[211]),
+	.B1(n_1669),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[147]),
+	.Y(n_8527), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682041 (
+	.A1(n_349926_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[774]),
+	.B1(n_349919_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[838]),
+	.Y(n_8526), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682042 (
+	.A1(n_349923_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[902]),
+	.B1(n_349924_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[966]),
+	.Y(n_8525), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682043 (
+	.A1(n_349918_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[806]),
+	.B1(n_349925_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[934]),
+	.Y(n_8524), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682044 (
+	.A1(n_349918_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[812]),
+	.B1(n_349925_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[940]),
+	.Y(n_8523), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682045 (
+	.A1(n_349921_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[870]),
+	.B1(n_349920_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[742]),
+	.Y(n_8522), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682046 (
+	.A1(n_29552),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[210]),
+	.B1(n_1669),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[146]),
+	.Y(n_8521), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g682047 (
+	.A1(n_349912_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[507]),
+	.B1(n_349915_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[635]),
+	.X(n_8520), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682048 (
+	.A1(n_349931_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[262]),
+	.B1(n_349932_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[294]),
+	.Y(n_8519), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682049 (
+	.A1(n_349929_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[230]),
+	.B1(n_349936_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[454]),
+	.Y(n_8518), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682050 (
+	.A1(n_349934_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[390]),
+	.B1(n_349933_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[326]),
+	.Y(n_8517), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682051 (
+	.A1(n_349937_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[358]),
+	.B1(n_349935_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[422]),
+	.Y(n_8516), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682052 (
+	.A1(n_29552),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[208]),
+	.B1(n_1669),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[144]),
+	.Y(n_8515), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682053 (
+	.A1(n_29552),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[207]),
+	.B1(n_1669),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[143]),
+	.Y(n_8514), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g682054 (
+	.A1(n_349938_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[98]),
+	.B1(n_259993_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[34]),
+	.X(n_8513), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g682055 (
+	.A1_N(brqrv_top_brqrv_dec_dec_nonblock_load_waddr[1]),
+	.A2_N(n_8272),
+	.B1(brqrv_top_brqrv_dec_dec_nonblock_load_waddr[1]),
+	.B2(n_8272),
+	.Y(n_8512), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682056 (
+	.A1(n_349903_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[716]),
+	.B1(n_349909_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[588]),
+	.Y(n_8511), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682057 (
+	.A1(n_29552),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[206]),
+	.B1(n_1669),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[142]),
+	.Y(n_8510), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g682058 (
+	.A(brqrv_top_brqrv_dec_dec_nonblock_load_waddr[4]),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[24]),
+	.X(n_8509), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g682059 (
+	.A1(n_8190),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[80]),
+	.B1(n_8303),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[16]),
+	.X(n_8508), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682060 (
+	.A1(n_29552),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[205]),
+	.B1(n_1669),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[141]),
+	.Y(n_8507), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682061 (
+	.A1(n_349929_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[252]),
+	.B1(n_349936_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[476]),
+	.Y(n_8506), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682062 (
+	.A1(n_8280),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[114]),
+	.B1(n_8276),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[50]),
+	.Y(n_8505), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682063 (
+	.A1(n_29552),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[230]),
+	.B1(n_1669),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[166]),
+	.Y(n_8504), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682064 (
+	.A1(n_29552),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[201]),
+	.B1(n_1669),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[137]),
+	.Y(n_8503), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g682065 (
+	.A1(n_349939_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[90]),
+	.B1(n_259993_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[58]),
+	.X(n_8502), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682066 (
+	.A1(n_349923_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[901]),
+	.B1(n_349924_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[965]),
+	.Y(n_8501), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682067 (
+	.A1(n_29552),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[200]),
+	.B1(n_1669),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[136]),
+	.Y(n_8500), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682068 (
+	.A1(n_349918_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[805]),
+	.B1(n_349925_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[933]),
+	.Y(n_8499), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682069 (
+	.A1(n_349921_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[869]),
+	.B1(n_349920_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[741]),
+	.Y(n_8498), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682070 (
+	.A1(n_29552),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[198]),
+	.B1(n_1669),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[134]),
+	.Y(n_8497), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682071 (
+	.A1(n_349926_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[794]),
+	.B1(n_349919_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[858]),
+	.Y(n_8496), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682072 (
+	.A1(n_349931_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[261]),
+	.B1(n_349936_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[453]),
+	.Y(n_8495), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682073 (
+	.A1(n_349923_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[922]),
+	.B1(n_349924_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[986]),
+	.Y(n_8494), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682074 (
+	.A1(n_349937_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[357]),
+	.B1(n_349935_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[421]),
+	.Y(n_8493), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682075 (
+	.A1(n_349918_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[826]),
+	.B1(n_349925_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[954]),
+	.Y(n_8492), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682076 (
+	.A1(n_29552),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[194]),
+	.B1(n_1669),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[130]),
+	.Y(n_8491), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682077 (
+	.A1(n_349903_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[709]),
+	.B1(n_349909_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[581]),
+	.Y(n_8490), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682078 (
+	.A1(n_349921_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[890]),
+	.B1(n_349920_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[762]),
+	.Y(n_8489), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682079 (
+	.A1(n_349906_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[517]),
+	.B1(n_349913_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[645]),
+	.Y(n_8488), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682080 (
+	.A1(n_29552),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[196]),
+	.B1(n_1669),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[132]),
+	.Y(n_8487), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682081 (
+	.A1(n_349931_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[282]),
+	.B1(n_349936_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[474]),
+	.Y(n_8486), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682082 (
+	.A1(n_349931_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[267]),
+	.B1(n_349932_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[299]),
+	.Y(n_8485), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682083 (
+	.A1(n_1671),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[67]),
+	.B1(n_1677),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_1014),
+	.Y(n_8484), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682084 (
+	.A1(n_349929_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[250]),
+	.B1(n_349934_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[410]),
+	.Y(n_8483), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682085 (
+	.A1(n_349937_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[378]),
+	.B1(n_349935_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[442]),
+	.Y(n_8482), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682086 (
+	.A1(n_1677),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_rpend[0]),
+	.B1(n_1887),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_rpend[4]),
+	.Y(n_8481), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682087 (
+	.A1(n_42925),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[933]),
+	.B1(n_42956),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[741]),
+	.Y(n_8480), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682088 (
+	.A1(n_42924),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[286]),
+	.B1(n_42927),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[318]),
+	.Y(n_8479), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682089 (
+	.A1(n_29552),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[192]),
+	.B1(n_1669),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[128]),
+	.Y(n_8478), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682090 (
+	.A1(n_349903_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[730]),
+	.B1(n_349909_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[602]),
+	.Y(n_8477), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682091 (
+	.A1(n_349906_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[538]),
+	.B1(n_349913_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[666]),
+	.Y(n_8476), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g682092 (
+	.A1(n_349912_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[506]),
+	.B1(n_349915_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[634]),
+	.X(n_8475), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682093 (
+	.A1(n_349935_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[418]),
+	.B1(n_349933_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[322]),
+	.Y(n_8474), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g682094 (
+	.A(n_35809),
+	.B(n_35805),
+	.C(n_35802),
+	.D(n_35816),
+	.Y(n_8473), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g682095 (
+	.A(n_35795),
+	.B(n_35800),
+	.C(n_35803),
+	.D(n_35817),
+	.Y(n_8472), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g682097 (
+	.A(n_35801),
+	.B(n_35799),
+	.C(n_35798),
+	.D(n_35819),
+	.Y(n_8471), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682098 (
+	.A1(n_29552),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[203]),
+	.B1(n_1669),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[139]),
+	.Y(n_8470), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g682099 (
+	.A1(n_349938_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[99]),
+	.B1(n_259993_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[35]),
+	.X(n_8469), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682100 (
+	.A1(n_8278),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[17]),
+	.B1(n_8281),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[81]),
+	.Y(n_8468), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g682101 (
+	.A1_N(n_8327),
+	.A2_N(n_34037),
+	.B1(n_36303),
+	.B2(n_8199),
+	.Y(n_8467), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682102 (
+	.A1(n_349926_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[771]),
+	.B1(n_349919_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[835]),
+	.Y(n_8466), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682103 (
+	.A1(n_349923_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[899]),
+	.B1(n_349924_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[963]),
+	.Y(n_8465), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682104 (
+	.A1(n_349918_BAR),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[803]),
+	.B1(n_349925_BAR),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[931]),
+	.Y(n_8464), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g682105 (
+	.A(brqrv_top_brqrv_dec_dec_nonblock_load_waddr[3]),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[23]),
+	.X(n_8463), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g682106 (
+	.A(n_32720),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[18]),
+	.C(n_32738),
+	.D(brqrv_top_brqrv_dec_dec_i0_instr_d[16]),
+	.Y(n_8462), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4b_1 g682107 (
+	.A_N(n_35344),
+	.B(n_35812),
+	.C(n_35815),
+	.D(n_35820),
+	.Y(n_8461), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g682108 (
+	.A(brqrv_top_brqrv_dec_decode_x_d[6]),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[17]),
+	.Y(n_8460), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g682109 (
+	.A(brqrv_top_brqrv_dec_decode_x_d[6]),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[22]),
+	.Y(n_8459), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g682110 (
+	.A(brqrv_top_brqrv_dec_decode_x_d[4]),
+	.B(n_32738),
+	.X(n_8458), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g682111 (
+	.A1_N(brqrv_top_brqrv_dec_dec_nonblock_load_waddr[2]),
+	.A2_N(n_32728),
+	.B1(brqrv_top_brqrv_dec_dec_nonblock_load_waddr[2]),
+	.B2(n_32728),
+	.Y(n_8457), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g682112 (
+	.A1_N(brqrv_top_brqrv_dec_dec_nonblock_load_waddr[3]),
+	.A2_N(n_8172),
+	.B1(brqrv_top_brqrv_dec_dec_nonblock_load_waddr[3]),
+	.B2(n_8172),
+	.Y(n_8456), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g682113 (
+	.A1_N(brqrv_top_brqrv_dec_dec_nonblock_load_waddr[4]),
+	.A2_N(n_8171),
+	.B1(brqrv_top_brqrv_dec_dec_nonblock_load_waddr[4]),
+	.B2(n_8171),
+	.Y(n_8455), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g682114 (
+	.A1_N(brqrv_top_brqrv_dec_dec_i0_waddr_r[1]),
+	.A2_N(n_8173),
+	.B1(brqrv_top_brqrv_dec_dec_i0_waddr_r[1]),
+	.B2(n_8173),
+	.Y(n_8454), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g682115 (
+	.A(brqrv_top_brqrv_dec_dec_nonblock_load_waddr[2]),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[22]),
+	.Y(n_8453), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g682116 (
+	.A1_N(brqrv_top_brqrv_dec_dec_nonblock_load_waddr[1]),
+	.A2_N(n_8173),
+	.B1(brqrv_top_brqrv_dec_dec_nonblock_load_waddr[1]),
+	.B2(n_8173),
+	.Y(n_8452), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g682117 (
+	.A(brqrv_top_brqrv_dec_dec_i0_waddr_r[0]),
+	.B(n_32738),
+	.Y(n_8451), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g682118 (
+	.A(brqrv_top_brqrv_dec_dec_nonblock_load_waddr[0]),
+	.B(n_32738),
+	.Y(n_8450), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g682119 (
+	.A1(n_1565),
+	.A2(brqrv_top_brqrv_dec_dec_i0_instr_d[17]),
+	.B1(brqrv_top_brqrv_dec_dec_i0_waddr_r[2]),
+	.B2(n_32728),
+	.Y(n_8449), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g682120 (
+	.A1_N(brqrv_top_brqrv_dec_dec_i0_waddr_r[3]),
+	.A2_N(n_8172),
+	.B1(brqrv_top_brqrv_dec_dec_i0_waddr_r[3]),
+	.B2(n_8172),
+	.Y(n_8448), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g682121 (
+	.A(brqrv_top_brqrv_dec_decode_x_d[8]),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[24]),
+	.Y(n_8447), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682122 (
+	.A(n_8375),
+	.B(n_8423),
+	.Y(n_8820), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682123 (
+	.A(n_8352),
+	.B(n_8400),
+	.Y(n_8818), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g682124 (
+	.A(n_8445),
+	.B(n_8444),
+	.X(n_8446), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682125 (
+	.A(n_8340),
+	.B(n_8376),
+	.Y(n_8817), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g682130 (
+	.A(brqrv_top_brqrv_dec_tlu_pc0_valid_r_BAR),
+	.Y(n_8430), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682131 (
+	.A(n_36182),
+	.B(n_8265),
+	.Y(n_8429), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682132 (
+	.A(n_36367),
+	.B(n_8247),
+	.Y(n_8428), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682133 (
+	.A(n_36152),
+	.B(n_8148),
+	.Y(n_8427), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682134 (
+	.A(n_31343),
+	.B(n_28975),
+	.Y(n_8426), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682135 (
+	.A(n_36190),
+	.B(n_8265),
+	.Y(n_8425), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g682136 (
+	.A_N(n_36214),
+	.B(brqrv_top_brqrv_lsu_addr_in_pic_m),
+	.Y(n_35088), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682137 (
+	.A(n_36166),
+	.B(n_28975),
+	.Y(n_8424), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682138 (
+	.A(n_36170),
+	.B(n_28975),
+	.Y(n_8423), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682140 (
+	.A(n_36205),
+	.B(FE_DBTN12_n_35713),
+	.Y(n_8445), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682141 (
+	.A(n_33978),
+	.B(FE_DBTN12_n_35713),
+	.Y(n_8421), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g682142 (
+	.A_N(n_36230),
+	.B(brqrv_top_brqrv_lsu_addr_in_pic_m),
+	.Y(n_35087), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682143 (
+	.A(n_31451),
+	.B(n_28975),
+	.Y(n_8444), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682144 (
+	.A(n_1762),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [24]),
+	.Y(n_8420), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g682145 (
+	.A_N(n_36221),
+	.B(brqrv_top_brqrv_lsu_addr_in_pic_m),
+	.Y(n_35090), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682146 (
+	.A(n_31485),
+	.B(FE_DBTN12_n_35713),
+	.Y(n_8419), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g682147 (
+	.A_N(n_33862),
+	.B(n_33331),
+	.Y(n_8418), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g682148 (
+	.A_N(n_36223),
+	.B(brqrv_top_brqrv_lsu_addr_in_pic_m),
+	.Y(n_34176), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682149 (
+	.A(brqrv_top_brqrv_lsu_lsu_ld_data_m[23]),
+	.B(n_8268),
+	.Y(n_8417), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682150 (
+	.A(n_36185),
+	.B(n_28975),
+	.Y(n_8416), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682151 (
+	.A(n_36187),
+	.B(n_8148),
+	.Y(n_8415), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682152 (
+	.A(n_1708),
+	.B(brqrv_top_brqrv_dec_decode_x_d[4]),
+	.Y(n_8414), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682153 (
+	.A(n_36192),
+	.B(FE_DBTN12_n_35713),
+	.Y(n_8413), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682154 (
+	.A(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [64]),
+	.B(n_8323),
+	.Y(n_8412), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682155 (
+	.A(n_36193),
+	.B(n_28975),
+	.Y(n_8411), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g682156 (
+	.A_N(n_36216),
+	.B(brqrv_top_brqrv_lsu_addr_in_pic_m),
+	.Y(n_34174), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g682157 (
+	.A_N(n_36212),
+	.B(brqrv_top_brqrv_lsu_addr_in_pic_m),
+	.Y(n_35081), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g682158 (
+	.A_N(n_36220),
+	.B(brqrv_top_brqrv_lsu_addr_in_pic_m),
+	.Y(n_35082), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g682159 (
+	.A(n_34084),
+	.B_N(brqrv_top_brqrv_lsu_bus_read_data_m[16]),
+	.Y(n_8410), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g682160 (
+	.A_N(n_36213),
+	.B(brqrv_top_brqrv_lsu_addr_in_pic_m),
+	.Y(n_35089), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g682161 (
+	.A_N(n_36222),
+	.B(brqrv_top_brqrv_lsu_addr_in_pic_m),
+	.Y(n_35091), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g682162 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[5]),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[3]),
+	.Y(n_8409), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g682163 (
+	.A_N(n_36239),
+	.B(brqrv_top_brqrv_lsu_addr_in_pic_m),
+	.Y(n_34170), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g682164 (
+	.A_N(n_36236),
+	.B(brqrv_top_brqrv_lsu_addr_in_pic_m),
+	.Y(n_35097), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682165 (
+	.A(n_1708),
+	.B(brqrv_top_brqrv_dec_dec_i0_waddr_r[0]),
+	.Y(n_8408), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682167 (
+	.A(n_36195),
+	.B(n_8148),
+	.Y(n_8406), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g682168 (
+	.A_N(n_36209),
+	.B(brqrv_top_brqrv_lsu_addr_in_pic_m),
+	.Y(n_34178), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682169 (
+	.A(n_1762),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [25]),
+	.Y(n_8405), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g682170 (
+	.A_N(n_36219),
+	.B(brqrv_top_brqrv_lsu_addr_in_pic_m),
+	.Y(n_35086), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g682171 (
+	.A_N(n_36210),
+	.B(brqrv_top_brqrv_lsu_addr_in_pic_m),
+	.Y(n_35101), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682172 (
+	.A(brqrv_top_brqrv_lsu_bus_read_data_m[20]),
+	.B(n_8167),
+	.Y(n_8404), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g682173 (
+	.A_N(n_36231),
+	.B(brqrv_top_brqrv_lsu_addr_in_pic_m),
+	.Y(n_35092), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g682174 (
+	.A_N(n_36208),
+	.B(brqrv_top_brqrv_lsu_addr_in_pic_m),
+	.Y(n_35102), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682175 (
+	.A(n_36188),
+	.B(FE_DBTN12_n_35713),
+	.Y(n_8403), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g682176 (
+	.A(n_34790),
+	.B_N(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r[1]),
+	.Y(n_8402), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682177 (
+	.A(n_36194),
+	.B(n_8265),
+	.Y(n_8401), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682178 (
+	.A(n_36162),
+	.B(n_8265),
+	.Y(n_8400), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682179 (
+	.A(n_36189),
+	.B(n_28975),
+	.Y(n_8399), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682180 (
+	.A(n_259784_BAR),
+	.B(brqrv_top_brqrv_dbg_cmd_wrdata[22]),
+	.Y(n_8398), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682181 (
+	.A(brqrv_top_brqrv_lsu_lsu_ld_data_m[19]),
+	.B(n_8268),
+	.Y(n_8397), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682182 (
+	.A(n_36199),
+	.B(n_8148),
+	.Y(n_8396), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682183 (
+	.A(brqrv_top_brqrv_lsu_bus_read_data_m[19]),
+	.B(n_8167),
+	.Y(n_8395), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682184 (
+	.A(n_36197),
+	.B(n_28975),
+	.Y(n_8394), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682185 (
+	.A(n_36196),
+	.B(FE_DBTN12_n_35713),
+	.Y(n_8393), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g682186 (
+	.A_N(brqrv_top_brqrv_dec_tlu_dbg_halted),
+	.B(\brqrv_top_brqrv_dec_tlu_freeff_dff_dout[3]_63 ),
+	.Y(n_8392), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g682187 (
+	.A_N(n_36229),
+	.B(brqrv_top_brqrv_lsu_addr_in_pic_m),
+	.Y(n_35084), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g682188 (
+	.A(n_34084),
+	.B_N(brqrv_top_brqrv_lsu_bus_read_data_m[18]),
+	.Y(n_8391), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g682189 (
+	.A_N(n_33310),
+	.B(brqrv_top_brqrv_lsu_lsu_pkt_m[6]),
+	.Y(n_34201), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g682190 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[5]),
+	.B(n_34826),
+	.Y(n_8443), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g682191 (
+	.A(brqrv_top_brqrv_dec_tlu_request_debug_mode_done_f),
+	.B(brqrv_top_brqrv_dec_tlu_request_debug_mode_r_d1),
+	.Y(n_8442), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g682193 (
+	.A(n_34786),
+	.B_N(n_36250),
+	.Y(n_8441), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g682195 (
+	.A_N(n_34857),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_ageQ[10]),
+	.Y(n_34582), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g682196 (
+	.A(brqrv_top_brqrv_dec_decode_i0_r_c[0]),
+	.B(brqrv_top_brqrv_dec_decode_i0_r_c[2]),
+	.Y(n_8440), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g682198 (
+	.A(brqrv_top_brqrv_dec_dec_csr_wraddr_r[8]),
+	.B_N(brqrv_top_brqrv_dec_decode_r_d[20]),
+	.Y(brqrv_top_brqrv_dec_dec_csr_wraddr_r[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682200 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_ldst_byteen_m[1]),
+	.B(n_8229),
+	.Y(n_8439), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g682201 (
+	.A_N(n_35419),
+	.B(n_35420),
+	.Y(n_34784), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g682202 (
+	.A(brqrv_top_brqrv_dec_decode_i0_x_c[0]),
+	.B(brqrv_top_brqrv_dec_decode_i0_x_c[2]),
+	.Y(n_8438), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g682203 (
+	.A(brqrv_top_brqrv_dec_dec_csr_wraddr_r[8]),
+	.B_N(brqrv_top_brqrv_dec_decode_r_d[13]),
+	.Y(brqrv_top_brqrv_dec_dec_csr_wraddr_r[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682204 (
+	.A(n_8271),
+	.B(brqrv_top_brqrv_dec_decode_r_d[15]),
+	.Y(n_33312), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g682205 (
+	.A_N(n_34889),
+	.B(n_34866),
+	.Y(n_34587), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682206 (
+	.A(n_31450),
+	.B(n_36249),
+	.Y(n_8437), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g682207 (
+	.A_N(n_35527),
+	.B(n_34868),
+	.Y(n_34585), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g682208 (
+	.A(brqrv_top_brqrv_dec_dec_csr_wraddr_r[8]),
+	.B_N(brqrv_top_brqrv_dec_decode_r_d[9]),
+	.Y(brqrv_top_brqrv_dec_dec_csr_wraddr_r[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_2 g682209 (
+	.A(n_35540),
+	.B(n_35539),
+	.Y(brqrv_top_brqrv_dec_tlu_lsu_i0_exc_r), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682210 (
+	.A(n_8271),
+	.B(brqrv_top_brqrv_dec_decode_r_d[10]),
+	.Y(n_35115), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g682211 (
+	.A(n_35713),
+	.B(n_34062),
+	.Y(n_8436), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g682212 (
+	.A(n_34896),
+	.B_N(n_34893),
+	.Y(n_8435), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g682213 (
+	.A_N(brqrv_top_brqrv_trigger_pkt_any[151]),
+	.B(brqrv_top_brqrv_dec_tlu_mtdata1_t3[2]),
+	.Y(n_8434), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g682214 (
+	.A_N(brqrv_top_brqrv_trigger_pkt_any[37]),
+	.B(brqrv_top_brqrv_dec_tlu_mtdata1_t0[2]),
+	.Y(n_8433), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g682215 (
+	.A_N(brqrv_top_brqrv_trigger_pkt_any[75]),
+	.B(brqrv_top_brqrv_dec_tlu_mtdata1_t1[2]),
+	.Y(n_8432), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g682216 (
+	.A_N(brqrv_top_brqrv_trigger_pkt_any[113]),
+	.B(brqrv_top_brqrv_dec_tlu_mtdata1_t2[2]),
+	.Y(n_8431), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g682217 (
+	.A(brqrv_top_brqrv_dec_tlu_dbg_halted),
+	.B(n_35615),
+	.X(brqrv_top_brqrv_dec_tlu_pc0_valid_r_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g682218 (
+	.A(n_8390),
+	.Y(n_34189), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g682219 (
+	.A(n_8388),
+	.Y(n_8389), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g682220 (
+	.A(n_42994),
+	.Y(n_33328), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g682223 (
+	.A(n_8378),
+	.Y(n_34320), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g682224 (
+	.A(n_1774),
+	.Y(n_34321), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682225 (
+	.A(n_36171),
+	.B(n_8265),
+	.Y(n_8376), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g682226 (
+	.A_N(n_36217),
+	.B(brqrv_top_brqrv_lsu_addr_in_pic_m),
+	.Y(n_34175), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g682227 (
+	.A_N(n_36233),
+	.B(brqrv_top_brqrv_lsu_addr_in_pic_m),
+	.Y(n_35093), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g682228 (
+	.A_N(n_36232),
+	.B(brqrv_top_brqrv_lsu_addr_in_pic_m),
+	.Y(n_35094), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g682229 (
+	.A_N(n_36218),
+	.B(brqrv_top_brqrv_lsu_addr_in_pic_m),
+	.Y(n_35083), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682230 (
+	.A(n_36199),
+	.B(FE_DBTN12_n_35713),
+	.Y(n_8375), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g682231 (
+	.A_N(n_36224),
+	.B(brqrv_top_brqrv_lsu_addr_in_pic_m),
+	.Y(n_34177), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g682232 (
+	.A(brqrv_top_brqrv_dec_decode_x_d[5]),
+	.B(n_8173),
+	.Y(n_8374), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g682233 (
+	.A_N(n_36234),
+	.B(brqrv_top_brqrv_lsu_addr_in_pic_m),
+	.Y(n_35095), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682234 (
+	.A(n_8171),
+	.B(brqrv_top_brqrv_dec_dec_i0_waddr_r[4]),
+	.Y(n_8373), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682235 (
+	.A(FE_DBTN10_n_34088),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [142]),
+	.Y(n_8372), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g682236 (
+	.A(\brqrv_top_brqrv_dec_tlu_freeff_dff_dout[3]_63 ),
+	.B_N(brqrv_top_brqrv_dec_tlu_request_debug_mode_r_d1),
+	.Y(n_8371), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682237 (
+	.A(FE_DBTN10_n_34088),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [141]),
+	.Y(n_8370), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g682238 (
+	.A(n_35713),
+	.B(n_34065),
+	.Y(n_8369), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682239 (
+	.A(n_36198),
+	.B(n_8265),
+	.Y(n_8368), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g682241 (
+	.A_N(n_36228),
+	.B(brqrv_top_brqrv_lsu_addr_in_pic_m),
+	.Y(n_35085), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682242 (
+	.A(n_36167),
+	.B(n_8265),
+	.Y(n_8367), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682243 (
+	.A(n_36186),
+	.B(FE_DBTN12_n_35713),
+	.Y(n_8366), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682244 (
+	.A(n_36187),
+	.B(n_28975),
+	.Y(n_8365), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682245 (
+	.A(n_36186),
+	.B(n_8265),
+	.Y(n_8364), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682246 (
+	.A(n_36184),
+	.B(FE_DBTN12_n_35713),
+	.Y(n_8363), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g682247 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_n_316),
+	.B(brqrv_top_brqrv_dec_dec_csr_wrdata_r[19]),
+	.Y(brqrv_top_brqrv_dec_tlu_mrac_in[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g682248 (
+	.A(brqrv_top_brqrv_dec_dec_csr_wrdata_r[27]),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_n_324),
+	.Y(brqrv_top_brqrv_dec_tlu_mrac_in[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g682249 (
+	.A(n_34080),
+	.B_N(n_36317),
+	.Y(n_8362), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682253 (
+	.A(n_259784_BAR),
+	.B(brqrv_top_brqrv_dbg_cmd_wrdata[7]),
+	.Y(n_8358), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682254 (
+	.A(brqrv_top_brqrv_dec_i0_result_r[31]),
+	.B(n_33324),
+	.Y(n_8357), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682255 (
+	.A(n_36159),
+	.B(n_8265),
+	.Y(n_8356), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g682256 (
+	.A_N(n_36225),
+	.B(brqrv_top_brqrv_lsu_addr_in_pic_m),
+	.Y(n_34171), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682257 (
+	.A(n_36156),
+	.B(n_8148),
+	.Y(n_8355), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g682258 (
+	.A_N(n_36238),
+	.B(brqrv_top_brqrv_lsu_addr_in_pic_m),
+	.Y(n_35098), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g682259 (
+	.A_N(n_36226),
+	.B(brqrv_top_brqrv_lsu_addr_in_pic_m),
+	.Y(n_34172), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682260 (
+	.A(n_36034),
+	.B(n_8188),
+	.Y(n_8354), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g682261 (
+	.A_N(n_36211),
+	.B(brqrv_top_brqrv_lsu_addr_in_pic_m),
+	.Y(n_35100), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g682262 (
+	.A_N(n_36235),
+	.B(brqrv_top_brqrv_lsu_addr_in_pic_m),
+	.Y(n_35096), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g682263 (
+	.A(brqrv_top_brqrv_dec_dec_csr_wrdata_r[25]),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_n_322),
+	.Y(brqrv_top_brqrv_dec_tlu_mrac_in[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682264 (
+	.A(n_36181),
+	.B(n_28975),
+	.Y(n_8353), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682266 (
+	.A(n_36155),
+	.B(n_8148),
+	.Y(n_8352), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682267 (
+	.A(n_36180),
+	.B(FE_DBTN12_n_35713),
+	.Y(n_8351), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682268 (
+	.A(n_36160),
+	.B(n_8148),
+	.Y(n_8350), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g682269 (
+	.A_N(n_36237),
+	.B(brqrv_top_brqrv_lsu_addr_in_pic_m),
+	.Y(n_35099), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682270 (
+	.A(n_8323),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [63]),
+	.Y(n_8349), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682271 (
+	.A(n_36171),
+	.B(n_28975),
+	.Y(n_8348), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682272 (
+	.A(brqrv_top_brqrv_lsu_bus_read_data_m[23]),
+	.B(n_8167),
+	.Y(n_8347), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g682274 (
+	.A(brqrv_top_brqrv_dec_dec_csr_wrdata_r[17]),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_n_314),
+	.Y(brqrv_top_brqrv_dec_tlu_mrac_in[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g682275 (
+	.A_N(n_36227),
+	.B(brqrv_top_brqrv_lsu_addr_in_pic_m),
+	.Y(n_34173), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682276 (
+	.A(n_36161),
+	.B(n_8265),
+	.Y(n_8346), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682277 (
+	.A(n_36154),
+	.B(n_8148),
+	.Y(n_8345), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g682278 (
+	.A(brqrv_top_brqrv_dec_dec_csr_wrdata_r[21]),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_n_318),
+	.Y(brqrv_top_brqrv_dec_tlu_mrac_in[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682279 (
+	.A(n_28904),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[14]),
+	.Y(brqrv_top_brqrv_dec_decode_i0_dec_n_186_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g682280 (
+	.A(brqrv_top_brqrv_dec_dec_csr_wrdata_r[23]),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_n_320),
+	.Y(brqrv_top_brqrv_dec_tlu_mrac_in[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g682281 (
+	.A(n_34084),
+	.B_N(brqrv_top_brqrv_lsu_bus_read_data_m[24]),
+	.Y(n_8344), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682282 (
+	.A(n_36348),
+	.B(n_8247),
+	.Y(n_8343), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g682283 (
+	.A_N(n_35108),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r[31]),
+	.Y(n_8342), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682285 (
+	.A(n_36163),
+	.B(n_8148),
+	.Y(n_8340), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682287 (
+	.A(n_36163),
+	.B(n_8265),
+	.Y(n_8338), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g682289 (
+	.A(brqrv_top_brqrv_lsu_addr_in_dccm_m),
+	.B(brqrv_top_brqrv_lsu_addr_in_pic_m),
+	.Y(n_8390), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g682290 (
+	.A_N(n_35526),
+	.B(n_34863),
+	.Y(n_34573), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g682291 (
+	.A(n_35452),
+	.B(n_36277),
+	.Y(n_8388), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g682293 (
+	.A(n_35458),
+	.B(n_36277),
+	.Y(n_8387), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g682294 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[5]),
+	.B_N(n_32406),
+	.Y(brqrv_top_brqrv_dec_decode_i0_dec_n_483), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g682295 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[4]),
+	.B(n_35501),
+	.Y(n_8386), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682296 (
+	.A(n_33976),
+	.B(FE_DBTN12_n_35713),
+	.Y(n_8385), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g682298 (
+	.A(n_8271),
+	.B(brqrv_top_brqrv_dec_decode_r_d[12]),
+	.X(brqrv_top_brqrv_dec_dec_csr_wraddr_r[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g682301 (
+	.A(brqrv_top_brqrv_dec_dec_csr_wraddr_r[8]),
+	.B_N(brqrv_top_brqrv_dec_decode_r_d[14]),
+	.Y(brqrv_top_brqrv_dec_dec_csr_wraddr_r[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g682302 (
+	.A_N(n_34859),
+	.B(n_34858),
+	.Y(n_34581), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682303 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_lsu_nonblock_data_unalgn[7]),
+	.B(n_34086),
+	.Y(n_33876), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682304 (
+	.A(n_1853),
+	.B(n_35784),
+	.Y(n_34312), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g682305 (
+	.A(brqrv_top_brqrv_dec_dec_csr_wraddr_r[8]),
+	.B_N(brqrv_top_brqrv_dec_decode_r_d[11]),
+	.Y(brqrv_top_brqrv_dec_dec_csr_wraddr_r[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g682306 (
+	.A(n_33885),
+	.B(n_34065),
+	.Y(n_8383), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g682307 (
+	.A(n_35714),
+	.B(n_34065),
+	.Y(n_8382), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682308 (
+	.A(n_8269),
+	.B(n_8265),
+	.Y(n_8381), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g682309 (
+	.A(n_35783),
+	.B(n_1880),
+	.Y(n_8380), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g682310 (
+	.A(n_35784),
+	.B(n_1853),
+	.Y(n_8379), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g682311 (
+	.A(n_35784),
+	.B(n_8145),
+	.Y(n_8378), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g682312 (
+	.A(n_35784),
+	.B(n_35783),
+	.Y(n_1774), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g682313 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[43]),
+	.Y(n_8336), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g682314 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[75]),
+	.Y(n_8335), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g682315 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[67]),
+	.Y(n_8334), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g682317 (
+	.A(brqrv_top_brqrv_lsu_bus_read_data_m[4]),
+	.Y(n_8332), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g682318 (
+	.A(n_31024),
+	.Y(n_8331), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g682320 (
+	.A(n_36079),
+	.Y(n_8329), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g682321 (
+	.A(brqrv_top_brqrv_lsu_fir_addr[31]),
+	.Y(n_8328), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g682322 (
+	.A(n_36323),
+	.Y(n_8327), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g682323 (
+	.A(n_31485),
+	.Y(n_8326), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g682324 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[110]),
+	.Y(n_8325), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g682328 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[46]),
+	.Y(n_8321), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g682332 (
+	.A(n_36315),
+	.Y(n_8317), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g682333 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[102]),
+	.Y(n_8316), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g682334 (
+	.A(n_36311),
+	.Y(n_8315), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g682335 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[70]),
+	.Y(n_8314), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g682338 (
+	.A(n_34073),
+	.Y(n_8311), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g682340 (
+	.A(n_41937),
+	.Y(n_8309), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g682341 (
+	.A(n_34790),
+	.Y(n_8308), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g682342 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[13]),
+	.Y(n_8307), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g682343 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[29]),
+	.Y(n_8306), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g682344 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[19]),
+	.Y(n_8305), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g682345 (
+	.A(n_34028),
+	.Y(n_8304), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g682346 (
+	.A(n_34030),
+	.Y(n_8303), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g682349 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[21]),
+	.Y(n_8300), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g682351 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[17]),
+	.Y(n_1676), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g682352 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[25]),
+	.Y(n_8297), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g682353 (
+	.A(n_33995),
+	.Y(n_8296), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g682354 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[8]),
+	.Y(n_8295), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g682355 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[15]),
+	.Y(n_1953), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g682356 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[7]),
+	.Y(n_8293), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g682357 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[28]),
+	.Y(n_89), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g682358 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[27]),
+	.Y(n_2055), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g682359 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[31]),
+	.Y(n_8290), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g682361 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[2]),
+	.Y(n_8288), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g682362 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[3]),
+	.Y(n_8287), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g682363 (
+	.A(brqrv_top_brqrv_dec_extint_stall),
+	.Y(n_8286), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g682368 (
+	.A(n_34036),
+	.Y(n_8284), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g682369 (
+	.A(n_34040),
+	.Y(n_8283), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g682370 (
+	.A(n_34061),
+	.Y(n_8282), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g682372 (
+	.A(n_34017),
+	.Y(n_8281), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g682373 (
+	.A(n_34006),
+	.Y(n_8280), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g682374 (
+	.A(n_34064),
+	.Y(n_8279), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g682375 (
+	.A(n_34000),
+	.Y(n_8278), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g682376 (
+	.A(n_34077),
+	.Y(n_8277), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g682377 (
+	.A(n_34001),
+	.Y(n_8276), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g682382 (
+	.A(brqrv_top_brqrv_dec_dec_csr_wraddr_r[8]),
+	.Y(n_8271), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g682384 (
+	.A(n_34065),
+	.Y(n_8269), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g682385 (
+	.A(n_34085),
+	.Y(n_8268), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 g682387 (
+	.A(n_41906),
+	.Y(n_8266), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g682400 (
+	.A(n_43024),
+	.Y(n_8253), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g682408 (
+	.A(n_34897),
+	.Y(n_1887), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g682410 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[2]),
+	.Y(n_8243), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g682414 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[3]),
+	.Y(n_8240), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g682419 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[107]),
+	.Y(n_8235), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g682420 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[66]),
+	.Y(n_8234), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g682425 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[64]),
+	.Y(n_8230), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g682427 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[0]),
+	.Y(n_8228), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g682429 (
+	.A(n_36327),
+	.Y(n_8226), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g682432 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[6]),
+	.Y(n_8223), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g682433 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[38]),
+	.Y(n_8222), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g682435 (
+	.A(n_35971),
+	.Y(n_8220), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g682439 (
+	.A(n_35967),
+	.Y(n_8126), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g682445 (
+	.A(n_35976),
+	.Y(n_8213), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g682448 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[9]),
+	.Y(n_8210), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g682450 (
+	.A(n_35960),
+	.Y(n_8208), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g682452 (
+	.A(n_33996),
+	.Y(n_8207), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g682454 (
+	.A(n_33331),
+	.Y(n_8206), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g682455 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[30]),
+	.Y(n_8205), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g682456 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[1]),
+	.Y(n_8204), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g682457 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[24]),
+	.Y(n_135), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g682459 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[12]),
+	.Y(n_8201), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g682461 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[22]),
+	.Y(n_97), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g682462 (
+	.A(n_34038),
+	.Y(n_8199), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g682464 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[20]),
+	.Y(n_82), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g682465 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[6]),
+	.Y(n_8196), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g682466 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[16]),
+	.Y(n_86), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g682467 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[18]),
+	.Y(n_83), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g682469 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[14]),
+	.Y(n_1963), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g682471 (
+	.A(n_34020),
+	.Y(n_8190), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g682472 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[5]),
+	.Y(n_8189), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g682474 (
+	.A(n_34029),
+	.Y(n_8187), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g682476 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[4]),
+	.Y(n_8185), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g682477 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[23]),
+	.Y(n_8184), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g682478 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[11]),
+	.Y(n_8183), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g682483 (
+	.A(n_34050),
+	.Y(n_8182), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g682485 (
+	.A(n_34066),
+	.Y(n_8180), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g682502 (
+	.A(n_34084),
+	.Y(n_8167), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g682522 (
+	.A(brqrv_top_brqrv_exu_mul_valid_x),
+	.Y(n_8147), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g682523 (
+	.A(brqrv_top_brqrv_dma_mem_addr[2]),
+	.Y(n_1853), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g682524 (
+	.A(n_35783),
+	.Y(n_8145), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 drc_bufs682533 (
+	.A(n_9380),
+	.Y(n_8130), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 drc_bufs682540 (
+	.A(n_9378),
+	.Y(n_8129), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g891295 (
+	.A1(brqrv_top_brqrv_i0_ap[7]),
+	.A2(n_10174),
+	.B1(n_9788),
+	.B2(n_9842),
+	.X(n_8123), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g682541 (
+	.A1(n_9980),
+	.A2(brqrv_top_brqrv_exu_n_911),
+	.B1(n_10145),
+	.X(n_37958), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g682542 (
+	.A1(brqrv_top_brqrv_exu_n_913),
+	.A2(n_9980),
+	.B1(n_10155),
+	.X(n_37960), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g682543 (
+	.A(n_10036),
+	.B(n_9858),
+	.C(n_10134),
+	.X(n_8122), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g682544 (
+	.A(n_9860),
+	.B(n_10037),
+	.C(n_10132),
+	.X(n_8121), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g682545 (
+	.A1(n_9980),
+	.A2(n_9973),
+	.B1(n_10171),
+	.X(n_37954), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g682546 (
+	.A1(n_36243),
+	.A2(n_9262),
+	.B1(n_10106),
+	.X(n_8120), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g682547 (
+	.A1(n_35535),
+	.A2(n_41853),
+	.B1(n_10068),
+	.X(n_46008_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g682548 (
+	.A1(n_35535),
+	.A2(n_9435),
+	.B1(n_10066),
+	.X(n_8119), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g682549 (
+	.A1(n_9639),
+	.A2(n_8224),
+	.B1(n_9974),
+	.X(n_8118), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g682550 (
+	.A1(n_9639),
+	.A2(n_9262),
+	.B1(n_9946),
+	.X(n_8117), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g682551 (
+	.A1(n_9639),
+	.A2(n_8136),
+	.B1(n_9947),
+	.X(n_8116), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g682553 (
+	.A(n_9680),
+	.B(n_9875),
+	.X(n_8114), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2a_2 g682554 (
+	.A1_N(n_36307),
+	.A2_N(n_9854),
+	.B1(n_35535),
+	.B2(n_9262),
+	.X(n_8113), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g682555 (
+	.A1(n_9639),
+	.A2(n_8127),
+	.B1(n_9864),
+	.X(n_8112), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g682556 (
+	.A(n_8266),
+	.B(n_9703),
+	.X(n_8111), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g682557 (
+	.A(n_8133),
+	.B(n_9384),
+	.X(n_8110), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g682561 (
+	.A_N(n_35897),
+	.B(brqrv_top_brqrv_i0_ap[7]),
+	.Y(n_8106), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 g682563 (
+	.A0(n_10220),
+	.A1(n_10219),
+	.S(brqrv_top_brqrv_i0_ap[7]),
+	.Y(n_37387), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_4 g682564 (
+	.A_N(n_10200),
+	.B(brqrv_top_brqrv_i0_ap[7]),
+	.Y(n_8105), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g682565 (
+	.A_N(n_8101),
+	.B(n_9667),
+	.Y(n_8104), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g682566 (
+	.A1(n_9787),
+	.A2(n_9780),
+	.B1_N(n_10173),
+	.Y(n_8103), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_2 g682568 (
+	.A_N(brqrv_top_brqrv_exu_n_912),
+	.B(n_10011),
+	.Y(brqrv_top_brqrv_exu_i0_rs1_d[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_4 g682569 (
+	.A_N(brqrv_top_brqrv_exu_n_914),
+	.B(n_10013),
+	.Y(brqrv_top_brqrv_exu_i0_rs1_d[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_4 g682571 (
+	.A_N(brqrv_top_brqrv_exu_n_894),
+	.B(n_9993),
+	.Y(brqrv_top_brqrv_exu_i0_rs1_d[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g682572 (
+	.A_N(n_9988),
+	.B(n_9991),
+	.Y(brqrv_top_brqrv_exu_i0_rs1_d[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_4 g682573 (
+	.A_N(brqrv_top_brqrv_exu_n_895),
+	.B(n_9994),
+	.Y(brqrv_top_brqrv_exu_i0_rs1_d[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_4 g682574 (
+	.A_N(brqrv_top_brqrv_exu_n_903),
+	.B(n_10002),
+	.Y(brqrv_top_brqrv_exu_i0_rs1_d[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_4 g682575 (
+	.A_N(brqrv_top_brqrv_exu_n_902),
+	.B(n_10001),
+	.Y(brqrv_top_brqrv_exu_i0_rs1_d[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_4 g682576 (
+	.A_N(brqrv_top_brqrv_exu_n_906),
+	.B(n_10005),
+	.Y(brqrv_top_brqrv_exu_i0_rs1_d[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g682577 (
+	.A(n_34722),
+	.B_N(n_9942),
+	.Y(n_8102), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g682578 (
+	.A(n_34721),
+	.B_N(n_9941),
+	.Y(n_8101), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g682579 (
+	.A(n_9046),
+	.B_N(n_9887),
+	.Y(n_8100), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_2 g682580 (
+	.A_N(n_35397),
+	.B(n_9660),
+	.Y(n_36243), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g682582 (
+	.A(n_34320),
+	.B_N(n_35269),
+	.Y(n_8099), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g682583 (
+	.A(n_34320),
+	.B_N(n_34307),
+	.Y(n_8098), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g682584 (
+	.A(n_34320),
+	.B_N(n_35236),
+	.Y(n_8097), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g682585 (
+	.A(n_34320),
+	.B_N(n_35260),
+	.Y(n_8096), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g682586 (
+	.A(n_34320),
+	.B_N(n_35256),
+	.Y(n_8095), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g682587 (
+	.A(n_34320),
+	.B_N(n_35241),
+	.Y(n_8094), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g682588 (
+	.A(n_34320),
+	.B_N(n_34292),
+	.Y(n_8093), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3b_1 g682589 (
+	.A_N(n_9379),
+	.B(n_8129),
+	.C(n_8130),
+	.X(n_8092), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_4 g682590 (
+	.A1(n_36150),
+	.A2(n_8383),
+	.B1_N(n_9045),
+	.Y(n_8091), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g682591 (
+	.A_N(n_8817),
+	.B(n_8446),
+	.Y(brqrv_top_brqrv_lsu_lsu_ld_data_m[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g682592 (
+	.A_N(n_8441),
+	.B(n_8437),
+	.Y(n_8090), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g682593 (
+	.A_N(n_8439),
+	.B(n_8817),
+	.Y(n_8089), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2b_4 g682594 (
+	.A_N(n_8438),
+	.B(n_9591),
+	.X(n_8088), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g682595 (
+	.A_N(n_8381),
+	.B(n_36158),
+	.Y(n_8087), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g682596 (
+	.A_N(n_36247),
+	.B(n_9768),
+	.Y(n_35397), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g682597 (
+	.A_N(FE_DBTN13_n_36183),
+	.B(n_8148),
+	.Y(n_8086), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4b_1 g682598 (
+	.A_N(n_35399),
+	.B(n_9368),
+	.C(n_8460),
+	.D(n_8981),
+	.Y(n_8085), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g682599 (
+	.A_N(brqrv_top_brqrv_dec_dec_i0_instr_d[4]),
+	.B(n_32406),
+	.C(n_8286),
+	.Y(n_8084), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g682600 (
+	.A_N(brqrv_top_brqrv_dec_dec_i0_instr_d[14]),
+	.B(n_31667),
+	.Y(n_34757), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g614484 (
+	.A(n_35716),
+	.B(n_35434),
+	.Y(brqrv_top_brqrv_ifu_mem_ctl_ifu_pmu_bus_error_in), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g614485 (
+	.A(n_8082),
+	.B(n_8083),
+	.Y(brqrv_top_brqrv_ifu_ifc_fetch_uncacheable_bf), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g614486 (
+	.A(n_8076),
+	.B(n_8078),
+	.C(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[31]),
+	.Y(n_8083), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g614487 (
+	.A_N(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[31]),
+	.B(n_8077),
+	.C(n_8079),
+	.Y(n_8082), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g614488 (
+	.A(n_8081),
+	.Y(n_35435), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g614489 (
+	.A(n_35657),
+	.B(n_11386),
+	.Y(n_8081), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g614490 (
+	.A(n_11386),
+	.Y(brqrv_top_brqrv_ifu_mem_ctl_ifc_fetch_req_f), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g614491 (
+	.A(n_8075),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[28]),
+	.Y(n_8079), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g614493 (
+	.A(n_8074),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[28]),
+	.Y(n_8078), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g614494 (
+	.A(n_8073),
+	.B(FE_DBTN44_brqrv_top_brqrv_ifu_ifc_fetch_addr_bf_28),
+	.Y(n_8077), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g614495 (
+	.A(n_8072),
+	.B(FE_DBTN44_brqrv_top_brqrv_ifu_ifc_fetch_addr_bf_28),
+	.Y(n_8076), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g614496 (
+	.A(n_8063),
+	.B(n_8065),
+	.C(n_8066),
+	.D(n_8064),
+	.Y(n_8075), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g614497 (
+	.A(n_8057),
+	.B(n_8061),
+	.C(n_8062),
+	.D(n_8058),
+	.Y(n_8074), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g614498 (
+	.A(n_8052),
+	.B(n_8059),
+	.C(n_8060),
+	.D(n_8067),
+	.Y(n_8073), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g614499 (
+	.A(n_8053),
+	.B(n_8054),
+	.C(n_8056),
+	.D(n_8055),
+	.Y(n_8072), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g614500 (
+	.A(n_8071),
+	.B(n_8069),
+	.Y(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g614501 (
+	.A(n_8041),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_rs1_d[31]),
+	.Y(n_8071), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g614503 (
+	.A1(n_8048),
+	.A2(n_8046),
+	.B1_N(n_8050),
+	.Y(n_8069), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g614505 (
+	.A(n_8042),
+	.B(brqrv_top_brqrv_dec_tlu_mrac_ff_0[4]),
+	.Y(n_8067), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g614506 (
+	.A(n_8043),
+	.B(brqrv_top_brqrv_dec_tlu_mrac_ff_0[14]),
+	.Y(n_8066), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g614507 (
+	.A(n_8051),
+	.B(brqrv_top_brqrv_dec_tlu_mrac_ff_0[10]),
+	.Y(n_8065), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g614508 (
+	.A(n_8042),
+	.B(brqrv_top_brqrv_dec_tlu_mrac_ff_0[6]),
+	.Y(n_8064), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g614509 (
+	.A(n_8049),
+	.B(brqrv_top_brqrv_dec_tlu_mrac_ff_0[2]),
+	.Y(n_8063), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g614510 (
+	.A(n_8043),
+	.B(brqrv_top_brqrv_dec_tlu_mrac_ff_0[30]),
+	.Y(n_8062), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g614511 (
+	.A(n_8051),
+	.B(brqrv_top_brqrv_dec_tlu_mrac_ff_0[26]),
+	.Y(n_8061), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g614512 (
+	.A(n_8043),
+	.B(brqrv_top_brqrv_dec_tlu_mrac_ff_0[12]),
+	.Y(n_8060), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g614513 (
+	.A(n_8051),
+	.B(brqrv_top_brqrv_dec_tlu_mrac_ff_0[8]),
+	.Y(n_8059), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g614514 (
+	.A(n_8042),
+	.B(brqrv_top_brqrv_dec_tlu_mrac_ff_0[22]),
+	.Y(n_8058), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g614515 (
+	.A(n_8049),
+	.B(brqrv_top_brqrv_dec_tlu_mrac_ff_0[18]),
+	.Y(n_8057), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g614516 (
+	.A(n_8043),
+	.B(brqrv_top_brqrv_dec_tlu_mrac_ff_0[28]),
+	.Y(n_8056), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g614517 (
+	.A(n_8042),
+	.B(brqrv_top_brqrv_dec_tlu_mrac_ff_0[20]),
+	.Y(n_8055), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g614518 (
+	.A(n_8051),
+	.B(brqrv_top_brqrv_dec_tlu_mrac_ff_0[24]),
+	.Y(n_8054), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g614519 (
+	.A(n_8049),
+	.B(brqrv_top_brqrv_dec_tlu_mrac_ff_0[16]),
+	.Y(n_8053), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g614520 (
+	.A(n_8049),
+	.B(brqrv_top_brqrv_dec_tlu_mrac_ff_0[0]),
+	.Y(n_8052), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g614521 (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_n_26),
+	.B(n_36869),
+	.Y(n_8050), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g614522 (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[29]),
+	.B_N(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[30]),
+	.Y(n_8051), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g614523 (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_rs1_d[31]),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_n_88),
+	.Y(n_8048), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g614524 (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[29]),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[30]),
+	.Y(n_8049), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 g891296 (
+	.A(n_8081),
+	.B(brqrv_top_brqrv_ifu_miss_state_idle),
+	.X(brqrv_top_brqrv_ifu_mem_ctl_ic_act_miss_f), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_0 g614529 (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[29]),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[30]),
+	.X(n_8043), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g614530 (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[30]),
+	.B_N(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[29]),
+	.Y(n_8042), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g614531 (
+	.A_N(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_n_4),
+	.B(n_8038),
+	.Y(n_8041), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g614534 (
+	.A_N(n_35430),
+	.B(n_8081),
+	.Y(n_35434), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g614535 (
+	.A_N(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_n_88),
+	.B(n_33988),
+	.Y(n_8038), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g792830 (
+	.A1_N(\brqrv_top_mem_iccm.iccm_n_373 ),
+	.A2_N(n_8037),
+	.B1(n_4846),
+	.B2(\brqrv_top_mem_iccm.iccm_n_373 ),
+	.Y(\brqrv_top_mem_iccm.iccm_addr_bank [11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g792831 (
+	.A1_N(\brqrv_top_mem_iccm.iccm_n_373 ),
+	.A2_N(n_8036),
+	.B1(n_4848),
+	.B2(\brqrv_top_mem_iccm.iccm_n_373 ),
+	.Y(\brqrv_top_mem_iccm.iccm_addr_bank [10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g792832 (
+	.A(n_8035),
+	.B(n_5804),
+	.C(n_3086),
+	.D(n_5995),
+	.Y(n_8037), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g792833 (
+	.A(n_5990),
+	.B(n_5749),
+	.C(n_1402),
+	.Y(n_8036), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g792834 (
+	.A(n_8034),
+	.B(n_41696),
+	.Y(n_8035), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g792835 (
+	.A1_N(\brqrv_top_mem_iccm.iccm_n_373 ),
+	.A2_N(n_8033),
+	.B1(n_4847),
+	.B2(\brqrv_top_mem_iccm.iccm_n_373 ),
+	.Y(\brqrv_top_mem_iccm.iccm_addr_bank [9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g792837 (
+	.A1_N(n_1957),
+	.A2_N(n_8031),
+	.B1(n_1957),
+	.B2(n_8031),
+	.Y(n_8034), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g792838 (
+	.A(n_5824),
+	.B(n_1419),
+	.C(n_1403),
+	.Y(n_8033), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g792839 (
+	.A1_N(\brqrv_top_mem_iccm.iccm_n_373 ),
+	.A2_N(n_8030),
+	.B1(n_4845),
+	.B2(\brqrv_top_mem_iccm.iccm_n_373 ),
+	.Y(\brqrv_top_mem_iccm.iccm_addr_bank [8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g792841 (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[10]),
+	.B(n_1493),
+	.X(n_8032), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g792842 (
+	.A(n_1493),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[10]),
+	.X(n_8031), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g792843 (
+	.A(n_6966),
+	.B(n_8020),
+	.C(n_3055),
+	.D(n_6823),
+	.Y(n_8030), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g792845 (
+	.A(n_8022),
+	.B(n_7952),
+	.C(n_7990),
+	.D(n_7953),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_in [28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g792846 (
+	.A(n_8021),
+	.B(n_7950),
+	.C(n_7989),
+	.D(n_7951),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_in [27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g792847 (
+	.A(n_8029),
+	.B(n_7948),
+	.C(n_7988),
+	.D(n_7949),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_in [26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g792848 (
+	.A(n_8019),
+	.B(n_7993),
+	.C(n_7987),
+	.D(n_7947),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_in [25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g792849 (
+	.A(n_8018),
+	.B(n_7944),
+	.C(n_7986),
+	.D(n_7897),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_in [24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g792850 (
+	.A(n_8017),
+	.B(n_7942),
+	.C(n_7985),
+	.D(n_7943),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_in [23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g792851 (
+	.A(n_8016),
+	.B(n_7940),
+	.C(n_7984),
+	.D(n_7941),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_in [22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g792852 (
+	.A(n_7938),
+	.B(n_7983),
+	.C(n_7939),
+	.D(n_8015),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_in [21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g792853 (
+	.A(n_8014),
+	.B(n_7936),
+	.C(n_7982),
+	.D(n_7937),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_in [20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g792854 (
+	.A(n_8013),
+	.B(n_7934),
+	.C(n_7981),
+	.D(n_7935),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_in [19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g792855 (
+	.A(n_8027),
+	.B(n_7932),
+	.C(n_7980),
+	.D(n_7933),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_in [18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g792856 (
+	.A(n_8003),
+	.B(n_7930),
+	.C(n_7979),
+	.D(n_7931),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_in [17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g792857 (
+	.A(n_8011),
+	.B(n_7928),
+	.C(n_7978),
+	.D(n_7929),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_in [16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g792858 (
+	.A(n_8010),
+	.B(n_7926),
+	.C(n_7977),
+	.D(n_7927),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_in [15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g792859 (
+	.A(n_8009),
+	.B(n_7924),
+	.C(n_7976),
+	.D(n_7925),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_in [14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g792860 (
+	.A(n_7922),
+	.B(n_7975),
+	.C(n_7923),
+	.D(n_8008),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_in [13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g792861 (
+	.A(n_8007),
+	.B(n_7920),
+	.C(n_7974),
+	.D(n_7921),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_in [12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g792862 (
+	.A(n_8005),
+	.B(n_7916),
+	.C(n_7972),
+	.D(n_7917),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_in [10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g792863 (
+	.A(n_8004),
+	.B(n_7914),
+	.C(n_7971),
+	.D(n_7915),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_in [9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g792864 (
+	.A(n_8006),
+	.B(n_7918),
+	.C(n_7973),
+	.D(n_7919),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_in [11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g792865 (
+	.A(n_8002),
+	.B(n_7911),
+	.C(n_7969),
+	.D(n_7910),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_in [7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g792866 (
+	.A(n_8001),
+	.B(n_7908),
+	.C(n_7968),
+	.D(n_7909),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_in [6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g792867 (
+	.A(n_8012),
+	.B(n_7912),
+	.C(n_7970),
+	.D(n_7913),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_in [8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g792868 (
+	.A(n_8000),
+	.B(n_7906),
+	.C(n_7967),
+	.D(n_7907),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_in [5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g792869 (
+	.A(n_7999),
+	.B(n_7904),
+	.C(n_7965),
+	.D(n_7905),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_in [4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g792870 (
+	.A(n_7954),
+	.B(n_7991),
+	.C(n_7955),
+	.D(n_8023),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_in [29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g792871 (
+	.A(n_7998),
+	.B(n_7902),
+	.C(n_7966),
+	.D(n_7903),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_in [3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g792872 (
+	.A(n_7997),
+	.B(n_7900),
+	.C(n_7964),
+	.D(n_7901),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_in [2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g792873 (
+	.A(n_8024),
+	.B(n_7956),
+	.C(n_7992),
+	.D(n_7957),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_in [30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g792874 (
+	.A(n_8026),
+	.B(n_7959),
+	.C(n_7960),
+	.D(n_7958),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_in [31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g792875 (
+	.A(n_7995),
+	.B(n_7961),
+	.C(n_7962),
+	.D(n_7963),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_in [32]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g792876 (
+	.A(n_7994),
+	.B(n_7946),
+	.C(n_7899),
+	.D(n_7898),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_in [1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_2 g792877 (
+	.A1_N(n_4849),
+	.A2_N(n_7859),
+	.B1(n_8025),
+	.B2(n_7859),
+	.Y(\brqrv_top_mem_iccm.iccm_addr_bank [7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g792878 (
+	.A(n_8028),
+	.B(n_7996),
+	.C(n_4100),
+	.D(n_7896),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_in [0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g792880 (
+	.A1(n_37198),
+	.A2(n_7886),
+	.B1(n_7892),
+	.B2(n_37062),
+	.C1(n_1432),
+	.C2(n_37026),
+	.Y(n_8029), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o31ai_1 g792881 (
+	.A1(n_7886),
+	.A2(n_7893),
+	.A3(n_1433),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [30]),
+	.Y(n_8028), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g792882 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [15]),
+	.A2(n_7888),
+	.B1(n_7892),
+	.B2(n_37054),
+	.C1(n_7887),
+	.C2(n_37158),
+	.Y(n_8027), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g792883 (
+	.A1(n_7888),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [28]),
+	.B1(n_7893),
+	.B2(n_37137),
+	.C1(n_4422),
+	.Y(n_8026), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g792884 (
+	.A1(n_5039),
+	.A2(n_6469),
+	.B1(n_7945),
+	.Y(n_8025), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g792885 (
+	.A1(n_37102),
+	.A2(n_7891),
+	.B1(n_7894),
+	.B2(n_37236),
+	.C1(n_7892),
+	.C2(n_37066),
+	.Y(n_8024), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g792886 (
+	.A1(n_37101),
+	.A2(n_7891),
+	.B1(n_7894),
+	.B2(n_37235),
+	.C1(n_1432),
+	.C2(n_37029),
+	.Y(n_8023), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g792887 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [25]),
+	.A2(n_7888),
+	.B1(n_7892),
+	.B2(n_37064),
+	.C1(n_7887),
+	.C2(n_37168),
+	.Y(n_8022), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g792888 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [24]),
+	.A2(n_7888),
+	.B1(n_7892),
+	.B2(n_37063),
+	.C1(n_7887),
+	.C2(n_37167),
+	.Y(n_8021), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g792889 (
+	.A(n_41696),
+	.B(n_7895),
+	.Y(n_8020), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g792890 (
+	.A1(n_37025),
+	.A2(n_1432),
+	.B1(n_7888),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [22]),
+	.C1(n_7887),
+	.C2(n_43633),
+	.Y(n_8019), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g792891 (
+	.A1(n_37024),
+	.A2(n_1432),
+	.B1(n_7888),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [21]),
+	.C1(n_7887),
+	.C2(n_43635),
+	.Y(n_8018), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g792892 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [20]),
+	.A2(n_7888),
+	.B1(n_7892),
+	.B2(n_37059),
+	.C1(n_7887),
+	.C2(n_37163),
+	.Y(n_8017), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g792893 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [19]),
+	.A2(n_7888),
+	.B1(n_7892),
+	.B2(n_37058),
+	.C1(n_7887),
+	.C2(n_37162),
+	.Y(n_8016), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g792894 (
+	.A1(n_37093),
+	.A2(n_7891),
+	.B1(n_7894),
+	.B2(n_43665),
+	.C1(n_1432),
+	.C2(n_37021),
+	.Y(n_8015), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g792895 (
+	.A1(n_37020),
+	.A2(n_1432),
+	.B1(n_7888),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [17]),
+	.C1(n_7887),
+	.C2(n_37160),
+	.Y(n_8014), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g792896 (
+	.A1(n_37019),
+	.A2(n_1432),
+	.B1(n_7888),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [16]),
+	.C1(n_7887),
+	.C2(n_37159),
+	.Y(n_8013), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_2 g792897 (
+	.A(n_1889),
+	.B(n_7889),
+	.Y(n_1493), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g792898 (
+	.A1(n_37080),
+	.A2(n_7891),
+	.B1(n_7894),
+	.B2(n_37214),
+	.C1(n_7892),
+	.C2(n_37044),
+	.Y(n_8012), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g792899 (
+	.A1(n_37188),
+	.A2(n_7886),
+	.B1(n_7888),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [13]),
+	.C1(n_1432),
+	.C2(n_37016),
+	.Y(n_8011), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g792900 (
+	.A1(n_37015),
+	.A2(n_1432),
+	.B1(n_7888),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [12]),
+	.C1(n_7887),
+	.C2(n_37155),
+	.Y(n_8010), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g792901 (
+	.A1(n_37014),
+	.A2(n_1432),
+	.B1(n_7888),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [11]),
+	.C1(n_7887),
+	.C2(n_37154),
+	.Y(n_8009), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g792902 (
+	.A1(n_37085),
+	.A2(n_7891),
+	.B1(n_7894),
+	.B2(n_37219),
+	.C1(n_1432),
+	.C2(n_37013),
+	.Y(n_8008), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g792903 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [9]),
+	.A2(n_7888),
+	.B1(n_7892),
+	.B2(n_37048),
+	.C1(n_7887),
+	.C2(n_37152),
+	.Y(n_8007), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g792904 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [8]),
+	.A2(n_7888),
+	.B1(n_7892),
+	.B2(n_37047),
+	.C1(n_7887),
+	.C2(n_37151),
+	.Y(n_8006), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g792905 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [7]),
+	.A2(n_7888),
+	.B1(n_7892),
+	.B2(n_37046),
+	.C1(n_7887),
+	.C2(n_37150),
+	.Y(n_8005), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g792906 (
+	.A1(n_37009),
+	.A2(n_1432),
+	.B1(n_7888),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [6]),
+	.C1(n_7887),
+	.C2(n_37149),
+	.Y(n_8004), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g792907 (
+	.A1(n_37189),
+	.A2(n_7886),
+	.B1(n_7892),
+	.B2(n_37053),
+	.C1(n_1432),
+	.C2(n_37017),
+	.Y(n_8003), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g792908 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [4]),
+	.A2(n_7888),
+	.B1(n_7892),
+	.B2(n_37043),
+	.C1(n_7887),
+	.C2(n_37147),
+	.Y(n_8002), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g792909 (
+	.A1(n_37006),
+	.A2(n_1432),
+	.B1(n_7888),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [3]),
+	.C1(n_7887),
+	.C2(n_37146),
+	.Y(n_8001), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g792910 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [2]),
+	.A2(n_7888),
+	.B1(n_7892),
+	.B2(n_37041),
+	.C1(n_7887),
+	.C2(n_37145),
+	.Y(n_8000), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g792911 (
+	.A1(n_37176),
+	.A2(n_7886),
+	.B1(n_7888),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [1]),
+	.C1(n_1432),
+	.C2(n_43687),
+	.Y(n_7999), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g792912 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [0]),
+	.A2(n_7888),
+	.B1(n_7892),
+	.B2(n_37039),
+	.C1(n_7887),
+	.C2(n_37143),
+	.Y(n_7998), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g792913 (
+	.A1(n_37002),
+	.A2(n_1432),
+	.B1(n_7887),
+	.B2(n_37142),
+	.C1(n_7892),
+	.C2(n_37038),
+	.Y(n_7997), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g792914 (
+	.A1(n_37072),
+	.A2(n_7891),
+	.B1(n_7887),
+	.B2(n_37140),
+	.C1(n_7892),
+	.C2(n_37036),
+	.Y(n_7996), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g792915 (
+	.A1(n_7886),
+	.A2(n_37204),
+	.B1(n_7888),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [29]),
+	.C1(n_1406),
+	.Y(n_7995), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g792916 (
+	.A1(n_7893),
+	.A2(n_37107),
+	.B1(n_7887),
+	.B2(n_37141),
+	.C1(n_4099),
+	.Y(n_7994), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g792918 (
+	.A1(n_7894),
+	.A2(n_37231),
+	.B1(n_7892),
+	.B2(n_37061),
+	.Y(n_7993), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g792919 (
+	.A1(n_7893),
+	.A2(n_37136),
+	.B1(n_4015),
+	.Y(n_7992), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g792920 (
+	.A1(n_7892),
+	.A2(n_37065),
+	.B1(n_4016),
+	.Y(n_7991), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g792921 (
+	.A1(n_7893),
+	.A2(n_37134),
+	.B1(n_4017),
+	.Y(n_7990), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g792922 (
+	.A1(n_7893),
+	.A2(n_37133),
+	.B1(n_4019),
+	.Y(n_7989), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g792923 (
+	.A1(n_7893),
+	.A2(n_43637),
+	.B1(n_4020),
+	.Y(n_7988), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g792924 (
+	.A1(n_7893),
+	.A2(n_43639),
+	.B1(n_4021),
+	.Y(n_7987), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g792925 (
+	.A1(n_7893),
+	.A2(n_43641),
+	.B1(n_4022),
+	.Y(n_7986), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g792926 (
+	.A1(n_7893),
+	.A2(n_37129),
+	.B1(n_4027),
+	.Y(n_7985), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g792927 (
+	.A1(n_7893),
+	.A2(n_37128),
+	.B1(n_4029),
+	.Y(n_7984), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g792928 (
+	.A1(n_7892),
+	.A2(n_37057),
+	.B1(n_4032),
+	.Y(n_7983), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g792929 (
+	.A1(n_7893),
+	.A2(n_37126),
+	.B1(n_4033),
+	.Y(n_7982), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g792930 (
+	.A1(n_7893),
+	.A2(n_37125),
+	.B1(n_4034),
+	.Y(n_7981), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g792931 (
+	.A1(n_7893),
+	.A2(n_37124),
+	.B1(n_4042),
+	.Y(n_7980), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g792932 (
+	.A1(n_7893),
+	.A2(n_37123),
+	.B1(n_4062),
+	.Y(n_7979), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g792933 (
+	.A1(n_7893),
+	.A2(n_37122),
+	.B1(n_4070),
+	.Y(n_7978), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g792934 (
+	.A1(n_7893),
+	.A2(n_37121),
+	.B1(n_4071),
+	.Y(n_7977), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g792935 (
+	.A1(n_7893),
+	.A2(n_37120),
+	.B1(n_4073),
+	.Y(n_7976), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g792936 (
+	.A1(n_7892),
+	.A2(n_37049),
+	.B1(n_4077),
+	.Y(n_7975), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g792937 (
+	.A1(n_7893),
+	.A2(n_37118),
+	.B1(n_4078),
+	.Y(n_7974), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g792938 (
+	.A1(n_7893),
+	.A2(n_37117),
+	.B1(n_4083),
+	.Y(n_7973), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g792939 (
+	.A1(n_7893),
+	.A2(n_37116),
+	.B1(n_4085),
+	.Y(n_7972), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g792940 (
+	.A1(n_7893),
+	.A2(n_37115),
+	.B1(n_4086),
+	.Y(n_7971), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g792941 (
+	.A1(n_7893),
+	.A2(n_37114),
+	.B1(n_4087),
+	.Y(n_7970), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g792942 (
+	.A1(n_7893),
+	.A2(n_37113),
+	.B1(n_4088),
+	.Y(n_7969), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g792943 (
+	.A1(n_7893),
+	.A2(n_37112),
+	.B1(n_4026),
+	.Y(n_7968), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g792944 (
+	.A1(n_7893),
+	.A2(n_37111),
+	.B1(n_4089),
+	.Y(n_7967), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g792945 (
+	.A1(n_7893),
+	.A2(n_37109),
+	.B1(n_4096),
+	.Y(n_7966), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g792946 (
+	.A1(n_7893),
+	.A2(n_37110),
+	.B1(n_4093),
+	.Y(n_7965), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g792947 (
+	.A1(n_7893),
+	.A2(n_37108),
+	.B1(n_4097),
+	.Y(n_7964), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g792948 (
+	.A1_N(n_7859),
+	.A2_N(n_6704),
+	.B1(n_7885),
+	.B2(n_7859),
+	.Y(\brqrv_top_mem_iccm.iccm_addr_bank [6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g792949 (
+	.A1(n_7887),
+	.A2(n_37172),
+	.B1(n_7893),
+	.B2(n_37138),
+	.Y(n_7963), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g792950 (
+	.A1(n_7891),
+	.A2(n_37104),
+	.B1(n_1432),
+	.B2(n_37032),
+	.Y(n_7962), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g792951 (
+	.A1(n_7894),
+	.A2(n_43645),
+	.B1(n_7892),
+	.B2(n_43671),
+	.Y(n_7961), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g792952 (
+	.A1(n_7891),
+	.A2(n_37103),
+	.B1(n_1432),
+	.B2(n_37031),
+	.Y(n_7960), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g792953 (
+	.A1(n_7894),
+	.A2(n_43647),
+	.B1(n_7892),
+	.B2(n_43673),
+	.Y(n_7959), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g792954 (
+	.A1(n_7887),
+	.A2(n_37171),
+	.B1(n_7886),
+	.B2(n_43675),
+	.Y(n_7958), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g792955 (
+	.A1(n_7886),
+	.A2(n_43677),
+	.B1(n_1432),
+	.B2(n_37030),
+	.Y(n_7957), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g792956 (
+	.A1(n_7888),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [27]),
+	.B1(n_7887),
+	.B2(n_37170),
+	.Y(n_7956), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g792957 (
+	.A1(n_7893),
+	.A2(n_37135),
+	.B1(n_7886),
+	.B2(n_37201),
+	.Y(n_7955), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g792958 (
+	.A1(n_7888),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [26]),
+	.B1(n_7887),
+	.B2(n_37169),
+	.Y(n_7954), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g792959 (
+	.A1(n_7886),
+	.A2(n_37200),
+	.B1(n_7891),
+	.B2(n_37100),
+	.Y(n_7953), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g792960 (
+	.A1(n_7894),
+	.A2(n_37234),
+	.B1(n_1432),
+	.B2(n_37028),
+	.Y(n_7952), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g792961 (
+	.A1(n_7886),
+	.A2(n_37199),
+	.B1(n_1432),
+	.B2(n_37027),
+	.Y(n_7951), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g792962 (
+	.A1(n_7894),
+	.A2(n_37233),
+	.B1(n_7891),
+	.B2(n_37099),
+	.Y(n_7950), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g792963 (
+	.A1(n_7887),
+	.A2(n_37166),
+	.B1(n_7891),
+	.B2(n_37098),
+	.Y(n_7949), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g792964 (
+	.A1(n_7888),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [23]),
+	.B1(n_7894),
+	.B2(n_37232),
+	.Y(n_7948), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g792965 (
+	.A1(n_7886),
+	.A2(n_37197),
+	.B1(n_7891),
+	.B2(n_37097),
+	.Y(n_7947), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g792966 (
+	.A(n_1433),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [31]),
+	.Y(n_7946), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g792967 (
+	.A(n_7890),
+	.B(n_6605),
+	.C(n_3175),
+	.Y(n_7945), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g792968 (
+	.A1(n_7894),
+	.A2(n_37230),
+	.B1(n_7892),
+	.B2(n_37060),
+	.Y(n_7944), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g792969 (
+	.A1(n_7886),
+	.A2(n_37195),
+	.B1(n_1432),
+	.B2(n_37023),
+	.Y(n_7943), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g792970 (
+	.A1(n_7894),
+	.A2(n_37229),
+	.B1(n_7891),
+	.B2(n_37095),
+	.Y(n_7942), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g792971 (
+	.A1(n_7886),
+	.A2(n_37194),
+	.B1(n_1432),
+	.B2(n_37022),
+	.Y(n_7941), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g792972 (
+	.A1(n_7894),
+	.A2(n_37228),
+	.B1(n_7891),
+	.B2(n_37094),
+	.Y(n_7940), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g792973 (
+	.A1(n_7893),
+	.A2(n_37127),
+	.B1(n_7886),
+	.B2(n_37193),
+	.Y(n_7939), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g792974 (
+	.A1(n_7888),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [18]),
+	.B1(n_7887),
+	.B2(n_37161),
+	.Y(n_7938), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g792975 (
+	.A1(n_7886),
+	.A2(n_37192),
+	.B1(n_7891),
+	.B2(n_37092),
+	.Y(n_7937), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g792976 (
+	.A1(n_7894),
+	.A2(n_43667),
+	.B1(n_7892),
+	.B2(n_37056),
+	.Y(n_7936), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g792977 (
+	.A1(n_7886),
+	.A2(n_43679),
+	.B1(n_7891),
+	.B2(n_37091),
+	.Y(n_7935), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g792978 (
+	.A1(n_7894),
+	.A2(n_43669),
+	.B1(n_7892),
+	.B2(n_37055),
+	.Y(n_7934), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g792979 (
+	.A1(n_7886),
+	.A2(n_42226),
+	.B1(n_7891),
+	.B2(n_37090),
+	.Y(n_7933), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g792980 (
+	.A1(n_7894),
+	.A2(n_37224),
+	.B1(n_1432),
+	.B2(n_37018),
+	.Y(n_7932), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g792981 (
+	.A1(n_7887),
+	.A2(n_37157),
+	.B1(n_7891),
+	.B2(n_37089),
+	.Y(n_7931), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g792982 (
+	.A1(n_7888),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [14]),
+	.B1(n_7894),
+	.B2(n_37223),
+	.Y(n_7930), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g792983 (
+	.A1(n_7887),
+	.A2(n_37156),
+	.B1(n_7891),
+	.B2(n_37088),
+	.Y(n_7929), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g792984 (
+	.A1(n_7894),
+	.A2(n_37222),
+	.B1(n_7892),
+	.B2(n_37052),
+	.Y(n_7928), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g792985 (
+	.A1(n_7886),
+	.A2(n_37187),
+	.B1(n_7891),
+	.B2(n_37087),
+	.Y(n_7927), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g792986 (
+	.A1(n_7894),
+	.A2(n_37221),
+	.B1(n_7892),
+	.B2(n_37051),
+	.Y(n_7926), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g792987 (
+	.A1(n_7886),
+	.A2(n_37186),
+	.B1(n_7891),
+	.B2(n_37086),
+	.Y(n_7925), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g792988 (
+	.A1(n_7894),
+	.A2(n_37220),
+	.B1(n_7892),
+	.B2(n_37050),
+	.Y(n_7924), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g792989 (
+	.A1(n_7893),
+	.A2(n_37119),
+	.B1(n_7886),
+	.B2(n_37185),
+	.Y(n_7923), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g792990 (
+	.A1(n_7888),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [10]),
+	.B1(n_7887),
+	.B2(n_37153),
+	.Y(n_7922), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g792991 (
+	.A1(n_7886),
+	.A2(n_37184),
+	.B1(n_7891),
+	.B2(n_37084),
+	.Y(n_7921), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g792992 (
+	.A1(n_7894),
+	.A2(n_37218),
+	.B1(n_1432),
+	.B2(n_37012),
+	.Y(n_7920), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g792993 (
+	.A1(n_7891),
+	.A2(n_37083),
+	.B1(n_1432),
+	.B2(n_37011),
+	.Y(n_7919), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g792994 (
+	.A1(n_7894),
+	.A2(n_37217),
+	.B1(n_7886),
+	.B2(n_37183),
+	.Y(n_7918), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g792995 (
+	.A1(n_7886),
+	.A2(n_43681),
+	.B1(n_1432),
+	.B2(n_43685),
+	.Y(n_7917), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g792996 (
+	.A1(n_7894),
+	.A2(n_37216),
+	.B1(n_7891),
+	.B2(n_37082),
+	.Y(n_7916), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g792997 (
+	.A1(n_7886),
+	.A2(n_37181),
+	.B1(n_7891),
+	.B2(n_37081),
+	.Y(n_7915), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g792998 (
+	.A1(n_7894),
+	.A2(n_37215),
+	.B1(n_7892),
+	.B2(n_37045),
+	.Y(n_7914), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g792999 (
+	.A1(n_7886),
+	.A2(n_37180),
+	.B1(n_1432),
+	.B2(n_37008),
+	.Y(n_7913), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g793000 (
+	.A1(n_7888),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [5]),
+	.B1(n_7887),
+	.B2(n_37148),
+	.Y(n_7912), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g793001 (
+	.A1(n_7894),
+	.A2(n_37213),
+	.B1(n_1432),
+	.B2(n_37007),
+	.Y(n_7911), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g793002 (
+	.A1(n_7886),
+	.A2(n_37179),
+	.B1(n_7891),
+	.B2(n_37079),
+	.Y(n_7910), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g793003 (
+	.A1(n_7886),
+	.A2(n_37178),
+	.B1(n_7891),
+	.B2(n_37078),
+	.Y(n_7909), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g793004 (
+	.A1(n_7894),
+	.A2(n_37212),
+	.B1(n_7892),
+	.B2(n_37042),
+	.Y(n_7908), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g793005 (
+	.A1(n_7886),
+	.A2(n_43683),
+	.B1(n_7891),
+	.B2(n_37077),
+	.Y(n_7907), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g793006 (
+	.A1(n_7894),
+	.A2(n_37211),
+	.B1(n_1432),
+	.B2(n_37005),
+	.Y(n_7906), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g793007 (
+	.A1(n_7892),
+	.A2(n_37040),
+	.B1(n_7891),
+	.B2(n_37076),
+	.Y(n_7905), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g793008 (
+	.A1(n_7894),
+	.A2(n_37210),
+	.B1(n_7887),
+	.B2(n_37144),
+	.Y(n_7904), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g793009 (
+	.A1(n_7886),
+	.A2(n_37175),
+	.B1(n_1432),
+	.B2(n_37003),
+	.Y(n_7903), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g793010 (
+	.A1(n_7894),
+	.A2(n_37209),
+	.B1(n_7891),
+	.B2(n_37075),
+	.Y(n_7902), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g793011 (
+	.A1(n_7886),
+	.A2(n_37174),
+	.B1(n_7891),
+	.B2(n_37074),
+	.Y(n_7901), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g793012 (
+	.A1(n_7888),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [32]),
+	.B1(n_7894),
+	.B2(n_37208),
+	.Y(n_7900), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g793013 (
+	.A1(n_7891),
+	.A2(n_37073),
+	.B1(n_1432),
+	.B2(n_37001),
+	.Y(n_7899), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g793014 (
+	.A1(n_7892),
+	.A2(n_37037),
+	.B1(n_7886),
+	.B2(n_37173),
+	.Y(n_7898), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g793015 (
+	.A1(n_7886),
+	.A2(n_37196),
+	.B1(n_7891),
+	.B2(n_37096),
+	.Y(n_7897), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793016 (
+	.A(n_1432),
+	.B(n_37000),
+	.Y(n_7896), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g793017 (
+	.A(n_1891),
+	.B(n_1492),
+	.Y(n_7895), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793020 (
+	.A(n_41696),
+	.B(n_7874),
+	.Y(n_7890), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g793021 (
+	.A(n_7883),
+	.B(n_7879),
+	.Y(n_7894), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g793022 (
+	.A(n_7881),
+	.B(n_7880),
+	.X(n_7893), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g793023 (
+	.A(n_7882),
+	.B(n_7879),
+	.Y(n_7892), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_0 g793024 (
+	.A(n_7884),
+	.B(n_7880),
+	.X(n_7891), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g793027 (
+	.A1(n_5039),
+	.A2(n_5889),
+	.B1(n_7878),
+	.Y(n_7885), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g793028 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_valid_ff ),
+	.A2(n_7877),
+	.B1(n_6811),
+	.B2(n_34336),
+	.C1(n_34515),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_in [0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g793029 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_valid_ff ),
+	.A2(n_7875),
+	.B1(n_5660),
+	.B2(n_34336),
+	.C1(n_34515),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_in [1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g793030 (
+	.A(n_1492),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[8]),
+	.Y(n_7889), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g793031 (
+	.A(n_7879),
+	.B_N(n_7881),
+	.Y(n_7888), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g793032 (
+	.A(n_7879),
+	.B_N(n_7884),
+	.Y(n_7887), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g793033 (
+	.A(n_7883),
+	.B_N(n_7880),
+	.Y(n_7886), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_2 g793036 (
+	.A1_N(n_6705),
+	.A2_N(n_7859),
+	.B1(n_7871),
+	.B2(n_7859),
+	.Y(\brqrv_top_mem_iccm.iccm_addr_bank [5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g793037 (
+	.A1(n_2948),
+	.A2(n_7864),
+	.B1(n_2940),
+	.B2(n_7863),
+	.C1(n_6627),
+	.Y(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_byteenff_din_new [2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g793038 (
+	.A1(n_2946),
+	.A2(n_7864),
+	.B1(n_2938),
+	.B2(n_7863),
+	.C1(n_6622),
+	.Y(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_byteenff_din_new [1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g793039 (
+	.A1(n_2944),
+	.A2(n_7864),
+	.B1(n_2950),
+	.B2(n_7863),
+	.C1(n_6620),
+	.Y(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_byteenff_din_new [3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g793040 (
+	.A1(n_2941),
+	.A2(n_7864),
+	.B1(n_2936),
+	.B2(n_7863),
+	.C1(n_6628),
+	.Y(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_byteenff_din_new [0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g793041 (
+	.A(n_7872),
+	.B(n_6204),
+	.C(n_3119),
+	.Y(n_7878), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g793042 (
+	.A(n_34221),
+	.B(n_7877),
+	.Y(n_7884), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793043 (
+	.A(n_7877),
+	.B(n_34221),
+	.Y(n_7883), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793044 (
+	.A(n_7876),
+	.B(n_34221),
+	.Y(n_7882), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g793045 (
+	.A(n_34221),
+	.B(n_7876),
+	.Y(n_7881), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g793046 (
+	.A(n_2642),
+	.B(n_7875),
+	.Y(n_7880), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793047 (
+	.A(n_7875),
+	.B(n_2643),
+	.Y(n_7879), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g793048 (
+	.A1(n_2946),
+	.A2(n_7870),
+	.B1(n_2938),
+	.B2(n_7867),
+	.C1(n_6613),
+	.Y(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_byteenff_din_new [1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g793049 (
+	.A1(n_2948),
+	.A2(n_7862),
+	.B1(n_2940),
+	.B2(n_7866),
+	.C1(n_6626),
+	.Y(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_byteenff_din_new [2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g793050 (
+	.A1(n_2946),
+	.A2(n_7862),
+	.B1(n_2938),
+	.B2(n_7866),
+	.C1(n_6615),
+	.Y(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_byteenff_din_new [1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g793051 (
+	.A1(n_2941),
+	.A2(n_7862),
+	.B1(n_2936),
+	.B2(n_7866),
+	.C1(n_6617),
+	.Y(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_byteenff_din_new [0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g793052 (
+	.A1(n_2944),
+	.A2(n_7870),
+	.B1(n_2950),
+	.B2(n_7867),
+	.C1(n_6621),
+	.Y(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_byteenff_din_new [3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g793053 (
+	.A1(n_2948),
+	.A2(n_7870),
+	.B1(n_2940),
+	.B2(n_7867),
+	.C1(n_6625),
+	.Y(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_byteenff_din_new [2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g793054 (
+	.A1(n_2944),
+	.A2(n_7862),
+	.B1(n_2950),
+	.B2(n_7866),
+	.C1(n_6614),
+	.Y(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_byteenff_din_new [3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g793055 (
+	.A1(n_2941),
+	.A2(n_7870),
+	.B1(n_2936),
+	.B2(n_7867),
+	.C1(n_6623),
+	.Y(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_byteenff_din_new [0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g793056 (
+	.A1(n_2944),
+	.A2(n_7868),
+	.B1(n_2950),
+	.B2(n_7869),
+	.C1(n_6616),
+	.Y(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_byteenff_din_new [3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g793057 (
+	.A1(n_2948),
+	.A2(n_7868),
+	.B1(n_2940),
+	.B2(n_7869),
+	.C1(n_6624),
+	.Y(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_byteenff_din_new [2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g793058 (
+	.A1(n_2946),
+	.A2(n_7868),
+	.B1(n_2938),
+	.B2(n_7869),
+	.C1(n_6618),
+	.Y(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_byteenff_din_new [1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g793059 (
+	.A1(n_2941),
+	.A2(n_7868),
+	.B1(n_2936),
+	.B2(n_7869),
+	.C1(n_6619),
+	.Y(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_byteenff_din_new [0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g793060 (
+	.A(n_7877),
+	.Y(n_7876), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g793061 (
+	.A(n_34114),
+	.B(n_7873),
+	.Y(n_7877), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g793062 (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[7]),
+	.B(n_1491),
+	.Y(n_7874), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g793063 (
+	.A1(n_35220),
+	.A2(n_7860),
+	.B1(n_35221),
+	.C1(n_7873),
+	.Y(n_7875), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793064 (
+	.A(n_41696),
+	.B(n_1430),
+	.Y(n_7872), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g793065 (
+	.A1(n_7860),
+	.A2(n_35222),
+	.B1_N(n_35223),
+	.Y(n_7873), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_2 g793066 (
+	.A(n_1584),
+	.B(n_1491),
+	.Y(n_1492), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g793067 (
+	.A1(n_5039),
+	.A2(n_5424),
+	.B1(n_7861),
+	.Y(n_7871), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g793068 (
+	.A1_N(n_7859),
+	.A2_N(n_6703),
+	.B1(n_7617),
+	.B2(n_7859),
+	.Y(\brqrv_top_mem_iccm.iccm_addr_bank [4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g793069 (
+	.A(\brqrv_top_mem_iccm.iccm_n_373 ),
+	.B(n_7865),
+	.X(\brqrv_top_mem_iccm.iccm_n_372 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g793070 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[2]),
+	.A2_N(n_7508),
+	.B1(brqrv_top_brqrv_dec_tlu_force_halt),
+	.B2(n_7857),
+	.Y(n_36768), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g793072 (
+	.A1(n_7726),
+	.A2(n_3635),
+	.B1(brqrv_top_icm_clk_override),
+	.Y(n_7865), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793073 (
+	.A(n_1431),
+	.B(n_43394),
+	.Y(\brqrv_top_mem_iccm.iccm_n_395 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793074 (
+	.A(n_7856),
+	.B(n_43394),
+	.Y(\brqrv_top_mem_iccm.iccm_n_385 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793075 (
+	.A(n_7858),
+	.B(n_43394),
+	.Y(\brqrv_top_mem_iccm.iccm_n_405 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g793076 (
+	.A(n_7801),
+	.B(n_7397),
+	.C(n_7673),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[75]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g793077 (
+	.A(n_7802),
+	.B(n_7398),
+	.C(n_7674),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[76]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g793078 (
+	.A(n_7803),
+	.B(n_7399),
+	.C(n_7675),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[77]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g793079 (
+	.A(n_7804),
+	.B(n_7400),
+	.C(n_7676),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[78]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g793080 (
+	.A(n_7805),
+	.B(n_7401),
+	.C(n_7677),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[79]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793081 (
+	.A(n_7855),
+	.B(n_7618),
+	.Y(brqrv_top_brqrv_lsu_stbuf_WrPtrff_n_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g793082 (
+	.A(n_7853),
+	.B(n_7433),
+	.C(n_7669),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[63]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g793083 (
+	.A(n_7852),
+	.B(n_7432),
+	.C(n_7668),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[62]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g793084 (
+	.A(n_7851),
+	.B(n_7431),
+	.C(n_7667),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[61]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g793085 (
+	.A(n_7850),
+	.B(n_7430),
+	.C(n_7666),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[60]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g793086 (
+	.A(n_7849),
+	.B(n_7429),
+	.C(n_7665),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[59]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g793087 (
+	.A(n_7848),
+	.B(n_7428),
+	.C(n_7664),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[58]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g793088 (
+	.A(n_7847),
+	.B(n_7427),
+	.C(n_7725),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[57]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g793089 (
+	.A(n_7846),
+	.B(n_7426),
+	.C(n_7662),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[56]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g793090 (
+	.A(n_7845),
+	.B(n_7610),
+	.C(n_7661),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[55]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g793091 (
+	.A(n_7844),
+	.B(n_7609),
+	.C(n_7660),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[54]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g793092 (
+	.A(n_7843),
+	.B(n_7608),
+	.C(n_7659),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[53]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g793093 (
+	.A(n_7842),
+	.B(n_7607),
+	.C(n_7658),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[52]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g793094 (
+	.A(n_7841),
+	.B(n_7606),
+	.C(n_7657),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[51]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g793095 (
+	.A(n_7840),
+	.B(n_7605),
+	.C(n_7656),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[50]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g793096 (
+	.A(n_7839),
+	.B(n_7604),
+	.C(n_7655),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[49]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g793097 (
+	.A(n_7838),
+	.B(n_7603),
+	.C(n_7654),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[48]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g793098 (
+	.A(n_7837),
+	.B(n_7425),
+	.C(n_7653),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[47]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g793099 (
+	.A(n_7836),
+	.B(n_7424),
+	.C(n_7652),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[46]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g793100 (
+	.A(n_7835),
+	.B(n_7423),
+	.C(n_7651),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[45]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g793101 (
+	.A(n_7834),
+	.B(n_7422),
+	.C(n_7650),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[44]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g793102 (
+	.A(n_7833),
+	.B(n_7421),
+	.C(n_7649),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[43]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g793103 (
+	.A(n_7832),
+	.B(n_7420),
+	.C(n_7648),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[42]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g793104 (
+	.A(n_7831),
+	.B(n_7419),
+	.C(n_7646),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[41]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g793105 (
+	.A(n_7830),
+	.B(n_7418),
+	.C(n_7647),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[40]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g793106 (
+	.A(n_7821),
+	.B(n_7409),
+	.C(n_7692),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[95]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g793107 (
+	.A(n_7820),
+	.B(n_7408),
+	.C(n_7693),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[94]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g793108 (
+	.A(n_7819),
+	.B(n_7407),
+	.C(n_7691),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[93]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g793109 (
+	.A(n_7818),
+	.B(n_7406),
+	.C(n_7690),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[92]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g793110 (
+	.A(n_7817),
+	.B(n_7405),
+	.C(n_7689),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[91]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g793111 (
+	.A(n_7816),
+	.B(n_7404),
+	.C(n_7688),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[90]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g793112 (
+	.A(n_7815),
+	.B(n_7403),
+	.C(n_7687),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[89]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g793113 (
+	.A(n_7814),
+	.B(n_7402),
+	.C(n_7686),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[88]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g793114 (
+	.A(n_7813),
+	.B(n_7602),
+	.C(n_7685),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[87]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g793115 (
+	.A(n_7812),
+	.B(n_7601),
+	.C(n_7684),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[86]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g793116 (
+	.A(n_7811),
+	.B(n_7599),
+	.C(n_7683),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[85]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g793117 (
+	.A(n_7810),
+	.B(n_7600),
+	.C(n_7682),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[84]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g793118 (
+	.A(n_7809),
+	.B(n_7598),
+	.C(n_7681),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[83]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g793119 (
+	.A(n_7808),
+	.B(n_7597),
+	.C(n_7680),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[82]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g793120 (
+	.A(n_7807),
+	.B(n_7596),
+	.C(n_7679),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[81]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g793121 (
+	.A(n_7806),
+	.B(n_7595),
+	.C(n_7678),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[80]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g793122 (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_wr_en[2]),
+	.B(n_7205),
+	.Y(n_7870), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g793123 (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_wr_en[1]),
+	.B(n_7204),
+	.Y(n_7869), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g793124 (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_wr_en[1]),
+	.B(n_7268),
+	.Y(n_7868), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g793125 (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_wr_en[2]),
+	.B(n_7206),
+	.Y(n_7867), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g793126 (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_wr_en[3]),
+	.B(n_7210),
+	.Y(n_7866), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g793127 (
+	.A(n_38197),
+	.B(n_1429),
+	.Y(brqrv_top_brqrv_lsu_stbuf_WrPtrff_n_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g793128 (
+	.A(n_7798),
+	.B(n_7395),
+	.C(n_7671),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[73]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g793129 (
+	.A(n_7799),
+	.B(n_7394),
+	.C(n_7670),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[72]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g793130 (
+	.A(n_7789),
+	.B(n_7385),
+	.C(n_7645),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[127]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g793131 (
+	.A(n_7788),
+	.B(n_7384),
+	.C(n_7644),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[126]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g793132 (
+	.A(n_7787),
+	.B(n_7383),
+	.C(n_7643),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[125]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g793133 (
+	.A(n_7786),
+	.B(n_7382),
+	.C(n_7642),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[124]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g793134 (
+	.A(n_7785),
+	.B(n_7381),
+	.C(n_7641),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[123]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g793135 (
+	.A(n_7784),
+	.B(n_7380),
+	.C(n_7640),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[122]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g793136 (
+	.A(n_7783),
+	.B(n_7379),
+	.C(n_7639),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[121]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g793137 (
+	.A(n_7782),
+	.B(n_7378),
+	.C(n_7638),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[120]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g793138 (
+	.A(n_7781),
+	.B(n_7594),
+	.C(n_7637),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[119]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g793139 (
+	.A(n_7780),
+	.B(n_7592),
+	.C(n_7636),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[118]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g793140 (
+	.A(n_7778),
+	.B(n_7591),
+	.C(n_7634),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[116]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g793141 (
+	.A(n_7777),
+	.B(n_7590),
+	.C(n_7633),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[115]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g793142 (
+	.A(n_7776),
+	.B(n_7589),
+	.C(n_7632),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[114]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g793143 (
+	.A(n_7775),
+	.B(n_7588),
+	.C(n_7631),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[113]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g793144 (
+	.A(n_7774),
+	.B(n_7615),
+	.C(n_7630),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[112]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g793145 (
+	.A(n_7773),
+	.B(n_7377),
+	.C(n_7629),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[111]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g793146 (
+	.A(n_7772),
+	.B(n_7376),
+	.C(n_7628),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[110]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g793147 (
+	.A(n_7771),
+	.B(n_7375),
+	.C(n_7627),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[109]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g793148 (
+	.A(n_7770),
+	.B(n_7374),
+	.C(n_7626),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[108]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g793149 (
+	.A(n_7769),
+	.B(n_7373),
+	.C(n_7625),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[107]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g793150 (
+	.A(n_7768),
+	.B(n_7372),
+	.C(n_7624),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[106]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g793151 (
+	.A(n_7767),
+	.B(n_7371),
+	.C(n_7623),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[105]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g793152 (
+	.A(n_7766),
+	.B(n_7370),
+	.C(n_7622),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[104]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g793153 (
+	.A(n_7758),
+	.B(n_7361),
+	.C(n_7717),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g793154 (
+	.A(n_7756),
+	.B(n_7360),
+	.C(n_7716),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g793155 (
+	.A(n_7755),
+	.B(n_7359),
+	.C(n_7715),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g793156 (
+	.A(n_7754),
+	.B(n_7358),
+	.C(n_7714),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g793157 (
+	.A(n_7753),
+	.B(n_7357),
+	.C(n_7713),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g793158 (
+	.A(n_7752),
+	.B(n_7459),
+	.C(n_7712),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g793159 (
+	.A(n_7751),
+	.B(n_7293),
+	.C(n_7711),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g793160 (
+	.A(n_7750),
+	.B(n_7330),
+	.C(n_7710),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g793161 (
+	.A(n_7749),
+	.B(n_7487),
+	.C(n_7709),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g793162 (
+	.A(n_7748),
+	.B(n_7486),
+	.C(n_7708),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g793163 (
+	.A(n_7747),
+	.B(n_7485),
+	.C(n_7707),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g793164 (
+	.A(n_7746),
+	.B(n_7484),
+	.C(n_7706),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g793165 (
+	.A(n_7745),
+	.B(n_7483),
+	.C(n_7705),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g793166 (
+	.A(n_7744),
+	.B(n_7482),
+	.C(n_7704),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g793167 (
+	.A(n_7743),
+	.B(n_7481),
+	.C(n_7703),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g793168 (
+	.A(n_7742),
+	.B(n_7480),
+	.C(n_7702),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g793169 (
+	.A(n_7741),
+	.B(n_7329),
+	.C(n_7701),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g793170 (
+	.A(n_7740),
+	.B(n_7328),
+	.C(n_7700),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g793171 (
+	.A(n_7739),
+	.B(n_7327),
+	.C(n_7699),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g793172 (
+	.A(n_7737),
+	.B(n_7326),
+	.C(n_7698),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g793173 (
+	.A(n_7738),
+	.B(n_7325),
+	.C(n_7697),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g793174 (
+	.A(n_7736),
+	.B(n_7324),
+	.C(n_7696),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g793175 (
+	.A(n_7735),
+	.B(n_7323),
+	.C(n_7695),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g793176 (
+	.A(n_7734),
+	.B(n_7322),
+	.C(n_7694),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g793177 (
+	.A(n_7779),
+	.B(n_7593),
+	.C(n_7635),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[117]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g793178 (
+	.A(n_7800),
+	.B(n_7396),
+	.C(n_7672),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[74]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g793179 (
+	.A(n_7718),
+	.B(n_5656),
+	.C(n_3124),
+	.Y(n_7861), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g793180 (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_wr_en[0]),
+	.B(n_7209),
+	.Y(n_7864), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g793181 (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_wr_en[0]),
+	.B(n_7208),
+	.Y(n_7863), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g793182 (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_wr_en[3]),
+	.B(n_7207),
+	.Y(n_7862), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_6 g793184 (
+	.A(\brqrv_top_mem_iccm.iccm_n_373 ),
+	.Y(n_7859), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g793185 (
+	.A(n_7822),
+	.B(n_7410),
+	.C(n_7563),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[32]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793186 (
+	.A(n_7728),
+	.B(n_43404),
+	.Y(\brqrv_top_mem_iccm.iccm_n_386 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793187 (
+	.A(n_7730),
+	.B(n_1886),
+	.Y(n_7858), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793188 (
+	.A(n_7729),
+	.B(n_43404),
+	.Y(\brqrv_top_mem_iccm.iccm_n_396 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793190 (
+	.A(n_7731),
+	.B(n_43404),
+	.Y(\brqrv_top_mem_iccm.iccm_n_406 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g793191 (
+	.A(n_7757),
+	.B(n_7362),
+	.C(n_7488),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[96]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g793192 (
+	.A1(n_7508),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[1]),
+	.B1(n_7619),
+	.X(n_36764), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g793193 (
+	.A1(n_7507),
+	.A2(n_3176),
+	.B1(n_2959),
+	.Y(n_7857), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g793194 (
+	.A(n_7759),
+	.B(n_7363),
+	.C(n_7489),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[97]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32o_1 g793195 (
+	.A1(n_7507),
+	.A2(n_6078),
+	.A3(n_1787),
+	.B1(n_7508),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[0]),
+	.X(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_state_ff_n_8 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g793196 (
+	.A(n_7760),
+	.B(n_7364),
+	.C(n_7490),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[98]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g793197 (
+	.A(n_7828),
+	.B(n_7416),
+	.C(n_7569),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[38]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g793198 (
+	.A(n_7827),
+	.B(n_7415),
+	.C(n_7568),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[37]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g793199 (
+	.A(n_7825),
+	.B(n_7414),
+	.C(n_7567),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[36]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g793200 (
+	.A(n_7829),
+	.B(n_7417),
+	.C(n_7570),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[39]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g793201 (
+	.A(n_7824),
+	.B(n_7412),
+	.C(n_7565),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[34]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g793202 (
+	.A(n_7826),
+	.B(n_7413),
+	.C(n_7566),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[35]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793203 (
+	.A(n_43256),
+	.B(n_1886),
+	.Y(n_7856), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g793204 (
+	.A(n_7823),
+	.B(n_7411),
+	.C(n_7564),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[33]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g793205 (
+	.A(n_7797),
+	.B(n_7393),
+	.C(n_7578),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[71]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g793206 (
+	.A(n_7796),
+	.B(n_7392),
+	.C(n_7577),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[70]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g793207 (
+	.A(n_7793),
+	.B(n_7391),
+	.C(n_7576),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[69]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g793208 (
+	.A(n_7794),
+	.B(n_7389),
+	.C(n_7574),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[67]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g793209 (
+	.A(n_7854),
+	.B(n_7388),
+	.C(n_7573),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[66]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g793210 (
+	.A(n_7795),
+	.B(n_7390),
+	.C(n_7575),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[68]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g793211 (
+	.A(n_7791),
+	.B(n_7387),
+	.C(n_7572),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[65]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g793212 (
+	.A(n_7790),
+	.B(n_7386),
+	.C(n_7571),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[64]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g793213 (
+	.A(n_7765),
+	.B(n_7369),
+	.C(n_7562),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[103]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g793214 (
+	.A(n_7764),
+	.B(n_7368),
+	.C(n_7561),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[102]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g793215 (
+	.A(n_7763),
+	.B(n_7367),
+	.C(n_7560),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[101]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g793216 (
+	.A(n_7762),
+	.B(n_7366),
+	.C(n_7559),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[100]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g793217 (
+	.A(n_7761),
+	.B(n_7365),
+	.C(n_7476),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[99]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g793218 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_adder3_out [35]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_control_ff [2]),
+	.B1(n_7616),
+	.C1(n_2803),
+	.Y(n_7860), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g793219 (
+	.A(n_7719),
+	.B(n_7587),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_wr_en[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_4 g793220 (
+	.A(n_7726),
+	.B(n_43404),
+	.Y(\brqrv_top_mem_iccm.iccm_n_373 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g793225 (
+	.A(n_7732),
+	.B(n_7320),
+	.C(n_7585),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g793226 (
+	.A(n_7792),
+	.B(n_7319),
+	.C(n_7584),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g793227 (
+	.A(n_7663),
+	.B(n_7318),
+	.C(n_7583),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g793228 (
+	.A(n_7724),
+	.B(n_7317),
+	.C(n_7582),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g793229 (
+	.A(n_7723),
+	.B(n_7316),
+	.C(n_7581),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g793230 (
+	.A(n_7722),
+	.B(n_7315),
+	.C(n_7580),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g793231 (
+	.A(n_7721),
+	.B(n_7314),
+	.C(n_7579),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g793232 (
+	.A(n_7733),
+	.B(n_7321),
+	.C(n_7586),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g793233 (
+	.A1(n_7558),
+	.A2(brqrv_top_brqrv_lsu_stbuf_WrPtr[1]),
+	.B1(n_7435),
+	.Y(n_7855), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g793234 (
+	.A1(n_2919),
+	.A2(n_7511),
+	.B1(n_3610),
+	.Y(brqrv_top_brqrv_picm_wr_data[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g793235 (
+	.A1(n_2919),
+	.A2(n_7531),
+	.B1(n_3633),
+	.Y(brqrv_top_brqrv_picm_wr_data[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g793236 (
+	.A1(n_2919),
+	.A2(n_7555),
+	.B1(n_3632),
+	.Y(brqrv_top_brqrv_picm_wr_data[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g793237 (
+	.A1(n_2919),
+	.A2(n_7553),
+	.B1(n_3631),
+	.Y(brqrv_top_brqrv_picm_wr_data[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g793238 (
+	.A1(n_2919),
+	.A2(n_7551),
+	.B1(n_3630),
+	.Y(brqrv_top_brqrv_picm_wr_data[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g793239 (
+	.A1(n_2919),
+	.A2(n_7549),
+	.B1(n_3629),
+	.Y(brqrv_top_brqrv_picm_wr_data[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g793240 (
+	.A1(n_2919),
+	.A2(n_7547),
+	.B1(n_3628),
+	.Y(brqrv_top_brqrv_picm_wr_data[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g793241 (
+	.A1(n_2919),
+	.A2(n_7545),
+	.B1(n_3627),
+	.Y(brqrv_top_brqrv_picm_wr_data[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g793242 (
+	.A1(n_2919),
+	.A2(n_7543),
+	.B1(n_3626),
+	.Y(brqrv_top_brqrv_picm_wr_data[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g793243 (
+	.A1(n_2919),
+	.A2(n_7541),
+	.B1(n_3625),
+	.Y(brqrv_top_brqrv_picm_wr_data[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g793244 (
+	.A1(n_2919),
+	.A2(n_7539),
+	.B1(n_3624),
+	.Y(brqrv_top_brqrv_picm_wr_data[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g793245 (
+	.A1(n_2919),
+	.A2(n_7537),
+	.B1(n_3623),
+	.Y(brqrv_top_brqrv_picm_wr_data[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g793246 (
+	.A1(n_2919),
+	.A2(n_7535),
+	.B1(n_3622),
+	.Y(brqrv_top_brqrv_picm_wr_data[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g793247 (
+	.A1(n_2919),
+	.A2(n_7533),
+	.B1(n_3621),
+	.Y(brqrv_top_brqrv_picm_wr_data[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g793248 (
+	.A1(n_2919),
+	.A2(n_7509),
+	.B1(n_3620),
+	.Y(brqrv_top_brqrv_picm_wr_data[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g793249 (
+	.A1(n_2919),
+	.A2(n_7529),
+	.B1(n_3619),
+	.Y(brqrv_top_brqrv_picm_wr_data[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g793250 (
+	.A1(n_2919),
+	.A2(n_7527),
+	.B1(n_3618),
+	.Y(brqrv_top_brqrv_picm_wr_data[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g793251 (
+	.A1(n_2919),
+	.A2(n_7525),
+	.B1(n_3617),
+	.Y(brqrv_top_brqrv_picm_wr_data[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g793252 (
+	.A1(n_2919),
+	.A2(n_7523),
+	.B1(n_3616),
+	.Y(brqrv_top_brqrv_picm_wr_data[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g793253 (
+	.A1(n_2919),
+	.A2(n_7521),
+	.B1(n_3615),
+	.Y(brqrv_top_brqrv_picm_wr_data[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g793254 (
+	.A1(n_2919),
+	.A2(n_7519),
+	.B1(n_3614),
+	.Y(brqrv_top_brqrv_picm_wr_data[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g793255 (
+	.A1(n_2919),
+	.A2(n_7517),
+	.B1(n_3613),
+	.Y(brqrv_top_brqrv_picm_wr_data[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g793256 (
+	.A1(n_2919),
+	.A2(n_7515),
+	.B1(n_3612),
+	.Y(brqrv_top_brqrv_picm_wr_data[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g793257 (
+	.A1(n_2919),
+	.A2(n_7513),
+	.B1(n_3611),
+	.Y(brqrv_top_brqrv_picm_wr_data[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g793258 (
+	.A(n_7612),
+	.B(n_7620),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_wr_en[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g793259 (
+	.A(n_7720),
+	.B(n_7478),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_wr_en[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g793260 (
+	.A(n_7621),
+	.B(n_7477),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_wr_en[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793261 (
+	.A(n_7504),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[66]),
+	.Y(n_7854), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793262 (
+	.A(n_7495),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[63]),
+	.Y(n_7853), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793263 (
+	.A(n_7495),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[62]),
+	.Y(n_7852), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793264 (
+	.A(n_7495),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[61]),
+	.Y(n_7851), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793265 (
+	.A(n_7495),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[60]),
+	.Y(n_7850), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793266 (
+	.A(n_7495),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[59]),
+	.Y(n_7849), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793267 (
+	.A(n_7495),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[58]),
+	.Y(n_7848), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793268 (
+	.A(n_7495),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[57]),
+	.Y(n_7847), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793269 (
+	.A(n_7495),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[56]),
+	.Y(n_7846), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793270 (
+	.A(n_7494),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[55]),
+	.Y(n_7845), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793271 (
+	.A(n_7494),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[54]),
+	.Y(n_7844), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793272 (
+	.A(n_7494),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[53]),
+	.Y(n_7843), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793273 (
+	.A(n_7494),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[52]),
+	.Y(n_7842), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793274 (
+	.A(n_7494),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[51]),
+	.Y(n_7841), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793275 (
+	.A(n_7494),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[50]),
+	.Y(n_7840), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793276 (
+	.A(n_7494),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[49]),
+	.Y(n_7839), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793277 (
+	.A(n_7494),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[48]),
+	.Y(n_7838), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793278 (
+	.A(n_7493),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[47]),
+	.Y(n_7837), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793279 (
+	.A(n_7493),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[46]),
+	.Y(n_7836), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793280 (
+	.A(n_7493),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[45]),
+	.Y(n_7835), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793281 (
+	.A(n_7493),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[44]),
+	.Y(n_7834), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793282 (
+	.A(n_7493),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[43]),
+	.Y(n_7833), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793283 (
+	.A(n_7493),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[42]),
+	.Y(n_7832), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793284 (
+	.A(n_7493),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[41]),
+	.Y(n_7831), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793285 (
+	.A(n_7493),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[40]),
+	.Y(n_7830), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793286 (
+	.A(n_7492),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[39]),
+	.Y(n_7829), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793287 (
+	.A(n_7492),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[38]),
+	.Y(n_7828), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793288 (
+	.A(n_7492),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[37]),
+	.Y(n_7827), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793289 (
+	.A(n_7492),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[35]),
+	.Y(n_7826), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793290 (
+	.A(n_7492),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[36]),
+	.Y(n_7825), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793291 (
+	.A(n_7492),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[34]),
+	.Y(n_7824), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793292 (
+	.A(n_7492),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[33]),
+	.Y(n_7823), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793293 (
+	.A(n_7492),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[32]),
+	.Y(n_7822), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793294 (
+	.A(n_7491),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[95]),
+	.Y(n_7821), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793295 (
+	.A(n_7491),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[94]),
+	.Y(n_7820), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793296 (
+	.A(n_7491),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[93]),
+	.Y(n_7819), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793297 (
+	.A(n_7491),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[92]),
+	.Y(n_7818), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793298 (
+	.A(n_7491),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[91]),
+	.Y(n_7817), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793299 (
+	.A(n_7491),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[90]),
+	.Y(n_7816), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793300 (
+	.A(n_7491),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[89]),
+	.Y(n_7815), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793301 (
+	.A(n_7491),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[88]),
+	.Y(n_7814), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793302 (
+	.A(n_7506),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[87]),
+	.Y(n_7813), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793303 (
+	.A(n_7506),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[86]),
+	.Y(n_7812), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793304 (
+	.A(n_7506),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[85]),
+	.Y(n_7811), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793305 (
+	.A(n_7506),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[84]),
+	.Y(n_7810), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793306 (
+	.A(n_7506),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[83]),
+	.Y(n_7809), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793307 (
+	.A(n_7506),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[82]),
+	.Y(n_7808), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793308 (
+	.A(n_7506),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[81]),
+	.Y(n_7807), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793309 (
+	.A(n_7506),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[80]),
+	.Y(n_7806), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793310 (
+	.A(n_7505),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[79]),
+	.Y(n_7805), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793311 (
+	.A(n_7505),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[78]),
+	.Y(n_7804), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793312 (
+	.A(n_7505),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[77]),
+	.Y(n_7803), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793313 (
+	.A(n_7505),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[76]),
+	.Y(n_7802), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793314 (
+	.A(n_7505),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[75]),
+	.Y(n_7801), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793315 (
+	.A(n_7505),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[74]),
+	.Y(n_7800), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793316 (
+	.A(n_7505),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[72]),
+	.Y(n_7799), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793317 (
+	.A(n_7505),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[73]),
+	.Y(n_7798), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793318 (
+	.A(n_7504),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[71]),
+	.Y(n_7797), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793319 (
+	.A(n_7504),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[70]),
+	.Y(n_7796), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793320 (
+	.A(n_7504),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[68]),
+	.Y(n_7795), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793321 (
+	.A(n_7504),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[67]),
+	.Y(n_7794), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793322 (
+	.A(n_7504),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[69]),
+	.Y(n_7793), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793323 (
+	.A(n_7500),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[5]),
+	.Y(n_7792), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793324 (
+	.A(n_7504),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[65]),
+	.Y(n_7791), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793325 (
+	.A(n_7504),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[64]),
+	.Y(n_7790), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793326 (
+	.A(n_7499),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[127]),
+	.Y(n_7789), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793327 (
+	.A(n_7499),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[126]),
+	.Y(n_7788), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793328 (
+	.A(n_7499),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[125]),
+	.Y(n_7787), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793329 (
+	.A(n_7499),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[124]),
+	.Y(n_7786), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793330 (
+	.A(n_7499),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[123]),
+	.Y(n_7785), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793331 (
+	.A(n_7499),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[122]),
+	.Y(n_7784), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793332 (
+	.A(n_7499),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[121]),
+	.Y(n_7783), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793333 (
+	.A(n_7499),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[120]),
+	.Y(n_7782), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793334 (
+	.A(n_7498),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[119]),
+	.Y(n_7781), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793335 (
+	.A(n_7498),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[118]),
+	.Y(n_7780), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793336 (
+	.A(n_7498),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[117]),
+	.Y(n_7779), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793337 (
+	.A(n_7498),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[116]),
+	.Y(n_7778), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793338 (
+	.A(n_7498),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[115]),
+	.Y(n_7777), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793339 (
+	.A(n_7498),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[114]),
+	.Y(n_7776), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793340 (
+	.A(n_7498),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[113]),
+	.Y(n_7775), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793341 (
+	.A(n_7498),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[112]),
+	.Y(n_7774), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793342 (
+	.A(n_7497),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[111]),
+	.Y(n_7773), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793343 (
+	.A(n_7497),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[110]),
+	.Y(n_7772), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793344 (
+	.A(n_7497),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[109]),
+	.Y(n_7771), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793345 (
+	.A(n_7497),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[108]),
+	.Y(n_7770), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793346 (
+	.A(n_7497),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[107]),
+	.Y(n_7769), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793347 (
+	.A(n_7497),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[106]),
+	.Y(n_7768), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793348 (
+	.A(n_7497),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[105]),
+	.Y(n_7767), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793349 (
+	.A(n_7497),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[104]),
+	.Y(n_7766), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793350 (
+	.A(n_7496),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[103]),
+	.Y(n_7765), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793351 (
+	.A(n_7496),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[102]),
+	.Y(n_7764), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793352 (
+	.A(n_7496),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[101]),
+	.Y(n_7763), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793353 (
+	.A(n_7496),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[100]),
+	.Y(n_7762), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793354 (
+	.A(n_7496),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[99]),
+	.Y(n_7761), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793355 (
+	.A(n_7496),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[98]),
+	.Y(n_7760), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793356 (
+	.A(n_7496),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[97]),
+	.Y(n_7759), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793357 (
+	.A(n_7503),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[31]),
+	.Y(n_7758), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793358 (
+	.A(n_7496),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[96]),
+	.Y(n_7757), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793359 (
+	.A(n_7503),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[30]),
+	.Y(n_7756), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793360 (
+	.A(n_7503),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[29]),
+	.Y(n_7755), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793361 (
+	.A(n_7503),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[28]),
+	.Y(n_7754), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793362 (
+	.A(n_7503),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[27]),
+	.Y(n_7753), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793363 (
+	.A(n_7503),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[26]),
+	.Y(n_7752), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793364 (
+	.A(n_7503),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[25]),
+	.Y(n_7751), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793365 (
+	.A(n_7503),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[24]),
+	.Y(n_7750), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793366 (
+	.A(n_7502),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[23]),
+	.Y(n_7749), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793367 (
+	.A(n_7502),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[22]),
+	.Y(n_7748), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793368 (
+	.A(n_7502),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[21]),
+	.Y(n_7747), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793369 (
+	.A(n_7502),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[20]),
+	.Y(n_7746), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793370 (
+	.A(n_7502),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[19]),
+	.Y(n_7745), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793371 (
+	.A(n_7502),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[18]),
+	.Y(n_7744), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793372 (
+	.A(n_7502),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[17]),
+	.Y(n_7743), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793373 (
+	.A(n_7502),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[16]),
+	.Y(n_7742), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793374 (
+	.A(n_7501),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[15]),
+	.Y(n_7741), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793375 (
+	.A(n_7501),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[14]),
+	.Y(n_7740), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793376 (
+	.A(n_7501),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[13]),
+	.Y(n_7739), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793377 (
+	.A(n_7501),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[11]),
+	.Y(n_7738), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793378 (
+	.A(n_7501),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[12]),
+	.Y(n_7737), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793379 (
+	.A(n_7501),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[10]),
+	.Y(n_7736), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793380 (
+	.A(n_7501),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[9]),
+	.Y(n_7735), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793381 (
+	.A(n_7501),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[8]),
+	.Y(n_7734), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793382 (
+	.A(n_7500),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[7]),
+	.Y(n_7733), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793383 (
+	.A(n_7500),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[6]),
+	.Y(n_7732), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g793385 (
+	.A(n_7730),
+	.Y(n_7731), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g793387 (
+	.A(n_43256),
+	.Y(n_7728), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793388 (
+	.A(n_7158),
+	.B(n_7546),
+	.Y(n_7725), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793389 (
+	.A(n_7500),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[3]),
+	.Y(n_7724), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793390 (
+	.A(n_7500),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[2]),
+	.Y(n_7723), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793391 (
+	.A(n_7500),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[1]),
+	.Y(n_7722), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793392 (
+	.A(n_7500),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[0]),
+	.Y(n_7721), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g793393 (
+	.A1(n_6969),
+	.A2(n_1398),
+	.B1(brqrv_top_brqrv_lsu_ldst_stbuf_reqvld_r),
+	.Y(n_7720), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g793394 (
+	.A1(n_6864),
+	.A2(n_7312),
+	.B1(brqrv_top_brqrv_lsu_ldst_stbuf_reqvld_r),
+	.Y(n_7719), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793395 (
+	.A(n_41696),
+	.B(n_7291),
+	.Y(n_7718), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793396 (
+	.A(n_7177),
+	.B(n_7532),
+	.Y(n_7717), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793397 (
+	.A(n_7177),
+	.B(n_7556),
+	.Y(n_7716), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793398 (
+	.A(n_7177),
+	.B(n_7554),
+	.Y(n_7715), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793399 (
+	.A(n_7177),
+	.B(n_7552),
+	.Y(n_7714), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793400 (
+	.A(n_7177),
+	.B(n_7550),
+	.Y(n_7713), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793401 (
+	.A(n_7177),
+	.B(n_7548),
+	.Y(n_7712), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793402 (
+	.A(n_7177),
+	.B(n_7546),
+	.Y(n_7711), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793403 (
+	.A(n_7177),
+	.B(n_7544),
+	.Y(n_7710), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793404 (
+	.A(n_7176),
+	.B(n_7542),
+	.Y(n_7709), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793405 (
+	.A(n_7176),
+	.B(n_7540),
+	.Y(n_7708), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793406 (
+	.A(n_7176),
+	.B(n_7538),
+	.Y(n_7707), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793407 (
+	.A(n_7176),
+	.B(n_7536),
+	.Y(n_7706), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793408 (
+	.A(n_7176),
+	.B(n_7534),
+	.Y(n_7705), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793409 (
+	.A(n_7176),
+	.B(n_7510),
+	.Y(n_7704), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793410 (
+	.A(n_7176),
+	.B(n_7530),
+	.Y(n_7703), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793411 (
+	.A(n_7176),
+	.B(n_7528),
+	.Y(n_7702), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793412 (
+	.A(n_7175),
+	.B(n_7526),
+	.Y(n_7701), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793413 (
+	.A(n_7175),
+	.B(n_7524),
+	.Y(n_7700), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793414 (
+	.A(n_7175),
+	.B(n_7522),
+	.Y(n_7699), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793415 (
+	.A(n_7175),
+	.B(n_7520),
+	.Y(n_7698), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793416 (
+	.A(n_7175),
+	.B(n_7518),
+	.Y(n_7697), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793417 (
+	.A(n_7175),
+	.B(n_7516),
+	.Y(n_7696), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793418 (
+	.A(n_7175),
+	.B(n_7514),
+	.Y(n_7695), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793419 (
+	.A(n_7175),
+	.B(n_7512),
+	.Y(n_7694), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793420 (
+	.A(n_7170),
+	.B(n_7556),
+	.Y(n_7693), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793421 (
+	.A(n_7170),
+	.B(n_7532),
+	.Y(n_7692), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793422 (
+	.A(n_7170),
+	.B(n_7554),
+	.Y(n_7691), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793423 (
+	.A(n_7170),
+	.B(n_7552),
+	.Y(n_7690), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793424 (
+	.A(n_7170),
+	.B(n_7550),
+	.Y(n_7689), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793425 (
+	.A(n_7170),
+	.B(n_7548),
+	.Y(n_7688), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793426 (
+	.A(n_7170),
+	.B(n_7546),
+	.Y(n_7687), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793427 (
+	.A(n_7170),
+	.B(n_7544),
+	.Y(n_7686), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793428 (
+	.A(n_7169),
+	.B(n_7542),
+	.Y(n_7685), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793429 (
+	.A(n_7169),
+	.B(n_7540),
+	.Y(n_7684), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793430 (
+	.A(n_7169),
+	.B(n_7538),
+	.Y(n_7683), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793431 (
+	.A(n_7169),
+	.B(n_7536),
+	.Y(n_7682), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793432 (
+	.A(n_7169),
+	.B(n_7534),
+	.Y(n_7681), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793433 (
+	.A(n_7169),
+	.B(n_7510),
+	.Y(n_7680), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793434 (
+	.A(n_7169),
+	.B(n_7530),
+	.Y(n_7679), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793435 (
+	.A(n_7169),
+	.B(n_7528),
+	.Y(n_7678), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793436 (
+	.A(n_7168),
+	.B(n_7526),
+	.Y(n_7677), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793437 (
+	.A(n_7168),
+	.B(n_7524),
+	.Y(n_7676), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793438 (
+	.A(n_7168),
+	.B(n_7522),
+	.Y(n_7675), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793439 (
+	.A(n_7168),
+	.B(n_7520),
+	.Y(n_7674), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793440 (
+	.A(n_7168),
+	.B(n_7518),
+	.Y(n_7673), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793441 (
+	.A(n_7168),
+	.B(n_7516),
+	.Y(n_7672), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793442 (
+	.A(n_7168),
+	.B(n_7514),
+	.Y(n_7671), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793443 (
+	.A(n_7168),
+	.B(n_7512),
+	.Y(n_7670), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793444 (
+	.A(n_7158),
+	.B(n_7532),
+	.Y(n_7669), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793445 (
+	.A(n_7158),
+	.B(n_7556),
+	.Y(n_7668), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793446 (
+	.A(n_7158),
+	.B(n_7554),
+	.Y(n_7667), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793447 (
+	.A(n_7158),
+	.B(n_7552),
+	.Y(n_7666), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793448 (
+	.A(n_7158),
+	.B(n_7550),
+	.Y(n_7665), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793449 (
+	.A(n_7158),
+	.B(n_7548),
+	.Y(n_7664), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793450 (
+	.A(n_7500),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[4]),
+	.Y(n_7663), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793451 (
+	.A(n_7158),
+	.B(n_7544),
+	.Y(n_7662), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793452 (
+	.A(n_7173),
+	.B(n_7542),
+	.Y(n_7661), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793453 (
+	.A(n_7173),
+	.B(n_7540),
+	.Y(n_7660), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793454 (
+	.A(n_7173),
+	.B(n_7538),
+	.Y(n_7659), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793455 (
+	.A(n_7173),
+	.B(n_7536),
+	.Y(n_7658), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793456 (
+	.A(n_7173),
+	.B(n_7534),
+	.Y(n_7657), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793457 (
+	.A(n_7173),
+	.B(n_7510),
+	.Y(n_7656), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793458 (
+	.A(n_7173),
+	.B(n_7530),
+	.Y(n_7655), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793459 (
+	.A(n_7173),
+	.B(n_7528),
+	.Y(n_7654), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793460 (
+	.A(n_7172),
+	.B(n_7526),
+	.Y(n_7653), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793461 (
+	.A(n_7172),
+	.B(n_7524),
+	.Y(n_7652), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793462 (
+	.A(n_7172),
+	.B(n_7522),
+	.Y(n_7651), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793463 (
+	.A(n_7172),
+	.B(n_7520),
+	.Y(n_7650), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793464 (
+	.A(n_7172),
+	.B(n_7518),
+	.Y(n_7649), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793465 (
+	.A(n_7172),
+	.B(n_7516),
+	.Y(n_7648), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793466 (
+	.A(n_7172),
+	.B(n_7512),
+	.Y(n_7647), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793467 (
+	.A(n_7172),
+	.B(n_7514),
+	.Y(n_7646), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793468 (
+	.A(n_7166),
+	.B(n_7532),
+	.Y(n_7645), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793469 (
+	.A(n_7166),
+	.B(n_7556),
+	.Y(n_7644), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793470 (
+	.A(n_7166),
+	.B(n_7554),
+	.Y(n_7643), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793471 (
+	.A(n_7166),
+	.B(n_7552),
+	.Y(n_7642), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793472 (
+	.A(n_7166),
+	.B(n_7550),
+	.Y(n_7641), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793473 (
+	.A(n_7166),
+	.B(n_7548),
+	.Y(n_7640), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793474 (
+	.A(n_7166),
+	.B(n_7546),
+	.Y(n_7639), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793475 (
+	.A(n_7166),
+	.B(n_7544),
+	.Y(n_7638), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793476 (
+	.A(n_7165),
+	.B(n_7542),
+	.Y(n_7637), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793477 (
+	.A(n_7165),
+	.B(n_7540),
+	.Y(n_7636), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793478 (
+	.A(n_7165),
+	.B(n_7538),
+	.Y(n_7635), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793479 (
+	.A(n_7165),
+	.B(n_7536),
+	.Y(n_7634), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793480 (
+	.A(n_7165),
+	.B(n_7534),
+	.Y(n_7633), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793481 (
+	.A(n_7165),
+	.B(n_7510),
+	.Y(n_7632), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793482 (
+	.A(n_7165),
+	.B(n_7530),
+	.Y(n_7631), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793483 (
+	.A(n_7165),
+	.B(n_7528),
+	.Y(n_7630), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793484 (
+	.A(n_7164),
+	.B(n_7526),
+	.Y(n_7629), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793485 (
+	.A(n_7164),
+	.B(n_7524),
+	.Y(n_7628), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793486 (
+	.A(n_7164),
+	.B(n_7522),
+	.Y(n_7627), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793487 (
+	.A(n_7164),
+	.B(n_7520),
+	.Y(n_7626), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793488 (
+	.A(n_7164),
+	.B(n_7518),
+	.Y(n_7625), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793489 (
+	.A(n_7164),
+	.B(n_7516),
+	.Y(n_7624), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793490 (
+	.A(n_7164),
+	.B(n_7514),
+	.Y(n_7623), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793491 (
+	.A(n_7164),
+	.B(n_7512),
+	.Y(n_7622), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g793492 (
+	.A1(n_6998),
+	.A2(n_7311),
+	.B1(brqrv_top_brqrv_lsu_ldst_stbuf_reqvld_r),
+	.Y(n_7621), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g793493 (
+	.A1(n_6893),
+	.A2(n_7313),
+	.B1(brqrv_top_brqrv_lsu_ldst_stbuf_reqvld_r),
+	.Y(n_7620), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g793495 (
+	.A1(n_6674),
+	.A2(n_34869),
+	.B1(n_7508),
+	.Y(n_7619), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g793496 (
+	.A_N(n_7558),
+	.B(n_7290),
+	.C(n_3751),
+	.Y(n_7618), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g793497 (
+	.A1(n_5039),
+	.A2(n_4279),
+	.B1(n_7479),
+	.Y(n_7617), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g793498 (
+	.A(n_3930),
+	.B(n_3358),
+	.C(n_7292),
+	.Y(\brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_en ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g793499 (
+	.A(n_37105),
+	.B(n_7154),
+	.C(n_37106),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_adder3_out [35]),
+	.Y(n_7616), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g793507 (
+	.A1(\brqrv_top_mem_iccm.iccm_addr_bank_inc [3]),
+	.A2(\brqrv_top_mem_iccm.iccm_addr_bank_inc [2]),
+	.B1(n_6726),
+	.Y(n_7730), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793508 (
+	.A(n_7614),
+	.B(n_6735),
+	.Y(n_7729), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g793510 (
+	.A(n_7611),
+	.B(n_6727),
+	.Y(n_7726), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g793511 (
+	.A(n_7203),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[6]),
+	.Y(n_1491), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793513 (
+	.A(n_7348),
+	.B(n_7180),
+	.Y(n_7615), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793514 (
+	.A(\brqrv_top_mem_iccm.iccm_addr_bank_inc [3]),
+	.B(n_7009),
+	.Y(n_7614), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793516 (
+	.A(n_1470),
+	.B(n_3012),
+	.Y(n_7612), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g793517 (
+	.A(n_7347),
+	.B(n_7009),
+	.Y(n_7611), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793518 (
+	.A(n_7349),
+	.B(n_7156),
+	.Y(n_7610), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793519 (
+	.A(n_7156),
+	.B(n_7350),
+	.Y(n_7609), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793520 (
+	.A(n_7351),
+	.B(n_7156),
+	.Y(n_7608), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793521 (
+	.A(n_7352),
+	.B(n_7156),
+	.Y(n_7607), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793522 (
+	.A(n_7353),
+	.B(n_7156),
+	.Y(n_7606), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793523 (
+	.A(n_7354),
+	.B(n_7156),
+	.Y(n_7605), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793524 (
+	.A(n_7355),
+	.B(n_7156),
+	.Y(n_7604), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793525 (
+	.A(n_7156),
+	.B(n_7348),
+	.Y(n_7603), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793526 (
+	.A(n_7349),
+	.B(n_7184),
+	.Y(n_7602), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793527 (
+	.A(n_7350),
+	.B(n_7184),
+	.Y(n_7601), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793528 (
+	.A(n_7352),
+	.B(n_7184),
+	.Y(n_7600), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793529 (
+	.A(n_7351),
+	.B(n_7184),
+	.Y(n_7599), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793530 (
+	.A(n_7353),
+	.B(n_7184),
+	.Y(n_7598), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793531 (
+	.A(n_7354),
+	.B(n_7184),
+	.Y(n_7597), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793532 (
+	.A(n_7355),
+	.B(n_7184),
+	.Y(n_7596), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793533 (
+	.A(n_7348),
+	.B(n_7184),
+	.Y(n_7595), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793534 (
+	.A(n_7180),
+	.B(n_7349),
+	.Y(n_7594), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793535 (
+	.A(n_7180),
+	.B(n_7351),
+	.Y(n_7593), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793536 (
+	.A(n_7350),
+	.B(n_7180),
+	.Y(n_7592), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793537 (
+	.A(n_7180),
+	.B(n_7352),
+	.Y(n_7591), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793538 (
+	.A(n_7180),
+	.B(n_7353),
+	.Y(n_7590), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793539 (
+	.A(n_7180),
+	.B(n_7354),
+	.Y(n_7589), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793540 (
+	.A(n_7180),
+	.B(n_7355),
+	.Y(n_7588), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793541 (
+	.A(n_1470),
+	.B(n_3047),
+	.Y(n_7587), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793542 (
+	.A(n_7174),
+	.B(n_7333),
+	.Y(n_7586), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793543 (
+	.A(n_7174),
+	.B(n_7335),
+	.Y(n_7585), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793544 (
+	.A(n_7174),
+	.B(n_7337),
+	.Y(n_7584), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793545 (
+	.A(n_7174),
+	.B(n_7339),
+	.Y(n_7583), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793546 (
+	.A(n_7174),
+	.B(n_7341),
+	.Y(n_7582), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793547 (
+	.A(n_7174),
+	.B(n_7343),
+	.Y(n_7581), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793548 (
+	.A(n_7174),
+	.B(n_7345),
+	.Y(n_7580), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793549 (
+	.A(n_7174),
+	.B(n_7331),
+	.Y(n_7579), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793550 (
+	.A(n_7167),
+	.B(n_7333),
+	.Y(n_7578), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793551 (
+	.A(n_7167),
+	.B(n_7335),
+	.Y(n_7577), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793552 (
+	.A(n_7167),
+	.B(n_7337),
+	.Y(n_7576), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793553 (
+	.A(n_7167),
+	.B(n_7339),
+	.Y(n_7575), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793554 (
+	.A(n_7167),
+	.B(n_7341),
+	.Y(n_7574), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793555 (
+	.A(n_7167),
+	.B(n_7343),
+	.Y(n_7573), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793556 (
+	.A(n_7167),
+	.B(n_7345),
+	.Y(n_7572), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793557 (
+	.A(n_7167),
+	.B(n_7331),
+	.Y(n_7571), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793558 (
+	.A(n_7171),
+	.B(n_7333),
+	.Y(n_7570), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793559 (
+	.A(n_7171),
+	.B(n_7335),
+	.Y(n_7569), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793560 (
+	.A(n_7171),
+	.B(n_7337),
+	.Y(n_7568), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793561 (
+	.A(n_7171),
+	.B(n_7339),
+	.Y(n_7567), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793562 (
+	.A(n_7171),
+	.B(n_7341),
+	.Y(n_7566), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793563 (
+	.A(n_7171),
+	.B(n_7343),
+	.Y(n_7565), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793564 (
+	.A(n_7171),
+	.B(n_7345),
+	.Y(n_7564), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793565 (
+	.A(n_7171),
+	.B(n_7331),
+	.Y(n_7563), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793566 (
+	.A(n_7163),
+	.B(n_7333),
+	.Y(n_7562), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793567 (
+	.A(n_7163),
+	.B(n_7335),
+	.Y(n_7561), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793568 (
+	.A(n_7163),
+	.B(n_7337),
+	.Y(n_7560), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793569 (
+	.A(n_7163),
+	.B(n_7339),
+	.Y(n_7559), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g793570 (
+	.A(n_7557),
+	.Y(n_7558), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g793571 (
+	.A(n_7556),
+	.Y(n_7555), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g793572 (
+	.A(n_7554),
+	.Y(n_7553), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g793573 (
+	.A(n_7552),
+	.Y(n_7551), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g793574 (
+	.A(n_7550),
+	.Y(n_7549), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g793575 (
+	.A(n_7548),
+	.Y(n_7547), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g793576 (
+	.A(n_7546),
+	.Y(n_7545), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g793577 (
+	.A(n_7544),
+	.Y(n_7543), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g793578 (
+	.A(n_7542),
+	.Y(n_7541), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g793579 (
+	.A(n_7540),
+	.Y(n_7539), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g793580 (
+	.A(n_7538),
+	.Y(n_7537), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g793581 (
+	.A(n_7536),
+	.Y(n_7535), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g793582 (
+	.A(n_7534),
+	.Y(n_7533), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g793583 (
+	.A(n_7532),
+	.Y(n_7531), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g793584 (
+	.A(n_7530),
+	.Y(n_7529), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g793585 (
+	.A(n_7528),
+	.Y(n_7527), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g793586 (
+	.A(n_7526),
+	.Y(n_7525), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g793587 (
+	.A(n_7524),
+	.Y(n_7523), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g793588 (
+	.A(n_7522),
+	.Y(n_7521), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g793589 (
+	.A(n_7520),
+	.Y(n_7519), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g793590 (
+	.A(n_7518),
+	.Y(n_7517), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g793591 (
+	.A(n_7516),
+	.Y(n_7515), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g793592 (
+	.A(n_7514),
+	.Y(n_7513), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g793593 (
+	.A(n_7512),
+	.Y(n_7511), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g793594 (
+	.A(n_7510),
+	.Y(n_7509), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g793595 (
+	.A(n_7508),
+	.Y(n_7507), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g793596 (
+	.A(n_7475),
+	.Y(n_7506), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g793597 (
+	.A(n_7474),
+	.Y(n_7505), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g793598 (
+	.A(n_7473),
+	.Y(n_7504), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g793599 (
+	.A(n_7472),
+	.Y(n_7503), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g793600 (
+	.A(n_7471),
+	.Y(n_7502), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g793601 (
+	.A(n_7470),
+	.Y(n_7501), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g793602 (
+	.A(n_7469),
+	.Y(n_7500), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g793603 (
+	.A(n_7468),
+	.Y(n_7499), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g793604 (
+	.A(n_7467),
+	.Y(n_7498), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g793605 (
+	.A(n_7466),
+	.Y(n_7497), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g793606 (
+	.A(n_7465),
+	.Y(n_7496), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g793607 (
+	.A(n_7464),
+	.Y(n_7495), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g793608 (
+	.A(n_7463),
+	.Y(n_7494), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g793609 (
+	.A(n_7462),
+	.Y(n_7493), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g793610 (
+	.A(n_7461),
+	.Y(n_7492), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g793611 (
+	.A(n_7460),
+	.Y(n_7491), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793612 (
+	.A(n_7163),
+	.B(n_7343),
+	.Y(n_7490), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793613 (
+	.A(n_7163),
+	.B(n_7345),
+	.Y(n_7489), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793614 (
+	.A(n_7163),
+	.B(n_7331),
+	.Y(n_7488), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793615 (
+	.A(n_7161),
+	.B(n_7349),
+	.Y(n_7487), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793616 (
+	.A(n_7161),
+	.B(n_7350),
+	.Y(n_7486), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793617 (
+	.A(n_7161),
+	.B(n_7351),
+	.Y(n_7485), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793618 (
+	.A(n_7161),
+	.B(n_7352),
+	.Y(n_7484), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793619 (
+	.A(n_7161),
+	.B(n_7353),
+	.Y(n_7483), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793620 (
+	.A(n_7161),
+	.B(n_7354),
+	.Y(n_7482), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793621 (
+	.A(n_7161),
+	.B(n_7355),
+	.Y(n_7481), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793622 (
+	.A(n_7161),
+	.B(n_7348),
+	.Y(n_7480), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g793623 (
+	.A(n_7257),
+	.B(n_4906),
+	.C(n_3133),
+	.Y(n_7479), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793624 (
+	.A(n_1470),
+	.B(n_3007),
+	.Y(n_7478), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793625 (
+	.A(n_1470),
+	.B(n_2980),
+	.Y(n_7477), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793626 (
+	.A(n_7163),
+	.B(n_7341),
+	.Y(n_7476), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793627 (
+	.A(n_7434),
+	.B(n_7133),
+	.Y(n_7557), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g793628 (
+	.A1(n_4673),
+	.A2(n_1467),
+	.B1(n_7458),
+	.Y(n_7556), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g793629 (
+	.A1(n_4688),
+	.A2(n_1467),
+	.B1(n_7457),
+	.Y(n_7554), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g793630 (
+	.A1(n_4669),
+	.A2(n_1467),
+	.B1(n_7456),
+	.Y(n_7552), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g793631 (
+	.A1(n_4663),
+	.A2(n_1467),
+	.B1(n_7455),
+	.Y(n_7550), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g793632 (
+	.A1(n_4651),
+	.A2(n_1467),
+	.B1(n_7454),
+	.Y(n_7548), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g793633 (
+	.A1(n_4671),
+	.A2(n_1467),
+	.B1(n_7453),
+	.Y(n_7546), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g793634 (
+	.A1(n_4641),
+	.A2(n_1467),
+	.B1(n_7452),
+	.Y(n_7544), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g793635 (
+	.A1(n_4639),
+	.A2(n_1468),
+	.B1(n_7451),
+	.Y(n_7542), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g793636 (
+	.A1(n_4637),
+	.A2(n_1468),
+	.B1(n_7450),
+	.Y(n_7540), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g793637 (
+	.A1(n_4635),
+	.A2(n_1468),
+	.B1(n_7449),
+	.Y(n_7538), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g793638 (
+	.A1(n_4627),
+	.A2(n_1468),
+	.B1(n_7448),
+	.Y(n_7536), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g793639 (
+	.A1(n_4631),
+	.A2(n_1468),
+	.B1(n_7447),
+	.Y(n_7534), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g793640 (
+	.A1(n_4679),
+	.A2(n_1467),
+	.B1(n_7356),
+	.Y(n_7532), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g793641 (
+	.A1(n_4653),
+	.A2(n_1468),
+	.B1(n_7445),
+	.Y(n_7530), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g793642 (
+	.A1(n_4665),
+	.A2(n_1468),
+	.B1(n_7444),
+	.Y(n_7528), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g793643 (
+	.A1(n_4643),
+	.A2(n_1469),
+	.B1(n_7443),
+	.Y(n_7526), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g793644 (
+	.A1(n_4659),
+	.A2(n_1469),
+	.B1(n_7442),
+	.Y(n_7524), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g793645 (
+	.A1(n_4629),
+	.A2(n_1469),
+	.B1(n_7441),
+	.Y(n_7522), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g793646 (
+	.A1(n_4677),
+	.A2(n_1469),
+	.B1(n_7440),
+	.Y(n_7520), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g793647 (
+	.A1(n_4686),
+	.A2(n_1469),
+	.B1(n_7439),
+	.Y(n_7518), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g793648 (
+	.A1(n_4645),
+	.A2(n_1469),
+	.B1(n_7438),
+	.Y(n_7516), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g793649 (
+	.A1(n_4649),
+	.A2(n_1469),
+	.B1(n_7437),
+	.Y(n_7514), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g793650 (
+	.A1(n_4655),
+	.A2(n_1469),
+	.B1(n_7436),
+	.Y(n_7512), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g793651 (
+	.A1(n_4633),
+	.A2(n_1468),
+	.B1(n_7446),
+	.Y(n_7510), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g793652 (
+	.A(n_7294),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_3215),
+	.Y(n_7508), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793653 (
+	.A(n_7217),
+	.B(n_7305),
+	.Y(n_7475), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793654 (
+	.A(n_7216),
+	.B(n_7304),
+	.Y(n_7474), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793655 (
+	.A(n_7215),
+	.B(n_7303),
+	.Y(n_7473), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793656 (
+	.A(n_7298),
+	.B(n_7214),
+	.Y(n_7472), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793657 (
+	.A(n_7297),
+	.B(n_7213),
+	.Y(n_7471), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793658 (
+	.A(n_7296),
+	.B(n_7212),
+	.Y(n_7470), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793659 (
+	.A(n_7211),
+	.B(n_7295),
+	.Y(n_7469), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793660 (
+	.A(n_7256),
+	.B(n_7302),
+	.Y(n_7468), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793661 (
+	.A(n_7255),
+	.B(n_7301),
+	.Y(n_7467), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793662 (
+	.A(n_7254),
+	.B(n_7300),
+	.Y(n_7466), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793663 (
+	.A(n_7253),
+	.B(n_7299),
+	.Y(n_7465), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793664 (
+	.A(n_7222),
+	.B(n_7310),
+	.Y(n_7464), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793665 (
+	.A(n_7309),
+	.B(n_7221),
+	.Y(n_7463), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793666 (
+	.A(n_7220),
+	.B(n_7308),
+	.Y(n_7462), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793667 (
+	.A(n_7219),
+	.B(n_7307),
+	.Y(n_7461), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793668 (
+	.A(n_7218),
+	.B(n_7306),
+	.Y(n_7460), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793669 (
+	.A(n_7162),
+	.B(n_7104),
+	.Y(n_7459), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793670 (
+	.A(n_1467),
+	.B(brqrv_top_brqrv_lsu_store_data_lo_r[30]),
+	.Y(n_7458), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793671 (
+	.A(n_1467),
+	.B(brqrv_top_brqrv_lsu_store_data_lo_r[29]),
+	.Y(n_7457), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793672 (
+	.A(n_1467),
+	.B(brqrv_top_brqrv_lsu_store_data_lo_r[28]),
+	.Y(n_7456), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793673 (
+	.A(n_1467),
+	.B(brqrv_top_brqrv_lsu_store_data_lo_r[27]),
+	.Y(n_7455), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793674 (
+	.A(n_1467),
+	.B(brqrv_top_brqrv_lsu_store_data_lo_r[26]),
+	.Y(n_7454), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793675 (
+	.A(n_1467),
+	.B(brqrv_top_brqrv_lsu_store_data_lo_r[25]),
+	.Y(n_7453), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793676 (
+	.A(n_1467),
+	.B(brqrv_top_brqrv_lsu_store_data_lo_r[24]),
+	.Y(n_7452), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793677 (
+	.A(n_1468),
+	.B(brqrv_top_brqrv_lsu_store_data_lo_r[23]),
+	.Y(n_7451), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793678 (
+	.A(n_1468),
+	.B(brqrv_top_brqrv_lsu_store_data_lo_r[22]),
+	.Y(n_7450), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793679 (
+	.A(n_1468),
+	.B(brqrv_top_brqrv_lsu_store_data_lo_r[21]),
+	.Y(n_7449), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793680 (
+	.A(n_1468),
+	.B(brqrv_top_brqrv_lsu_store_data_lo_r[20]),
+	.Y(n_7448), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793681 (
+	.A(n_1468),
+	.B(brqrv_top_brqrv_lsu_store_data_lo_r[19]),
+	.Y(n_7447), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793682 (
+	.A(n_1468),
+	.B(brqrv_top_brqrv_lsu_store_data_lo_r[18]),
+	.Y(n_7446), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793683 (
+	.A(n_1468),
+	.B(brqrv_top_brqrv_lsu_store_data_lo_r[17]),
+	.Y(n_7445), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793684 (
+	.A(n_1468),
+	.B(brqrv_top_brqrv_lsu_store_data_lo_r[16]),
+	.Y(n_7444), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793685 (
+	.A(n_1469),
+	.B(brqrv_top_brqrv_lsu_store_data_lo_r[15]),
+	.Y(n_7443), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793686 (
+	.A(n_1469),
+	.B(brqrv_top_brqrv_lsu_store_data_lo_r[14]),
+	.Y(n_7442), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793687 (
+	.A(n_1469),
+	.B(brqrv_top_brqrv_lsu_store_data_lo_r[13]),
+	.Y(n_7441), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793688 (
+	.A(n_1469),
+	.B(brqrv_top_brqrv_lsu_store_data_lo_r[12]),
+	.Y(n_7440), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793689 (
+	.A(n_1469),
+	.B(brqrv_top_brqrv_lsu_store_data_lo_r[11]),
+	.Y(n_7439), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793690 (
+	.A(n_1469),
+	.B(brqrv_top_brqrv_lsu_store_data_lo_r[10]),
+	.Y(n_7438), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793691 (
+	.A(n_1469),
+	.B(brqrv_top_brqrv_lsu_store_data_lo_r[9]),
+	.Y(n_7437), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793692 (
+	.A(n_1469),
+	.B(brqrv_top_brqrv_lsu_store_data_lo_r[8]),
+	.Y(n_7436), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g793693 (
+	.A(brqrv_top_brqrv_lsu_stbuf_WrPtr[1]),
+	.B(n_7290),
+	.Y(n_7435), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793694 (
+	.A(n_7152),
+	.B(n_6955),
+	.Y(n_7434), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793696 (
+	.A(n_7157),
+	.B(n_7098),
+	.Y(n_7433), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793697 (
+	.A(n_7157),
+	.B(n_7099),
+	.Y(n_7432), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793698 (
+	.A(n_7157),
+	.B(n_7105),
+	.Y(n_7431), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793699 (
+	.A(n_7157),
+	.B(n_7101),
+	.Y(n_7430), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793700 (
+	.A(n_7157),
+	.B(n_7100),
+	.Y(n_7429), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793701 (
+	.A(n_7157),
+	.B(n_7104),
+	.Y(n_7428), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793702 (
+	.A(n_7157),
+	.B(n_7103),
+	.Y(n_7427), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793703 (
+	.A(n_7157),
+	.B(n_7102),
+	.Y(n_7426), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793704 (
+	.A(n_7155),
+	.B(n_7200),
+	.Y(n_7425), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793705 (
+	.A(n_7155),
+	.B(n_7199),
+	.Y(n_7424), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793706 (
+	.A(n_7155),
+	.B(n_7198),
+	.Y(n_7423), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793707 (
+	.A(n_7155),
+	.B(n_7197),
+	.Y(n_7422), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793708 (
+	.A(n_7155),
+	.B(n_7196),
+	.Y(n_7421), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793709 (
+	.A(n_7155),
+	.B(n_7195),
+	.Y(n_7420), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793710 (
+	.A(n_7155),
+	.B(n_7194),
+	.Y(n_7419), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793711 (
+	.A(n_7155),
+	.B(n_7193),
+	.Y(n_7418), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793712 (
+	.A(n_7186),
+	.B(n_7202),
+	.Y(n_7417), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793713 (
+	.A(n_7186),
+	.B(n_7188),
+	.Y(n_7416), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793714 (
+	.A(n_7186),
+	.B(n_7189),
+	.Y(n_7415), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793715 (
+	.A(n_7186),
+	.B(n_7190),
+	.Y(n_7414), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793716 (
+	.A(n_7186),
+	.B(n_7191),
+	.Y(n_7413), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793717 (
+	.A(n_7186),
+	.B(n_7192),
+	.Y(n_7412), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793718 (
+	.A(n_7186),
+	.B(n_7201),
+	.Y(n_7411), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793719 (
+	.A(n_7186),
+	.B(n_7187),
+	.Y(n_7410), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793720 (
+	.A(n_7185),
+	.B(n_7098),
+	.Y(n_7409), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793721 (
+	.A(n_7185),
+	.B(n_7099),
+	.Y(n_7408), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793722 (
+	.A(n_7185),
+	.B(n_7105),
+	.Y(n_7407), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793723 (
+	.A(n_7185),
+	.B(n_7101),
+	.Y(n_7406), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793724 (
+	.A(n_7185),
+	.B(n_7100),
+	.Y(n_7405), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793725 (
+	.A(n_7185),
+	.B(n_7104),
+	.Y(n_7404), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793726 (
+	.A(n_7185),
+	.B(n_7103),
+	.Y(n_7403), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793727 (
+	.A(n_7185),
+	.B(n_7102),
+	.Y(n_7402), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793728 (
+	.A(n_7183),
+	.B(n_7200),
+	.Y(n_7401), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793729 (
+	.A(n_7183),
+	.B(n_7199),
+	.Y(n_7400), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793730 (
+	.A(n_7183),
+	.B(n_7198),
+	.Y(n_7399), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793731 (
+	.A(n_7183),
+	.B(n_7197),
+	.Y(n_7398), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793732 (
+	.A(n_7183),
+	.B(n_7196),
+	.Y(n_7397), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793733 (
+	.A(n_7183),
+	.B(n_7195),
+	.Y(n_7396), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793734 (
+	.A(n_7183),
+	.B(n_7194),
+	.Y(n_7395), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793735 (
+	.A(n_7183),
+	.B(n_7193),
+	.Y(n_7394), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793736 (
+	.A(n_7182),
+	.B(n_7202),
+	.Y(n_7393), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793737 (
+	.A(n_7182),
+	.B(n_7188),
+	.Y(n_7392), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793738 (
+	.A(n_7182),
+	.B(n_7189),
+	.Y(n_7391), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793739 (
+	.A(n_7182),
+	.B(n_7190),
+	.Y(n_7390), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793740 (
+	.A(n_7182),
+	.B(n_7191),
+	.Y(n_7389), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793741 (
+	.A(n_7182),
+	.B(n_7192),
+	.Y(n_7388), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793742 (
+	.A(n_7182),
+	.B(n_7201),
+	.Y(n_7387), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793743 (
+	.A(n_7182),
+	.B(n_7187),
+	.Y(n_7386), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793744 (
+	.A(n_7181),
+	.B(n_7098),
+	.Y(n_7385), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793745 (
+	.A(n_7181),
+	.B(n_7099),
+	.Y(n_7384), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793746 (
+	.A(n_7181),
+	.B(n_7105),
+	.Y(n_7383), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793747 (
+	.A(n_7181),
+	.B(n_7101),
+	.Y(n_7382), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793748 (
+	.A(n_7181),
+	.B(n_7100),
+	.Y(n_7381), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793749 (
+	.A(n_7181),
+	.B(n_7104),
+	.Y(n_7380), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793750 (
+	.A(n_7181),
+	.B(n_7103),
+	.Y(n_7379), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793751 (
+	.A(n_7181),
+	.B(n_7102),
+	.Y(n_7378), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793752 (
+	.A(n_7179),
+	.B(n_7200),
+	.Y(n_7377), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793753 (
+	.A(n_7179),
+	.B(n_7199),
+	.Y(n_7376), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793754 (
+	.A(n_7179),
+	.B(n_7198),
+	.Y(n_7375), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793755 (
+	.A(n_7179),
+	.B(n_7197),
+	.Y(n_7374), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793756 (
+	.A(n_7179),
+	.B(n_7196),
+	.Y(n_7373), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793757 (
+	.A(n_7179),
+	.B(n_7195),
+	.Y(n_7372), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793758 (
+	.A(n_7179),
+	.B(n_7194),
+	.Y(n_7371), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793759 (
+	.A(n_7179),
+	.B(n_7193),
+	.Y(n_7370), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793760 (
+	.A(n_7178),
+	.B(n_7202),
+	.Y(n_7369), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793761 (
+	.A(n_7178),
+	.B(n_7188),
+	.Y(n_7368), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793762 (
+	.A(n_7178),
+	.B(n_7189),
+	.Y(n_7367), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793763 (
+	.A(n_7178),
+	.B(n_7190),
+	.Y(n_7366), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793764 (
+	.A(n_7178),
+	.B(n_7191),
+	.Y(n_7365), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793765 (
+	.A(n_7178),
+	.B(n_7192),
+	.Y(n_7364), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793766 (
+	.A(n_7178),
+	.B(n_7201),
+	.Y(n_7363), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793767 (
+	.A(n_7178),
+	.B(n_7187),
+	.Y(n_7362), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793768 (
+	.A(n_7162),
+	.B(n_7098),
+	.Y(n_7361), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793769 (
+	.A(n_7162),
+	.B(n_7099),
+	.Y(n_7360), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793770 (
+	.A(n_7162),
+	.B(n_7105),
+	.Y(n_7359), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793771 (
+	.A(n_7162),
+	.B(n_7101),
+	.Y(n_7358), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793772 (
+	.A(n_7162),
+	.B(n_7100),
+	.Y(n_7357), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793773 (
+	.A(n_1467),
+	.B(brqrv_top_brqrv_lsu_store_data_lo_r[31]),
+	.Y(n_7356), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g793775 (
+	.A(n_7347),
+	.Y(\brqrv_top_mem_iccm.iccm_addr_bank_inc [3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g793782 (
+	.A(n_7333),
+	.Y(n_7334), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g793784 (
+	.A1(n_1543),
+	.A2(n_41897),
+	.B1(n_7223),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793785 (
+	.A(n_7162),
+	.B(n_7102),
+	.Y(n_7330), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793786 (
+	.A(n_7160),
+	.B(n_7200),
+	.Y(n_7329), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793787 (
+	.A(n_7160),
+	.B(n_7199),
+	.Y(n_7328), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793788 (
+	.A(n_7160),
+	.B(n_7198),
+	.Y(n_7327), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793789 (
+	.A(n_7160),
+	.B(n_7197),
+	.Y(n_7326), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793790 (
+	.A(n_7160),
+	.B(n_7196),
+	.Y(n_7325), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793791 (
+	.A(n_7160),
+	.B(n_7195),
+	.Y(n_7324), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793792 (
+	.A(n_7160),
+	.B(n_7194),
+	.Y(n_7323), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793793 (
+	.A(n_7160),
+	.B(n_7193),
+	.Y(n_7322), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793794 (
+	.A(n_7159),
+	.B(n_7202),
+	.Y(n_7321), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793795 (
+	.A(n_7159),
+	.B(n_7188),
+	.Y(n_7320), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793796 (
+	.A(n_7159),
+	.B(n_7189),
+	.Y(n_7319), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793797 (
+	.A(n_7159),
+	.B(n_7190),
+	.Y(n_7318), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793798 (
+	.A(n_7159),
+	.B(n_7191),
+	.Y(n_7317), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793799 (
+	.A(n_7159),
+	.B(n_7192),
+	.Y(n_7316), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793800 (
+	.A(n_7159),
+	.B(n_7201),
+	.Y(n_7315), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793801 (
+	.A(n_7159),
+	.B(n_7187),
+	.Y(n_7314), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g793802 (
+	.A(n_3751),
+	.B(n_7290),
+	.Y(n_7313), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g793803 (
+	.A(n_2979),
+	.B(n_7290),
+	.Y(n_7312), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g793804 (
+	.A(n_3750),
+	.B(n_7290),
+	.Y(n_7311), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g793805 (
+	.A1(n_7106),
+	.A2(n_3596),
+	.B1(brqrv_top_brqrv_dec_tlu_force_halt),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_rdrsp_pend_in), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g793806 (
+	.A1(n_41899),
+	.A2(n_2943),
+	.B1_N(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[7]),
+	.Y(n_7310), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g793807 (
+	.A1(n_41900),
+	.A2(n_2947),
+	.B1_N(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[6]),
+	.Y(n_7309), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g793808 (
+	.A1(n_41900),
+	.A2(n_2945),
+	.B1_N(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[5]),
+	.Y(n_7308), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g793809 (
+	.A1(n_41899),
+	.A2(n_2942),
+	.B1_N(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[4]),
+	.Y(n_7307), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g793810 (
+	.A1(n_41902),
+	.A2(n_2943),
+	.B1_N(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[11]),
+	.Y(n_7306), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g793811 (
+	.A1(n_41902),
+	.A2(n_2947),
+	.B1_N(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[10]),
+	.Y(n_7305), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g793812 (
+	.A1(n_41902),
+	.A2(n_2945),
+	.B1_N(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[9]),
+	.Y(n_7304), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g793813 (
+	.A1(n_41901),
+	.A2(n_2942),
+	.B1_N(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[8]),
+	.Y(n_7303), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g793814 (
+	.A1(n_41904),
+	.A2(n_2943),
+	.B1_N(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[15]),
+	.Y(n_7302), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g793815 (
+	.A1(n_41904),
+	.A2(n_2947),
+	.B1_N(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[14]),
+	.Y(n_7301), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g793816 (
+	.A1(n_41904),
+	.A2(n_2945),
+	.B1_N(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[13]),
+	.Y(n_7300), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g793817 (
+	.A1(n_41903),
+	.A2(n_2942),
+	.B1_N(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[12]),
+	.Y(n_7299), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g793818 (
+	.A1(n_41898),
+	.A2(n_2943),
+	.B1_N(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[3]),
+	.Y(n_7298), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g793819 (
+	.A1(n_41897),
+	.A2(n_2947),
+	.B1_N(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[2]),
+	.Y(n_7297), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g793820 (
+	.A1(n_41898),
+	.A2(n_2945),
+	.B1_N(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[1]),
+	.Y(n_7296), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g793821 (
+	.A1(n_41897),
+	.A2(n_2942),
+	.B1_N(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[0]),
+	.Y(n_7295), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g793822 (
+	.A1(n_7130),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[10]),
+	.B1(n_7150),
+	.X(n_36761), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g793823 (
+	.A(n_7010),
+	.B(n_34863),
+	.C(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_7535),
+	.D(n_43002),
+	.Y(n_7294), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g793824 (
+	.A1(n_7131),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[7]),
+	.B1(n_7153),
+	.X(n_36762), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o31ai_1 g793825 (
+	.A1(n_4473),
+	.A2(brqrv_top_brqrv_dec_tlu_force_halt),
+	.A3(n_7131),
+	.B1(n_7271),
+	.Y(n_36766), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793826 (
+	.A(n_7162),
+	.B(n_7103),
+	.Y(n_7293), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o31ai_1 g793827 (
+	.A1(n_4471),
+	.A2(brqrv_top_brqrv_dec_tlu_force_halt),
+	.A3(n_7130),
+	.B1(n_7273),
+	.Y(n_36765), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o31ai_1 g793828 (
+	.A1(n_35527),
+	.A2(brqrv_top_brqrv_dec_tlu_force_halt),
+	.A3(n_7130),
+	.B1(n_7272),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_state_ff_n_8 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o31ai_1 g793829 (
+	.A1(n_34859),
+	.A2(brqrv_top_brqrv_dec_tlu_force_halt),
+	.A3(n_7131),
+	.B1(n_7270),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_state_ff_n_8 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g793830 (
+	.A(n_2793),
+	.B(n_7151),
+	.Y(n_7292), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g793831 (
+	.A1(n_1847),
+	.A2(n_41897),
+	.B1(n_7224),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g793832 (
+	.A1(n_1848),
+	.A2(n_41897),
+	.B1(n_7225),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g793833 (
+	.A1(n_1844),
+	.A2(n_41897),
+	.B1(n_7226),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g793834 (
+	.A1(n_41897),
+	.A2(n_1851),
+	.B1(n_7227),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g793835 (
+	.A1(n_1849),
+	.A2(n_41897),
+	.B1(n_7228),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g793836 (
+	.A1(n_1845),
+	.A2(n_41897),
+	.B1(n_7229),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g793837 (
+	.A1(n_1544),
+	.A2(n_41897),
+	.B1(n_7231),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g793838 (
+	.A1(n_1547),
+	.A2(n_41897),
+	.B1(n_7230),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g793839 (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[5]),
+	.B(n_1490),
+	.Y(n_7291), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g793840 (
+	.A1(n_1843),
+	.A2(n_41897),
+	.B1(n_7232),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g793841 (
+	.A1(n_1543),
+	.A2(n_41903),
+	.B1(n_7258),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[38]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g793842 (
+	.A1(n_1847),
+	.A2(n_41903),
+	.B1(n_7260),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[39]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g793843 (
+	.A1(n_1848),
+	.A2(n_41903),
+	.B1(n_7262),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[40]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g793844 (
+	.A1(n_1844),
+	.A2(n_41903),
+	.B1(n_7259),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[41]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g793845 (
+	.A1(n_41903),
+	.A2(n_1851),
+	.B1(n_7261),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[42]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g793846 (
+	.A1(n_1849),
+	.A2(n_41903),
+	.B1(n_7263),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[43]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g793847 (
+	.A1(n_1845),
+	.A2(n_41903),
+	.B1(n_7264),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[44]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g793848 (
+	.A1(n_1544),
+	.A2(n_41903),
+	.B1(n_7265),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[45]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g793849 (
+	.A1(n_1843),
+	.A2(n_41901),
+	.B1(n_7242),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[35]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g793850 (
+	.A1(n_1547),
+	.A2(n_41901),
+	.B1(n_7241),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[34]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g793851 (
+	.A1(n_1544),
+	.A2(n_41901),
+	.B1(n_7240),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[33]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g793852 (
+	.A1(n_1845),
+	.A2(n_41901),
+	.B1(n_7239),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[32]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g793853 (
+	.A1(n_1849),
+	.A2(n_41901),
+	.B1(n_7238),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g793854 (
+	.A1(n_1851),
+	.A2(n_41901),
+	.B1(n_7237),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g793855 (
+	.A1(n_1844),
+	.A2(n_41901),
+	.B1(n_7236),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g793856 (
+	.A1(n_1848),
+	.A2(n_41901),
+	.B1(n_7235),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g793857 (
+	.A1(n_1847),
+	.A2(n_41901),
+	.B1(n_7234),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g793858 (
+	.A1(n_1543),
+	.A2(n_41901),
+	.B1(n_7233),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g793859 (
+	.A1(n_1843),
+	.A2(n_41899),
+	.B1(n_7252),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g793860 (
+	.A1(n_1547),
+	.A2(n_41899),
+	.B1(n_7251),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g793861 (
+	.A1(n_1544),
+	.A2(n_41899),
+	.B1(n_7250),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g793862 (
+	.A1(n_1845),
+	.A2(n_41899),
+	.B1(n_7249),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g793863 (
+	.A1(n_1849),
+	.A2(n_41899),
+	.B1(n_7248),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g793864 (
+	.A1(n_1851),
+	.A2(n_41899),
+	.B1(n_7247),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g793865 (
+	.A1(n_1844),
+	.A2(n_41899),
+	.B1(n_7246),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g793866 (
+	.A1(n_1848),
+	.A2(n_41899),
+	.B1(n_7245),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g793867 (
+	.A1(n_1847),
+	.A2(n_41899),
+	.B1(n_7244),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g793868 (
+	.A1(n_1543),
+	.A2(n_41899),
+	.B1(n_7243),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g793869 (
+	.A1(n_1843),
+	.A2(n_41903),
+	.B1(n_7266),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[47]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g793870 (
+	.A1(n_1547),
+	.A2(n_41903),
+	.B1(n_7267),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[46]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g793872 (
+	.A1(n_4653),
+	.A2(n_1466),
+	.B1(n_7283),
+	.Y(n_7355), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g793873 (
+	.A1(n_4633),
+	.A2(n_1466),
+	.B1(n_7284),
+	.Y(n_7354), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g793874 (
+	.A1(n_4631),
+	.A2(n_1466),
+	.B1(n_7285),
+	.Y(n_7353), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g793875 (
+	.A1(n_4627),
+	.A2(n_1466),
+	.B1(n_7286),
+	.Y(n_7352), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g793876 (
+	.A1(n_4635),
+	.A2(n_1466),
+	.B1(n_7287),
+	.Y(n_7351), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g793877 (
+	.A1(n_4637),
+	.A2(n_1466),
+	.B1(n_7288),
+	.Y(n_7350), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g793878 (
+	.A1(n_4639),
+	.A2(n_1466),
+	.B1(n_7289),
+	.Y(n_7349), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g793879 (
+	.A1(n_4665),
+	.A2(n_1466),
+	.B1(n_7282),
+	.Y(n_7348), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211a_2 g793880 (
+	.A1(brqrv_top_brqrv_dma_mem_addr[2]),
+	.A2(n_3763),
+	.B1(n_5269),
+	.C1(n_7089),
+	.X(n_7347), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g793881 (
+	.A1(n_4657),
+	.A2(n_7129),
+	.B1(n_7275),
+	.Y(n_7345), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g793882 (
+	.A1(n_4661),
+	.A2(n_7129),
+	.B1(n_7276),
+	.Y(n_7343), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g793883 (
+	.A1(n_4667),
+	.A2(n_7129),
+	.B1(n_7277),
+	.Y(n_7341), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g793884 (
+	.A1(n_4681),
+	.A2(n_7129),
+	.B1(n_7278),
+	.Y(n_7339), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g793885 (
+	.A1(n_4684),
+	.A2(n_7129),
+	.B1(n_7279),
+	.Y(n_7337), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g793886 (
+	.A1(n_4675),
+	.A2(n_7129),
+	.B1(n_7280),
+	.Y(n_7335), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g793887 (
+	.A1(n_4626),
+	.A2(n_7129),
+	.B1(n_7281),
+	.Y(n_7333), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g793888 (
+	.A1(n_7129),
+	.A2(n_4647),
+	.B1(n_7274),
+	.Y(n_7331), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793890 (
+	.A(n_1466),
+	.B(brqrv_top_brqrv_lsu_store_data_hi_r[23]),
+	.Y(n_7289), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793891 (
+	.A(n_1466),
+	.B(brqrv_top_brqrv_lsu_store_data_hi_r[22]),
+	.Y(n_7288), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793892 (
+	.A(n_1466),
+	.B(brqrv_top_brqrv_lsu_store_data_hi_r[21]),
+	.Y(n_7287), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793893 (
+	.A(n_1466),
+	.B(brqrv_top_brqrv_lsu_store_data_hi_r[20]),
+	.Y(n_7286), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793894 (
+	.A(n_1466),
+	.B(brqrv_top_brqrv_lsu_store_data_hi_r[19]),
+	.Y(n_7285), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793895 (
+	.A(n_1466),
+	.B(brqrv_top_brqrv_lsu_store_data_hi_r[18]),
+	.Y(n_7284), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793896 (
+	.A(n_1466),
+	.B(brqrv_top_brqrv_lsu_store_data_hi_r[17]),
+	.Y(n_7283), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793897 (
+	.A(n_1466),
+	.B(brqrv_top_brqrv_lsu_store_data_hi_r[16]),
+	.Y(n_7282), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793898 (
+	.A(n_7129),
+	.B(brqrv_top_brqrv_lsu_store_data_lo_r[7]),
+	.Y(n_7281), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793899 (
+	.A(n_7129),
+	.B(brqrv_top_brqrv_lsu_store_data_lo_r[6]),
+	.Y(n_7280), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793900 (
+	.A(n_7129),
+	.B(brqrv_top_brqrv_lsu_store_data_lo_r[5]),
+	.Y(n_7279), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793901 (
+	.A(n_7129),
+	.B(brqrv_top_brqrv_lsu_store_data_lo_r[4]),
+	.Y(n_7278), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793902 (
+	.A(n_7129),
+	.B(brqrv_top_brqrv_lsu_store_data_lo_r[3]),
+	.Y(n_7277), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793903 (
+	.A(n_7129),
+	.B(brqrv_top_brqrv_lsu_store_data_lo_r[2]),
+	.Y(n_7276), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793904 (
+	.A(n_7129),
+	.B(brqrv_top_brqrv_lsu_store_data_lo_r[1]),
+	.Y(n_7275), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793905 (
+	.A(n_7129),
+	.B(brqrv_top_brqrv_lsu_store_data_lo_r[0]),
+	.Y(n_7274), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793906 (
+	.A(n_7130),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[11]),
+	.Y(n_7273), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793907 (
+	.A(n_7130),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[9]),
+	.Y(n_7272), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793908 (
+	.A(n_7131),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[8]),
+	.Y(n_7271), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793909 (
+	.A(n_7131),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[6]),
+	.Y(n_7270), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793910 (
+	.A(n_7133),
+	.B(n_1487),
+	.Y(n_7269), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g793911 (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_reset[1]),
+	.B(n_41792),
+	.Y(n_7268), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793912 (
+	.A(n_41903),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_r[10]),
+	.Y(n_7267), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793913 (
+	.A(n_41903),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_r[11]),
+	.Y(n_7266), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793914 (
+	.A(n_41903),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_r[9]),
+	.Y(n_7265), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793915 (
+	.A(n_41903),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_r[8]),
+	.Y(n_7264), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793916 (
+	.A(n_41903),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_r[7]),
+	.Y(n_7263), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793917 (
+	.A(n_41903),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_r[4]),
+	.Y(n_7262), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793918 (
+	.A(n_41903),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_r[6]),
+	.Y(n_7261), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793919 (
+	.A(n_41903),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_r[3]),
+	.Y(n_7260), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793920 (
+	.A(n_41903),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_r[5]),
+	.Y(n_7259), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793921 (
+	.A(n_41903),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_r[2]),
+	.Y(n_7258), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793922 (
+	.A(n_41696),
+	.B(n_1427),
+	.Y(n_7257), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793923 (
+	.A(FE_DBTN0_n_41903),
+	.B(n_2949),
+	.Y(n_7256), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793924 (
+	.A(FE_DBTN0_n_41903),
+	.B(n_2939),
+	.Y(n_7255), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793925 (
+	.A(FE_DBTN0_n_41903),
+	.B(n_2937),
+	.Y(n_7254), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793926 (
+	.A(FE_DBTN0_n_41903),
+	.B(n_2935),
+	.Y(n_7253), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793927 (
+	.A(n_41899),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_r[11]),
+	.Y(n_7252), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793928 (
+	.A(n_41899),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_r[10]),
+	.Y(n_7251), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793929 (
+	.A(n_41899),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_r[9]),
+	.Y(n_7250), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793930 (
+	.A(n_41899),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_r[8]),
+	.Y(n_7249), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793931 (
+	.A(n_41899),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_r[7]),
+	.Y(n_7248), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793932 (
+	.A(n_41899),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_r[6]),
+	.Y(n_7247), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793933 (
+	.A(n_41899),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_r[5]),
+	.Y(n_7246), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793934 (
+	.A(n_41899),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_r[4]),
+	.Y(n_7245), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793935 (
+	.A(n_41899),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_r[3]),
+	.Y(n_7244), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793936 (
+	.A(n_41899),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_r[2]),
+	.Y(n_7243), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793937 (
+	.A(n_41901),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_r[11]),
+	.Y(n_7242), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793938 (
+	.A(n_41901),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_r[10]),
+	.Y(n_7241), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793939 (
+	.A(n_41901),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_r[9]),
+	.Y(n_7240), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793940 (
+	.A(n_41901),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_r[8]),
+	.Y(n_7239), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793941 (
+	.A(n_41901),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_r[7]),
+	.Y(n_7238), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793942 (
+	.A(n_41901),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_r[6]),
+	.Y(n_7237), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793943 (
+	.A(n_41901),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_r[5]),
+	.Y(n_7236), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793944 (
+	.A(n_41901),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_r[4]),
+	.Y(n_7235), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793945 (
+	.A(n_41901),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_r[3]),
+	.Y(n_7234), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793946 (
+	.A(n_41901),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_r[2]),
+	.Y(n_7233), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793947 (
+	.A(n_41897),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_r[11]),
+	.Y(n_7232), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793948 (
+	.A(n_41897),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_r[9]),
+	.Y(n_7231), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793949 (
+	.A(n_41897),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_r[10]),
+	.Y(n_7230), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793950 (
+	.A(n_41897),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_r[8]),
+	.Y(n_7229), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793951 (
+	.A(n_41897),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_r[7]),
+	.Y(n_7228), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793952 (
+	.A(n_41897),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_r[6]),
+	.Y(n_7227), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793953 (
+	.A(n_41897),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_r[5]),
+	.Y(n_7226), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793954 (
+	.A(n_41897),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_r[4]),
+	.Y(n_7225), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793955 (
+	.A(n_41897),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_r[3]),
+	.Y(n_7224), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793956 (
+	.A(n_41897),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_r[2]),
+	.Y(n_7223), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793957 (
+	.A(n_41792),
+	.B(n_2949),
+	.Y(n_7222), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793958 (
+	.A(n_41792),
+	.B(n_2939),
+	.Y(n_7221), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793959 (
+	.A(n_41792),
+	.B(n_2937),
+	.Y(n_7220), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793960 (
+	.A(n_41792),
+	.B(n_2935),
+	.Y(n_7219), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793961 (
+	.A(FE_DBTN1_n_41901),
+	.B(n_2949),
+	.Y(n_7218), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793962 (
+	.A(FE_DBTN1_n_41901),
+	.B(n_2939),
+	.Y(n_7217), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793963 (
+	.A(FE_DBTN1_n_41901),
+	.B(n_2937),
+	.Y(n_7216), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793964 (
+	.A(FE_DBTN1_n_41901),
+	.B(n_2935),
+	.Y(n_7215), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793965 (
+	.A(n_41769),
+	.B(n_2949),
+	.Y(n_7214), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793966 (
+	.A(n_41769),
+	.B(n_2939),
+	.Y(n_7213), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793967 (
+	.A(n_41769),
+	.B(n_2937),
+	.Y(n_7212), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g793968 (
+	.A(n_41769),
+	.B(n_2935),
+	.Y(n_7211), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g793969 (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_reset[3]),
+	.B(n_41904),
+	.Y(n_7210), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g793970 (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_reset[0]),
+	.B(n_41769),
+	.Y(n_7209), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g793971 (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_reset[0]),
+	.B(n_41898),
+	.Y(n_7208), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g793972 (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_reset[3]),
+	.B(FE_DBTN0_n_41903),
+	.Y(n_7207), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g793973 (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_reset[2]),
+	.B(n_41902),
+	.Y(n_7206), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g793974 (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_reset[2]),
+	.B(FE_DBTN1_n_41901),
+	.Y(n_7205), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g793975 (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_reset[1]),
+	.B(n_41900),
+	.Y(n_7204), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g793976 (
+	.A(n_6955),
+	.B(n_7132),
+	.Y(n_7290), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g793977 (
+	.A(n_7149),
+	.Y(n_7186), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g793978 (
+	.A(n_7148),
+	.Y(n_7185), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g793979 (
+	.A(n_7147),
+	.Y(n_7184), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g793980 (
+	.A(n_7146),
+	.Y(n_7183), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g793981 (
+	.A(n_7145),
+	.Y(n_7182), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g793982 (
+	.A(n_7144),
+	.Y(n_7181), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g793983 (
+	.A(n_7143),
+	.Y(n_7180), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g793984 (
+	.A(n_7142),
+	.Y(n_7179), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g793985 (
+	.A(n_7141),
+	.Y(n_7178), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g793986 (
+	.A(n_7140),
+	.Y(n_7162), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g793987 (
+	.A(n_7139),
+	.Y(n_7161), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g793988 (
+	.A(n_7138),
+	.Y(n_7160), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g793989 (
+	.A(n_7137),
+	.Y(n_7159), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g793990 (
+	.A(n_7136),
+	.Y(n_7157), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g793991 (
+	.A(n_7135),
+	.Y(n_7156), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g793992 (
+	.A(n_7134),
+	.Y(n_7155), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g793996 (
+	.A(n_37104),
+	.B(n_7012),
+	.C(n_37103),
+	.D(n_4274),
+	.X(n_7154), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g793997 (
+	.A1(n_6330),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_3467),
+	.B1(n_7131),
+	.Y(n_7153), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g793998 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_en),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_rst),
+	.C_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_done),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_done_in), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g793999 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_en),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_rst),
+	.C_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_cmd_done),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_cmd_done_in), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g794000 (
+	.A(n_7023),
+	.B(n_7071),
+	.C(n_4516),
+	.Y(brqrv_top_brqrv_lsu_dccm_ctl_store_data_hi_r_in[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g794001 (
+	.A1(n_6461),
+	.A2(n_42990),
+	.B1(n_5031),
+	.B2(brqrv_top_dmi_reg_wdata[7]),
+	.C1(n_7093),
+	.X(brqrv_top_brqrv_dbg_data0_din[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g794002 (
+	.A1(n_6461),
+	.A2(n_36342),
+	.B1(n_5031),
+	.B2(brqrv_top_dmi_reg_wdata[5]),
+	.C1(n_7092),
+	.X(brqrv_top_brqrv_dbg_data0_din[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794003 (
+	.A(n_1428),
+	.B(n_33871),
+	.Y(n_7152), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g794004 (
+	.A1(n_6322),
+	.A2(n_6753),
+	.B1(n_5298),
+	.C1(n_6995),
+	.D1(n_7011),
+	.Y(brqrv_top_brqrv_dbg_data0_din[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g794005 (
+	.A(n_7038),
+	.B(n_7019),
+	.C(n_6102),
+	.Y(brqrv_top_brqrv_dbg_n_1032), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g794006 (
+	.A1(\brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_n_41 ),
+	.A2(n_43089),
+	.B1(n_7090),
+	.Y(n_7151), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g794007 (
+	.A(n_7051),
+	.B(n_7070),
+	.C(n_4788),
+	.Y(brqrv_top_brqrv_lsu_dccm_ctl_store_data_hi_r_in[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g794008 (
+	.A(n_7050),
+	.B(n_7069),
+	.C(n_4787),
+	.Y(brqrv_top_brqrv_lsu_dccm_ctl_store_data_hi_r_in[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g794009 (
+	.A(n_7049),
+	.B(n_43011),
+	.C(n_4786),
+	.Y(brqrv_top_brqrv_lsu_dccm_ctl_store_data_hi_r_in[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g794010 (
+	.A(n_7048),
+	.B(n_43009),
+	.C(n_4785),
+	.Y(brqrv_top_brqrv_lsu_dccm_ctl_store_data_hi_r_in[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g794011 (
+	.A(n_7047),
+	.B(n_7066),
+	.C(n_4784),
+	.Y(brqrv_top_brqrv_lsu_dccm_ctl_store_data_hi_r_in[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g794012 (
+	.A(n_7046),
+	.B(n_7088),
+	.C(n_4783),
+	.Y(brqrv_top_brqrv_lsu_dccm_ctl_store_data_hi_r_in[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g794013 (
+	.A(n_7045),
+	.B(n_7064),
+	.C(n_4782),
+	.Y(brqrv_top_brqrv_lsu_dccm_ctl_store_data_hi_r_in[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g794014 (
+	.A(n_7044),
+	.B(n_43012),
+	.C(n_4781),
+	.Y(brqrv_top_brqrv_lsu_dccm_ctl_store_data_hi_r_in[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g794015 (
+	.A(n_7043),
+	.B(n_7062),
+	.C(n_5501),
+	.Y(brqrv_top_brqrv_lsu_dccm_ctl_store_data_hi_r_in[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g794016 (
+	.A(n_7042),
+	.B(n_7061),
+	.C(n_5500),
+	.Y(brqrv_top_brqrv_lsu_dccm_ctl_store_data_hi_r_in[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g794017 (
+	.A(n_7041),
+	.B(n_43010),
+	.C(n_5499),
+	.Y(brqrv_top_brqrv_lsu_dccm_ctl_store_data_hi_r_in[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g794018 (
+	.A(n_7040),
+	.B(n_7059),
+	.C(n_5498),
+	.Y(brqrv_top_brqrv_lsu_dccm_ctl_store_data_hi_r_in[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g794019 (
+	.A(n_7013),
+	.B(n_7058),
+	.C(n_5497),
+	.Y(brqrv_top_brqrv_lsu_dccm_ctl_store_data_hi_r_in[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g794020 (
+	.A(n_7033),
+	.B(n_7057),
+	.C(n_5496),
+	.Y(brqrv_top_brqrv_lsu_dccm_ctl_store_data_hi_r_in[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g794021 (
+	.A(n_7032),
+	.B(n_7056),
+	.C(n_5495),
+	.Y(brqrv_top_brqrv_lsu_dccm_ctl_store_data_hi_r_in[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g794022 (
+	.A(n_7031),
+	.B(n_7055),
+	.C(n_5494),
+	.Y(brqrv_top_brqrv_lsu_dccm_ctl_store_data_hi_r_in[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g794023 (
+	.A(n_7024),
+	.B(n_7072),
+	.C(n_4517),
+	.Y(brqrv_top_brqrv_lsu_dccm_ctl_store_data_hi_r_in[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g794024 (
+	.A(n_7025),
+	.B(n_43022),
+	.C(n_4518),
+	.Y(brqrv_top_brqrv_lsu_dccm_ctl_store_data_hi_r_in[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g794025 (
+	.A(n_7026),
+	.B(n_43021),
+	.C(n_4519),
+	.Y(brqrv_top_brqrv_lsu_dccm_ctl_store_data_hi_r_in[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g794026 (
+	.A(n_7027),
+	.B(n_43020),
+	.C(n_4520),
+	.Y(brqrv_top_brqrv_lsu_dccm_ctl_store_data_hi_r_in[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g794027 (
+	.A(n_7028),
+	.B(n_43019),
+	.C(n_4521),
+	.Y(brqrv_top_brqrv_lsu_dccm_ctl_store_data_hi_r_in[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g794028 (
+	.A(n_7029),
+	.B(n_7077),
+	.C(n_4522),
+	.Y(brqrv_top_brqrv_lsu_dccm_ctl_store_data_hi_r_in[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g794029 (
+	.A(n_7030),
+	.B(n_7078),
+	.C(n_4523),
+	.Y(brqrv_top_brqrv_lsu_dccm_ctl_store_data_hi_r_in[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g794030 (
+	.A1(n_6331),
+	.A2(n_33326),
+	.B1(n_7130),
+	.Y(n_7150), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_2 g794031 (
+	.A(n_6466),
+	.B(n_1490),
+	.Y(n_7203), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g794032 (
+	.A1(n_4626),
+	.A2(n_7035),
+	.B1(n_7115),
+	.Y(n_7202), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g794033 (
+	.A1(n_4657),
+	.A2(n_7035),
+	.B1(n_7109),
+	.Y(n_7201), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g794034 (
+	.A1(n_4643),
+	.A2(n_1489),
+	.B1(n_7124),
+	.Y(n_7200), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g794035 (
+	.A1(n_4659),
+	.A2(n_1489),
+	.B1(n_7122),
+	.Y(n_7199), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g794036 (
+	.A1(n_4629),
+	.A2(n_1489),
+	.B1(n_7121),
+	.Y(n_7198), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g794037 (
+	.A1(n_4677),
+	.A2(n_1489),
+	.B1(n_7120),
+	.Y(n_7197), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g794038 (
+	.A1(n_4686),
+	.A2(n_1489),
+	.B1(n_7119),
+	.Y(n_7196), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g794039 (
+	.A1(n_4645),
+	.A2(n_1489),
+	.B1(n_7118),
+	.Y(n_7195), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g794040 (
+	.A1(n_4649),
+	.A2(n_1489),
+	.B1(n_7117),
+	.Y(n_7194), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g794041 (
+	.A1(n_4655),
+	.A2(n_1489),
+	.B1(n_7116),
+	.Y(n_7193), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g794042 (
+	.A1(n_4661),
+	.A2(n_7035),
+	.B1(n_7110),
+	.Y(n_7192), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g794043 (
+	.A1(n_4667),
+	.A2(n_7035),
+	.B1(n_7111),
+	.Y(n_7191), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g794044 (
+	.A1(n_4681),
+	.A2(n_7035),
+	.B1(n_7112),
+	.Y(n_7190), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g794045 (
+	.A1(n_4684),
+	.A2(n_7035),
+	.B1(n_7113),
+	.Y(n_7189), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g794046 (
+	.A1(n_4675),
+	.A2(n_7035),
+	.B1(n_7114),
+	.Y(n_7188), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g794047 (
+	.A1(n_4647),
+	.A2(n_7035),
+	.B1(n_7108),
+	.Y(n_7187), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794048 (
+	.A(n_41792),
+	.B(n_3662),
+	.Y(n_7149), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794049 (
+	.A(FE_DBTN1_n_41901),
+	.B(n_3660),
+	.Y(n_7148), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794050 (
+	.A(FE_DBTN1_n_41901),
+	.B(n_3659),
+	.Y(n_7147), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794051 (
+	.A(FE_DBTN1_n_41901),
+	.B(n_3657),
+	.Y(n_7146), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794052 (
+	.A(FE_DBTN1_n_41901),
+	.B(n_3677),
+	.Y(n_7145), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794053 (
+	.A(FE_DBTN0_n_41903),
+	.B(n_3636),
+	.Y(n_7144), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794054 (
+	.A(FE_DBTN0_n_41903),
+	.B(n_3584),
+	.Y(n_7143), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794055 (
+	.A(FE_DBTN0_n_41903),
+	.B(n_3655),
+	.Y(n_7142), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794056 (
+	.A(FE_DBTN0_n_41903),
+	.B(n_3653),
+	.Y(n_7141), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g794057 (
+	.A(n_41898),
+	.B(n_3639),
+	.X(n_7177), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g794058 (
+	.A(n_41898),
+	.B(n_3583),
+	.X(n_7176), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g794059 (
+	.A(n_41898),
+	.B(n_3643),
+	.X(n_7175), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g794060 (
+	.A(n_41898),
+	.B(n_3585),
+	.X(n_7174), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g794061 (
+	.A(n_41900),
+	.B(n_3666),
+	.X(n_7173), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g794062 (
+	.A(n_41900),
+	.B(n_3664),
+	.X(n_7172), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g794063 (
+	.A(n_41900),
+	.B(n_3661),
+	.X(n_7171), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g794064 (
+	.A(n_41902),
+	.B(n_3590),
+	.X(n_7170), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g794065 (
+	.A(n_41902),
+	.B(n_3658),
+	.X(n_7169), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g794066 (
+	.A(n_41901),
+	.B(n_3656),
+	.X(n_7168), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g794067 (
+	.A(n_41902),
+	.B(n_3594),
+	.X(n_7167), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g794068 (
+	.A(n_41904),
+	.B(n_3663),
+	.X(n_7166), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g794069 (
+	.A(n_41904),
+	.B(n_3595),
+	.X(n_7165), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g794070 (
+	.A(n_41903),
+	.B(n_3654),
+	.X(n_7164), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g794071 (
+	.A(n_41904),
+	.B(n_3652),
+	.X(n_7163), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794072 (
+	.A(n_41769),
+	.B(n_3600),
+	.Y(n_7140), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794073 (
+	.A(n_41769),
+	.B(n_3582),
+	.Y(n_7139), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794074 (
+	.A(n_41769),
+	.B(n_3650),
+	.Y(n_7138), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794075 (
+	.A(n_41769),
+	.B(n_3649),
+	.Y(n_7137), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g794076 (
+	.A(n_41900),
+	.B(n_3669),
+	.X(n_7158), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794077 (
+	.A(n_41792),
+	.B(n_3599),
+	.Y(n_7136), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794078 (
+	.A(n_41792),
+	.B(n_3667),
+	.Y(n_7135), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794079 (
+	.A(n_41792),
+	.B(n_3665),
+	.Y(n_7134), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g794084 (
+	.A(n_7132),
+	.Y(n_7133), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g794087 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[4]),
+	.A2_N(n_7007),
+	.B1(n_6486),
+	.B2(n_7007),
+	.Y(n_36763), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794088 (
+	.A(n_1489),
+	.B(brqrv_top_brqrv_lsu_store_data_hi_r[15]),
+	.Y(n_7124), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794089 (
+	.A(n_7037),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_r[0]),
+	.Y(n_7123), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794090 (
+	.A(n_1489),
+	.B(brqrv_top_brqrv_lsu_store_data_hi_r[14]),
+	.Y(n_7122), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794091 (
+	.A(n_1489),
+	.B(brqrv_top_brqrv_lsu_store_data_hi_r[13]),
+	.Y(n_7121), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794092 (
+	.A(n_1489),
+	.B(brqrv_top_brqrv_lsu_store_data_hi_r[12]),
+	.Y(n_7120), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794093 (
+	.A(n_1489),
+	.B(brqrv_top_brqrv_lsu_store_data_hi_r[11]),
+	.Y(n_7119), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794094 (
+	.A(n_1489),
+	.B(brqrv_top_brqrv_lsu_store_data_hi_r[10]),
+	.Y(n_7118), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794095 (
+	.A(n_1489),
+	.B(brqrv_top_brqrv_lsu_store_data_hi_r[9]),
+	.Y(n_7117), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794096 (
+	.A(n_1489),
+	.B(brqrv_top_brqrv_lsu_store_data_hi_r[8]),
+	.Y(n_7116), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794097 (
+	.A(n_7035),
+	.B(brqrv_top_brqrv_lsu_store_data_hi_r[7]),
+	.Y(n_7115), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794098 (
+	.A(n_7035),
+	.B(brqrv_top_brqrv_lsu_store_data_hi_r[6]),
+	.Y(n_7114), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794099 (
+	.A(n_7035),
+	.B(brqrv_top_brqrv_lsu_store_data_hi_r[5]),
+	.Y(n_7113), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794100 (
+	.A(n_7035),
+	.B(brqrv_top_brqrv_lsu_store_data_hi_r[4]),
+	.Y(n_7112), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794101 (
+	.A(n_7035),
+	.B(brqrv_top_brqrv_lsu_store_data_hi_r[3]),
+	.Y(n_7111), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794102 (
+	.A(n_7035),
+	.B(brqrv_top_brqrv_lsu_store_data_hi_r[2]),
+	.Y(n_7110), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794103 (
+	.A(n_7035),
+	.B(brqrv_top_brqrv_lsu_store_data_hi_r[1]),
+	.Y(n_7109), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794104 (
+	.A(n_7035),
+	.B(brqrv_top_brqrv_lsu_store_data_hi_r[0]),
+	.Y(n_7108), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794105 (
+	.A(n_7037),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_r[1]),
+	.Y(n_7107), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794106 (
+	.A(n_7038),
+	.B(n_7021),
+	.Y(brqrv_top_brqrv_dbg_n_1033), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g794107 (
+	.A1(n_5258),
+	.A2(n_1408),
+	.B1(n_6956),
+	.C1(n_7038),
+	.Y(brqrv_top_brqrv_dbg_n_1034), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g794109 (
+	.A1(FE_DBTN24_brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_en),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_nosend_in),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_rdrsp_pend),
+	.Y(n_7106), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g794110 (
+	.A1(n_3514),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_en),
+	.B1(n_1787),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_rst), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_2 g794111 (
+	.A(n_33871),
+	.B(n_7039),
+	.Y(n_7132), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g794112 (
+	.A(n_7053),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_3311),
+	.Y(n_7131), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g794113 (
+	.A(n_7018),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_en[3]),
+	.Y(n_7130), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g794120 (
+	.A1(n_6309),
+	.A2(n_6753),
+	.B1(n_6284),
+	.B2(n_1426),
+	.C1(n_7015),
+	.Y(n_7093), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g794121 (
+	.A1(n_6324),
+	.A2(n_6753),
+	.B1(n_6303),
+	.B2(n_1426),
+	.C1(n_7014),
+	.Y(n_7092), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o31ai_1 g794122 (
+	.A1(n_4472),
+	.A2(brqrv_top_brqrv_dec_tlu_force_halt),
+	.A3(n_7007),
+	.B1(n_7080),
+	.Y(n_36767), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g794123 (
+	.A1(n_6310),
+	.A2(n_6842),
+	.B1(n_6974),
+	.C1(n_7034),
+	.Y(brqrv_top_brqrv_dbg_data0_din[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g794125 (
+	.A(n_6951),
+	.B(n_6814),
+	.C(n_6540),
+	.D(n_6673),
+	.Y(\brqrv_top_brqrv_dec_decode_cam_array[2].cam_ff_en ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794126 (
+	.A(n_7037),
+	.B(n_2720),
+	.Y(n_7091), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o31ai_1 g794127 (
+	.A1(n_34889),
+	.A2(brqrv_top_brqrv_dec_tlu_force_halt),
+	.A3(n_7007),
+	.B1(n_7079),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_state_ff_n_8 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g794128 (
+	.A(n_6068),
+	.B(n_6556),
+	.C(n_6950),
+	.D(n_3208),
+	.Y(n_7090), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g794129 (
+	.A1(n_6316),
+	.A2(n_6842),
+	.B1(n_6475),
+	.B2(n_6971),
+	.C1(n_6987),
+	.Y(brqrv_top_brqrv_dbg_data0_din[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g794130 (
+	.A1(n_6314),
+	.A2(n_6842),
+	.B1(n_6474),
+	.B2(n_6971),
+	.C1(n_6986),
+	.Y(brqrv_top_brqrv_dbg_data0_din[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g794131 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_sideeffect),
+	.A2_N(FE_DBTN24_brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_en),
+	.B1(n_3440),
+	.B2(FE_DBTN24_brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_en),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_sideeffectff_genblock.dffs_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g794132 (
+	.A1(n_6311),
+	.A2(n_6842),
+	.B1(n_6516),
+	.B2(n_6971),
+	.C1(n_6984),
+	.Y(brqrv_top_brqrv_dbg_data0_din[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g794133 (
+	.A1(n_6313),
+	.A2(n_6842),
+	.B1(n_6480),
+	.B2(n_6971),
+	.C1(n_6985),
+	.Y(brqrv_top_brqrv_dbg_data0_din[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g794134 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_tag0[0]),
+	.A2_N(FE_DBTN24_brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_en),
+	.B1(n_3335),
+	.B2(FE_DBTN24_brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_en),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_tag0ff_genblock.dffs_n_8 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g794135 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_tag0[1]),
+	.A2_N(FE_DBTN24_brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_en),
+	.B1(n_3344),
+	.B2(FE_DBTN24_brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_en),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_tag0ff_genblock.dffs_n_9 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g794136 (
+	.A(n_7017),
+	.B(n_4076),
+	.Y(n_7089), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g794137 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_tag1[0]),
+	.A2_N(FE_DBTN24_brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_en),
+	.B1(n_3439),
+	.B2(FE_DBTN24_brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_en),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_tag1ff_genblock.dffs_n_8 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g794138 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_tag1[1]),
+	.A2_N(FE_DBTN24_brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_en),
+	.B1(n_3441),
+	.B2(FE_DBTN24_brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_en),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_tag1ff_genblock.dffs_n_9 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g794139 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_write),
+	.A2_N(FE_DBTN24_brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_en),
+	.B1(n_3474),
+	.B2(FE_DBTN24_brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_en),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_writeff_genblock.dffs_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g794140 (
+	.A1(n_4688),
+	.A2(n_6990),
+	.B1(n_7086),
+	.Y(n_7105), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g794141 (
+	.A1(n_4651),
+	.A2(n_6990),
+	.B1(n_7083),
+	.Y(n_7104), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g794142 (
+	.A1(n_4671),
+	.A2(n_6990),
+	.B1(n_7082),
+	.Y(n_7103), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g794143 (
+	.A1(n_4641),
+	.A2(n_6990),
+	.B1(n_7081),
+	.Y(n_7102), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g794144 (
+	.A1(n_4669),
+	.A2(n_6990),
+	.B1(n_7085),
+	.Y(n_7101), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g794145 (
+	.A1(n_4663),
+	.A2(n_6990),
+	.B1(n_7084),
+	.Y(n_7100), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g794146 (
+	.A1(n_4673),
+	.A2(n_6990),
+	.B1(n_7087),
+	.Y(n_7099), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g794147 (
+	.A1(n_4679),
+	.A2(n_6990),
+	.B1(n_7065),
+	.Y(n_7098), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794151 (
+	.A(n_1462),
+	.B(n_35727),
+	.Y(n_7088), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794152 (
+	.A(n_6990),
+	.B(brqrv_top_brqrv_lsu_store_data_hi_r[30]),
+	.Y(n_7087), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794153 (
+	.A(n_6990),
+	.B(brqrv_top_brqrv_lsu_store_data_hi_r[29]),
+	.Y(n_7086), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794154 (
+	.A(n_6990),
+	.B(brqrv_top_brqrv_lsu_store_data_hi_r[28]),
+	.Y(n_7085), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794155 (
+	.A(n_6990),
+	.B(brqrv_top_brqrv_lsu_store_data_hi_r[27]),
+	.Y(n_7084), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794156 (
+	.A(n_6990),
+	.B(brqrv_top_brqrv_lsu_store_data_hi_r[26]),
+	.Y(n_7083), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794157 (
+	.A(n_6990),
+	.B(brqrv_top_brqrv_lsu_store_data_hi_r[25]),
+	.Y(n_7082), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794158 (
+	.A(n_6990),
+	.B(brqrv_top_brqrv_lsu_store_data_hi_r[24]),
+	.Y(n_7081), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794159 (
+	.A(n_7007),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[5]),
+	.Y(n_7080), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794160 (
+	.A(n_7007),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[3]),
+	.Y(n_7079), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g794161 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_en),
+	.B_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_tag1[2]),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_tag1ff_genblock.dffs_n_10 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g794162 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_en),
+	.B_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_tag0[2]),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_tag0ff_genblock.dffs_n_10 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g794163 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_en),
+	.B_N(n_6520),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_timer_in[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794164 (
+	.A(n_1461),
+	.B(n_35740),
+	.Y(n_7078), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794165 (
+	.A(n_1461),
+	.B(n_35739),
+	.Y(n_7077), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794170 (
+	.A(n_1461),
+	.B(n_35734),
+	.Y(n_7072), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794171 (
+	.A(n_1461),
+	.B(n_35733),
+	.Y(n_7071), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794172 (
+	.A(n_1462),
+	.B(n_35732),
+	.Y(n_7070), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794173 (
+	.A(n_1462),
+	.B(n_35731),
+	.Y(n_7069), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794176 (
+	.A(n_1462),
+	.B(n_35728),
+	.Y(n_7066), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794177 (
+	.A(n_6990),
+	.B(brqrv_top_brqrv_lsu_store_data_hi_r[31]),
+	.Y(n_7065), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794178 (
+	.A(n_1462),
+	.B(n_35726),
+	.Y(n_7064), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794180 (
+	.A(n_1464),
+	.B(n_35724),
+	.Y(n_7062), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794181 (
+	.A(n_1464),
+	.B(n_35723),
+	.Y(n_7061), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794183 (
+	.A(n_1464),
+	.B(n_35721),
+	.Y(n_7059), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794184 (
+	.A(n_1464),
+	.B(n_35720),
+	.Y(n_7058), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794185 (
+	.A(n_1464),
+	.B(n_35719),
+	.Y(n_7057), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794186 (
+	.A(n_1464),
+	.B(n_35718),
+	.Y(n_7056), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794187 (
+	.A(n_1464),
+	.B(n_35717),
+	.Y(n_7055), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794188 (
+	.A(n_42975),
+	.B(n_4537),
+	.Y(n_7054), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794189 (
+	.A(n_6988),
+	.B(n_6667),
+	.Y(\brqrv_top_brqrv_dec_decode_cam_array[3].cam_ff_en ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g794190 (
+	.A(n_6794),
+	.B(n_34858),
+	.C(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_7543),
+	.D(n_42999),
+	.Y(n_7053), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794191 (
+	.A(n_6991),
+	.B(n_1860),
+	.Y(n_7052), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794192 (
+	.A(n_1463),
+	.B(n_4644),
+	.Y(n_7051), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794193 (
+	.A(n_1463),
+	.B(n_4660),
+	.Y(n_7050), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794194 (
+	.A(n_1463),
+	.B(n_4630),
+	.Y(n_7049), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794195 (
+	.A(n_1463),
+	.B(n_4678),
+	.Y(n_7048), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794196 (
+	.A(n_1463),
+	.B(n_4687),
+	.Y(n_7047), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794197 (
+	.A(n_1463),
+	.B(n_4646),
+	.Y(n_7046), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794198 (
+	.A(n_1463),
+	.B(n_4650),
+	.Y(n_7045), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794199 (
+	.A(n_1463),
+	.B(n_4656),
+	.Y(n_7044), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794200 (
+	.A(n_1465),
+	.B(n_4625),
+	.Y(n_7043), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794201 (
+	.A(n_1465),
+	.B(n_4676),
+	.Y(n_7042), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794202 (
+	.A(n_1465),
+	.B(n_4685),
+	.Y(n_7041), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794203 (
+	.A(n_1465),
+	.B(n_4682),
+	.Y(n_7040), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g794205 (
+	.A(n_7036),
+	.Y(n_7037), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g794211 (
+	.A(n_6862),
+	.B(n_6994),
+	.Y(n_7034), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794212 (
+	.A(n_1465),
+	.B(n_4662),
+	.Y(n_7033), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794213 (
+	.A(n_1465),
+	.B(n_4658),
+	.Y(n_7032), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794214 (
+	.A(n_1465),
+	.B(n_4648),
+	.Y(n_7031), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794215 (
+	.A(n_1460),
+	.B(n_4640),
+	.Y(n_7030), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794216 (
+	.A(n_1460),
+	.B(n_4638),
+	.Y(n_7029), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794217 (
+	.A(n_1460),
+	.B(n_4636),
+	.Y(n_7028), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794218 (
+	.A(n_1460),
+	.B(n_4628),
+	.Y(n_7027), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794219 (
+	.A(n_1460),
+	.B(n_4632),
+	.Y(n_7026), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794220 (
+	.A(n_1460),
+	.B(n_4634),
+	.Y(n_7025), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794221 (
+	.A(n_1460),
+	.B(n_4654),
+	.Y(n_7024), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794222 (
+	.A(n_1460),
+	.B(n_4666),
+	.Y(n_7023), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794223 (
+	.A(n_42975),
+	.B(n_4014),
+	.Y(n_7022), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g794224 (
+	.A(n_6655),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_en),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_timer_in[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32oi_1 g794225 (
+	.A1(n_6972),
+	.A2(brqrv_top_brqrv_dbg_abstractcs_reg[9]),
+	.A3(n_4999),
+	.B1(n_6754),
+	.B2(n_6102),
+	.Y(n_7021), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794226 (
+	.A(n_42975),
+	.B(n_4080),
+	.Y(n_7020), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32oi_1 g794227 (
+	.A1(n_6972),
+	.A2(brqrv_top_brqrv_dbg_abstractcs_reg[8]),
+	.A3(n_4998),
+	.B1(n_6650),
+	.B2(n_5372),
+	.Y(n_7019), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g794228 (
+	.A(n_6276),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_en),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_timer_in[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g794230 (
+	.A(n_6793),
+	.B(n_34868),
+	.C(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_7547),
+	.D(n_43000),
+	.Y(n_7018), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g794231 (
+	.A(n_6961),
+	.B(n_6813),
+	.C(n_6532),
+	.D(n_6694),
+	.Y(\brqrv_top_brqrv_dec_decode_cam_array[0].cam_ff_en ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g794232 (
+	.A1(n_3694),
+	.A2(n_6787),
+	.B1(n_3081),
+	.Y(n_7017), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794233 (
+	.A(n_42975),
+	.B(n_2718),
+	.Y(n_7016), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g794234 (
+	.A1(brqrv_top_brqrv_exu_i_alu_lt),
+	.A2(n_3765),
+	.B1(n_37261),
+	.B2(n_4920),
+	.C1(n_6954),
+	.X(brqrv_top_brqrv_exu_i_alu_result[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g794235 (
+	.A(n_6968),
+	.B(n_6997),
+	.Y(n_7015), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g794236 (
+	.A(n_6967),
+	.B(n_6996),
+	.Y(n_7014), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794237 (
+	.A(n_1465),
+	.B(n_4668),
+	.Y(n_7013), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g794238 (
+	.A(n_37091),
+	.B(n_37097),
+	.C(n_6963),
+	.D(n_37102),
+	.X(n_7012), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g794240 (
+	.A(brqrv_top_brqrv_dbg_dbg_state[0]),
+	.B(brqrv_top_brqrv_dec_tlu_dbg_halted),
+	.C(brqrv_top_brqrv_dec_tlu_debug_mode),
+	.D(n_6792),
+	.X(brqrv_top_brqrv_dbg_dbg_free_clken), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g794241 (
+	.A1(n_6461),
+	.A2(n_36352),
+	.B1(n_5031),
+	.B2(brqrv_top_dmi_reg_wdata[15]),
+	.C1(n_6983),
+	.X(brqrv_top_brqrv_dbg_data0_din[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g794242 (
+	.A1(n_6461),
+	.A2(n_36351),
+	.B1(n_5031),
+	.B2(brqrv_top_dmi_reg_wdata[14]),
+	.C1(n_6982),
+	.X(brqrv_top_brqrv_dbg_data0_din[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g794243 (
+	.A1(n_6461),
+	.A2(n_36350),
+	.B1(n_5031),
+	.B2(brqrv_top_dmi_reg_wdata[13]),
+	.C1(n_6981),
+	.X(brqrv_top_brqrv_dbg_data0_din[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g794244 (
+	.A1(n_6461),
+	.A2(n_36349),
+	.B1(n_5031),
+	.B2(brqrv_top_dmi_reg_wdata[12]),
+	.C1(n_6980),
+	.X(brqrv_top_brqrv_dbg_data0_din[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g794245 (
+	.A1(n_6461),
+	.A2(n_36348),
+	.B1(n_5031),
+	.B2(brqrv_top_dmi_reg_wdata[11]),
+	.C1(n_6979),
+	.X(brqrv_top_brqrv_dbg_data0_din[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g794246 (
+	.A1(n_6461),
+	.A2(n_36347),
+	.B1(n_5031),
+	.B2(brqrv_top_dmi_reg_wdata[10]),
+	.C1(n_6978),
+	.X(brqrv_top_brqrv_dbg_data0_din[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g794247 (
+	.A1(n_6461),
+	.A2(n_36346),
+	.B1(n_5031),
+	.B2(brqrv_top_dmi_reg_wdata[9]),
+	.C1(n_6977),
+	.X(brqrv_top_brqrv_dbg_data0_din[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g794248 (
+	.A1(n_6461),
+	.A2(n_36345),
+	.B1(n_5031),
+	.B2(brqrv_top_dmi_reg_wdata[8]),
+	.C1(n_6976),
+	.X(brqrv_top_brqrv_dbg_data0_din[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g794249 (
+	.A1(n_6461),
+	.A2(n_36339),
+	.B1(n_6975),
+	.Y(n_7011), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g794250 (
+	.A1(n_1679),
+	.A2(lsu_axi_bvalid),
+	.B1(n_6824),
+	.B2(n_3718),
+	.C1(brqrv_top_brqrv_dec_tlu_force_halt),
+	.C2(n_3545),
+	.Y(n_7010), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g794251 (
+	.A(n_6962),
+	.B(n_6664),
+	.C(n_6668),
+	.D(n_6663),
+	.Y(\brqrv_top_brqrv_dec_decode_cam_array[1].cam_ff_en ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g794259 (
+	.A(n_6593),
+	.B(n_6702),
+	.C(n_6973),
+	.D(n_6843),
+	.Y(n_7039), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g794260 (
+	.A(n_1408),
+	.B(n_36371),
+	.C(n_2995),
+	.D(n_3936),
+	.X(n_7038), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g794261 (
+	.A(n_42975),
+	.B(n_2844),
+	.Y(n_7036), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794262 (
+	.A(n_6991),
+	.B(n_4425),
+	.Y(n_7035), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_4 g794263 (
+	.A(n_6991),
+	.B(n_3523),
+	.Y(n_1489), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g794264 (
+	.A(n_7009),
+	.Y(\brqrv_top_mem_iccm.iccm_addr_bank_inc [2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794272 (
+	.A(n_4918),
+	.B(n_6945),
+	.Y(brqrv_top_brqrv_exu_i_alu_result[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g794273 (
+	.A1(n_37264),
+	.A2(n_4691),
+	.B1(n_43435),
+	.B2(n_5883),
+	.C1(n_6797),
+	.X(brqrv_top_brqrv_exu_i_alu_result[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g794274 (
+	.A1(n_37276),
+	.A2(n_4214),
+	.B1(n_37338),
+	.B2(n_5883),
+	.C1(n_6798),
+	.X(brqrv_top_brqrv_exu_i_alu_result[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g794275 (
+	.A1(n_37340),
+	.A2(n_5883),
+	.B1(n_37278),
+	.B2(n_4837),
+	.C1(n_6799),
+	.X(brqrv_top_brqrv_exu_i_alu_result[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g794276 (
+	.A1(n_37343),
+	.A2(n_5883),
+	.B1(n_37281),
+	.B2(n_5244),
+	.C1(n_6801),
+	.X(brqrv_top_brqrv_exu_i_alu_result[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794285 (
+	.A(n_1482),
+	.B(n_6973),
+	.Y(n_6998), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g794286 (
+	.A1(n_37344),
+	.A2(n_5883),
+	.B1(n_37282),
+	.B2(n_4836),
+	.C1(n_6802),
+	.X(brqrv_top_brqrv_exu_i_alu_result[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g794287 (
+	.A1(n_37345),
+	.A2(n_5883),
+	.B1(n_37283),
+	.B2(n_5246),
+	.C1(n_6803),
+	.X(brqrv_top_brqrv_exu_i_alu_result[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794288 (
+	.A(n_5562),
+	.B(n_6949),
+	.Y(brqrv_top_brqrv_exu_i_alu_result[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794289 (
+	.A(n_5294),
+	.B(n_6948),
+	.Y(brqrv_top_brqrv_exu_i_alu_result[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794290 (
+	.A(n_5293),
+	.B(n_6947),
+	.Y(brqrv_top_brqrv_exu_i_alu_result[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794291 (
+	.A(n_4919),
+	.B(n_6946),
+	.Y(brqrv_top_brqrv_exu_i_alu_result[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794292 (
+	.A(n_4917),
+	.B(n_6944),
+	.Y(brqrv_top_brqrv_exu_i_alu_result[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g794293 (
+	.A1(n_37292),
+	.A2(n_3696),
+	.B1(n_37355),
+	.B2(n_5883),
+	.C1(n_6796),
+	.X(brqrv_top_brqrv_exu_i_alu_result[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794294 (
+	.A(n_4876),
+	.B(n_6943),
+	.Y(brqrv_top_brqrv_exu_i_alu_result[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794295 (
+	.A(n_1414),
+	.B(n_6942),
+	.Y(brqrv_top_brqrv_exu_i_alu_result[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794296 (
+	.A(n_4880),
+	.B(n_6941),
+	.Y(brqrv_top_brqrv_exu_i_alu_result[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794297 (
+	.A(n_5233),
+	.B(n_6940),
+	.Y(brqrv_top_brqrv_exu_i_alu_result[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794298 (
+	.A(n_4868),
+	.B(n_6939),
+	.Y(brqrv_top_brqrv_exu_i_alu_result[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794299 (
+	.A(n_5254),
+	.B(n_6938),
+	.Y(brqrv_top_brqrv_exu_i_alu_result[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794300 (
+	.A(n_4867),
+	.B(n_6937),
+	.Y(brqrv_top_brqrv_exu_i_alu_result[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794301 (
+	.A(n_5232),
+	.B(n_6936),
+	.Y(brqrv_top_brqrv_exu_i_alu_result[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794302 (
+	.A(n_5252),
+	.B(n_6935),
+	.Y(brqrv_top_brqrv_exu_i_alu_result[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794303 (
+	.A(n_5231),
+	.B(n_6934),
+	.Y(brqrv_top_brqrv_exu_i_alu_result[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g794304 (
+	.A1(n_37346),
+	.A2(n_5883),
+	.B1(n_37284),
+	.B2(n_4349),
+	.C1(n_6804),
+	.X(brqrv_top_brqrv_exu_i_alu_result[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a41oi_1 g794305 (
+	.A1(n_6076),
+	.A2(n_5902),
+	.A3(n_4754),
+	.A4(n_4755),
+	.B1(n_6971),
+	.Y(n_6997), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a41oi_1 g794306 (
+	.A1(n_6075),
+	.A2(n_5898),
+	.A3(n_4750),
+	.A4(n_4751),
+	.B1(n_6971),
+	.Y(n_6996), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a41o_1 g794307 (
+	.A1(n_6273),
+	.A2(n_5893),
+	.A3(n_5892),
+	.A4(n_4475),
+	.B1(n_6971),
+	.X(n_6995), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a41oi_1 g794308 (
+	.A1(n_6071),
+	.A2(n_5890),
+	.A3(n_5825),
+	.A4(n_6053),
+	.B1(n_6971),
+	.Y(n_6994), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g794309 (
+	.A1(n_37351),
+	.A2(n_5883),
+	.B1(n_37289),
+	.B2(n_4839),
+	.C1(n_6808),
+	.X(brqrv_top_brqrv_exu_i_alu_result[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g794310 (
+	.A1(n_37350),
+	.A2(n_5883),
+	.B1(n_37288),
+	.B2(n_4382),
+	.C1(n_6807),
+	.X(brqrv_top_brqrv_exu_i_alu_result[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g794311 (
+	.A1(n_37349),
+	.A2(n_5883),
+	.B1(n_37287),
+	.B2(n_5241),
+	.C1(n_6806),
+	.X(brqrv_top_brqrv_exu_i_alu_result[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g794312 (
+	.A1(n_37348),
+	.A2(n_5883),
+	.B1(n_37286),
+	.B2(n_4833),
+	.C1(n_6805),
+	.X(brqrv_top_brqrv_exu_i_alu_result[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g794313 (
+	.A1(n_37352),
+	.A2(n_5883),
+	.B1(n_37290),
+	.B2(n_4834),
+	.C1(n_6810),
+	.X(brqrv_top_brqrv_exu_i_alu_result[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g794314 (
+	.A(n_6756),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[4]),
+	.Y(n_1490), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_2 g794315 (
+	.A1(n_5039),
+	.A2(n_43063),
+	.B1(n_6959),
+	.Y(n_7009), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g794317 (
+	.A(n_6964),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_3227),
+	.Y(n_7007), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g794324 (
+	.A(n_6991),
+	.Y(n_6990), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g794326 (
+	.A(n_6847),
+	.B(n_6882),
+	.C(n_5503),
+	.Y(brqrv_top_brqrv_lsu_dccm_ctl_store_data_lo_r_in[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g794327 (
+	.A(n_4896),
+	.B(n_5987),
+	.C(n_6800),
+	.Y(brqrv_top_brqrv_exu_i_alu_result[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g794328 (
+	.A(n_6834),
+	.B(n_6866),
+	.C(n_4524),
+	.Y(brqrv_top_brqrv_lsu_dccm_ctl_store_data_lo_r_in[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g794329 (
+	.A(n_6835),
+	.B(n_6867),
+	.C(n_4525),
+	.Y(brqrv_top_brqrv_lsu_dccm_ctl_store_data_lo_r_in[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g794330 (
+	.A(n_6836),
+	.B(n_6868),
+	.C(n_4526),
+	.Y(brqrv_top_brqrv_lsu_dccm_ctl_store_data_lo_r_in[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g794331 (
+	.A(n_6552),
+	.B(n_6553),
+	.C(n_6815),
+	.D(n_6957),
+	.Y(n_6988), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g794332 (
+	.A1(n_5212),
+	.A2(n_6695),
+	.B1(brqrv_top_brqrv_dbg_n_18),
+	.B2(n_2973),
+	.C1(n_6863),
+	.Y(brqrv_top_brqrv_dbg_dbg_state_reg_n_11), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g794333 (
+	.A(n_6832),
+	.B(n_6833),
+	.C(n_5104),
+	.Y(brqrv_top_brqrv_lsu_dccm_ctl_store_data_lo_r_in[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g794334 (
+	.A1(n_6461),
+	.A2(n_36343),
+	.B1(n_5031),
+	.B2(brqrv_top_dmi_reg_wdata[6]),
+	.C1(n_6933),
+	.Y(n_6987), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g794335 (
+	.A1(n_6461),
+	.A2(n_36341),
+	.B1(n_5031),
+	.B2(brqrv_top_dmi_reg_wdata[4]),
+	.C1(n_6932),
+	.Y(n_6986), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g794336 (
+	.A1(n_6461),
+	.A2(n_36340),
+	.B1(n_5031),
+	.B2(brqrv_top_dmi_reg_wdata[3]),
+	.C1(n_6931),
+	.Y(n_6985), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g794337 (
+	.A1(n_6461),
+	.A2(n_36338),
+	.B1(n_5031),
+	.B2(brqrv_top_dmi_reg_wdata[1]),
+	.C1(n_6930),
+	.Y(n_6984), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g794338 (
+	.A(n_6861),
+	.B(n_6897),
+	.C(n_6042),
+	.Y(brqrv_top_brqrv_lsu_dccm_ctl_store_data_lo_r_in[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g794339 (
+	.A(n_6860),
+	.B(n_6896),
+	.C(n_5960),
+	.Y(brqrv_top_brqrv_lsu_dccm_ctl_store_data_lo_r_in[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g794340 (
+	.A(n_6859),
+	.B(n_6895),
+	.C(n_6041),
+	.Y(brqrv_top_brqrv_lsu_dccm_ctl_store_data_lo_r_in[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g794341 (
+	.A(n_6858),
+	.B(n_6894),
+	.C(n_5959),
+	.Y(brqrv_top_brqrv_lsu_dccm_ctl_store_data_lo_r_in[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g794342 (
+	.A(n_6857),
+	.B(n_6892),
+	.C(n_6040),
+	.Y(brqrv_top_brqrv_lsu_dccm_ctl_store_data_lo_r_in[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g794343 (
+	.A(n_6856),
+	.B(n_6891),
+	.C(n_5958),
+	.Y(brqrv_top_brqrv_lsu_dccm_ctl_store_data_lo_r_in[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g794344 (
+	.A(n_6855),
+	.B(n_6890),
+	.C(n_6039),
+	.Y(brqrv_top_brqrv_lsu_dccm_ctl_store_data_lo_r_in[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g794345 (
+	.A(n_6854),
+	.B(n_6889),
+	.C(n_6038),
+	.Y(brqrv_top_brqrv_lsu_dccm_ctl_store_data_lo_r_in[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g794346 (
+	.A(n_6853),
+	.B(n_6888),
+	.C(n_5509),
+	.Y(brqrv_top_brqrv_lsu_dccm_ctl_store_data_lo_r_in[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g794347 (
+	.A(n_6852),
+	.B(n_6887),
+	.C(n_5508),
+	.Y(brqrv_top_brqrv_lsu_dccm_ctl_store_data_lo_r_in[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g794348 (
+	.A(n_6851),
+	.B(n_6886),
+	.C(n_5507),
+	.Y(brqrv_top_brqrv_lsu_dccm_ctl_store_data_lo_r_in[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g794349 (
+	.A(n_6850),
+	.B(n_6885),
+	.C(n_5506),
+	.Y(brqrv_top_brqrv_lsu_dccm_ctl_store_data_lo_r_in[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g794350 (
+	.A(n_6849),
+	.B(n_6884),
+	.C(n_5505),
+	.Y(brqrv_top_brqrv_lsu_dccm_ctl_store_data_lo_r_in[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g794351 (
+	.A(n_6848),
+	.B(n_6883),
+	.C(n_5504),
+	.Y(brqrv_top_brqrv_lsu_dccm_ctl_store_data_lo_r_in[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g794352 (
+	.A1(n_37353),
+	.A2(n_5883),
+	.B1(n_37291),
+	.B2(n_4886),
+	.C1(n_6809),
+	.X(brqrv_top_brqrv_exu_i_alu_result[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g794353 (
+	.A(n_6846),
+	.B(n_6881),
+	.C(n_5502),
+	.Y(brqrv_top_brqrv_lsu_dccm_ctl_store_data_lo_r_in[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g794354 (
+	.A(n_6831),
+	.B(n_6880),
+	.C(n_5103),
+	.Y(brqrv_top_brqrv_lsu_dccm_ctl_store_data_lo_r_in[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g794355 (
+	.A(n_6830),
+	.B(n_6879),
+	.C(n_5102),
+	.Y(brqrv_top_brqrv_lsu_dccm_ctl_store_data_lo_r_in[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g794356 (
+	.A(n_6829),
+	.B(n_6878),
+	.C(n_5101),
+	.Y(brqrv_top_brqrv_lsu_dccm_ctl_store_data_lo_r_in[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g794357 (
+	.A(n_6828),
+	.B(n_6877),
+	.C(n_5100),
+	.Y(brqrv_top_brqrv_lsu_dccm_ctl_store_data_lo_r_in[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g794358 (
+	.A(n_6827),
+	.B(n_6876),
+	.C(n_5099),
+	.Y(brqrv_top_brqrv_lsu_dccm_ctl_store_data_lo_r_in[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g794359 (
+	.A(n_6826),
+	.B(n_6875),
+	.C(n_5098),
+	.Y(brqrv_top_brqrv_lsu_dccm_ctl_store_data_lo_r_in[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g794360 (
+	.A(n_6825),
+	.B(n_6874),
+	.C(n_5097),
+	.Y(brqrv_top_brqrv_lsu_dccm_ctl_store_data_lo_r_in[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g794361 (
+	.A1(n_6309),
+	.A2(n_6841),
+	.B1(n_6750),
+	.B2(n_6284),
+	.Y(n_6983), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g794362 (
+	.A1(n_6325),
+	.A2(n_6841),
+	.B1(n_6302),
+	.B2(n_6750),
+	.Y(n_6982), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g794363 (
+	.A1(n_6324),
+	.A2(n_6841),
+	.B1(n_6750),
+	.B2(n_6303),
+	.Y(n_6981), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g794364 (
+	.A1(n_6323),
+	.A2(n_6841),
+	.B1(n_6305),
+	.B2(n_6750),
+	.Y(n_6980), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g794365 (
+	.A1(n_6318),
+	.A2(n_6841),
+	.B1(n_6306),
+	.B2(n_6750),
+	.Y(n_6979), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g794366 (
+	.A1(n_6322),
+	.A2(n_6841),
+	.B1(n_6307),
+	.B2(n_6750),
+	.Y(n_6978), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g794367 (
+	.A1(n_6321),
+	.A2(n_6841),
+	.B1(n_6308),
+	.B2(n_6750),
+	.Y(n_6977), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g794368 (
+	.A1(n_6319),
+	.A2(n_6841),
+	.B1(n_6750),
+	.B2(n_6304),
+	.Y(n_6976), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g794369 (
+	.A1(n_6312),
+	.A2(n_6842),
+	.B1(n_6307),
+	.B2(n_1426),
+	.Y(n_6975), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g794370 (
+	.A1(n_36337),
+	.A2(n_6461),
+	.B1(n_6752),
+	.B2(n_6320),
+	.C1(n_5031),
+	.C2(brqrv_top_dmi_reg_wdata[0]),
+	.Y(n_6974), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g794371 (
+	.A(n_6845),
+	.B(n_6873),
+	.C(n_4531),
+	.Y(brqrv_top_brqrv_lsu_dccm_ctl_store_data_lo_r_in[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g794372 (
+	.A(n_6929),
+	.B(n_6872),
+	.C(n_4530),
+	.Y(brqrv_top_brqrv_lsu_dccm_ctl_store_data_lo_r_in[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g794373 (
+	.A(n_6839),
+	.B(n_6871),
+	.C(n_4529),
+	.Y(brqrv_top_brqrv_lsu_dccm_ctl_store_data_lo_r_in[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g794374 (
+	.A(n_6838),
+	.B(n_6870),
+	.C(n_4528),
+	.Y(brqrv_top_brqrv_lsu_dccm_ctl_store_data_lo_r_in[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g794375 (
+	.A(n_6837),
+	.B(n_6869),
+	.C(n_4527),
+	.Y(brqrv_top_brqrv_lsu_dccm_ctl_store_data_lo_r_in[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 g794377 (
+	.A(n_41700),
+	.B(n_6965),
+	.X(n_6991), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g794378 (
+	.A(n_6953),
+	.B(n_6819),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_en), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g794380 (
+	.A(n_1408),
+	.Y(n_6972), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g794382 (
+	.A(n_6970),
+	.Y(n_1488), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794385 (
+	.A(n_1483),
+	.B(n_6843),
+	.Y(n_6969), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g794386 (
+	.A(n_6317),
+	.B(n_6842),
+	.Y(n_6968), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g794387 (
+	.A(n_6315),
+	.B(n_6842),
+	.Y(n_6967), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g794388 (
+	.A1(n_6711),
+	.A2(n_33911),
+	.B1(n_34249),
+	.Y(brqrv_top_brqrv_dec_decode_d_t[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794389 (
+	.A(n_5039),
+	.B(n_6786),
+	.Y(n_6966), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794390 (
+	.A(n_6761),
+	.B(n_6921),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794391 (
+	.A(n_6521),
+	.B(n_6817),
+	.Y(brqrv_top_brqrv_lsu_stbuf_RdPtrff_n_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g794392 (
+	.A1(n_4604),
+	.A2(n_1848),
+	.B1(n_4605),
+	.B2(n_36269),
+	.C1(n_6665),
+	.Y(n_6965), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g794393 (
+	.A(n_6559),
+	.B(n_34866),
+	.C(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_7539),
+	.D(n_42998),
+	.Y(n_6964), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g794394 (
+	.A(n_37092),
+	.B(n_37096),
+	.C(n_37090),
+	.D(n_6812),
+	.X(n_6963), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g794395 (
+	.A1_N(brqrv_top_brqrv_dbg_dbg_state[2]),
+	.A2_N(n_6695),
+	.B1(n_4910),
+	.B2(n_6695),
+	.Y(brqrv_top_brqrv_dbg_dbg_state_reg_n_12), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32o_1 g794396 (
+	.A1(n_6696),
+	.A2(n_4574),
+	.A3(n_35451),
+	.B1(n_6695),
+	.B2(brqrv_top_brqrv_dbg_dbg_state[3]),
+	.X(brqrv_top_brqrv_dbg_dbg_state_reg_n_13), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g794397 (
+	.A1(n_6696),
+	.A2(n_4356),
+	.B1(n_6695),
+	.B2(brqrv_top_brqrv_dbg_dbg_state[0]),
+	.X(brqrv_top_brqrv_dbg_dbg_state_reg_n_10), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4b_1 g794398 (
+	.A(n_6541),
+	.B(n_6542),
+	.C(n_6544),
+	.D_N(n_6662),
+	.Y(n_6962), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4b_1 g794399 (
+	.A(n_6584),
+	.B(n_6558),
+	.C(n_6528),
+	.D_N(n_6661),
+	.Y(n_6961), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_2 g794401 (
+	.A1(brqrv_top_brqrv_dma_mem_addr[2]),
+	.A2(n_3708),
+	.B1(n_3125),
+	.C1(n_6722),
+	.Y(n_6959), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794402 (
+	.A(n_6785),
+	.B(n_6844),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [35]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794403 (
+	.A(n_6784),
+	.B(n_6928),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [34]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794404 (
+	.A(n_6783),
+	.B(n_6927),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [33]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794405 (
+	.A(n_6782),
+	.B(n_6926),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [32]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794406 (
+	.A(n_6781),
+	.B(n_6925),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794407 (
+	.A(n_6780),
+	.B(n_6924),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794408 (
+	.A(n_6779),
+	.B(n_6923),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794409 (
+	.A(n_6778),
+	.B(n_6922),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794410 (
+	.A(n_6769),
+	.B(n_6905),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794411 (
+	.A(n_6768),
+	.B(n_6904),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794412 (
+	.A(n_6767),
+	.B(n_6903),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794413 (
+	.A(n_6766),
+	.B(n_6902),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794414 (
+	.A(n_6765),
+	.B(n_6901),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794415 (
+	.A(n_6764),
+	.B(n_6900),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794416 (
+	.A(n_6763),
+	.B(n_6899),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794417 (
+	.A(n_6762),
+	.B(n_6898),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g794419 (
+	.A(n_6555),
+	.B(n_6554),
+	.C(n_6652),
+	.Y(n_6957), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g794420 (
+	.A(n_6755),
+	.B(n_3761),
+	.C(n_6102),
+	.Y(n_6956), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794421 (
+	.A(n_6777),
+	.B(n_6913),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794422 (
+	.A(n_6776),
+	.B(n_6912),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794423 (
+	.A(n_6775),
+	.B(n_6911),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794424 (
+	.A(n_6774),
+	.B(n_6910),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794425 (
+	.A(n_6773),
+	.B(n_6909),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794426 (
+	.A(n_6772),
+	.B(n_6908),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794427 (
+	.A(n_6771),
+	.B(n_6907),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794428 (
+	.A(n_6770),
+	.B(n_6906),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794429 (
+	.A(n_6522),
+	.B(n_6795),
+	.Y(n_6973), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g794431 (
+	.A1(n_1425),
+	.A2(n_5349),
+	.B1(n_6840),
+	.Y(n_6971), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794432 (
+	.A(n_41700),
+	.B(n_6821),
+	.Y(n_6970), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g794436 (
+	.A(n_6955),
+	.Y(n_1487), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g794437 (
+	.A1(n_33142),
+	.A2(n_6460),
+	.B1(n_6312),
+	.B2(n_6590),
+	.C1(n_5299),
+	.Y(brqrv_top_brqrv_dbg_data0_din[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794438 (
+	.A(n_6759),
+	.B(n_6919),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794439 (
+	.A(n_6758),
+	.B(n_6918),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794440 (
+	.A(n_6757),
+	.B(n_6917),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794441 (
+	.A(n_6818),
+	.B(n_6916),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794442 (
+	.A(n_6820),
+	.B(n_6914),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794443 (
+	.A(n_6816),
+	.B(n_6915),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g794444 (
+	.A(n_5986),
+	.B(n_5012),
+	.C(n_6666),
+	.Y(n_6954), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o311ai_1 g794445 (
+	.A1(n_34574),
+	.A2(n_6601),
+	.A3(n_6526),
+	.B1(n_34569),
+	.C1(n_1781),
+	.Y(n_6953), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111oi_0 g794446 (
+	.A1(n_4603),
+	.A2(brqrv_top_brqrv_lsu_lsu_addr_r[3]),
+	.B1(n_5124),
+	.C1(n_4921),
+	.D1(n_6599),
+	.Y(n_6952), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g794447 (
+	.A(n_6536),
+	.B(n_6534),
+	.C(n_6535),
+	.D(n_6654),
+	.Y(n_6951), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g794448 (
+	.A1(brqrv_top_brqrv_dec_tlu_meicidpl[3]),
+	.A2(n_5761),
+	.B1(n_6660),
+	.C1(n_43272),
+	.D1(n_6568),
+	.Y(n_6950), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g794449 (
+	.A1(n_6461),
+	.A2(n_36365),
+	.B1(n_5031),
+	.B2(brqrv_top_dmi_reg_wdata[28]),
+	.C1(n_6744),
+	.X(brqrv_top_brqrv_dbg_data0_din[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g794450 (
+	.A1(n_6461),
+	.A2(n_36364),
+	.B1(n_5031),
+	.B2(brqrv_top_dmi_reg_wdata[27]),
+	.C1(n_6743),
+	.X(brqrv_top_brqrv_dbg_data0_din[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g794451 (
+	.A1(n_6461),
+	.A2(n_36363),
+	.B1(n_5031),
+	.B2(brqrv_top_dmi_reg_wdata[26]),
+	.C1(n_6742),
+	.X(brqrv_top_brqrv_dbg_data0_din[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g794452 (
+	.A1(n_6461),
+	.A2(n_36360),
+	.B1(n_5031),
+	.B2(brqrv_top_dmi_reg_wdata[23]),
+	.C1(n_6741),
+	.X(brqrv_top_brqrv_dbg_data0_din[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g794453 (
+	.A1(n_6461),
+	.A2(n_36358),
+	.B1(n_5031),
+	.B2(brqrv_top_dmi_reg_wdata[21]),
+	.C1(n_6740),
+	.X(brqrv_top_brqrv_dbg_data0_din[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g794454 (
+	.A1(n_6461),
+	.A2(n_36357),
+	.B1(n_5031),
+	.B2(brqrv_top_dmi_reg_wdata[20]),
+	.C1(n_6739),
+	.X(brqrv_top_brqrv_dbg_data0_din[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g794455 (
+	.A1(n_6461),
+	.A2(n_36356),
+	.B1(n_5031),
+	.B2(brqrv_top_dmi_reg_wdata[19]),
+	.C1(n_6738),
+	.X(brqrv_top_brqrv_dbg_data0_din[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g794456 (
+	.A1(n_6461),
+	.A2(n_36354),
+	.B1(n_5031),
+	.B2(brqrv_top_dmi_reg_wdata[17]),
+	.C1(n_6737),
+	.X(brqrv_top_brqrv_dbg_data0_din[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g794457 (
+	.A1(n_6461),
+	.A2(n_36353),
+	.B1(n_5031),
+	.B2(brqrv_top_dmi_reg_wdata[16]),
+	.C1(n_6736),
+	.X(brqrv_top_brqrv_dbg_data0_din[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g794458 (
+	.A1(n_35879),
+	.A2(n_4594),
+	.B1(n_37347),
+	.B2(n_5883),
+	.C1(n_6746),
+	.Y(n_6949), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g794459 (
+	.A1(n_35873),
+	.A2(n_4594),
+	.B1(n_37341),
+	.B2(n_5883),
+	.C1(n_6675),
+	.Y(n_6948), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g794460 (
+	.A1(n_35871),
+	.A2(n_4594),
+	.B1(n_37339),
+	.B2(n_5883),
+	.C1(n_6693),
+	.Y(n_6947), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g794461 (
+	.A1(n_42206),
+	.A2(n_4594),
+	.B1(n_37337),
+	.B2(n_5883),
+	.C1(n_6692),
+	.Y(n_6946), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g794462 (
+	.A1(n_35867),
+	.A2(n_4594),
+	.B1(n_37335),
+	.B2(n_5883),
+	.C1(n_6690),
+	.Y(n_6945), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g794463 (
+	.A1(n_35868),
+	.A2(n_4594),
+	.B1(n_37336),
+	.B2(n_5883),
+	.C1(n_6691),
+	.Y(n_6944), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g794464 (
+	.A1(n_35866),
+	.A2(n_4594),
+	.B1(n_37334),
+	.B2(n_5883),
+	.C1(n_6689),
+	.Y(n_6943), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g794465 (
+	.A1(n_42191),
+	.A2(n_4594),
+	.B1(n_43433),
+	.B2(n_5883),
+	.C1(n_6688),
+	.Y(n_6942), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g794466 (
+	.A1(n_35864),
+	.A2(n_4594),
+	.B1(n_37332),
+	.B2(n_5883),
+	.C1(n_6687),
+	.Y(n_6941), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g794467 (
+	.A1(n_35863),
+	.A2(n_4594),
+	.B1(n_37331),
+	.B2(n_5883),
+	.C1(n_6686),
+	.Y(n_6940), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g794468 (
+	.A1(n_43068),
+	.A2(n_4594),
+	.B1(n_37330),
+	.B2(n_5883),
+	.C1(n_6685),
+	.Y(n_6939), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g794469 (
+	.A1(n_35861),
+	.A2(n_4594),
+	.B1(n_37329),
+	.B2(n_5883),
+	.C1(n_6672),
+	.Y(n_6938), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g794470 (
+	.A1(n_35860),
+	.A2(n_4594),
+	.B1(n_37328),
+	.B2(n_5883),
+	.C1(n_6671),
+	.Y(n_6937), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g794471 (
+	.A1(n_35859),
+	.A2(n_4594),
+	.B1(n_37327),
+	.B2(n_5883),
+	.C1(n_6670),
+	.Y(n_6936), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g794472 (
+	.A1(n_35857),
+	.A2(n_4594),
+	.B1(n_37325),
+	.B2(n_5883),
+	.C1(n_6684),
+	.Y(n_6935), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g794473 (
+	.A1(n_35856),
+	.A2(n_4594),
+	.B1(n_37324),
+	.B2(n_5883),
+	.C1(n_6669),
+	.Y(n_6934), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g794474 (
+	.A1(n_6325),
+	.A2(n_6753),
+	.B1(n_6302),
+	.B2(n_1426),
+	.Y(n_6933), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g794475 (
+	.A1(n_6323),
+	.A2(n_6753),
+	.B1(n_6305),
+	.B2(n_1426),
+	.Y(n_6932), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g794476 (
+	.A1(n_6318),
+	.A2(n_6753),
+	.B1(n_6306),
+	.B2(n_1426),
+	.Y(n_6931), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g794477 (
+	.A1(n_6321),
+	.A2(n_6753),
+	.B1(n_6308),
+	.B2(n_1426),
+	.Y(n_6930), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794478 (
+	.A(n_6760),
+	.B(n_6920),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g794479 (
+	.A1(n_33151),
+	.A2(n_6460),
+	.B1(n_6284),
+	.B2(n_6590),
+	.C1(n_5306),
+	.Y(brqrv_top_brqrv_dbg_data0_din[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g794480 (
+	.A1(n_1798),
+	.A2(n_6460),
+	.B1(n_6302),
+	.B2(n_6590),
+	.C1(n_5305),
+	.Y(brqrv_top_brqrv_dbg_data0_din[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g794481 (
+	.A1(n_1503),
+	.A2(n_6460),
+	.B1(n_6303),
+	.B2(n_6590),
+	.C1(n_5303),
+	.Y(brqrv_top_brqrv_dbg_data0_din[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g794482 (
+	.A1(n_33139),
+	.A2(n_6460),
+	.B1(n_6308),
+	.B2(n_6590),
+	.C1(n_5302),
+	.Y(brqrv_top_brqrv_dbg_data0_din[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g794483 (
+	.A1(n_33140),
+	.A2(n_6460),
+	.B1(n_6304),
+	.B2(n_6590),
+	.C1(n_5301),
+	.Y(brqrv_top_brqrv_dbg_data0_din[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g794484 (
+	.A1(n_33141),
+	.A2(n_6460),
+	.B1(n_6316),
+	.B2(n_6590),
+	.C1(n_5300),
+	.Y(brqrv_top_brqrv_dbg_data0_din[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_2 g794485 (
+	.A(n_6865),
+	.B(n_6729),
+	.Y(n_6955), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794486 (
+	.A(n_1458),
+	.B(n_4676),
+	.Y(n_6929), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794487 (
+	.A(n_6698),
+	.B(brqrv_top_dccm_rd_addr_hi[10]),
+	.Y(n_6928), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794488 (
+	.A(n_6698),
+	.B(brqrv_top_dccm_rd_addr_hi[9]),
+	.Y(n_6927), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794489 (
+	.A(n_6698),
+	.B(brqrv_top_dccm_rd_addr_hi[8]),
+	.Y(n_6926), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794490 (
+	.A(n_6698),
+	.B(brqrv_top_dccm_rd_addr_hi[7]),
+	.Y(n_6925), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794491 (
+	.A(n_6698),
+	.B(brqrv_top_dccm_rd_addr_hi[6]),
+	.Y(n_6924), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794492 (
+	.A(n_6698),
+	.B(brqrv_top_dccm_rd_addr_hi[5]),
+	.Y(n_6923), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794493 (
+	.A(n_6698),
+	.B(n_42459),
+	.Y(n_6922), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794494 (
+	.A(n_6699),
+	.B(brqrv_top_dccm_rd_addr_hi[11]),
+	.Y(n_6921), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794495 (
+	.A(n_6699),
+	.B(brqrv_top_dccm_rd_addr_hi[10]),
+	.Y(n_6920), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794496 (
+	.A(n_6699),
+	.B(brqrv_top_dccm_rd_addr_hi[9]),
+	.Y(n_6919), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794497 (
+	.A(n_6699),
+	.B(brqrv_top_dccm_rd_addr_hi[8]),
+	.Y(n_6918), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794498 (
+	.A(n_6699),
+	.B(brqrv_top_dccm_rd_addr_hi[7]),
+	.Y(n_6917), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794499 (
+	.A(n_6699),
+	.B(brqrv_top_dccm_rd_addr_hi[5]),
+	.Y(n_6916), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794500 (
+	.A(n_6699),
+	.B(brqrv_top_dccm_rd_addr_hi[6]),
+	.Y(n_6915), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794501 (
+	.A(n_6699),
+	.B(n_42459),
+	.Y(n_6914), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794502 (
+	.A(n_6700),
+	.B(brqrv_top_dccm_rd_addr_hi[11]),
+	.Y(n_6913), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794503 (
+	.A(n_6700),
+	.B(brqrv_top_dccm_rd_addr_hi[10]),
+	.Y(n_6912), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794504 (
+	.A(n_6700),
+	.B(brqrv_top_dccm_rd_addr_hi[9]),
+	.Y(n_6911), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794505 (
+	.A(n_6700),
+	.B(brqrv_top_dccm_rd_addr_hi[8]),
+	.Y(n_6910), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794506 (
+	.A(n_6700),
+	.B(brqrv_top_dccm_rd_addr_hi[7]),
+	.Y(n_6909), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794507 (
+	.A(n_6700),
+	.B(brqrv_top_dccm_rd_addr_hi[6]),
+	.Y(n_6908), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794508 (
+	.A(n_6700),
+	.B(brqrv_top_dccm_rd_addr_hi[5]),
+	.Y(n_6907), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794509 (
+	.A(n_6700),
+	.B(n_42459),
+	.Y(n_6906), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794510 (
+	.A(n_6697),
+	.B(brqrv_top_dccm_rd_addr_hi[11]),
+	.Y(n_6905), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794511 (
+	.A(n_6697),
+	.B(brqrv_top_dccm_rd_addr_hi[10]),
+	.Y(n_6904), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794512 (
+	.A(n_6697),
+	.B(brqrv_top_dccm_rd_addr_hi[9]),
+	.Y(n_6903), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794513 (
+	.A(n_6697),
+	.B(brqrv_top_dccm_rd_addr_hi[8]),
+	.Y(n_6902), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794514 (
+	.A(n_6697),
+	.B(brqrv_top_dccm_rd_addr_hi[7]),
+	.Y(n_6901), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794515 (
+	.A(n_6697),
+	.B(brqrv_top_dccm_rd_addr_hi[6]),
+	.Y(n_6900), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794516 (
+	.A(n_6697),
+	.B(brqrv_top_dccm_rd_addr_hi[5]),
+	.Y(n_6899), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794517 (
+	.A(n_6697),
+	.B(n_42459),
+	.Y(n_6898), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794518 (
+	.A(n_1456),
+	.B(n_35771),
+	.Y(n_6897), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794519 (
+	.A(n_1456),
+	.B(n_35770),
+	.Y(n_6896), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794520 (
+	.A(n_1456),
+	.B(n_35769),
+	.Y(n_6895), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794521 (
+	.A(n_1456),
+	.B(n_35768),
+	.Y(n_6894), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794522 (
+	.A(n_1485),
+	.B(n_6593),
+	.Y(n_6893), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794523 (
+	.A(n_1456),
+	.B(n_35767),
+	.Y(n_6892), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794524 (
+	.A(n_1456),
+	.B(n_35766),
+	.Y(n_6891), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794525 (
+	.A(n_1456),
+	.B(n_35765),
+	.Y(n_6890), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794526 (
+	.A(n_1456),
+	.B(n_35764),
+	.Y(n_6889), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794527 (
+	.A(n_1457),
+	.B(n_35763),
+	.Y(n_6888), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794528 (
+	.A(n_1457),
+	.B(n_35762),
+	.Y(n_6887), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794529 (
+	.A(n_1457),
+	.B(n_35761),
+	.Y(n_6886), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794530 (
+	.A(n_1457),
+	.B(n_35760),
+	.Y(n_6885), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794531 (
+	.A(n_1457),
+	.B(n_35759),
+	.Y(n_6884), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794532 (
+	.A(n_1457),
+	.B(n_35758),
+	.Y(n_6883), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794533 (
+	.A(n_1457),
+	.B(n_35757),
+	.Y(n_6882), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794534 (
+	.A(n_1457),
+	.B(n_35756),
+	.Y(n_6881), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794535 (
+	.A(n_6751),
+	.B(n_35755),
+	.Y(n_6880), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794536 (
+	.A(n_6751),
+	.B(n_35754),
+	.Y(n_6879), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794537 (
+	.A(n_6751),
+	.B(n_35753),
+	.Y(n_6878), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794538 (
+	.A(n_6751),
+	.B(n_35752),
+	.Y(n_6877), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794539 (
+	.A(n_6751),
+	.B(n_35751),
+	.Y(n_6876), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794540 (
+	.A(n_6751),
+	.B(n_35750),
+	.Y(n_6875), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794541 (
+	.A(n_6751),
+	.B(n_35749),
+	.Y(n_6874), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794542 (
+	.A(n_1459),
+	.B(n_35748),
+	.Y(n_6873), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794543 (
+	.A(n_1459),
+	.B(n_35747),
+	.Y(n_6872), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794544 (
+	.A(n_1459),
+	.B(n_35746),
+	.Y(n_6871), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794545 (
+	.A(n_1459),
+	.B(n_35745),
+	.Y(n_6870), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794546 (
+	.A(n_1459),
+	.B(n_35744),
+	.Y(n_6869), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794547 (
+	.A(n_1459),
+	.B(n_35743),
+	.Y(n_6868), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794548 (
+	.A(n_1459),
+	.B(n_35742),
+	.Y(n_6867), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794549 (
+	.A(n_1459),
+	.B(n_35741),
+	.Y(n_6866), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g794550 (
+	.A(n_1482),
+	.B(n_1485),
+	.Y(n_6865), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794551 (
+	.A(n_1481),
+	.B(n_6702),
+	.Y(n_6864), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794552 (
+	.A(n_6695),
+	.B(brqrv_top_brqrv_dbg_dbg_state[1]),
+	.Y(n_6863), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g794553 (
+	.A(n_6304),
+	.B(n_1426),
+	.Y(n_6862), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794554 (
+	.A(n_1453),
+	.B(n_4680),
+	.Y(n_6861), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794555 (
+	.A(n_1453),
+	.B(n_4674),
+	.Y(n_6860), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794556 (
+	.A(n_1453),
+	.B(n_4689),
+	.Y(n_6859), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794557 (
+	.A(n_1453),
+	.B(n_4670),
+	.Y(n_6858), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794558 (
+	.A(n_1453),
+	.B(n_4664),
+	.Y(n_6857), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794559 (
+	.A(n_1453),
+	.B(n_4652),
+	.Y(n_6856), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794560 (
+	.A(n_1453),
+	.B(n_4672),
+	.Y(n_6855), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794561 (
+	.A(n_1453),
+	.B(n_4642),
+	.Y(n_6854), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794562 (
+	.A(n_1455),
+	.B(n_4640),
+	.Y(n_6853), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794563 (
+	.A(n_1455),
+	.B(n_4638),
+	.Y(n_6852), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794564 (
+	.A(n_1455),
+	.B(n_4636),
+	.Y(n_6851), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794565 (
+	.A(n_1455),
+	.B(n_4628),
+	.Y(n_6850), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794566 (
+	.A(n_1455),
+	.B(n_4632),
+	.Y(n_6849), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794567 (
+	.A(n_1455),
+	.B(n_4634),
+	.Y(n_6848), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794568 (
+	.A(n_1455),
+	.B(n_4654),
+	.Y(n_6847), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794569 (
+	.A(n_1455),
+	.B(n_4666),
+	.Y(n_6846), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794570 (
+	.A(n_1458),
+	.B(n_4625),
+	.Y(n_6845), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794571 (
+	.A(n_6698),
+	.B(brqrv_top_dccm_rd_addr_hi[11]),
+	.Y(n_6844), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g794572 (
+	.A(n_6840),
+	.Y(n_6841), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794573 (
+	.A(n_1458),
+	.B(n_4685),
+	.Y(n_6839), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794574 (
+	.A(n_1458),
+	.B(n_4682),
+	.Y(n_6838), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794575 (
+	.A(n_1458),
+	.B(n_4668),
+	.Y(n_6837), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794576 (
+	.A(n_1458),
+	.B(n_4662),
+	.Y(n_6836), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794577 (
+	.A(n_1458),
+	.B(n_4658),
+	.Y(n_6835), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794578 (
+	.A(n_1458),
+	.B(n_4648),
+	.Y(n_6834), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794579 (
+	.A(n_6751),
+	.B(n_4030),
+	.Y(n_6833), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794580 (
+	.A(n_1454),
+	.B(n_4644),
+	.Y(n_6832), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794581 (
+	.A(n_1454),
+	.B(n_4660),
+	.Y(n_6831), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794582 (
+	.A(n_1454),
+	.B(n_4630),
+	.Y(n_6830), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794583 (
+	.A(n_1454),
+	.B(n_4678),
+	.Y(n_6829), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794584 (
+	.A(n_1454),
+	.B(n_4687),
+	.Y(n_6828), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794585 (
+	.A(n_1454),
+	.B(n_4646),
+	.Y(n_6827), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794586 (
+	.A(n_1454),
+	.B(n_4650),
+	.Y(n_6826), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794587 (
+	.A(n_1454),
+	.B(n_4656),
+	.Y(n_6825), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g794588 (
+	.A1(n_6607),
+	.A2(n_5699),
+	.B1(n_6600),
+	.Y(n_6824), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794589 (
+	.A(n_41757),
+	.B(n_6656),
+	.Y(n_6823), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g794591 (
+	.A1(brqrv_top_brqrv_lsu_end_addr_m[2]),
+	.A2(n_4601),
+	.B1(n_6658),
+	.X(n_6821), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g794592 (
+	.A1(n_1452),
+	.A2(n_5176),
+	.B1(n_6712),
+	.Y(n_6820), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g794593 (
+	.A1(n_6603),
+	.A2(n_5643),
+	.B1(n_5640),
+	.Y(n_6819), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g794594 (
+	.A1(n_1452),
+	.A2(n_5177),
+	.B1(n_6713),
+	.Y(n_6818), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g794595 (
+	.A1(n_1881),
+	.A2(n_6463),
+	.B1(brqrv_top_brqrv_lsu_stbuf_RdPtr[1]),
+	.Y(n_6817), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g794596 (
+	.A1(n_1452),
+	.A2(n_5178),
+	.B1(n_6714),
+	.Y(n_6816), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g794597 (
+	.A(n_6550),
+	.B(n_6551),
+	.C(n_6549),
+	.Y(n_6815), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g794598 (
+	.A(n_6537),
+	.B(n_6538),
+	.C(n_6539),
+	.X(n_6814), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g794599 (
+	.A1(n_2001),
+	.A2(brqrv_top_brqrv_dec_tlu_pc_r[14]),
+	.B1(n_2020),
+	.B2(brqrv_top_brqrv_dec_tlu_pc_r[15]),
+	.C1(n_6653),
+	.Y(brqrv_top_brqrv_dec_tlu_pwbc_ff_dff_dff_left_final_en), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g794600 (
+	.A(n_6530),
+	.B(n_6531),
+	.C(n_6529),
+	.Y(n_6813), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g794601 (
+	.A(n_37087),
+	.B(n_6468),
+	.C(n_37088),
+	.D(n_37089),
+	.X(n_6812), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g794602 (
+	.A1(n_3034),
+	.A2(n_1858),
+	.A3(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [3]),
+	.B1(n_6659),
+	.Y(n_6811), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g794603 (
+	.A(n_6606),
+	.B(n_5026),
+	.C(n_6640),
+	.Y(n_6810), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g794604 (
+	.A(n_6604),
+	.B(n_4944),
+	.C(n_6641),
+	.Y(n_6809), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g794605 (
+	.A(n_6608),
+	.B(n_5025),
+	.C(n_6639),
+	.Y(n_6808), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g794606 (
+	.A(n_6609),
+	.B(n_5023),
+	.C(n_6638),
+	.Y(n_6807), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g794607 (
+	.A(n_6610),
+	.B(n_5022),
+	.C(n_6637),
+	.Y(n_6806), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g794608 (
+	.A(n_6567),
+	.B(n_5021),
+	.C(n_6636),
+	.Y(n_6805), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g794609 (
+	.A(n_6588),
+	.B(n_5020),
+	.C(n_6635),
+	.Y(n_6804), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g794610 (
+	.A(n_6587),
+	.B(n_5019),
+	.C(n_6634),
+	.Y(n_6803), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g794611 (
+	.A(n_6586),
+	.B(n_5018),
+	.C(n_6633),
+	.Y(n_6802), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g794612 (
+	.A(n_6585),
+	.B(n_5017),
+	.C(n_6632),
+	.Y(n_6801), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g794613 (
+	.A1(n_35874),
+	.A2(n_4594),
+	.B1(n_6748),
+	.Y(n_6800), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g794614 (
+	.A(n_6581),
+	.B(n_5016),
+	.C(n_6631),
+	.Y(n_6799), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g794615 (
+	.A(n_6579),
+	.B(n_5015),
+	.C(n_6630),
+	.Y(n_6798), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g794616 (
+	.A(n_5013),
+	.B(n_6629),
+	.C(n_6570),
+	.Y(n_6797), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g794617 (
+	.A(n_6566),
+	.B(n_4945),
+	.C(n_6612),
+	.Y(n_6796), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221a_1 g794618 (
+	.A1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[30]),
+	.A2(n_1851),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[34]),
+	.B2(n_1547),
+	.C1(n_6595),
+	.X(n_6795), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g794619 (
+	.A1_N(n_3715),
+	.A2_N(n_6560),
+	.B1(n_4851),
+	.B2(brqrv_top_brqrv_dec_tlu_force_halt),
+	.Y(n_6794), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g794620 (
+	.A1(n_6557),
+	.A2(n_3710),
+	.B1(brqrv_top_brqrv_dec_tlu_force_halt),
+	.B2(n_4861),
+	.Y(n_6793), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4b_1 g794621 (
+	.A_N(n_35451),
+	.B(n_6695),
+	.C(n_3779),
+	.D(n_35611),
+	.Y(n_6792), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g794626 (
+	.A(n_6231),
+	.B(n_1484),
+	.Y(n_6787), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g794627 (
+	.A(n_35790),
+	.B(n_6232),
+	.Y(n_6786), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g794628 (
+	.A1(n_1450),
+	.A2(n_5183),
+	.B1(n_6710),
+	.Y(n_6785), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g794629 (
+	.A1(n_1450),
+	.A2(n_5182),
+	.B1(n_6709),
+	.Y(n_6784), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g794630 (
+	.A1(n_1450),
+	.A2(n_5181),
+	.B1(n_6708),
+	.Y(n_6783), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g794631 (
+	.A1(n_1450),
+	.A2(n_5180),
+	.B1(n_6707),
+	.Y(n_6782), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g794632 (
+	.A1(n_1450),
+	.A2(n_5179),
+	.B1(n_6706),
+	.Y(n_6781), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g794633 (
+	.A1(n_1450),
+	.A2(n_5178),
+	.B1(n_6720),
+	.Y(n_6780), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g794634 (
+	.A1(n_1450),
+	.A2(n_5177),
+	.B1(n_6721),
+	.Y(n_6779), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g794635 (
+	.A1(n_1450),
+	.A2(n_5176),
+	.B1(n_6723),
+	.Y(n_6778), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g794636 (
+	.A1(n_1451),
+	.A2(n_5183),
+	.B1(n_6724),
+	.Y(n_6777), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g794637 (
+	.A1(n_1451),
+	.A2(n_5182),
+	.B1(n_6725),
+	.Y(n_6776), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g794638 (
+	.A1(n_1451),
+	.A2(n_5181),
+	.B1(n_6728),
+	.Y(n_6775), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g794639 (
+	.A1(n_1451),
+	.A2(n_5180),
+	.B1(n_6730),
+	.Y(n_6774), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g794640 (
+	.A1(n_1451),
+	.A2(n_5179),
+	.B1(n_6731),
+	.Y(n_6773), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g794641 (
+	.A1(n_1451),
+	.A2(n_5178),
+	.B1(n_6732),
+	.Y(n_6772), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g794642 (
+	.A1(n_1451),
+	.A2(n_5177),
+	.B1(n_6733),
+	.Y(n_6771), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g794643 (
+	.A1(n_1451),
+	.A2(n_5176),
+	.B1(n_6745),
+	.Y(n_6770), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g794644 (
+	.A1(n_1449),
+	.A2(n_5183),
+	.B1(n_6683),
+	.Y(n_6769), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g794645 (
+	.A1(n_1449),
+	.A2(n_5182),
+	.B1(n_6682),
+	.Y(n_6768), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g794646 (
+	.A1(n_1449),
+	.A2(n_5181),
+	.B1(n_6681),
+	.Y(n_6767), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g794647 (
+	.A1(n_1449),
+	.A2(n_5180),
+	.B1(n_6680),
+	.Y(n_6766), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g794648 (
+	.A1(n_1449),
+	.A2(n_5179),
+	.B1(n_6679),
+	.Y(n_6765), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g794649 (
+	.A1(n_1449),
+	.A2(n_5178),
+	.B1(n_6678),
+	.Y(n_6764), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g794650 (
+	.A1(n_1449),
+	.A2(n_5177),
+	.B1(n_6677),
+	.Y(n_6763), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g794651 (
+	.A1(n_1449),
+	.A2(n_5176),
+	.B1(n_6676),
+	.Y(n_6762), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g794652 (
+	.A1(n_1452),
+	.A2(n_5183),
+	.B1(n_6719),
+	.Y(n_6761), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g794653 (
+	.A1(n_1452),
+	.A2(n_5182),
+	.B1(n_6718),
+	.Y(n_6760), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g794654 (
+	.A1(n_1452),
+	.A2(n_5181),
+	.B1(n_6717),
+	.Y(n_6759), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g794655 (
+	.A1(n_1452),
+	.A2(n_5180),
+	.B1(n_6716),
+	.Y(n_6758), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g794656 (
+	.A1(n_1452),
+	.A2(n_5179),
+	.B1(n_6715),
+	.Y(n_6757), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794657 (
+	.A(n_6521),
+	.B(n_6657),
+	.Y(n_6843), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g794658 (
+	.A1(n_1425),
+	.A2(n_5350),
+	.B1(n_6749),
+	.Y(n_6842), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g794659 (
+	.A1(n_5350),
+	.A2(n_6651),
+	.B1(n_6590),
+	.Y(n_6840), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g794660 (
+	.A(n_6754),
+	.Y(n_6755), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g794662 (
+	.A(n_6752),
+	.Y(n_6753), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g794669 (
+	.A(n_6749),
+	.Y(n_6750), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g794670 (
+	.A1(n_1978),
+	.A2(n_6504),
+	.B1(n_6583),
+	.Y(n_6748), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g794672 (
+	.A1(FE_DBTN16_n_10224),
+	.A2(n_6505),
+	.B1(n_6589),
+	.Y(n_6746), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g794673 (
+	.A(n_3984),
+	.B(n_6646),
+	.Y(n_6745), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g794674 (
+	.A(n_6305),
+	.B(n_6590),
+	.Y(n_6744), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g794675 (
+	.A(n_6306),
+	.B(n_6590),
+	.Y(n_6743), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g794676 (
+	.A(n_6307),
+	.B(n_6590),
+	.Y(n_6742), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g794677 (
+	.A(n_6317),
+	.B(n_6590),
+	.Y(n_6741), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g794678 (
+	.A(n_6590),
+	.B(n_6315),
+	.Y(n_6740), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g794679 (
+	.A(n_6314),
+	.B(n_6590),
+	.Y(n_6739), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g794680 (
+	.A(n_6313),
+	.B(n_6590),
+	.Y(n_6738), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g794681 (
+	.A(n_6590),
+	.B(n_6311),
+	.Y(n_6737), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g794682 (
+	.A(n_6590),
+	.B(n_6310),
+	.Y(n_6736), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794683 (
+	.A(n_4843),
+	.B(brqrv_top_n_975),
+	.Y(n_6735), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g794685 (
+	.A(n_4378),
+	.B(n_6646),
+	.Y(n_6733), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g794686 (
+	.A(n_3986),
+	.B(n_6646),
+	.Y(n_6732), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g794687 (
+	.A(n_4379),
+	.B(n_6646),
+	.Y(n_6731), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g794688 (
+	.A(n_3985),
+	.B(n_6646),
+	.Y(n_6730), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g794689 (
+	.A(n_1483),
+	.B(n_1481),
+	.Y(n_6729), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g794690 (
+	.A(n_4377),
+	.B(n_6646),
+	.Y(n_6728), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794691 (
+	.A(n_1409),
+	.B(n_4843),
+	.Y(n_6727), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g794692 (
+	.A(n_4843),
+	.B(n_1409),
+	.Y(n_6726), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g794693 (
+	.A(n_3987),
+	.B(n_6646),
+	.Y(n_6725), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g794694 (
+	.A(n_4380),
+	.B(n_6646),
+	.Y(n_6724), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g794695 (
+	.A(n_3984),
+	.B(n_1421),
+	.Y(n_6723), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_4 g794696 (
+	.A_N(n_3694),
+	.B(n_6512),
+	.Y(n_6722), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g794697 (
+	.A(n_4378),
+	.B(n_1421),
+	.Y(n_6721), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g794698 (
+	.A(n_3986),
+	.B(n_1421),
+	.Y(n_6720), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g794699 (
+	.A(n_4380),
+	.B(n_6644),
+	.Y(n_6719), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g794700 (
+	.A(n_3987),
+	.B(n_6644),
+	.Y(n_6718), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g794701 (
+	.A(n_4377),
+	.B(n_6644),
+	.Y(n_6717), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g794702 (
+	.A(n_3985),
+	.B(n_6644),
+	.Y(n_6716), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g794703 (
+	.A(n_4379),
+	.B(n_6644),
+	.Y(n_6715), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g794704 (
+	.A(n_3986),
+	.B(n_6644),
+	.Y(n_6714), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g794705 (
+	.A(n_4378),
+	.B(n_6644),
+	.Y(n_6713), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g794706 (
+	.A(n_3984),
+	.B(n_6644),
+	.Y(n_6712), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g794707 (
+	.A(n_5357),
+	.B(n_6602),
+	.Y(n_6711), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g794708 (
+	.A(n_4380),
+	.B(n_1421),
+	.Y(n_6710), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g794709 (
+	.A(n_3987),
+	.B(n_1421),
+	.Y(n_6709), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g794710 (
+	.A(n_4377),
+	.B(n_1421),
+	.Y(n_6708), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g794711 (
+	.A(n_3985),
+	.B(n_1421),
+	.Y(n_6707), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g794712 (
+	.A(n_4379),
+	.B(n_1421),
+	.Y(n_6706), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_2 g794713 (
+	.A(n_6231),
+	.B(n_1484),
+	.Y(n_6756), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g794714 (
+	.A_N(n_6650),
+	.B(n_5372),
+	.Y(n_6754), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g794716 (
+	.A(n_5350),
+	.B(n_6594),
+	.Y(n_6752), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_0 g794724 (
+	.A(n_6643),
+	.B(n_4234),
+	.X(n_6751), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g794725 (
+	.A(n_5349),
+	.B(n_6651),
+	.Y(n_6749), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g794729 (
+	.A(n_1485),
+	.Y(n_6701), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g794731 (
+	.A(n_6696),
+	.Y(n_6695), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g794732 (
+	.A(brqrv_top_brqrv_dec_decode_cam_raw[9]),
+	.B(brqrv_top_brqrv_dec_decode_cam_in[9]),
+	.Y(n_6694), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g794733 (
+	.A1(n_1709),
+	.A2(n_6502),
+	.B1(n_6580),
+	.Y(n_6693), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g794734 (
+	.A1(n_1988),
+	.A2(n_6501),
+	.B1(n_6578),
+	.Y(n_6692), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g794735 (
+	.A1(n_1987),
+	.A2(n_6500),
+	.B1(n_6577),
+	.Y(n_6691), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g794736 (
+	.A1(n_1986),
+	.A2(n_6499),
+	.B1(n_6576),
+	.Y(n_6690), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g794737 (
+	.A1(n_234),
+	.A2(n_6498),
+	.B1(n_6575),
+	.Y(n_6689), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g794738 (
+	.A1(n_1703),
+	.A2(n_6497),
+	.B1(n_6574),
+	.Y(n_6688), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g794739 (
+	.A1(FE_DBTN19_n_35903),
+	.A2(n_6496),
+	.B1(n_6573),
+	.Y(n_6687), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g794740 (
+	.A1(FE_DBTN18_n_35901),
+	.A2(n_6495),
+	.B1(n_6572),
+	.Y(n_6686), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g794741 (
+	.A1(n_541),
+	.A2(n_6494),
+	.B1(n_6571),
+	.Y(n_6685), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g794742 (
+	.A1(n_1952),
+	.A2(n_6490),
+	.B1(n_6569),
+	.Y(n_6684), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g794743 (
+	.A(n_4380),
+	.B(n_6645),
+	.Y(n_6683), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g794744 (
+	.A(n_3987),
+	.B(n_6645),
+	.Y(n_6682), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g794745 (
+	.A(n_4377),
+	.B(n_6645),
+	.Y(n_6681), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g794746 (
+	.A(n_3985),
+	.B(n_6645),
+	.Y(n_6680), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g794747 (
+	.A(n_4379),
+	.B(n_6645),
+	.Y(n_6679), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g794748 (
+	.A(n_3986),
+	.B(n_6645),
+	.Y(n_6678), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g794749 (
+	.A(n_4378),
+	.B(n_6645),
+	.Y(n_6677), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g794750 (
+	.A(n_3984),
+	.B(n_6645),
+	.Y(n_6676), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g794751 (
+	.A1(FE_DBTN17_n_35916),
+	.A2(n_6503),
+	.B1(n_6582),
+	.Y(n_6675), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g794752 (
+	.A1(n_3718),
+	.A2(n_6482),
+	.B1(n_1787),
+	.Y(n_6674), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g794753 (
+	.A(brqrv_top_brqrv_dec_decode_cam_raw[29]),
+	.B(\brqrv_top_brqrv_dec_decode_cam_in[9]_925 ),
+	.Y(n_6673), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g794754 (
+	.A1(n_1694),
+	.A2(n_6493),
+	.B1(n_6564),
+	.Y(n_6672), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g794755 (
+	.A1(n_1705),
+	.A2(n_6492),
+	.B1(n_6563),
+	.Y(n_6671), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g794756 (
+	.A1(n_1680),
+	.A2(n_6491),
+	.B1(n_6562),
+	.Y(n_6670), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g794757 (
+	.A1(n_140),
+	.A2(n_6489),
+	.B1(n_6561),
+	.Y(n_6669), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g794758 (
+	.A1(n_6258),
+	.A2(n_2685),
+	.A3(n_3410),
+	.B1(n_2960),
+	.Y(brqrv_top_brqrv_exu_i_alu_i_pc_ff_dff_dff_left_final_en), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g794759 (
+	.A(brqrv_top_brqrv_dec_decode_cam_raw[19]),
+	.B(\brqrv_top_brqrv_dec_decode_cam_in[9]_915 ),
+	.Y(n_6668), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g794760 (
+	.A(brqrv_top_brqrv_dec_decode_cam_raw[39]),
+	.B(\brqrv_top_brqrv_dec_decode_cam_in[9]_927 ),
+	.Y(n_6667), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g794761 (
+	.A1(n_6281),
+	.A2(brqrv_top_brqrv_exu_i0_rs1_d[0]),
+	.B1(brqrv_top_brqrv_dec_csr_rddata_d[0]),
+	.B2(n_1795),
+	.C1(n_6488),
+	.C2(brqrv_top_brqrv_exu_i0_rs2_d[0]),
+	.Y(n_6666), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g794762 (
+	.A1(n_1745),
+	.A2(brqrv_top_brqrv_dec_tlu_npc_r[14]),
+	.B1(n_2875),
+	.C1(n_3225),
+	.D1(n_6473),
+	.Y(brqrv_top_brqrv_dec_tlu_npwbc_ff_dff_dff_left_final_en), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g794763 (
+	.A1(n_1543),
+	.A2(n_4600),
+	.B1(n_36270),
+	.B2(n_4609),
+	.C1(n_6597),
+	.Y(n_6665), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g794764 (
+	.A(n_6547),
+	.B(n_6548),
+	.Y(n_6664), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g794765 (
+	.A(n_6546),
+	.B(n_6545),
+	.Y(n_6663), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g794766 (
+	.A1(n_6293),
+	.A2(brqrv_top_brqrv_dec_decode_cam_raw[18]),
+	.B1(n_6543),
+	.Y(n_6662), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g794767 (
+	.A1(n_6287),
+	.A2(brqrv_top_brqrv_dec_decode_cam_raw[5]),
+	.B1(n_6527),
+	.Y(n_6661), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g794768 (
+	.A1(n_5761),
+	.A2(brqrv_top_brqrv_dec_tlu_meicidpl[3]),
+	.B1(n_6598),
+	.Y(n_6660), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g794769 (
+	.A1(n_1576),
+	.A2(n_34527),
+	.B1(n_3793),
+	.B2(n_3769),
+	.C1(n_6483),
+	.Y(n_6659), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111oi_0 g794770 (
+	.A1(n_4601),
+	.A2(brqrv_top_brqrv_lsu_end_addr_m[2]),
+	.B1(n_5096),
+	.C1(n_5095),
+	.D1(n_6269),
+	.Y(n_6658), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g794771 (
+	.A1(n_1544),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[21]),
+	.B1(n_3320),
+	.C1(n_6478),
+	.Y(n_6657), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g794772 (
+	.A(n_35790),
+	.B(n_6517),
+	.X(n_6656), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g794773 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_timer[1]),
+	.B(n_6519),
+	.Y(n_6655), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g794774 (
+	.A_N(brqrv_top_brqrv_dbg_n_4598),
+	.B(brqrv_top_brqrv_dbg_n_4554),
+	.C(n_3779),
+	.Y(brqrv_top_brqrv_dbg_sb_free_clken), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g794775 (
+	.A1(n_1631),
+	.A2(\brqrv_top_brqrv_dec_decode_cam_in[6]_922 ),
+	.B1(n_6533),
+	.Y(n_6654), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111oi_0 g794776 (
+	.A1(brqrv_top_brqrv_dec_tlu_pc_r[15]),
+	.A2(n_2020),
+	.B1(n_3247),
+	.C1(n_3250),
+	.D1(n_6272),
+	.Y(n_6653), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g794777 (
+	.A1(n_6008),
+	.A2(brqrv_top_brqrv_dec_decode_cam_raw[37]),
+	.B1(n_6283),
+	.B2(brqrv_top_brqrv_dec_decode_cam_raw[36]),
+	.C1(n_6513),
+	.Y(n_6652), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g794778 (
+	.A(n_6507),
+	.B(n_3565),
+	.C(n_3124),
+	.Y(n_6705), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g794779 (
+	.A(n_6508),
+	.B(n_3566),
+	.C(n_3119),
+	.Y(n_6704), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g794780 (
+	.A(n_6506),
+	.B(n_3575),
+	.C(n_3133),
+	.Y(n_6703), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794781 (
+	.A(n_6523),
+	.B(n_6596),
+	.Y(n_6702), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g794782 (
+	.A(n_6524),
+	.B(n_6565),
+	.Y(n_1485), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794783 (
+	.A(n_6001),
+	.B(n_1422),
+	.Y(n_6700), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794784 (
+	.A(n_6005),
+	.B(n_1420),
+	.Y(n_6699), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794785 (
+	.A(n_43065),
+	.B(n_6611),
+	.Y(n_6698), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794786 (
+	.A(n_6003),
+	.B(n_1423),
+	.Y(n_6697), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g794787 (
+	.A1_N(brqrv_top_brqrv_dbg_dbg_state[3]),
+	.A2_N(n_3513),
+	.B1(brqrv_top_brqrv_dbg_dbg_state[3]),
+	.B2(n_6484),
+	.Y(n_6696), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g794793 (
+	.A(n_1483),
+	.Y(n_6649), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g794795 (
+	.A(n_1482),
+	.Y(n_6648), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g794797 (
+	.A(n_1481),
+	.Y(n_6647), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g794799 (
+	.A(n_1409),
+	.Y(brqrv_top_n_975), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g794804 (
+	.A(n_6643),
+	.Y(n_6642), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g794805 (
+	.A1(n_6462),
+	.A2(n_5648),
+	.B1(n_35933),
+	.Y(n_6641), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g794806 (
+	.A1(n_6462),
+	.A2(n_5688),
+	.B1(n_35931),
+	.Y(n_6640), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g794807 (
+	.A1(n_6462),
+	.A2(n_5687),
+	.B1(n_35930),
+	.Y(n_6639), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g794808 (
+	.A1(n_6462),
+	.A2(n_5686),
+	.B1(n_35929),
+	.Y(n_6638), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g794809 (
+	.A1(n_6462),
+	.A2(n_5685),
+	.B1(n_35928),
+	.Y(n_6637), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g794810 (
+	.A1(n_6462),
+	.A2(n_5684),
+	.B1(n_35926),
+	.Y(n_6636), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g794811 (
+	.A1(n_6462),
+	.A2(n_5683),
+	.B1(n_10221),
+	.Y(n_6635), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g794812 (
+	.A1(n_6462),
+	.A2(n_5682),
+	.B1(n_35921),
+	.Y(n_6634), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g794813 (
+	.A1(n_6462),
+	.A2(n_5681),
+	.B1(n_35920),
+	.Y(n_6633), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g794814 (
+	.A1(n_6462),
+	.A2(n_5680),
+	.B1(n_35919),
+	.Y(n_6632), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g794815 (
+	.A1(n_6462),
+	.A2(n_5679),
+	.B1(n_35915),
+	.Y(n_6631), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g794816 (
+	.A1(n_6462),
+	.A2(n_5678),
+	.B1(n_10251),
+	.Y(n_6630), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g794817 (
+	.A1(n_6462),
+	.A2(n_5677),
+	.B1(brqrv_top_brqrv_exu_i0_rs2_d[3]),
+	.Y(n_6629), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794818 (
+	.A(n_6524),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[0]),
+	.Y(n_6628), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794819 (
+	.A(n_6524),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[2]),
+	.Y(n_6627), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794820 (
+	.A(n_6523),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[14]),
+	.Y(n_6626), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794821 (
+	.A(n_6522),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[10]),
+	.Y(n_6625), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794822 (
+	.A(n_6521),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[6]),
+	.Y(n_6624), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794823 (
+	.A(n_6522),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[8]),
+	.Y(n_6623), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794825 (
+	.A(n_6524),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[1]),
+	.Y(n_6622), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794826 (
+	.A(n_6522),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[11]),
+	.Y(n_6621), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794827 (
+	.A(n_6524),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[3]),
+	.Y(n_6620), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794828 (
+	.A(n_6521),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[4]),
+	.Y(n_6619), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794829 (
+	.A(n_6521),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[5]),
+	.Y(n_6618), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794830 (
+	.A(n_6523),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[12]),
+	.Y(n_6617), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794832 (
+	.A(n_6521),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[7]),
+	.Y(n_6616), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794833 (
+	.A(n_6523),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[13]),
+	.Y(n_6615), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794834 (
+	.A(n_6523),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[15]),
+	.Y(n_6614), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794835 (
+	.A(n_6522),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[9]),
+	.Y(n_6613), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g794836 (
+	.A1(n_6462),
+	.A2(n_5667),
+	.B1(n_10219),
+	.Y(n_6612), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794837 (
+	.A(\brqrv_top_mem_Gen_dccm_enable.dccm_n_398 ),
+	.B(n_5711),
+	.Y(n_6611), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g794838 (
+	.A1(FE_DBTN21_n_34786),
+	.A2(brqrv_top_brqrv_exu_i_alu_pcout[26]),
+	.B1(brqrv_top_brqrv_dec_csr_rddata_d[26]),
+	.B2(n_1795),
+	.C1(brqrv_top_brqrv_exu_i0_rs1_d[26]),
+	.C2(n_6281),
+	.Y(n_6610), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g794840 (
+	.A1(FE_DBTN21_n_34786),
+	.A2(brqrv_top_brqrv_exu_i_alu_pcout[27]),
+	.B1(brqrv_top_brqrv_dec_csr_rddata_d[27]),
+	.B2(n_1795),
+	.C1(brqrv_top_brqrv_exu_i0_rs1_d[27]),
+	.C2(n_6281),
+	.Y(n_6609), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g794841 (
+	.A1(FE_DBTN21_n_34786),
+	.A2(brqrv_top_brqrv_exu_i_alu_pcout[28]),
+	.B1(brqrv_top_brqrv_dec_csr_rddata_d[28]),
+	.B2(n_1795),
+	.C1(brqrv_top_brqrv_exu_i0_rs1_d[28]),
+	.C2(n_6281),
+	.Y(n_6608), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g794842 (
+	.A1(n_1569),
+	.A2(n_6107),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dualtag[1]),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dualtag[0]),
+	.C1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dual[0]),
+	.Y(n_6607), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g794843 (
+	.A1(FE_DBTN21_n_34786),
+	.A2(brqrv_top_brqrv_exu_i_alu_pcout[29]),
+	.B1(brqrv_top_brqrv_dec_csr_rddata_d[29]),
+	.B2(n_1795),
+	.C1(brqrv_top_brqrv_exu_i0_rs1_d[29]),
+	.C2(n_6281),
+	.Y(n_6606), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794844 (
+	.A(n_41757),
+	.B(n_6518),
+	.Y(n_6605), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g794845 (
+	.A1(FE_DBTN21_n_34786),
+	.A2(brqrv_top_brqrv_exu_i_alu_pcout[30]),
+	.B1(brqrv_top_brqrv_dec_csr_rddata_d[30]),
+	.B2(n_1795),
+	.C1(brqrv_top_brqrv_exu_i0_rs1_d[30]),
+	.C2(n_6281),
+	.Y(n_6604), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g794846 (
+	.A(n_6259),
+	.B(n_6268),
+	.C(n_6037),
+	.Y(brqrv_top_brqrv_ifu_aln_bundle2ff_en), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g794848 (
+	.A1(n_35339),
+	.A2(n_6282),
+	.B1(n_33151),
+	.B2(n_35337),
+	.C1(n_2136),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[511]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g794849 (
+	.A1(n_34505),
+	.A2(n_6282),
+	.B1(n_33151),
+	.B2(n_34503),
+	.C1(n_2157),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[127]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g794850 (
+	.A1(n_34487),
+	.A2(n_6282),
+	.B1(n_33151),
+	.B2(n_34485),
+	.C1(n_2107),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[927]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g794852 (
+	.A1(n_34447),
+	.A2(n_6282),
+	.B1(n_33151),
+	.B2(n_34445),
+	.C1(n_2199),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[607]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g794853 (
+	.A1(n_35333),
+	.A2(n_6282),
+	.B1(n_33151),
+	.B2(n_35331),
+	.C1(n_2217),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[447]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4b_1 g794854 (
+	.A_N(n_6526),
+	.B(n_2902),
+	.C(n_4253),
+	.D(n_35345),
+	.Y(n_6603), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g794855 (
+	.A(n_5055),
+	.B(n_6514),
+	.Y(n_6602), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4b_1 g794856 (
+	.A_N(brqrv_top_brqrv_dec_tlu_wb_coalescing_disable),
+	.B(n_4253),
+	.C(n_6048),
+	.D(n_3771),
+	.Y(n_6601), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g794858 (
+	.A1(n_6107),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dual[0]),
+	.A3(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dualtag[0]),
+	.B1(n_5701),
+	.Y(n_6600), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g794861 (
+	.A1(n_34490),
+	.A2(n_6282),
+	.B1(n_33151),
+	.B2(n_34488),
+	.C1(n_2123),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[639]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g794862 (
+	.A1(n_1841),
+	.A2(n_4600),
+	.B1(brqrv_top_brqrv_lsu_lsu_addr_r[5]),
+	.B2(n_4609),
+	.C1(n_6476),
+	.Y(n_6599), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g794863 (
+	.A(n_6481),
+	.B(n_5304),
+	.C(n_4333),
+	.D(n_3433),
+	.Y(n_6598), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g794864 (
+	.A(n_5135),
+	.B(n_5134),
+	.C(n_5072),
+	.D(n_6045),
+	.Y(n_6597), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g794866 (
+	.A1(n_34444),
+	.A2(n_6282),
+	.B1(n_33151),
+	.B2(n_34442),
+	.C1(n_2253),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[575]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111oi_0 g794867 (
+	.A1(n_1848),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[40]),
+	.B1(n_3317),
+	.C1(n_2832),
+	.D1(n_6049),
+	.Y(n_6596), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g794868 (
+	.A1(n_1849),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[31]),
+	.B1(n_36270),
+	.B2(n_1920),
+	.C1(n_6472),
+	.Y(n_6595), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g794869 (
+	.A1(n_34471),
+	.A2(n_6282),
+	.B1(n_33151),
+	.B2(n_34469),
+	.C1(n_2629),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[895]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g794870 (
+	.A1(n_34511),
+	.A2(n_6282),
+	.B1(n_33151),
+	.B2(n_34509),
+	.C1(n_2579),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[63]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g794871 (
+	.A1(n_34450),
+	.A2(n_6282),
+	.B1(n_33151),
+	.B2(n_34448),
+	.C1(n_2572),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[671]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g794872 (
+	.A1(n_34496),
+	.A2(n_6282),
+	.B1(n_33151),
+	.B2(n_34494),
+	.C1(n_2502),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[255]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g794873 (
+	.A1(n_34474),
+	.A2(n_6282),
+	.B1(n_33151),
+	.B2(n_34472),
+	.C1(n_2515),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[959]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g794874 (
+	.A1(n_34453),
+	.A2(n_6282),
+	.B1(n_33151),
+	.B2(n_34451),
+	.C1(n_2589),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[703]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g794875 (
+	.A1(n_34493),
+	.A2(n_6282),
+	.B1(n_33151),
+	.B2(n_34491),
+	.C1(n_2118),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[223]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g794876 (
+	.A1(n_35336),
+	.A2(n_6282),
+	.B1(n_33151),
+	.B2(n_35334),
+	.C1(n_2499),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[479]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g794877 (
+	.A1(n_34456),
+	.A2(n_6282),
+	.B1(n_33151),
+	.B2(n_34454),
+	.C1(n_2414),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[735]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g794878 (
+	.A1(n_35324),
+	.A2(n_6282),
+	.B1(n_33151),
+	.B2(n_35322),
+	.C1(n_2106),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[287]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g794879 (
+	.A1(n_34508),
+	.A2(n_6282),
+	.B1(n_33151),
+	.B2(n_34506),
+	.C1(n_2522),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[95]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g794880 (
+	.A1(n_34477),
+	.A2(n_6282),
+	.B1(n_33151),
+	.B2(n_34475),
+	.C1(n_2339),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[1023]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g794881 (
+	.A1(n_34462),
+	.A2(n_6282),
+	.B1(n_33151),
+	.B2(n_34460),
+	.C1(n_2611),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[831]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g794882 (
+	.A1(n_34484),
+	.A2(n_6282),
+	.B1(n_33151),
+	.B2(n_34482),
+	.C1(n_2380),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[415]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g794883 (
+	.A1(n_34499),
+	.A2(n_6282),
+	.B1(n_33151),
+	.B2(n_34497),
+	.C1(n_2196),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[191]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g794884 (
+	.A1(n_35330),
+	.A2(n_6282),
+	.B1(n_33151),
+	.B2(n_35328),
+	.C1(n_2523),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[383]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g794885 (
+	.A1(n_35341),
+	.A2(n_6282),
+	.B1(n_33151),
+	.B2(n_34441),
+	.C1(n_2092),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[543]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g794886 (
+	.A1(n_34502),
+	.A2(n_6282),
+	.B1(n_33151),
+	.B2(n_34500),
+	.C1(n_2289),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[159]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g794887 (
+	.A1(n_34459),
+	.A2(n_6282),
+	.B1(n_33151),
+	.B2(n_34457),
+	.C1(n_2385),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[767]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g794888 (
+	.A1(n_34468),
+	.A2(n_6282),
+	.B1(n_33151),
+	.B2(n_34466),
+	.C1(n_2363),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[799]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g794889 (
+	.A1(n_35343),
+	.A2(n_6282),
+	.B1(n_33151),
+	.B2(n_34478),
+	.C1(n_2343),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[991]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g794890 (
+	.A1(n_35327),
+	.A2(n_6282),
+	.B1(n_33151),
+	.B2(n_35325),
+	.C1(n_2453),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[351]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g794891 (
+	.A1(n_34481),
+	.A2(n_6282),
+	.B1(n_33151),
+	.B2(n_34479),
+	.C1(n_2302),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[319]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g794892 (
+	.A1(n_34465),
+	.A2(n_6282),
+	.B1(n_33151),
+	.B2(n_34463),
+	.C1(n_2283),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[863]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794893 (
+	.A(n_6525),
+	.B(n_5358),
+	.Y(n_6651), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o31ai_1 g794894 (
+	.A1(brqrv_top_brqrv_dbg_n_4261),
+	.A2(n_2995),
+	.A3(n_6077),
+	.B1(n_5982),
+	.Y(n_6650), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g794896 (
+	.A(n_6521),
+	.B(n_5647),
+	.Y(n_1483), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g794897 (
+	.A(n_6522),
+	.B(n_5662),
+	.Y(n_1482), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g794898 (
+	.A(n_6523),
+	.B(n_5812),
+	.Y(n_1481), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794901 (
+	.A(\brqrv_top_mem_Gen_dccm_enable.dccm_n_314 ),
+	.B(n_5713),
+	.Y(n_6646), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794904 (
+	.A(\brqrv_top_mem_Gen_dccm_enable.dccm_n_356 ),
+	.B(n_5714),
+	.Y(n_6645), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794905 (
+	.A(\brqrv_top_mem_Gen_dccm_enable.dccm_n_271 ),
+	.B(n_5710),
+	.Y(n_6644), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g794908 (
+	.A(n_41700),
+	.B(n_6471),
+	.Y(n_6643), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g794912 (
+	.A1(FE_DBTN21_n_34786),
+	.A2(brqrv_top_brqrv_exu_i_alu_pcout[24]),
+	.B1(brqrv_top_brqrv_dec_csr_rddata_d[24]),
+	.B2(n_1795),
+	.C1(brqrv_top_brqrv_exu_i0_rs1_d[24]),
+	.C2(n_6281),
+	.Y(n_6589), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g794913 (
+	.A1(FE_DBTN21_n_34786),
+	.A2(brqrv_top_brqrv_exu_i_alu_pcout[23]),
+	.B1(brqrv_top_brqrv_dec_csr_rddata_d[23]),
+	.B2(n_1795),
+	.C1(brqrv_top_brqrv_exu_i0_rs1_d[23]),
+	.C2(n_6281),
+	.Y(n_6588), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g794914 (
+	.A1(FE_DBTN21_n_34786),
+	.A2(brqrv_top_brqrv_exu_i_alu_pcout[22]),
+	.B1(brqrv_top_brqrv_dec_csr_rddata_d[22]),
+	.B2(n_1795),
+	.C1(brqrv_top_brqrv_exu_i0_rs1_d[22]),
+	.C2(n_6281),
+	.Y(n_6587), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g794915 (
+	.A1(FE_DBTN21_n_34786),
+	.A2(brqrv_top_brqrv_exu_i_alu_pcout[21]),
+	.B1(brqrv_top_brqrv_dec_csr_rddata_d[21]),
+	.B2(n_1795),
+	.C1(brqrv_top_brqrv_exu_i0_rs1_d[21]),
+	.C2(n_6281),
+	.Y(n_6586), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g794916 (
+	.A1(FE_DBTN21_n_34786),
+	.A2(brqrv_top_brqrv_exu_i_alu_pcout[20]),
+	.B1(brqrv_top_brqrv_dec_csr_rddata_d[20]),
+	.B2(n_1795),
+	.C1(brqrv_top_brqrv_exu_i0_rs1_d[20]),
+	.C2(n_6281),
+	.Y(n_6585), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g794917 (
+	.A1(brqrv_top_brqrv_dec_decode_cam_raw[5]),
+	.A2(n_6287),
+	.B1(brqrv_top_brqrv_dec_decode_cam_raw[6]),
+	.B2(n_6285),
+	.Y(n_6584), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g794918 (
+	.A1(FE_DBTN21_n_34786),
+	.A2(brqrv_top_brqrv_exu_i_alu_pcout[19]),
+	.B1(brqrv_top_brqrv_dec_csr_rddata_d[19]),
+	.B2(n_1795),
+	.C1(brqrv_top_brqrv_exu_i0_rs1_d[19]),
+	.C2(n_6281),
+	.Y(n_6583), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g794919 (
+	.A1(FE_DBTN21_n_34786),
+	.A2(brqrv_top_brqrv_exu_i_alu_pcout[18]),
+	.B1(brqrv_top_brqrv_dec_csr_rddata_d[18]),
+	.B2(n_1795),
+	.C1(brqrv_top_brqrv_exu_i0_rs1_d[18]),
+	.C2(n_6281),
+	.Y(n_6582), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g794920 (
+	.A1(FE_DBTN21_n_34786),
+	.A2(brqrv_top_brqrv_exu_i_alu_pcout[17]),
+	.B1(brqrv_top_brqrv_dec_csr_rddata_d[17]),
+	.B2(n_1795),
+	.C1(brqrv_top_brqrv_exu_i0_rs1_d[17]),
+	.C2(n_6281),
+	.Y(n_6581), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g794921 (
+	.A1(FE_DBTN21_n_34786),
+	.A2(brqrv_top_brqrv_exu_i_alu_pcout[16]),
+	.B1(brqrv_top_brqrv_dec_csr_rddata_d[16]),
+	.B2(n_1795),
+	.C1(brqrv_top_brqrv_exu_i0_rs1_d[16]),
+	.C2(n_6281),
+	.Y(n_6580), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g794922 (
+	.A1(FE_DBTN21_n_34786),
+	.A2(brqrv_top_brqrv_exu_i_alu_pcout[15]),
+	.B1(brqrv_top_brqrv_dec_csr_rddata_d[15]),
+	.B2(n_1795),
+	.C1(brqrv_top_brqrv_exu_i0_rs1_d[15]),
+	.C2(n_6281),
+	.Y(n_6579), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g794923 (
+	.A1(FE_DBTN21_n_34786),
+	.A2(brqrv_top_brqrv_exu_i_alu_pcout[14]),
+	.B1(brqrv_top_brqrv_dec_csr_rddata_d[14]),
+	.B2(n_1795),
+	.C1(brqrv_top_brqrv_exu_i0_rs1_d[14]),
+	.C2(n_6281),
+	.Y(n_6578), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g794924 (
+	.A1(FE_DBTN21_n_34786),
+	.A2(brqrv_top_brqrv_exu_i_alu_pcout[13]),
+	.B1(brqrv_top_brqrv_dec_csr_rddata_d[13]),
+	.B2(n_1795),
+	.C1(brqrv_top_brqrv_exu_i0_rs1_d[13]),
+	.C2(n_6281),
+	.Y(n_6577), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g794925 (
+	.A1(FE_DBTN21_n_34786),
+	.A2(brqrv_top_brqrv_exu_i_alu_pcout[12]),
+	.B1(brqrv_top_brqrv_dec_csr_rddata_d[12]),
+	.B2(n_1795),
+	.C1(n_43042),
+	.C2(n_6281),
+	.Y(n_6576), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g794926 (
+	.A1(FE_DBTN21_n_34786),
+	.A2(n_34618),
+	.B1(brqrv_top_brqrv_dec_csr_rddata_d[11]),
+	.B2(n_1795),
+	.C1(brqrv_top_brqrv_exu_i0_rs1_d[11]),
+	.C2(n_6281),
+	.Y(n_6575), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g794927 (
+	.A1(FE_DBTN21_n_34786),
+	.A2(n_35385),
+	.B1(brqrv_top_brqrv_dec_csr_rddata_d[10]),
+	.B2(n_1795),
+	.C1(brqrv_top_brqrv_exu_i0_rs1_d[10]),
+	.C2(n_6281),
+	.Y(n_6574), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g794928 (
+	.A1(FE_DBTN21_n_34786),
+	.A2(n_35386),
+	.B1(brqrv_top_brqrv_dec_csr_rddata_d[9]),
+	.B2(n_1795),
+	.C1(brqrv_top_brqrv_exu_i0_rs1_d[9]),
+	.C2(n_6281),
+	.Y(n_6573), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g794929 (
+	.A1(FE_DBTN21_n_34786),
+	.A2(n_34682),
+	.B1(brqrv_top_brqrv_dec_csr_rddata_d[8]),
+	.B2(n_1795),
+	.C1(brqrv_top_brqrv_exu_i0_rs1_d[8]),
+	.C2(n_6281),
+	.Y(n_6572), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g794930 (
+	.A1(FE_DBTN21_n_34786),
+	.A2(n_34617),
+	.B1(brqrv_top_brqrv_dec_csr_rddata_d[7]),
+	.B2(n_1795),
+	.C1(brqrv_top_brqrv_exu_i0_rs1_d[7]),
+	.C2(n_6281),
+	.Y(n_6571), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g794931 (
+	.A1(FE_DBTN21_n_34786),
+	.A2(n_5071),
+	.B1(brqrv_top_brqrv_dec_csr_rddata_d[3]),
+	.B2(n_1795),
+	.C1(brqrv_top_brqrv_exu_i0_rs1_d[3]),
+	.C2(n_6281),
+	.Y(n_6570), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g794932 (
+	.A1(FE_DBTN21_n_34786),
+	.A2(n_35367),
+	.B1(brqrv_top_brqrv_dec_csr_rddata_d[2]),
+	.B2(n_1795),
+	.C1(brqrv_top_brqrv_exu_i0_rs1_d[2]),
+	.C2(n_6281),
+	.Y(n_6569), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g794933 (
+	.A1(n_5034),
+	.A2(brqrv_top_brqrv_dec_tlu_pc_r[1]),
+	.B1(n_3691),
+	.B2(brqrv_top_brqrv_dec_dec_illegal_inst[1]),
+	.C1(n_6487),
+	.X(brqrv_top_brqrv_dec_tlu_mtval_ns[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g794934 (
+	.A1(n_6104),
+	.A2(brqrv_top_brqrv_dec_tlu_mstatus[1]),
+	.B1(n_6477),
+	.Y(n_6568), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g794935 (
+	.A1(brqrv_top_brqrv_dec_i0_decode_d),
+	.A2(n_6277),
+	.B1(brqrv_top_brqrv_dec_decode_postsync_stall),
+	.B2(brqrv_top_brqrv_dec_decode_x_d[0]),
+	.X(brqrv_top_brqrv_dec_decode_ps_stall_in), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g794936 (
+	.A1(FE_DBTN21_n_34786),
+	.A2(brqrv_top_brqrv_exu_i_alu_pcout[25]),
+	.B1(brqrv_top_brqrv_dec_csr_rddata_d[25]),
+	.B2(n_1795),
+	.C1(brqrv_top_brqrv_exu_i0_rs1_d[25]),
+	.C2(n_6281),
+	.Y(n_6567), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g794937 (
+	.A1(FE_DBTN21_n_34786),
+	.A2(brqrv_top_brqrv_exu_i_alu_pcout[31]),
+	.B1(brqrv_top_brqrv_dec_csr_rddata_d[31]),
+	.B2(n_1795),
+	.C1(brqrv_top_brqrv_exu_i0_rs1_d[31]),
+	.C2(n_6281),
+	.Y(n_6566), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g794938 (
+	.A1(brqrv_top_brqrv_lsu_stbuf_n_592),
+	.A2(n_1836),
+	.B1(n_3328),
+	.C1(n_6267),
+	.Y(n_6565), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g794939 (
+	.A1(FE_DBTN21_n_34786),
+	.A2(n_4383),
+	.B1(brqrv_top_brqrv_dec_csr_rddata_d[6]),
+	.B2(n_1795),
+	.C1(brqrv_top_brqrv_exu_i0_rs1_d[6]),
+	.C2(n_6281),
+	.Y(n_6564), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g794940 (
+	.A1(FE_DBTN21_n_34786),
+	.A2(n_4385),
+	.B1(brqrv_top_brqrv_dec_csr_rddata_d[5]),
+	.B2(n_1795),
+	.C1(brqrv_top_brqrv_exu_i0_rs1_d[5]),
+	.C2(n_6281),
+	.Y(n_6563), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g794941 (
+	.A1(FE_DBTN21_n_34786),
+	.A2(n_5472),
+	.B1(brqrv_top_brqrv_dec_csr_rddata_d[4]),
+	.B2(n_1795),
+	.C1(brqrv_top_brqrv_exu_i0_rs1_d[4]),
+	.C2(n_6281),
+	.Y(n_6562), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g794942 (
+	.A1(FE_DBTN21_n_34786),
+	.A2(n_3458),
+	.B1(brqrv_top_brqrv_dec_csr_rddata_d[1]),
+	.B2(n_1795),
+	.C1(brqrv_top_brqrv_exu_i0_rs1_d[1]),
+	.C2(n_6281),
+	.Y(n_6561), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32oi_1 g794943 (
+	.A1(n_6046),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dualtag[4]),
+	.A3(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dual[2]),
+	.B1(n_5800),
+	.B2(n_5701),
+	.Y(n_6560), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g794944 (
+	.A1(n_6270),
+	.A2(n_3714),
+	.B1(brqrv_top_brqrv_dec_tlu_force_halt),
+	.B2(n_4850),
+	.Y(n_6559), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g794945 (
+	.A1_N(brqrv_top_brqrv_dec_decode_cam_raw[7]),
+	.A2_N(n_6009),
+	.B1(brqrv_top_brqrv_dec_decode_cam_raw[8]),
+	.B2(n_6286),
+	.Y(n_6558), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g794947 (
+	.A1_N(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[5]),
+	.A2_N(n_35364),
+	.B1(n_35364),
+	.B2(n_6466),
+	.Y(brqrv_top_brqrv_ifu_mem_ctl_imb_in[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g794948 (
+	.A1_N(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[4]),
+	.A2_N(n_35364),
+	.B1(n_35364),
+	.B2(n_6465),
+	.Y(brqrv_top_brqrv_ifu_mem_ctl_imb_in[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g794949 (
+	.A(n_5418),
+	.B(n_4197),
+	.C(n_6246),
+	.Y(brqrv_top_brqrv_dec_tlu_mtval_ns[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g794950 (
+	.A(n_5417),
+	.B(n_4196),
+	.C(n_6245),
+	.Y(brqrv_top_brqrv_dec_tlu_mtval_ns[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g794951 (
+	.A(n_5416),
+	.B(n_4195),
+	.C(n_6257),
+	.Y(brqrv_top_brqrv_dec_tlu_mtval_ns[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g794952 (
+	.A(n_5415),
+	.B(n_4194),
+	.C(n_6256),
+	.Y(brqrv_top_brqrv_dec_tlu_mtval_ns[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g794953 (
+	.A(n_5414),
+	.B(n_4193),
+	.C(n_6239),
+	.Y(brqrv_top_brqrv_dec_tlu_mtval_ns[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g794954 (
+	.A(n_5413),
+	.B(n_4192),
+	.C(n_6255),
+	.Y(brqrv_top_brqrv_dec_tlu_mtval_ns[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g794955 (
+	.A(n_5412),
+	.B(n_4191),
+	.C(n_6254),
+	.Y(brqrv_top_brqrv_dec_tlu_mtval_ns[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g794956 (
+	.A(n_5411),
+	.B(n_4190),
+	.C(n_6253),
+	.Y(brqrv_top_brqrv_dec_tlu_mtval_ns[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g794957 (
+	.A(n_5410),
+	.B(n_4189),
+	.C(n_6252),
+	.Y(brqrv_top_brqrv_dec_tlu_mtval_ns[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g794958 (
+	.A(n_5409),
+	.B(n_4188),
+	.C(n_6244),
+	.Y(brqrv_top_brqrv_dec_tlu_mtval_ns[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g794959 (
+	.A(n_5408),
+	.B(n_4164),
+	.C(n_6243),
+	.Y(brqrv_top_brqrv_dec_tlu_mtval_ns[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g794960 (
+	.A(n_5407),
+	.B(n_4187),
+	.C(n_6235),
+	.Y(brqrv_top_brqrv_dec_tlu_mtval_ns[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g794961 (
+	.A(n_5406),
+	.B(n_4186),
+	.C(n_6261),
+	.Y(brqrv_top_brqrv_dec_tlu_mtval_ns[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g794962 (
+	.A(n_5405),
+	.B(n_4185),
+	.C(n_6251),
+	.Y(brqrv_top_brqrv_dec_tlu_mtval_ns[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g794963 (
+	.A(n_5404),
+	.B(n_4184),
+	.C(n_6250),
+	.Y(brqrv_top_brqrv_dec_tlu_mtval_ns[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g794964 (
+	.A(n_5403),
+	.B(n_4183),
+	.C(n_6249),
+	.Y(brqrv_top_brqrv_dec_tlu_mtval_ns[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g794965 (
+	.A(n_5402),
+	.B(n_4182),
+	.C(n_6242),
+	.Y(brqrv_top_brqrv_dec_tlu_mtval_ns[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g794966 (
+	.A(n_5401),
+	.B(n_4181),
+	.C(n_6248),
+	.Y(brqrv_top_brqrv_dec_tlu_mtval_ns[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g794967 (
+	.A(n_5400),
+	.B(n_4180),
+	.C(n_6247),
+	.Y(brqrv_top_brqrv_dec_tlu_mtval_ns[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g794968 (
+	.A(n_5399),
+	.B(n_4179),
+	.C(n_6238),
+	.Y(brqrv_top_brqrv_dec_tlu_mtval_ns[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g794969 (
+	.A(n_5397),
+	.B(n_4177),
+	.C(n_6241),
+	.Y(brqrv_top_brqrv_dec_tlu_mtval_ns[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g794970 (
+	.A(n_5396),
+	.B(n_4176),
+	.C(n_6236),
+	.Y(brqrv_top_brqrv_dec_tlu_mtval_ns[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g794971 (
+	.A(n_5395),
+	.B(n_4175),
+	.C(n_6240),
+	.Y(brqrv_top_brqrv_dec_tlu_mtval_ns[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g794972 (
+	.A(n_5394),
+	.B(n_4174),
+	.C(n_6262),
+	.Y(brqrv_top_brqrv_dec_tlu_mtval_ns[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g794973 (
+	.A(n_5393),
+	.B(n_4173),
+	.C(n_6263),
+	.Y(brqrv_top_brqrv_dec_tlu_mtval_ns[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g794974 (
+	.A(n_5392),
+	.B(n_4172),
+	.C(n_6234),
+	.Y(brqrv_top_brqrv_dec_tlu_mtval_ns[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g794975 (
+	.A(n_5391),
+	.B(n_4171),
+	.C(n_6280),
+	.Y(brqrv_top_brqrv_dec_tlu_mtval_ns[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g794976 (
+	.A(n_5398),
+	.B(n_4178),
+	.C(n_6237),
+	.Y(brqrv_top_brqrv_dec_tlu_mtval_ns[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g794977 (
+	.A(n_5390),
+	.B(n_4170),
+	.C(n_6264),
+	.Y(brqrv_top_brqrv_dec_tlu_mtval_ns[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g794978 (
+	.A(n_5389),
+	.B(n_4169),
+	.C(n_6265),
+	.Y(brqrv_top_brqrv_dec_tlu_mtval_ns[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g794979 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dualtag[6]),
+	.A2(n_6458),
+	.B1(n_5701),
+	.B2(n_5798),
+	.Y(n_6557), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g794980 (
+	.A1(brqrv_top_brqrv_dec_tlu_meicidpl[1]),
+	.A2(n_5760),
+	.B1(n_4832),
+	.C1(n_6035),
+	.D1(n_6070),
+	.Y(n_6556), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g794981 (
+	.A1_N(brqrv_top_brqrv_lsu_stbuf_RdPtr[0]),
+	.A2_N(n_6463),
+	.B1(brqrv_top_brqrv_lsu_stbuf_RdPtr[0]),
+	.B2(n_6463),
+	.Y(brqrv_top_brqrv_lsu_stbuf_RdPtrff_n_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g794982 (
+	.A(brqrv_top_brqrv_dec_decode_cam_raw[35]),
+	.B(brqrv_top_brqrv_dec_decode_cam_in[35]),
+	.Y(n_6555), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g794983 (
+	.A(brqrv_top_brqrv_dec_decode_cam_raw[38]),
+	.B(\brqrv_top_brqrv_dec_decode_cam_in[8]_926 ),
+	.Y(n_6554), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g794984 (
+	.A1(brqrv_top_brqrv_dec_decode_cam_raw[32]),
+	.A2(n_6300),
+	.B1(brqrv_top_brqrv_dec_decode_cam_raw[33]),
+	.B2(n_6301),
+	.Y(n_6553), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g794985 (
+	.A1(brqrv_top_brqrv_dec_decode_cam_raw[30]),
+	.A2(n_6299),
+	.B1(brqrv_top_brqrv_dec_decode_cam_raw[31]),
+	.B2(n_6298),
+	.Y(n_6552), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g794986 (
+	.A(brqrv_top_brqrv_dec_decode_cam_raw[34]),
+	.B(brqrv_top_brqrv_dec_decode_cam_in[34]),
+	.Y(n_6551), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g794987 (
+	.A1(n_1602),
+	.A2(brqrv_top_brqrv_dec_decode_cam_in[32]),
+	.B1(n_1912),
+	.B2(brqrv_top_brqrv_dec_decode_cam_in[33]),
+	.X(n_6550), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g794988 (
+	.A1(n_1655),
+	.A2(brqrv_top_brqrv_dec_decode_cam_in[30]),
+	.B1(n_1641),
+	.B2(brqrv_top_brqrv_dec_decode_cam_in[31]),
+	.X(n_6549), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g794989 (
+	.A1(brqrv_top_brqrv_dec_decode_cam_raw[10]),
+	.A2(n_6296),
+	.B1(brqrv_top_brqrv_dec_decode_cam_raw[14]),
+	.B2(n_6297),
+	.Y(n_6548), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g794990 (
+	.A1(n_1656),
+	.A2(\brqrv_top_brqrv_dec_decode_cam_in[2]_908 ),
+	.B1(n_1911),
+	.B2(\brqrv_top_brqrv_dec_decode_cam_in[3]_909 ),
+	.Y(n_6547), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g794991 (
+	.A1(n_1599),
+	.A2(\brqrv_top_brqrv_dec_decode_cam_in[0]_906 ),
+	.B1(n_1626),
+	.B2(\brqrv_top_brqrv_dec_decode_cam_in[4]_910 ),
+	.Y(n_6546), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g794992 (
+	.A1(brqrv_top_brqrv_dec_decode_cam_raw[12]),
+	.A2(n_6294),
+	.B1(brqrv_top_brqrv_dec_decode_cam_raw[13]),
+	.B2(n_6295),
+	.Y(n_6545), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g794993 (
+	.A(brqrv_top_brqrv_dec_decode_cam_raw[11]),
+	.B(\brqrv_top_brqrv_dec_decode_cam_in[1]_907 ),
+	.X(n_6544), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g794994 (
+	.A1(n_1647),
+	.A2(\brqrv_top_brqrv_dec_decode_cam_in[5]_911 ),
+	.B1(n_1924),
+	.B2(\brqrv_top_brqrv_dec_decode_cam_in[6]_912 ),
+	.Y(n_6543), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g794995 (
+	.A1(brqrv_top_brqrv_dec_decode_cam_raw[15]),
+	.A2(n_6292),
+	.B1(brqrv_top_brqrv_dec_decode_cam_raw[18]),
+	.B2(n_6293),
+	.Y(n_6542), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g794996 (
+	.A1(\brqrv_top_brqrv_dec_decode_cam_in[6]_912 ),
+	.A2(n_1924),
+	.B1(n_6013),
+	.B2(brqrv_top_brqrv_dec_decode_cam_raw[17]),
+	.X(n_6541), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g794997 (
+	.A(brqrv_top_brqrv_dec_decode_cam_raw[25]),
+	.B(\brqrv_top_brqrv_dec_decode_cam_in[5]_921 ),
+	.Y(n_6540), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g794998 (
+	.A1(n_1627),
+	.A2(\brqrv_top_brqrv_dec_decode_cam_in[2]_918 ),
+	.B1(n_1939),
+	.B2(\brqrv_top_brqrv_dec_decode_cam_in[3]_919 ),
+	.X(n_6539), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g794999 (
+	.A1(n_1607),
+	.A2(\brqrv_top_brqrv_dec_decode_cam_in[1]_917 ),
+	.B1(n_1648),
+	.B2(\brqrv_top_brqrv_dec_decode_cam_in[4]_920 ),
+	.X(n_6538), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g795000 (
+	.A(brqrv_top_brqrv_dec_decode_cam_raw[20]),
+	.B(\brqrv_top_brqrv_dec_decode_cam_in[0]_916 ),
+	.Y(n_6537), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g795001 (
+	.A1(brqrv_top_brqrv_dec_decode_cam_raw[22]),
+	.A2(n_6290),
+	.B1(brqrv_top_brqrv_dec_decode_cam_raw[23]),
+	.B2(n_6291),
+	.Y(n_6536), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g795002 (
+	.A1(\brqrv_top_brqrv_dec_decode_cam_in[6]_922 ),
+	.A2(n_1631),
+	.B1(\brqrv_top_brqrv_dec_decode_cam_in[1]_917 ),
+	.B2(n_1607),
+	.X(n_6535), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g795003 (
+	.A(brqrv_top_brqrv_dec_decode_cam_raw[28]),
+	.B(\brqrv_top_brqrv_dec_decode_cam_in[8]_924 ),
+	.X(n_6534), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g795004 (
+	.A1(n_6012),
+	.A2(brqrv_top_brqrv_dec_decode_cam_raw[27]),
+	.B1(n_6479),
+	.Y(n_6533), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g795005 (
+	.A(brqrv_top_brqrv_dec_decode_cam_raw[1]),
+	.B(brqrv_top_brqrv_dec_decode_cam_in[1]),
+	.Y(n_6532), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g795006 (
+	.A1(n_1950),
+	.A2(brqrv_top_brqrv_dec_decode_cam_in[2]),
+	.B1(n_1646),
+	.B2(brqrv_top_brqrv_dec_decode_cam_in[4]),
+	.Y(n_6531), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g795007 (
+	.A1(brqrv_top_brqrv_dec_decode_cam_raw[2]),
+	.A2(n_6288),
+	.B1(brqrv_top_brqrv_dec_decode_cam_raw[4]),
+	.B2(n_6289),
+	.Y(n_6530), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g795008 (
+	.A(brqrv_top_brqrv_dec_decode_cam_raw[0]),
+	.B(brqrv_top_brqrv_dec_decode_cam_in[0]),
+	.X(n_6529), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g795009 (
+	.A(brqrv_top_brqrv_dec_decode_cam_raw[3]),
+	.B(brqrv_top_brqrv_dec_decode_cam_in[3]),
+	.X(n_6528), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g795010 (
+	.A1(n_1645),
+	.A2(brqrv_top_brqrv_dec_decode_cam_in[6]),
+	.B1(n_6515),
+	.Y(n_6527), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g795011 (
+	.A_N(n_5358),
+	.B(n_6525),
+	.C(n_5369),
+	.Y(n_6594), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g795012 (
+	.A(n_6524),
+	.B(n_6061),
+	.Y(n_6593), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g795016 (
+	.A(n_5365),
+	.B(n_5358),
+	.C(n_5698),
+	.D(n_6103),
+	.X(n_6590), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g795022 (
+	.A(n_6524),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_reset[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g795023 (
+	.A(n_6523),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_reset[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g795024 (
+	.A(n_6522),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_reset[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g795025 (
+	.A(n_6521),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_reset[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 g795026 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_timer[0]),
+	.B(n_5974),
+	.COUT(n_6519),
+	.SUM(n_6520), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 g795027 (
+	.A(n_35789),
+	.B(n_5998),
+	.COUT(n_6517),
+	.SUM(n_6518), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4_1 g795028 (
+	.A(n_6054),
+	.B(n_6072),
+	.C(n_5891),
+	.D(n_5826),
+	.X(n_6516), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g795029 (
+	.A(n_6286),
+	.B(brqrv_top_brqrv_dec_decode_cam_raw[8]),
+	.Y(n_6515), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g795030 (
+	.A(n_6271),
+	.B(brqrv_top_brqrv_dec_dec_csr_wen_unq_d),
+	.Y(n_6514), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g795031 (
+	.A(brqrv_top_brqrv_dec_decode_cam_raw[36]),
+	.B(n_6283),
+	.Y(n_6513), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g795034 (
+	.A1_N(n_43609),
+	.A2_N(n_6101),
+	.B1(n_43609),
+	.B2(n_6101),
+	.Y(n_6512), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g795039 (
+	.A(n_41696),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[6]),
+	.Y(n_6508), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g795040 (
+	.A(n_41696),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[5]),
+	.Y(n_6507), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g795041 (
+	.A(n_41696),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[4]),
+	.Y(n_6506), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g795050 (
+	.A1(brqrv_top_brqrv_exu_i0_rs1_d[24]),
+	.A2(n_5468),
+	.B1(n_6462),
+	.Y(n_6505), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g795051 (
+	.A1(brqrv_top_brqrv_exu_i0_rs1_d[19]),
+	.A2(n_5468),
+	.B1(n_6462),
+	.Y(n_6504), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g795052 (
+	.A1(brqrv_top_brqrv_exu_i0_rs1_d[18]),
+	.A2(n_5468),
+	.B1(n_6462),
+	.Y(n_6503), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g795053 (
+	.A1(brqrv_top_brqrv_exu_i0_rs1_d[16]),
+	.A2(n_5468),
+	.B1(n_6462),
+	.Y(n_6502), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g795054 (
+	.A1(brqrv_top_brqrv_exu_i0_rs1_d[14]),
+	.A2(n_5468),
+	.B1(n_6462),
+	.Y(n_6501), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g795055 (
+	.A1(brqrv_top_brqrv_exu_i0_rs1_d[13]),
+	.A2(n_5468),
+	.B1(n_6462),
+	.Y(n_6500), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g795056 (
+	.A1(n_43042),
+	.A2(n_5468),
+	.B1(n_6462),
+	.Y(n_6499), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g795057 (
+	.A1(brqrv_top_brqrv_exu_i0_rs1_d[11]),
+	.A2(n_5468),
+	.B1(n_6462),
+	.Y(n_6498), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g795058 (
+	.A1(brqrv_top_brqrv_exu_i0_rs1_d[10]),
+	.A2(n_5468),
+	.B1(n_6462),
+	.Y(n_6497), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g795059 (
+	.A1(brqrv_top_brqrv_exu_i0_rs1_d[9]),
+	.A2(n_5468),
+	.B1(n_6462),
+	.Y(n_6496), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g795060 (
+	.A1(brqrv_top_brqrv_exu_i0_rs1_d[8]),
+	.A2(n_5468),
+	.B1(n_6462),
+	.Y(n_6495), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g795061 (
+	.A1(brqrv_top_brqrv_exu_i0_rs1_d[7]),
+	.A2(n_5468),
+	.B1(n_6462),
+	.Y(n_6494), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g795062 (
+	.A1(brqrv_top_brqrv_exu_i0_rs1_d[6]),
+	.A2(n_5468),
+	.B1(n_6462),
+	.Y(n_6493), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g795063 (
+	.A1(brqrv_top_brqrv_exu_i0_rs1_d[5]),
+	.A2(n_5468),
+	.B1(n_6462),
+	.Y(n_6492), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g795064 (
+	.A1(brqrv_top_brqrv_exu_i0_rs1_d[4]),
+	.A2(n_5468),
+	.B1(n_6462),
+	.Y(n_6491), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g795065 (
+	.A1(brqrv_top_brqrv_exu_i0_rs1_d[2]),
+	.A2(n_5468),
+	.B1(n_6462),
+	.Y(n_6490), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g795066 (
+	.A1(brqrv_top_brqrv_exu_i0_rs1_d[1]),
+	.A2(n_5468),
+	.B1(n_6462),
+	.Y(n_6489), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g795067 (
+	.A1(brqrv_top_brqrv_exu_i0_rs1_d[0]),
+	.A2(n_5468),
+	.B1(n_6462),
+	.X(n_6488), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g795068 (
+	.A1(brqrv_top_brqrv_dec_tlu_pc_r[1]),
+	.A2(n_3977),
+	.B1(n_6266),
+	.Y(n_6487), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g795069 (
+	.A(n_4392),
+	.B(n_6098),
+	.C_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_3460),
+	.Y(n_6486), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g795070 (
+	.A1(n_6231),
+	.A2(n_3694),
+	.B1(n_3081),
+	.Y(n_6485), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g795071 (
+	.A1(n_6067),
+	.A2(brqrv_top_brqrv_dbg_dbg_state[2]),
+	.B1(n_5292),
+	.Y(n_6484), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111oi_0 g795072 (
+	.A1(n_4278),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [1]),
+	.B1(n_4474),
+	.C1(n_4101),
+	.D1(n_5962),
+	.Y(n_6483), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g795074 (
+	.A(n_6219),
+	.B(n_43002),
+	.C(n_35526),
+	.Y(n_6482), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g795075 (
+	.A(brqrv_top_brqrv_dec_tlu_mdseac_en),
+	.B(n_3785),
+	.C(n_5978),
+	.D(n_5296),
+	.Y(n_6481), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4_1 g795076 (
+	.A(n_6056),
+	.B(n_6074),
+	.C(n_5894),
+	.D(n_5827),
+	.X(n_6480), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g795077 (
+	.A(brqrv_top_brqrv_dec_decode_cam_raw[24]),
+	.B_N(\brqrv_top_brqrv_dec_decode_cam_in[4]_920 ),
+	.Y(n_6479), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g795078 (
+	.A1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[21]),
+	.A2(n_1544),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[23]),
+	.B2(n_1843),
+	.C1(n_6079),
+	.Y(n_6478), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g795079 (
+	.A(\brqrv_top_brqrv_dec_tlu_freeff_dff_dout[3]_63 ),
+	.B(brqrv_top_brqrv_lsu_lsu_pkt_m[0]),
+	.C(n_5977),
+	.D(n_32502),
+	.X(brqrv_top_brqrv_active_state), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g795080 (
+	.A1(brqrv_top_brqrv_dec_tlu_mstatus[0]),
+	.A2(n_6105),
+	.B1(n_5882),
+	.Y(n_6477), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g795081 (
+	.A1(n_4605),
+	.A2(brqrv_top_brqrv_lsu_lsu_addr_r[4]),
+	.B1(n_4609),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_r[5]),
+	.C1(n_6274),
+	.Y(n_6476), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g795084 (
+	.A1(n_5694),
+	.A2(brqrv_top_brqrv_dma_ctrl_n_1029),
+	.B1(n_5691),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[198]),
+	.C1(n_6260),
+	.Y(n_6475), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g795085 (
+	.A1(n_5693),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[68]),
+	.B1(n_5690),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[132]),
+	.C1(n_6233),
+	.Y(n_6474), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111oi_0 g795086 (
+	.A1(brqrv_top_brqrv_dec_tlu_npc_r[15]),
+	.A2(n_2013),
+	.B1(n_3593),
+	.C1(n_3224),
+	.D1(n_5980),
+	.Y(n_6473), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g795088 (
+	.A1_N(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[3]),
+	.A2_N(n_35364),
+	.B1(n_35364),
+	.B2(n_6231),
+	.Y(brqrv_top_brqrv_ifu_mem_ctl_imb_in[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g795089 (
+	.A1(n_1596),
+	.A2(n_36275),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[35]),
+	.B2(n_1843),
+	.C1(n_6066),
+	.Y(n_6472), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111oi_0 g795090 (
+	.A1(n_4612),
+	.A2(brqrv_top_brqrv_lsu_lsu_addr_m[8]),
+	.B1(n_4997),
+	.C1(n_5777),
+	.D1(n_6205),
+	.Y(n_6471), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g795092 (
+	.A1_N(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[1]),
+	.A2_N(n_35364),
+	.B1(n_35364),
+	.B2(n_6101),
+	.Y(brqrv_top_brqrv_ifu_mem_ctl_imb_in[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g795111 (
+	.A_N(brqrv_top_brqrv_dbg_n_4595),
+	.B(n_5595),
+	.C(n_4891),
+	.Y(brqrv_top_brqrv_dbg_n_4598), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g795112 (
+	.A(n_3213),
+	.B(n_4823),
+	.C(n_4857),
+	.D(n_6275),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_nosend_in), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a311oi_1 g795113 (
+	.A1(n_5961),
+	.A2(n_5976),
+	.A3(n_5725),
+	.B1(n_6059),
+	.C1(n_34870),
+	.Y(n_6526), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g795114 (
+	.A1(n_6064),
+	.A2(n_3989),
+	.B1(brqrv_top_brqrv_dec_tlu_force_halt),
+	.Y(\brqrv_top_brqrv_dec_decode_cam_in[9]_927 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g795115 (
+	.A(n_5698),
+	.B(n_6103),
+	.C_N(n_5365),
+	.Y(n_6525), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g795116 (
+	.A(brqrv_top_brqrv_dec_tlu_force_halt),
+	.B(n_6279),
+	.Y(\brqrv_top_brqrv_dec_decode_cam_in[9]_915 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g795117 (
+	.A1(n_6063),
+	.A2(n_3453),
+	.B1(brqrv_top_brqrv_dec_tlu_force_halt),
+	.Y(\brqrv_top_brqrv_dec_decode_cam_in[9]_925 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g795118 (
+	.A(brqrv_top_brqrv_dec_tlu_force_halt),
+	.B(n_6278),
+	.Y(brqrv_top_brqrv_dec_decode_cam_in[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g795119 (
+	.A(n_5634),
+	.B(n_6467),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_n_271 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g795120 (
+	.A(n_5668),
+	.B(n_6467),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_n_314 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g795121 (
+	.A(n_5659),
+	.B(n_6467),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_n_398 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g795122 (
+	.A(n_5669),
+	.B(n_6467),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_n_356 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g795123 (
+	.A(n_6464),
+	.B(n_2924),
+	.Y(n_6524), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g795124 (
+	.A(n_6464),
+	.B(n_2920),
+	.Y(n_6523), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g795125 (
+	.A(n_6464),
+	.B(n_2925),
+	.Y(n_6522), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g795126 (
+	.A(n_6464),
+	.B(n_2922),
+	.Y(n_6521), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g795219 (
+	.A1_N(n_35789),
+	.A2_N(n_5886),
+	.B1(n_35789),
+	.B2(n_5886),
+	.Y(n_6469), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g795220 (
+	.A(n_37083),
+	.B(n_37086),
+	.C(n_37085),
+	.D(n_6080),
+	.X(n_6468), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g795227 (
+	.A(n_6466),
+	.Y(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g795228 (
+	.A(n_6465),
+	.Y(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g795229 (
+	.A(n_6464),
+	.Y(n_6463), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g795231 (
+	.A(n_6461),
+	.Y(n_6460), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g795233 (
+	.A(n_6044),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dual[3]),
+	.Y(n_6458), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g795358 (
+	.A(n_6069),
+	.B(n_5698),
+	.Y(brqrv_top_brqrv_dma_ctrl_dma_free_clken), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g795361 (
+	.A1(n_5805),
+	.A2(n_5361),
+	.A3(n_1658),
+	.B1(n_4391),
+	.Y(n_6331), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g795362 (
+	.A1(n_5789),
+	.A2(n_5361),
+	.A3(n_1653),
+	.B1(n_4393),
+	.Y(n_6330), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g795363 (
+	.A1(n_5988),
+	.A2(n_2858),
+	.A3(n_2692),
+	.B1(n_3733),
+	.Y(brqrv_top_brqrv_dec_decode_trap_r_ff_dff_left_final_en), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_4 g795369 (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[1]),
+	.B(n_43609),
+	.Y(n_1484), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g795370 (
+	.A(n_43405),
+	.B(n_6100),
+	.Y(n_6467), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g795371 (
+	.A(n_43388),
+	.B(n_43392),
+	.Y(n_6466), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g795372 (
+	.A(n_6050),
+	.B(n_5736),
+	.Y(n_6465), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_4 g795373 (
+	.A(n_6100),
+	.B(n_5062),
+	.Y(n_6464), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_2 g795374 (
+	.A(n_6051),
+	.B(n_3336),
+	.C(n_4940),
+	.Y(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g795375 (
+	.A(n_35307),
+	.B(n_6106),
+	.Y(n_6462), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g795376 (
+	.A(brqrv_top_brqrv_dma_dbg_cmd_done),
+	.B(n_6103),
+	.Y(n_6461), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g795377 (
+	.A(n_6319),
+	.Y(n_6320), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g795378 (
+	.A(n_6301),
+	.Y(brqrv_top_brqrv_dec_decode_cam_in[33]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g795379 (
+	.A(n_6300),
+	.Y(brqrv_top_brqrv_dec_decode_cam_in[32]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g795380 (
+	.A(n_6299),
+	.Y(brqrv_top_brqrv_dec_decode_cam_in[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g795381 (
+	.A(n_6298),
+	.Y(brqrv_top_brqrv_dec_decode_cam_in[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g795382 (
+	.A(n_6297),
+	.Y(\brqrv_top_brqrv_dec_decode_cam_in[4]_910 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g795383 (
+	.A(n_6296),
+	.Y(\brqrv_top_brqrv_dec_decode_cam_in[0]_906 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g795384 (
+	.A(n_6295),
+	.Y(\brqrv_top_brqrv_dec_decode_cam_in[3]_909 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g795385 (
+	.A(n_6294),
+	.Y(\brqrv_top_brqrv_dec_decode_cam_in[2]_908 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g795386 (
+	.A(n_6293),
+	.Y(\brqrv_top_brqrv_dec_decode_cam_in[8]_914 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g795387 (
+	.A(n_6292),
+	.Y(\brqrv_top_brqrv_dec_decode_cam_in[5]_911 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g795388 (
+	.A(n_6291),
+	.Y(\brqrv_top_brqrv_dec_decode_cam_in[3]_919 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g795389 (
+	.A(n_6290),
+	.Y(\brqrv_top_brqrv_dec_decode_cam_in[2]_918 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g795390 (
+	.A(n_6289),
+	.Y(brqrv_top_brqrv_dec_decode_cam_in[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g795391 (
+	.A(n_6288),
+	.Y(brqrv_top_brqrv_dec_decode_cam_in[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g795392 (
+	.A(n_6287),
+	.Y(brqrv_top_brqrv_dec_decode_cam_in[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g795393 (
+	.A(n_6286),
+	.Y(brqrv_top_brqrv_dec_decode_cam_in[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g795394 (
+	.A(n_6285),
+	.Y(brqrv_top_brqrv_dec_decode_cam_in[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g795395 (
+	.A(brqrv_top_brqrv_dec_decode_cam_in[36]),
+	.Y(n_6283), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g795396 (
+	.A1(brqrv_top_brqrv_dec_dec_csr_wrdata_r[4]),
+	.A2(n_5573),
+	.B1(n_1356),
+	.B2(brqrv_top_brqrv_dec_tlu_mtval[4]),
+	.C1(brqrv_top_brqrv_dec_tlu_lsu_i0_exc_r),
+	.C2(brqrv_top_brqrv_lsu_error_pkt_r[6]),
+	.Y(n_6280), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g795397 (
+	.A1(n_1356),
+	.A2(brqrv_top_brqrv_dec_tlu_mtval[0]),
+	.B1(n_3691),
+	.B2(brqrv_top_brqrv_dec_dec_illegal_inst[0]),
+	.C1(n_5734),
+	.X(brqrv_top_brqrv_dec_tlu_mtval_ns[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g795398 (
+	.A1(n_6014),
+	.A2(n_34806),
+	.A3(brqrv_top_brqrv_dec_decode_cam_raw[19]),
+	.B1(n_3726),
+	.Y(n_6279), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g795399 (
+	.A1(n_6010),
+	.A2(n_34800),
+	.A3(brqrv_top_brqrv_dec_decode_cam_raw[9]),
+	.B1(n_2972),
+	.Y(n_6278), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211o_1 g795400 (
+	.A1(n_43033),
+	.A2(brqrv_top_brqrv_dec_decode_any_csr_d),
+	.B1(n_5991),
+	.C1(n_35396),
+	.X(n_6277), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a41oi_1 g795401 (
+	.A1(n_5780),
+	.A2(n_3216),
+	.A3(n_3230),
+	.A4(n_3231),
+	.B1(n_1509),
+	.Y(brqrv_top_brqrv_dec_tlu_flush_lower_ff_dff_dff_left_final_en), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g795402 (
+	.A1(n_5974),
+	.A2(n_3177),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_timer[2]),
+	.Y(n_6276), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g795403 (
+	.A1(n_35373),
+	.A2(n_5975),
+	.B1(n_3097),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_in [31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g795404 (
+	.A(n_3239),
+	.B(n_3235),
+	.C(n_4922),
+	.D(n_5985),
+	.X(n_6275), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g795405 (
+	.A1(n_2070),
+	.A2(n_34223),
+	.B1(n_33886),
+	.B2(n_34225),
+	.C1(n_5967),
+	.Y(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_m_in[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g795406 (
+	.A1(n_34471),
+	.A2(n_5972),
+	.B1(n_1798),
+	.B2(n_34469),
+	.C1(n_2621),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[894]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g795407 (
+	.A1(n_34511),
+	.A2(n_5972),
+	.B1(n_1798),
+	.B2(n_34509),
+	.C1(n_2451),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[62]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g795408 (
+	.A1(n_34450),
+	.A2(n_5972),
+	.B1(n_1798),
+	.B2(n_34448),
+	.C1(n_2390),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[670]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g795409 (
+	.A1(n_34496),
+	.A2(n_5972),
+	.B1(n_1798),
+	.B2(n_34494),
+	.C1(n_2483),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[254]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g795410 (
+	.A1(n_34474),
+	.A2(n_5972),
+	.B1(n_1798),
+	.B2(n_34472),
+	.C1(n_2583),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[958]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g795411 (
+	.A1(n_34453),
+	.A2(n_5972),
+	.B1(n_1798),
+	.B2(n_34451),
+	.C1(n_2526),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[702]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g795412 (
+	.A1(n_34493),
+	.A2(n_5972),
+	.B1(n_1798),
+	.B2(n_34491),
+	.C1(n_2485),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[222]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g795413 (
+	.A1(n_35336),
+	.A2(n_5972),
+	.B1(n_1798),
+	.B2(n_35334),
+	.C1(n_2458),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[478]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g795414 (
+	.A1(n_34456),
+	.A2(n_5972),
+	.B1(n_1798),
+	.B2(n_34454),
+	.C1(n_2472),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[734]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g795415 (
+	.A1(n_35324),
+	.A2(n_5972),
+	.B1(n_1798),
+	.B2(n_35322),
+	.C1(n_2487),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[286]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g795416 (
+	.A1(n_34508),
+	.A2(n_5972),
+	.B1(n_1798),
+	.B2(n_34506),
+	.C1(n_2350),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[94]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g795417 (
+	.A1(n_34477),
+	.A2(n_5972),
+	.B1(n_1798),
+	.B2(n_34475),
+	.C1(n_2334),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[1022]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g795418 (
+	.A1(n_34462),
+	.A2(n_5972),
+	.B1(n_1798),
+	.B2(n_34460),
+	.C1(n_2116),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[830]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g795419 (
+	.A1(n_34484),
+	.A2(n_5972),
+	.B1(n_1798),
+	.B2(n_34482),
+	.C1(n_2450),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[414]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g795420 (
+	.A1(n_34499),
+	.A2(n_5972),
+	.B1(n_1798),
+	.B2(n_34497),
+	.C1(n_2447),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[190]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g795421 (
+	.A1(n_35330),
+	.A2(n_5972),
+	.B1(n_1798),
+	.B2(n_35328),
+	.C1(n_2460),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[382]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g795422 (
+	.A1(n_35341),
+	.A2(n_5972),
+	.B1(n_1798),
+	.B2(n_34441),
+	.C1(n_2281),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[542]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g795423 (
+	.A1(n_34502),
+	.A2(n_5972),
+	.B1(n_1798),
+	.B2(n_34500),
+	.C1(n_2121),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[158]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g795424 (
+	.A1(n_34459),
+	.A2(n_5972),
+	.B1(n_1798),
+	.B2(n_34457),
+	.C1(n_2382),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[766]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g795425 (
+	.A1(n_34468),
+	.A2(n_5972),
+	.B1(n_1798),
+	.B2(n_34466),
+	.C1(n_2361),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[798]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g795426 (
+	.A1(n_35343),
+	.A2(n_5972),
+	.B1(n_1798),
+	.B2(n_34478),
+	.C1(n_2342),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[990]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g795427 (
+	.A1(n_35327),
+	.A2(n_5972),
+	.B1(n_1798),
+	.B2(n_35325),
+	.C1(n_2448),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[350]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g795428 (
+	.A1(n_34481),
+	.A2(n_5972),
+	.B1(n_1798),
+	.B2(n_34479),
+	.C1(n_2301),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[318]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g795429 (
+	.A1(n_34465),
+	.A2(n_5972),
+	.B1(n_1798),
+	.B2(n_34463),
+	.C1(n_2278),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[862]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g795430 (
+	.A1(n_34444),
+	.A2(n_5972),
+	.B1(n_1798),
+	.B2(n_34442),
+	.C1(n_2500),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[574]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g795431 (
+	.A1(n_34490),
+	.A2(n_5972),
+	.B1(n_1798),
+	.B2(n_34488),
+	.C1(n_2127),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[638]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g795432 (
+	.A1(n_35333),
+	.A2(n_5972),
+	.B1(n_1798),
+	.B2(n_35331),
+	.C1(n_2413),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[446]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g795433 (
+	.A1(n_34447),
+	.A2(n_5972),
+	.B1(n_1798),
+	.B2(n_34445),
+	.C1(n_2198),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[606]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g795434 (
+	.A1(n_34487),
+	.A2(n_5972),
+	.B1(n_1798),
+	.B2(n_34485),
+	.C1(n_2176),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[926]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g795435 (
+	.A1(n_34505),
+	.A2(n_5972),
+	.B1(n_1798),
+	.B2(n_34503),
+	.C1(n_2426),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[126]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g795436 (
+	.A1(n_35339),
+	.A2(n_5972),
+	.B1(n_1798),
+	.B2(n_35337),
+	.C1(n_2160),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[510]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g795437 (
+	.A1(brqrv_top_brqrv_lsu_lsu_addr_r[6]),
+	.A2(n_4616),
+	.B1(brqrv_top_brqrv_lsu_lsu_addr_r[8]),
+	.B2(n_4612),
+	.C1(n_6058),
+	.Y(n_6274), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g795438 (
+	.A1(brqrv_top_brqrv_exu_ghr_d[4]),
+	.A2(n_3998),
+	.B1(n_4556),
+	.C1(n_5511),
+	.D1(n_6043),
+	.Y(brqrv_top_brqrv_exu_i_misc_ff_en), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g795439 (
+	.A1(n_4209),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[226]),
+	.B1(n_6073),
+	.Y(n_6273), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g795440 (
+	.A1(n_1954),
+	.A2(brqrv_top_brqrv_dec_tlu_pc_r_d1[30]),
+	.B1(brqrv_top_brqrv_dec_tlu_pc_r[14]),
+	.B2(n_2001),
+	.C1(n_6060),
+	.X(n_6272), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g795441 (
+	.A(brqrv_top_brqrv_dec_decode_i0_dp_raw[55]),
+	.B(brqrv_top_brqrv_dec_decode_i0_dp_raw[63]),
+	.C(n_5795),
+	.D(n_36248),
+	.Y(n_6271), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g795442 (
+	.A(n_3988),
+	.B(n_4222),
+	.C(n_2933),
+	.D(n_5739),
+	.X(brqrv_top_brqrv_dbg_dmi_reg_rdata_din[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g795443 (
+	.A1_N(n_5968),
+	.A2_N(brqrv_top_brqrv_dec_decode_d_t[5]),
+	.B1(n_1961),
+	.B2(n_34249),
+	.Y(brqrv_top_brqrv_dec_decode_d_t[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g795444 (
+	.A1(n_5701),
+	.A2(n_5809),
+	.B1(n_6062),
+	.Y(n_6270), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g795445 (
+	.A1(brqrv_top_brqrv_lsu_end_addr_m[11]),
+	.A2(n_4607),
+	.B1(brqrv_top_brqrv_lsu_addr_in_dccm_m),
+	.C1(n_5480),
+	.D1(n_5970),
+	.Y(n_6269), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g795446 (
+	.A1(brqrv_top_brqrv_ifu_aln_f0val_in[1]),
+	.A2(n_1867),
+	.B1(n_6221),
+	.Y(n_6268), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g795447 (
+	.A1(brqrv_top_brqrv_lsu_lsu_addr_r[3]),
+	.A2(n_1896),
+	.B1(n_1838),
+	.B2(brqrv_top_brqrv_lsu_stbuf_n_616),
+	.C1(n_5983),
+	.Y(n_6267), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g795448 (
+	.A1(brqrv_top_brqrv_dec_dec_csr_wrdata_r[1]),
+	.A2(n_5573),
+	.B1(n_1356),
+	.B2(brqrv_top_brqrv_dec_tlu_mtval[1]),
+	.C1(brqrv_top_brqrv_dec_tlu_lsu_i0_exc_r),
+	.C2(brqrv_top_brqrv_lsu_error_pkt_r[3]),
+	.Y(n_6266), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g795449 (
+	.A1(brqrv_top_brqrv_dec_dec_csr_wrdata_r[2]),
+	.A2(n_5573),
+	.B1(n_1356),
+	.B2(brqrv_top_brqrv_dec_tlu_mtval[2]),
+	.C1(brqrv_top_brqrv_dec_tlu_lsu_i0_exc_r),
+	.C2(brqrv_top_brqrv_lsu_error_pkt_r[4]),
+	.Y(n_6265), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g795450 (
+	.A1(brqrv_top_brqrv_dec_dec_csr_wrdata_r[3]),
+	.A2(n_5573),
+	.B1(n_1356),
+	.B2(brqrv_top_brqrv_dec_tlu_mtval[3]),
+	.C1(brqrv_top_brqrv_dec_tlu_lsu_i0_exc_r),
+	.C2(brqrv_top_brqrv_lsu_error_pkt_r[5]),
+	.Y(n_6264), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g795451 (
+	.A1(brqrv_top_brqrv_dec_dec_csr_wrdata_r[6]),
+	.A2(n_5573),
+	.B1(n_1356),
+	.B2(brqrv_top_brqrv_dec_tlu_mtval[6]),
+	.C1(brqrv_top_brqrv_dec_tlu_lsu_i0_exc_r),
+	.C2(brqrv_top_brqrv_lsu_error_pkt_r[8]),
+	.Y(n_6263), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g795452 (
+	.A1(brqrv_top_brqrv_dec_dec_csr_wrdata_r[7]),
+	.A2(n_5573),
+	.B1(n_1356),
+	.B2(brqrv_top_brqrv_dec_tlu_mtval[7]),
+	.C1(brqrv_top_brqrv_dec_tlu_lsu_i0_exc_r),
+	.C2(brqrv_top_brqrv_lsu_error_pkt_r[9]),
+	.Y(n_6262), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g795453 (
+	.A1(brqrv_top_brqrv_dec_dec_csr_wrdata_r[19]),
+	.A2(n_5573),
+	.B1(n_1356),
+	.B2(brqrv_top_brqrv_dec_tlu_mtval[19]),
+	.C1(brqrv_top_brqrv_dec_tlu_lsu_i0_exc_r),
+	.C2(brqrv_top_brqrv_lsu_error_pkt_r[21]),
+	.Y(n_6261), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g795454 (
+	.A(n_5899),
+	.B(n_5900),
+	.C(n_4752),
+	.D(n_4753),
+	.Y(n_6260), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g795455 (
+	.A1(brqrv_top_brqrv_ifu_aln_f1val_in[1]),
+	.A2(n_1974),
+	.B1(n_43387),
+	.Y(n_6259), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111oi_0 g795456 (
+	.A1(brqrv_top_brqrv_ifu_i0_pc[31]),
+	.A2(n_1712),
+	.B1(n_3423),
+	.C1(n_3422),
+	.D1(n_5989),
+	.Y(n_6258), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g795457 (
+	.A1(brqrv_top_brqrv_dec_dec_csr_wrdata_r[29]),
+	.A2(n_5573),
+	.B1(n_1356),
+	.B2(brqrv_top_brqrv_dec_tlu_mtval[29]),
+	.C1(brqrv_top_brqrv_dec_tlu_lsu_i0_exc_r),
+	.C2(brqrv_top_brqrv_lsu_error_pkt_r[31]),
+	.Y(n_6257), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g795458 (
+	.A1(brqrv_top_brqrv_dec_dec_csr_wrdata_r[28]),
+	.A2(n_5573),
+	.B1(n_1356),
+	.B2(brqrv_top_brqrv_dec_tlu_mtval[28]),
+	.C1(brqrv_top_brqrv_dec_tlu_lsu_i0_exc_r),
+	.C2(brqrv_top_brqrv_lsu_error_pkt_r[30]),
+	.Y(n_6256), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g795459 (
+	.A1(brqrv_top_brqrv_dec_dec_csr_wrdata_r[26]),
+	.A2(n_5573),
+	.B1(n_1356),
+	.B2(brqrv_top_brqrv_dec_tlu_mtval[26]),
+	.C1(brqrv_top_brqrv_dec_tlu_lsu_i0_exc_r),
+	.C2(brqrv_top_brqrv_lsu_error_pkt_r[28]),
+	.Y(n_6255), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g795460 (
+	.A1(brqrv_top_brqrv_dec_dec_csr_wrdata_r[25]),
+	.A2(n_5573),
+	.B1(n_1356),
+	.B2(brqrv_top_brqrv_dec_tlu_mtval[25]),
+	.C1(brqrv_top_brqrv_dec_tlu_lsu_i0_exc_r),
+	.C2(brqrv_top_brqrv_lsu_error_pkt_r[27]),
+	.Y(n_6254), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g795461 (
+	.A1(brqrv_top_brqrv_dec_dec_csr_wrdata_r[24]),
+	.A2(n_5573),
+	.B1(n_1356),
+	.B2(brqrv_top_brqrv_dec_tlu_mtval[24]),
+	.C1(brqrv_top_brqrv_dec_tlu_lsu_i0_exc_r),
+	.C2(brqrv_top_brqrv_lsu_error_pkt_r[26]),
+	.Y(n_6253), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g795462 (
+	.A1(brqrv_top_brqrv_dec_dec_csr_wrdata_r[23]),
+	.A2(n_5573),
+	.B1(n_1356),
+	.B2(brqrv_top_brqrv_dec_tlu_mtval[23]),
+	.C1(brqrv_top_brqrv_dec_tlu_lsu_i0_exc_r),
+	.C2(brqrv_top_brqrv_lsu_error_pkt_r[25]),
+	.Y(n_6252), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g795463 (
+	.A1(brqrv_top_brqrv_dec_dec_csr_wrdata_r[18]),
+	.A2(n_5573),
+	.B1(n_1356),
+	.B2(brqrv_top_brqrv_dec_tlu_mtval[18]),
+	.C1(brqrv_top_brqrv_dec_tlu_lsu_i0_exc_r),
+	.C2(brqrv_top_brqrv_lsu_error_pkt_r[20]),
+	.Y(n_6251), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g795464 (
+	.A1(brqrv_top_brqrv_dec_dec_csr_wrdata_r[17]),
+	.A2(n_5573),
+	.B1(n_1356),
+	.B2(brqrv_top_brqrv_dec_tlu_mtval[17]),
+	.C1(brqrv_top_brqrv_dec_tlu_lsu_i0_exc_r),
+	.C2(brqrv_top_brqrv_lsu_error_pkt_r[19]),
+	.Y(n_6250), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g795465 (
+	.A1(brqrv_top_brqrv_dec_dec_csr_wrdata_r[16]),
+	.A2(n_5573),
+	.B1(n_1356),
+	.B2(brqrv_top_brqrv_dec_tlu_mtval[16]),
+	.C1(brqrv_top_brqrv_dec_tlu_lsu_i0_exc_r),
+	.C2(brqrv_top_brqrv_lsu_error_pkt_r[18]),
+	.Y(n_6249), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g795466 (
+	.A1(brqrv_top_brqrv_dec_dec_csr_wrdata_r[14]),
+	.A2(n_5573),
+	.B1(n_1356),
+	.B2(brqrv_top_brqrv_dec_tlu_mtval[14]),
+	.C1(brqrv_top_brqrv_dec_tlu_lsu_i0_exc_r),
+	.C2(brqrv_top_brqrv_lsu_error_pkt_r[16]),
+	.Y(n_6248), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g795467 (
+	.A1(brqrv_top_brqrv_dec_dec_csr_wrdata_r[13]),
+	.A2(n_5573),
+	.B1(n_1356),
+	.B2(brqrv_top_brqrv_dec_tlu_mtval[13]),
+	.C1(brqrv_top_brqrv_dec_tlu_lsu_i0_exc_r),
+	.C2(brqrv_top_brqrv_lsu_error_pkt_r[15]),
+	.Y(n_6247), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g795468 (
+	.A1(brqrv_top_brqrv_dec_dec_csr_wrdata_r[31]),
+	.A2(n_5573),
+	.B1(n_1356),
+	.B2(brqrv_top_brqrv_dec_tlu_mtval[31]),
+	.C1(brqrv_top_brqrv_dec_tlu_lsu_i0_exc_r),
+	.C2(brqrv_top_brqrv_lsu_error_pkt_r[33]),
+	.Y(n_6246), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g795469 (
+	.A1(brqrv_top_brqrv_dec_dec_csr_wrdata_r[30]),
+	.A2(n_5573),
+	.B1(n_1356),
+	.B2(brqrv_top_brqrv_dec_tlu_mtval[30]),
+	.C1(brqrv_top_brqrv_dec_tlu_lsu_i0_exc_r),
+	.C2(brqrv_top_brqrv_lsu_error_pkt_r[32]),
+	.Y(n_6245), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g795470 (
+	.A1(brqrv_top_brqrv_dec_dec_csr_wrdata_r[22]),
+	.A2(n_5573),
+	.B1(n_1356),
+	.B2(brqrv_top_brqrv_dec_tlu_mtval[22]),
+	.C1(brqrv_top_brqrv_dec_tlu_lsu_i0_exc_r),
+	.C2(brqrv_top_brqrv_lsu_error_pkt_r[24]),
+	.Y(n_6244), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g795471 (
+	.A1(brqrv_top_brqrv_dec_dec_csr_wrdata_r[21]),
+	.A2(n_5573),
+	.B1(n_1356),
+	.B2(brqrv_top_brqrv_dec_tlu_mtval[21]),
+	.C1(brqrv_top_brqrv_dec_tlu_lsu_i0_exc_r),
+	.C2(brqrv_top_brqrv_lsu_error_pkt_r[23]),
+	.Y(n_6243), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g795472 (
+	.A1(brqrv_top_brqrv_dec_dec_csr_wrdata_r[15]),
+	.A2(n_5573),
+	.B1(n_1356),
+	.B2(brqrv_top_brqrv_dec_tlu_mtval[15]),
+	.C1(brqrv_top_brqrv_dec_tlu_lsu_i0_exc_r),
+	.C2(brqrv_top_brqrv_lsu_error_pkt_r[17]),
+	.Y(n_6242), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g795473 (
+	.A1(brqrv_top_brqrv_dec_dec_csr_wrdata_r[10]),
+	.A2(n_5573),
+	.B1(n_1356),
+	.B2(brqrv_top_brqrv_dec_tlu_mtval[10]),
+	.C1(brqrv_top_brqrv_dec_tlu_lsu_i0_exc_r),
+	.C2(brqrv_top_brqrv_lsu_error_pkt_r[12]),
+	.Y(n_6241), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g795474 (
+	.A1(brqrv_top_brqrv_dec_dec_csr_wrdata_r[8]),
+	.A2(n_5573),
+	.B1(n_1356),
+	.B2(brqrv_top_brqrv_dec_tlu_mtval[8]),
+	.C1(brqrv_top_brqrv_dec_tlu_lsu_i0_exc_r),
+	.C2(brqrv_top_brqrv_lsu_error_pkt_r[10]),
+	.Y(n_6240), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g795475 (
+	.A1(brqrv_top_brqrv_dec_dec_csr_wrdata_r[27]),
+	.A2(n_5573),
+	.B1(n_1356),
+	.B2(brqrv_top_brqrv_dec_tlu_mtval[27]),
+	.C1(brqrv_top_brqrv_dec_tlu_lsu_i0_exc_r),
+	.C2(brqrv_top_brqrv_lsu_error_pkt_r[29]),
+	.Y(n_6239), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g795476 (
+	.A1(brqrv_top_brqrv_dec_dec_csr_wrdata_r[12]),
+	.A2(n_5573),
+	.B1(n_1356),
+	.B2(brqrv_top_brqrv_dec_tlu_mtval[12]),
+	.C1(brqrv_top_brqrv_dec_tlu_lsu_i0_exc_r),
+	.C2(brqrv_top_brqrv_lsu_error_pkt_r[14]),
+	.Y(n_6238), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g795477 (
+	.A1(brqrv_top_brqrv_dec_dec_csr_wrdata_r[11]),
+	.A2(n_5573),
+	.B1(n_1356),
+	.B2(brqrv_top_brqrv_dec_tlu_mtval[11]),
+	.C1(brqrv_top_brqrv_dec_tlu_lsu_i0_exc_r),
+	.C2(brqrv_top_brqrv_lsu_error_pkt_r[13]),
+	.Y(n_6237), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g795478 (
+	.A1(brqrv_top_brqrv_dec_dec_csr_wrdata_r[9]),
+	.A2(n_5573),
+	.B1(n_1356),
+	.B2(brqrv_top_brqrv_dec_tlu_mtval[9]),
+	.C1(brqrv_top_brqrv_dec_tlu_lsu_i0_exc_r),
+	.C2(brqrv_top_brqrv_lsu_error_pkt_r[11]),
+	.Y(n_6236), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g795479 (
+	.A1(brqrv_top_brqrv_dec_dec_csr_wrdata_r[20]),
+	.A2(n_5573),
+	.B1(n_1356),
+	.B2(brqrv_top_brqrv_dec_tlu_mtval[20]),
+	.C1(brqrv_top_brqrv_dec_tlu_lsu_i0_exc_r),
+	.C2(brqrv_top_brqrv_lsu_error_pkt_r[22]),
+	.Y(n_6235), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g795480 (
+	.A1(brqrv_top_brqrv_dec_dec_csr_wrdata_r[5]),
+	.A2(n_5573),
+	.B1(n_1356),
+	.B2(brqrv_top_brqrv_dec_tlu_mtval[5]),
+	.C1(brqrv_top_brqrv_dec_tlu_lsu_i0_exc_r),
+	.C2(brqrv_top_brqrv_lsu_error_pkt_r[7]),
+	.Y(n_6234), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g795481 (
+	.A(n_5895),
+	.B(n_5896),
+	.C(n_4748),
+	.D(n_4749),
+	.Y(n_6233), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g795482 (
+	.A1(n_5694),
+	.A2(brqrv_top_brqrv_dma_ctrl_n_1069),
+	.B1(n_5693),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[78]),
+	.C1(n_6016),
+	.Y(n_6325), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g795483 (
+	.A1(n_5694),
+	.A2(brqrv_top_brqrv_dma_ctrl_n_1064),
+	.B1(n_5693),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[77]),
+	.C1(n_6015),
+	.Y(n_6324), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g795484 (
+	.A1(n_5694),
+	.A2(brqrv_top_brqrv_dma_ctrl_n_1059),
+	.B1(n_5693),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[76]),
+	.C1(n_6034),
+	.Y(n_6323), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g795485 (
+	.A_N(n_5886),
+	.B(n_35789),
+	.Y(n_6232), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g795486 (
+	.A1(n_5694),
+	.A2(brqrv_top_brqrv_dma_ctrl_n_1049),
+	.B1(n_5692),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[266]),
+	.C1(n_6047),
+	.Y(n_6322), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g795487 (
+	.A1(n_5690),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[137]),
+	.B1(n_5691),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[201]),
+	.C1(n_6055),
+	.Y(n_6321), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g795488 (
+	.A1(n_5694),
+	.A2(brqrv_top_brqrv_dma_ctrl_n_1039),
+	.B1(n_5692),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[264]),
+	.C1(n_6057),
+	.Y(n_6319), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g795489 (
+	.A1(n_5694),
+	.A2(brqrv_top_brqrv_dma_ctrl_n_1054),
+	.B1(n_5693),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[75]),
+	.C1(n_6036),
+	.Y(n_6318), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g795490 (
+	.A1(n_5694),
+	.A2(brqrv_top_brqrv_dma_ctrl_n_1114),
+	.B1(n_5692),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[279]),
+	.C1(n_6025),
+	.Y(n_6317), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g795491 (
+	.A1(n_5694),
+	.A2(brqrv_top_brqrv_dma_ctrl_n_1109),
+	.B1(n_5691),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[214]),
+	.C1(n_6024),
+	.Y(n_6316), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g795492 (
+	.A1(n_5690),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[149]),
+	.B1(n_5691),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[213]),
+	.C1(n_6023),
+	.Y(n_6315), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g795493 (
+	.A1(n_5694),
+	.A2(brqrv_top_brqrv_dma_ctrl_n_1099),
+	.B1(n_5692),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[276]),
+	.C1(n_6022),
+	.Y(n_6314), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g795494 (
+	.A1(n_5694),
+	.A2(brqrv_top_brqrv_dma_ctrl_n_1094),
+	.B1(n_5692),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[275]),
+	.C1(n_6021),
+	.Y(n_6313), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g795495 (
+	.A1(n_5694),
+	.A2(brqrv_top_brqrv_dma_ctrl_n_1089),
+	.B1(n_5693),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[82]),
+	.C1(n_6020),
+	.Y(n_6312), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g795496 (
+	.A1(n_5694),
+	.A2(brqrv_top_brqrv_dma_ctrl_n_1084),
+	.B1(n_5691),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[209]),
+	.C1(n_6019),
+	.Y(n_6311), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g795497 (
+	.A1(n_5690),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[144]),
+	.B1(n_5691),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[208]),
+	.C1(n_6018),
+	.Y(n_6310), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g795498 (
+	.A1(n_5692),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[271]),
+	.B1(n_5690),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[143]),
+	.C1(n_6017),
+	.Y(n_6309), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g795499 (
+	.A1(n_5694),
+	.A2(brqrv_top_brqrv_dma_ctrl_n_1124),
+	.B1(n_5692),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[281]),
+	.C1(n_6027),
+	.Y(n_6308), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g795500 (
+	.A1(n_5694),
+	.A2(brqrv_top_brqrv_dma_ctrl_n_1129),
+	.B1(n_5693),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[90]),
+	.C1(n_6028),
+	.Y(n_6307), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g795501 (
+	.A1(n_5694),
+	.A2(brqrv_top_brqrv_dma_ctrl_n_1134),
+	.B1(n_5693),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[91]),
+	.C1(n_6029),
+	.Y(n_6306), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g795502 (
+	.A1(n_5694),
+	.A2(brqrv_top_brqrv_dma_ctrl_n_1139),
+	.B1(n_5692),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[284]),
+	.C1(n_6030),
+	.Y(n_6305), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g795503 (
+	.A1(n_5694),
+	.A2(brqrv_top_brqrv_dma_ctrl_n_1119),
+	.B1(n_5692),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[280]),
+	.C1(n_6026),
+	.Y(n_6304), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g795504 (
+	.A1(n_5692),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[285]),
+	.B1(n_5690),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[157]),
+	.C1(n_6031),
+	.Y(n_6303), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g795505 (
+	.A1(n_5694),
+	.A2(brqrv_top_brqrv_dma_ctrl_n_1149),
+	.B1(n_5692),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[286]),
+	.C1(n_6032),
+	.Y(n_6302), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g795506 (
+	.A1(n_6007),
+	.A2(brqrv_top_brqrv_dec_decode_cam_raw[38]),
+	.B1(n_4722),
+	.B2(brqrv_top_brqrv_dec_decode_nonblock_load_valid_m_delay),
+	.X(\brqrv_top_brqrv_dec_decode_cam_in[8]_926 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g795507 (
+	.A1(n_6007),
+	.A2(brqrv_top_brqrv_dec_decode_cam_raw[33]),
+	.B1(n_4683),
+	.B2(brqrv_top_brqrv_dec_decode_x_d[7]),
+	.Y(n_6301), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g795508 (
+	.A1(n_6007),
+	.A2(brqrv_top_brqrv_dec_decode_cam_raw[32]),
+	.B1(n_4683),
+	.B2(brqrv_top_brqrv_dec_decode_x_d[6]),
+	.Y(n_6300), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g795509 (
+	.A1(n_6007),
+	.A2(brqrv_top_brqrv_dec_decode_cam_raw[30]),
+	.B1(n_4683),
+	.B2(brqrv_top_brqrv_dec_decode_x_d[4]),
+	.Y(n_6299), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g795510 (
+	.A1(n_6007),
+	.A2(brqrv_top_brqrv_dec_decode_cam_raw[31]),
+	.B1(n_4683),
+	.B2(brqrv_top_brqrv_dec_decode_x_d[5]),
+	.Y(n_6298), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g795511 (
+	.A1(n_6007),
+	.A2(brqrv_top_brqrv_dec_decode_cam_raw[34]),
+	.B1(n_4683),
+	.B2(brqrv_top_brqrv_dec_decode_x_d[8]),
+	.X(brqrv_top_brqrv_dec_decode_cam_in[34]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g795512 (
+	.A1(n_6014),
+	.A2(brqrv_top_brqrv_dec_decode_cam_raw[14]),
+	.B1(n_4223),
+	.B2(brqrv_top_brqrv_dec_decode_x_d[8]),
+	.Y(n_6297), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g795513 (
+	.A1(n_6014),
+	.A2(brqrv_top_brqrv_dec_decode_cam_raw[10]),
+	.B1(n_4223),
+	.B2(brqrv_top_brqrv_dec_decode_x_d[4]),
+	.Y(n_6296), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g795514 (
+	.A1(n_6014),
+	.A2(brqrv_top_brqrv_dec_decode_cam_raw[13]),
+	.B1(n_4223),
+	.B2(brqrv_top_brqrv_dec_decode_x_d[7]),
+	.Y(n_6295), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g795515 (
+	.A1(n_6014),
+	.A2(brqrv_top_brqrv_dec_decode_cam_raw[12]),
+	.B1(n_4223),
+	.B2(brqrv_top_brqrv_dec_decode_x_d[6]),
+	.Y(n_6294), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g795516 (
+	.A1(n_6014),
+	.A2(brqrv_top_brqrv_dec_decode_cam_raw[11]),
+	.B1(n_4223),
+	.B2(brqrv_top_brqrv_dec_decode_x_d[5]),
+	.X(\brqrv_top_brqrv_dec_decode_cam_in[1]_907 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g795517 (
+	.A1(n_6014),
+	.A2(brqrv_top_brqrv_dec_decode_cam_raw[18]),
+	.B1(n_4730),
+	.B2(brqrv_top_brqrv_dec_decode_nonblock_load_valid_m_delay),
+	.Y(n_6293), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g795518 (
+	.A1_N(brqrv_top_brqrv_lsu_nonblock_load_tag_m[1]),
+	.A2_N(n_3726),
+	.B1(n_1924),
+	.B2(n_6013),
+	.Y(\brqrv_top_brqrv_dec_decode_cam_in[6]_912 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g795519 (
+	.A1(n_3726),
+	.A2(brqrv_top_brqrv_lsu_nonblock_load_tag_m[0]),
+	.B1(n_6014),
+	.B2(brqrv_top_brqrv_dec_decode_cam_raw[15]),
+	.Y(n_6292), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g795520 (
+	.A1(n_5628),
+	.A2(n_3453),
+	.B1(n_1644),
+	.B2(n_6012),
+	.Y(\brqrv_top_brqrv_dec_decode_cam_in[5]_921 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g795521 (
+	.A1(n_6011),
+	.A2(brqrv_top_brqrv_dec_decode_cam_raw[23]),
+	.B1(n_4227),
+	.B2(brqrv_top_brqrv_dec_decode_x_d[7]),
+	.Y(n_6291), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g795522 (
+	.A1(n_6011),
+	.A2(brqrv_top_brqrv_dec_decode_cam_raw[22]),
+	.B1(n_4227),
+	.B2(brqrv_top_brqrv_dec_decode_x_d[6]),
+	.Y(n_6290), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g795523 (
+	.A1_N(brqrv_top_brqrv_dec_decode_x_d[8]),
+	.A2_N(n_4227),
+	.B1(n_1648),
+	.B2(n_6012),
+	.Y(\brqrv_top_brqrv_dec_decode_cam_in[4]_920 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g795524 (
+	.A1_N(brqrv_top_brqrv_dec_decode_x_d[5]),
+	.A2_N(n_4227),
+	.B1(n_1607),
+	.B2(n_6012),
+	.Y(\brqrv_top_brqrv_dec_decode_cam_in[1]_917 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g795525 (
+	.A1(n_6011),
+	.A2(brqrv_top_brqrv_dec_decode_cam_raw[20]),
+	.B1(n_4227),
+	.B2(brqrv_top_brqrv_dec_decode_x_d[4]),
+	.X(\brqrv_top_brqrv_dec_decode_cam_in[0]_916 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g795526 (
+	.A1(n_6011),
+	.A2(brqrv_top_brqrv_dec_decode_cam_raw[28]),
+	.B1(n_4726),
+	.B2(brqrv_top_brqrv_dec_decode_nonblock_load_valid_m_delay),
+	.X(\brqrv_top_brqrv_dec_decode_cam_in[8]_924 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g795527 (
+	.A1(n_6010),
+	.A2(brqrv_top_brqrv_dec_decode_cam_raw[1]),
+	.B1(n_3723),
+	.B2(brqrv_top_brqrv_dec_decode_x_d[5]),
+	.X(brqrv_top_brqrv_dec_decode_cam_in[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g795528 (
+	.A1(n_6010),
+	.A2(brqrv_top_brqrv_dec_decode_cam_raw[4]),
+	.B1(n_3723),
+	.B2(brqrv_top_brqrv_dec_decode_x_d[8]),
+	.Y(n_6289), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g795529 (
+	.A1(n_6010),
+	.A2(brqrv_top_brqrv_dec_decode_cam_raw[2]),
+	.B1(n_3723),
+	.B2(brqrv_top_brqrv_dec_decode_x_d[6]),
+	.Y(n_6288), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g795530 (
+	.A1(n_6010),
+	.A2(brqrv_top_brqrv_dec_decode_cam_raw[0]),
+	.B1(n_3723),
+	.B2(brqrv_top_brqrv_dec_decode_x_d[4]),
+	.X(brqrv_top_brqrv_dec_decode_cam_in[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g795531 (
+	.A1(n_6010),
+	.A2(brqrv_top_brqrv_dec_decode_cam_raw[3]),
+	.B1(n_3723),
+	.B2(brqrv_top_brqrv_dec_decode_x_d[7]),
+	.X(brqrv_top_brqrv_dec_decode_cam_in[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g795532 (
+	.A1(n_2972),
+	.A2(brqrv_top_brqrv_lsu_nonblock_load_tag_m[0]),
+	.B1(n_6010),
+	.B2(brqrv_top_brqrv_dec_decode_cam_raw[5]),
+	.Y(n_6287), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g795533 (
+	.A1(n_6010),
+	.A2(brqrv_top_brqrv_dec_decode_cam_raw[8]),
+	.B1(n_4728),
+	.B2(brqrv_top_brqrv_dec_decode_nonblock_load_valid_m_delay),
+	.Y(n_6286), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g795534 (
+	.A1(n_6010),
+	.A2(brqrv_top_brqrv_dec_decode_cam_raw[6]),
+	.B1(n_2972),
+	.B2(brqrv_top_brqrv_lsu_nonblock_load_tag_m[1]),
+	.Y(n_6285), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g795535 (
+	.A1(n_1631),
+	.A2(n_6012),
+	.B1(n_5344),
+	.B2(n_3453),
+	.Y(\brqrv_top_brqrv_dec_decode_cam_in[6]_922 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g795536 (
+	.A1(n_5694),
+	.A2(brqrv_top_brqrv_dma_ctrl_n_1154),
+	.B1(n_5693),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[95]),
+	.C1(n_6033),
+	.Y(n_6284), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g795537 (
+	.A1(n_5628),
+	.A2(n_3989),
+	.B1(n_1609),
+	.B2(n_6008),
+	.Y(brqrv_top_brqrv_dec_decode_cam_in[35]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g795538 (
+	.A1(n_1900),
+	.A2(n_6008),
+	.B1(n_5344),
+	.B2(n_3989),
+	.Y(brqrv_top_brqrv_dec_decode_cam_in[36]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g795539 (
+	.A1(n_43023),
+	.A2(n_5975),
+	.B1(n_3408),
+	.X(n_6282), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g795540 (
+	.A(n_2776),
+	.B(n_6106),
+	.Y(n_6281), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g795541 (
+	.A(n_6231),
+	.Y(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g795547 (
+	.A(n_6013),
+	.B_N(brqrv_top_brqrv_dec_decode_cam_raw[17]),
+	.Y(\brqrv_top_brqrv_dec_decode_cam_in[7]_913 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g795548 (
+	.A(n_6012),
+	.B_N(brqrv_top_brqrv_dec_decode_cam_raw[27]),
+	.Y(\brqrv_top_brqrv_dec_decode_cam_in[7]_923 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g795549 (
+	.A(n_6008),
+	.B_N(brqrv_top_brqrv_dec_decode_cam_raw[37]),
+	.Y(brqrv_top_brqrv_dec_decode_cam_in[37]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g795550 (
+	.A(n_1974),
+	.B(brqrv_top_brqrv_ifu_aln_f1val_in[1]),
+	.Y(n_6221), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g795552 (
+	.A(n_5979),
+	.B(n_5375),
+	.Y(n_6219), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g795558 (
+	.A_N(n_5706),
+	.B(n_5956),
+	.Y(brqrv_top_brqrv_dec_tlu_mcause_ns[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g795567 (
+	.A1(brqrv_top_brqrv_lsu_lsu_addr_m[2]),
+	.A2(n_4601),
+	.B1(brqrv_top_brqrv_lsu_lsu_addr_m[5]),
+	.B2(n_4609),
+	.C1(n_5971),
+	.Y(n_6205), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g795568 (
+	.A(n_41757),
+	.B(n_5999),
+	.Y(n_6204), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g795573 (
+	.A1(n_5823),
+	.A2(brqrv_top_brqrv_dma_ctrl_RspPtr[1]),
+	.B1(brqrv_top_brqrv_dma_ctrl_fifo_reset[1]),
+	.X(brqrv_top_brqrv_dma_ctrl_RspPtr_dff_n_9), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g795613 (
+	.A(n_6009),
+	.B_N(brqrv_top_brqrv_dec_decode_cam_raw[7]),
+	.Y(brqrv_top_brqrv_dec_decode_cam_in[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g795667 (
+	.A(n_5964),
+	.B(n_5440),
+	.Y(n_6231), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g795668 (
+	.A(n_1479),
+	.B(n_42978),
+	.X(n_6230), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g795670 (
+	.A(n_1480),
+	.B(n_42978),
+	.X(n_6229), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g795671 (
+	.A(n_1478),
+	.B(n_42978),
+	.X(n_6228), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g795673 (
+	.A(n_1477),
+	.B(n_42978),
+	.X(n_6227), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 g795676 (
+	.A(n_1479),
+	.B(n_1853),
+	.X(n_6226), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 g795677 (
+	.A(n_1477),
+	.B(n_1853),
+	.X(n_6225), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 g795678 (
+	.A(n_1480),
+	.B(n_1853),
+	.X(n_6224), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 g795679 (
+	.A(n_1478),
+	.B(n_1853),
+	.X(n_6223), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g795680 (
+	.A(n_6105),
+	.Y(brqrv_top_brqrv_dec_tlu_mstatus_ns[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g795681 (
+	.A(n_6104),
+	.Y(brqrv_top_brqrv_dec_tlu_mstatus_ns[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g795682 (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[1]),
+	.Y(n_6101), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g795684 (
+	.A1(n_5638),
+	.A2(n_4932),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_ldfwd[1]),
+	.C1(n_5362),
+	.Y(n_6098), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g795702 (
+	.A(n_5779),
+	.B(n_37082),
+	.C(n_37084),
+	.D(n_37081),
+	.X(n_6080), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g795703 (
+	.A1(n_34424),
+	.A2(n_2005),
+	.B1(n_2932),
+	.C1(n_3668),
+	.D1(n_5744),
+	.Y(brqrv_top_brqrv_dbg_dmi_reg_rdata_din[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111oi_0 g795704 (
+	.A1(n_1843),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[23]),
+	.B1(n_3079),
+	.C1(n_2694),
+	.D1(n_5756),
+	.Y(n_6079), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g795705 (
+	.A(n_5712),
+	.B(n_5993),
+	.Y(brqrv_top_brqrv_dbg_sbcs_error_reg_n_9), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g795706 (
+	.A(n_5712),
+	.B(n_5992),
+	.Y(brqrv_top_brqrv_dbg_sbcs_error_reg_n_8), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g795707 (
+	.A1(brqrv_top_brqrv_dec_tlu_n_4284),
+	.A2(brqrv_top_brqrv_dec_tlu_pc_r[31]),
+	.B1(brqrv_top_brqrv_dec_tlu_interrupt_valid_r),
+	.B2(brqrv_top_brqrv_dec_tlu_npc_r[31]),
+	.C1(n_5954),
+	.X(brqrv_top_brqrv_dec_tlu_mepc_ns[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g795708 (
+	.A1(brqrv_top_brqrv_dec_tlu_n_4284),
+	.A2(brqrv_top_brqrv_dec_tlu_pc_r[30]),
+	.B1(brqrv_top_brqrv_dec_tlu_interrupt_valid_r),
+	.B2(brqrv_top_brqrv_dec_tlu_npc_r[30]),
+	.C1(n_5953),
+	.X(brqrv_top_brqrv_dec_tlu_mepc_ns[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g795709 (
+	.A1(brqrv_top_brqrv_dec_tlu_n_4284),
+	.A2(brqrv_top_brqrv_dec_tlu_pc_r[29]),
+	.B1(brqrv_top_brqrv_dec_tlu_interrupt_valid_r),
+	.B2(brqrv_top_brqrv_dec_tlu_npc_r[29]),
+	.C1(n_5952),
+	.X(brqrv_top_brqrv_dec_tlu_mepc_ns[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g795710 (
+	.A1(brqrv_top_brqrv_dec_tlu_n_4284),
+	.A2(brqrv_top_brqrv_dec_tlu_pc_r[28]),
+	.B1(brqrv_top_brqrv_dec_tlu_interrupt_valid_r),
+	.B2(brqrv_top_brqrv_dec_tlu_npc_r[28]),
+	.C1(n_5951),
+	.X(brqrv_top_brqrv_dec_tlu_mepc_ns[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g795711 (
+	.A1(brqrv_top_brqrv_dec_tlu_n_4284),
+	.A2(brqrv_top_brqrv_dec_tlu_pc_r[27]),
+	.B1(brqrv_top_brqrv_dec_tlu_interrupt_valid_r),
+	.B2(brqrv_top_brqrv_dec_tlu_npc_r[27]),
+	.C1(n_5950),
+	.X(brqrv_top_brqrv_dec_tlu_mepc_ns[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g795712 (
+	.A1(brqrv_top_brqrv_dec_tlu_n_4284),
+	.A2(brqrv_top_brqrv_dec_tlu_pc_r[26]),
+	.B1(brqrv_top_brqrv_dec_tlu_interrupt_valid_r),
+	.B2(brqrv_top_brqrv_dec_tlu_npc_r[26]),
+	.C1(n_5949),
+	.X(brqrv_top_brqrv_dec_tlu_mepc_ns[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g795713 (
+	.A1(brqrv_top_brqrv_dec_tlu_n_4284),
+	.A2(brqrv_top_brqrv_dec_tlu_pc_r[25]),
+	.B1(brqrv_top_brqrv_dec_tlu_interrupt_valid_r),
+	.B2(brqrv_top_brqrv_dec_tlu_npc_r[25]),
+	.C1(n_5948),
+	.X(brqrv_top_brqrv_dec_tlu_mepc_ns[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g795714 (
+	.A1(brqrv_top_brqrv_dec_tlu_n_4284),
+	.A2(brqrv_top_brqrv_dec_tlu_pc_r[24]),
+	.B1(brqrv_top_brqrv_dec_tlu_interrupt_valid_r),
+	.B2(brqrv_top_brqrv_dec_tlu_npc_r[24]),
+	.C1(n_5947),
+	.X(brqrv_top_brqrv_dec_tlu_mepc_ns[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g795715 (
+	.A1(brqrv_top_brqrv_dec_tlu_n_4284),
+	.A2(brqrv_top_brqrv_dec_tlu_pc_r[23]),
+	.B1(brqrv_top_brqrv_dec_tlu_interrupt_valid_r),
+	.B2(brqrv_top_brqrv_dec_tlu_npc_r[23]),
+	.C1(n_5946),
+	.X(brqrv_top_brqrv_dec_tlu_mepc_ns[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g795716 (
+	.A1(brqrv_top_brqrv_dec_tlu_int_timers_n_320),
+	.A2(n_5697),
+	.B1(n_3376),
+	.C1(n_5874),
+	.Y(brqrv_top_brqrv_dec_tlu_mepc_ns[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g795717 (
+	.A1(brqrv_top_brqrv_dec_tlu_int_timers_n_319),
+	.A2(n_5697),
+	.B1(n_3375),
+	.C1(n_5873),
+	.Y(brqrv_top_brqrv_dec_tlu_mepc_ns[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g795718 (
+	.A1(brqrv_top_brqrv_dec_tlu_n_4284),
+	.A2(brqrv_top_brqrv_dec_tlu_pc_r[20]),
+	.B1(brqrv_top_brqrv_dec_tlu_interrupt_valid_r),
+	.B2(brqrv_top_brqrv_dec_tlu_npc_r[20]),
+	.C1(n_5945),
+	.X(brqrv_top_brqrv_dec_tlu_mepc_ns[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g795719 (
+	.A1(brqrv_top_brqrv_dec_tlu_n_4284),
+	.A2(brqrv_top_brqrv_dec_tlu_pc_r[19]),
+	.B1(brqrv_top_brqrv_dec_tlu_interrupt_valid_r),
+	.B2(brqrv_top_brqrv_dec_tlu_npc_r[19]),
+	.C1(n_5944),
+	.X(brqrv_top_brqrv_dec_tlu_mepc_ns[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g795720 (
+	.A1(brqrv_top_brqrv_dec_tlu_n_4284),
+	.A2(brqrv_top_brqrv_dec_tlu_pc_r[18]),
+	.B1(brqrv_top_brqrv_dec_tlu_interrupt_valid_r),
+	.B2(brqrv_top_brqrv_dec_tlu_npc_r[18]),
+	.C1(n_5943),
+	.X(brqrv_top_brqrv_dec_tlu_mepc_ns[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g795721 (
+	.A1(brqrv_top_brqrv_dec_tlu_n_4284),
+	.A2(brqrv_top_brqrv_dec_tlu_pc_r[17]),
+	.B1(brqrv_top_brqrv_dec_tlu_interrupt_valid_r),
+	.B2(brqrv_top_brqrv_dec_tlu_npc_r[17]),
+	.C1(n_5942),
+	.X(brqrv_top_brqrv_dec_tlu_mepc_ns[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g795722 (
+	.A1(brqrv_top_brqrv_dec_tlu_n_4284),
+	.A2(brqrv_top_brqrv_dec_tlu_pc_r[16]),
+	.B1(brqrv_top_brqrv_dec_tlu_interrupt_valid_r),
+	.B2(brqrv_top_brqrv_dec_tlu_npc_r[16]),
+	.C1(n_5941),
+	.X(brqrv_top_brqrv_dec_tlu_mepc_ns[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g795723 (
+	.A1(brqrv_top_brqrv_dec_tlu_n_4284),
+	.A2(brqrv_top_brqrv_dec_tlu_pc_r[15]),
+	.B1(brqrv_top_brqrv_dec_tlu_interrupt_valid_r),
+	.B2(brqrv_top_brqrv_dec_tlu_npc_r[15]),
+	.C1(n_5940),
+	.X(brqrv_top_brqrv_dec_tlu_mepc_ns[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g795724 (
+	.A1(brqrv_top_brqrv_dec_tlu_n_4284),
+	.A2(brqrv_top_brqrv_dec_tlu_pc_r[14]),
+	.B1(brqrv_top_brqrv_dec_tlu_interrupt_valid_r),
+	.B2(brqrv_top_brqrv_dec_tlu_npc_r[14]),
+	.C1(n_5939),
+	.X(brqrv_top_brqrv_dec_tlu_mepc_ns[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g795725 (
+	.A1(brqrv_top_brqrv_dec_tlu_n_4284),
+	.A2(brqrv_top_brqrv_dec_tlu_pc_r[13]),
+	.B1(brqrv_top_brqrv_dec_tlu_interrupt_valid_r),
+	.B2(brqrv_top_brqrv_dec_tlu_npc_r[13]),
+	.C1(n_5938),
+	.X(brqrv_top_brqrv_dec_tlu_mepc_ns[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g795726 (
+	.A1(brqrv_top_brqrv_dec_tlu_n_4284),
+	.A2(brqrv_top_brqrv_dec_tlu_pc_r[12]),
+	.B1(brqrv_top_brqrv_dec_tlu_interrupt_valid_r),
+	.B2(n_43061),
+	.C1(n_5937),
+	.X(brqrv_top_brqrv_dec_tlu_mepc_ns[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g795727 (
+	.A1(brqrv_top_brqrv_dec_tlu_n_4284),
+	.A2(brqrv_top_brqrv_dec_tlu_pc_r[11]),
+	.B1(brqrv_top_brqrv_dec_tlu_interrupt_valid_r),
+	.B2(brqrv_top_brqrv_dec_tlu_npc_r[11]),
+	.C1(n_5936),
+	.X(brqrv_top_brqrv_dec_tlu_mepc_ns[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g795728 (
+	.A1(brqrv_top_brqrv_dec_tlu_n_4284),
+	.A2(brqrv_top_brqrv_dec_tlu_pc_r[10]),
+	.B1(brqrv_top_brqrv_dec_tlu_interrupt_valid_r),
+	.B2(brqrv_top_brqrv_dec_tlu_npc_r[10]),
+	.C1(n_5935),
+	.X(brqrv_top_brqrv_dec_tlu_mepc_ns[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g795729 (
+	.A1(brqrv_top_brqrv_dec_tlu_n_3024),
+	.A2(n_5697),
+	.B1(n_3373),
+	.C1(n_5861),
+	.Y(brqrv_top_brqrv_dec_tlu_mepc_ns[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g795730 (
+	.A1(brqrv_top_brqrv_dec_tlu_n_4284),
+	.A2(brqrv_top_brqrv_dec_tlu_pc_r[8]),
+	.B1(brqrv_top_brqrv_dec_tlu_interrupt_valid_r),
+	.B2(brqrv_top_brqrv_dec_tlu_npc_r[8]),
+	.C1(n_5934),
+	.X(brqrv_top_brqrv_dec_tlu_mepc_ns[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g795731 (
+	.A1(brqrv_top_brqrv_dec_tlu_n_4284),
+	.A2(brqrv_top_brqrv_dec_tlu_pc_r[7]),
+	.B1(brqrv_top_brqrv_dec_tlu_interrupt_valid_r),
+	.B2(brqrv_top_brqrv_dec_tlu_npc_r[7]),
+	.C1(n_5933),
+	.X(brqrv_top_brqrv_dec_tlu_mepc_ns[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g795732 (
+	.A1(brqrv_top_brqrv_dec_tlu_n_4284),
+	.A2(brqrv_top_brqrv_dec_tlu_pc_r[3]),
+	.B1(brqrv_top_brqrv_dec_tlu_interrupt_valid_r),
+	.B2(brqrv_top_brqrv_dec_tlu_npc_r[3]),
+	.C1(n_5929),
+	.X(brqrv_top_brqrv_dec_tlu_mepc_ns[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g795733 (
+	.A1(brqrv_top_brqrv_dec_tlu_int_timers_n_300),
+	.A2(n_5697),
+	.B1(n_3401),
+	.C1(n_5854),
+	.Y(brqrv_top_brqrv_dec_tlu_mepc_ns[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g795734 (
+	.A1(brqrv_top_brqrv_dec_tlu_n_4284),
+	.A2(brqrv_top_brqrv_dec_tlu_pc_r[1]),
+	.B1(brqrv_top_brqrv_dec_tlu_interrupt_valid_r),
+	.B2(brqrv_top_brqrv_dec_tlu_npc_r[1]),
+	.C1(n_5928),
+	.X(brqrv_top_brqrv_dec_tlu_mepc_ns[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g795735 (
+	.A1(n_5375),
+	.A2(n_5887),
+	.B1(n_35526),
+	.Y(n_6078), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a41oi_1 g795736 (
+	.A1(n_5236),
+	.A2(n_5625),
+	.A3(n_5217),
+	.A4(n_4325),
+	.B1(n_3733),
+	.Y(brqrv_top_brqrv_dec_decode_e1ff_dff_left_final_en), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a41oi_1 g795737 (
+	.A1(n_5467),
+	.A2(n_5441),
+	.A3(n_5510),
+	.A4(n_5213),
+	.B1(n_4001),
+	.Y(brqrv_top_brqrv_ifu_mem_ctl_imb_f_ff_dff_dff_left_final_en), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111oi_0 g795738 (
+	.A1(n_5536),
+	.A2(brqrv_top_brqrv_dbg_command_reg[12]),
+	.B1(brqrv_top_brqrv_dbg_command_reg[15]),
+	.C1(brqrv_top_brqrv_dbg_command_reg[14]),
+	.D1(brqrv_top_brqrv_dbg_command_reg[13]),
+	.Y(n_6077), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g795739 (
+	.A1(n_5690),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[135]),
+	.B1(n_5691),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[199]),
+	.C1(n_5901),
+	.Y(n_6076), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g795740 (
+	.A1(n_5692),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[261]),
+	.B1(n_4207),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[165]),
+	.C1(n_5897),
+	.Y(n_6075), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g795741 (
+	.A1(n_5692),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[259]),
+	.B1(n_4210),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_1174),
+	.C1(n_4747),
+	.Y(n_6074), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g795742 (
+	.A1(n_5692),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[258]),
+	.B1(n_4210),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_1169),
+	.C1(n_4746),
+	.X(n_6073), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g795743 (
+	.A1(n_5692),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[257]),
+	.B1(n_4210),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_1164),
+	.C1(n_4745),
+	.Y(n_6072), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g795744 (
+	.A1(n_5692),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[256]),
+	.B1(n_4210),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_1159),
+	.C1(n_4744),
+	.Y(n_6071), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g795745 (
+	.A(brqrv_top_brqrv_dec_tlu_meicidpl[0]),
+	.B(brqrv_top_brqrv_dec_tlu_meicidpl_ns[0]),
+	.Y(n_6070), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g795746 (
+	.A(brqrv_top_brqrv_dma_ctrl_n_2635),
+	.B(brqrv_top_brqrv_dma_ctrl_dma_dbg_cmd_done_q),
+	.C(brqrv_top_brqrv_dma_ctrl_dma_buffer_c1_clken),
+	.D(n_5636),
+	.Y(n_6069), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g795747 (
+	.A(n_5811),
+	.B(n_34249),
+	.C(n_35394),
+	.Y(brqrv_top_brqrv_dec_decode_d_t[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g795748 (
+	.A(brqrv_top_brqrv_dec_tlu_meicidpl[2]),
+	.B(brqrv_top_brqrv_dec_tlu_meicidpl_ns[2]),
+	.X(n_6068), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g795749 (
+	.A(n_5996),
+	.B(n_5527),
+	.C(n_1397),
+	.Y(n_6067), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111oi_0 g795750 (
+	.A1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[26]),
+	.A2(n_1543),
+	.B1(n_3319),
+	.C1(n_3449),
+	.D1(n_5624),
+	.Y(n_6066), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g795752 (
+	.A(n_6007),
+	.B(n_34804),
+	.C(brqrv_top_brqrv_dec_decode_cam_raw[39]),
+	.Y(n_6064), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g795753 (
+	.A1(brqrv_top_brqrv_dec_tlu_interrupt_valid_r),
+	.A2(brqrv_top_brqrv_dec_tlu_npc_r[6]),
+	.B1(brqrv_top_brqrv_dec_tlu_n_4284),
+	.B2(brqrv_top_brqrv_dec_tlu_pc_r[6]),
+	.C1(n_5932),
+	.X(brqrv_top_brqrv_dec_tlu_mepc_ns[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g795754 (
+	.A1(brqrv_top_brqrv_dec_tlu_interrupt_valid_r),
+	.A2(brqrv_top_brqrv_dec_tlu_npc_r[5]),
+	.B1(brqrv_top_brqrv_dec_tlu_n_4284),
+	.B2(brqrv_top_brqrv_dec_tlu_pc_r[5]),
+	.C1(n_5931),
+	.X(brqrv_top_brqrv_dec_tlu_mepc_ns[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g795755 (
+	.A1(brqrv_top_brqrv_dec_tlu_interrupt_valid_r),
+	.A2(brqrv_top_brqrv_dec_tlu_npc_r[4]),
+	.B1(brqrv_top_brqrv_dec_tlu_n_4284),
+	.B2(brqrv_top_brqrv_dec_tlu_pc_r[4]),
+	.C1(n_5930),
+	.X(brqrv_top_brqrv_dec_tlu_mepc_ns[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g795756 (
+	.A1(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.iccm_dma_rvalid_in ),
+	.A2(n_34529),
+	.B1(n_5607),
+	.C1(n_3275),
+	.D1(n_2654),
+	.Y(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_misc_bits_en ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g795757 (
+	.A(n_6011),
+	.B(n_34802),
+	.C(brqrv_top_brqrv_dec_decode_cam_raw[29]),
+	.Y(n_6063), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g795758 (
+	.A1(n_5699),
+	.A2(n_5701),
+	.A3(n_3764),
+	.B1(n_5801),
+	.Y(n_6062), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g795759 (
+	.A(n_35321),
+	.B(n_33871),
+	.C(n_5738),
+	.Y(n_6061), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g795760 (
+	.A1(n_2018),
+	.A2(brqrv_top_brqrv_dec_tlu_pc_r[25]),
+	.B1(n_2017),
+	.B2(brqrv_top_brqrv_dec_tlu_pc_r[29]),
+	.C1(n_5981),
+	.Y(n_6060), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3b_1 g795761 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_valid),
+	.B(brqrv_top_brqrv_lsu_lsu_busreq_r),
+	.C_N(n_5888),
+	.X(n_6059), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g795762 (
+	.A1(n_4616),
+	.A2(brqrv_top_brqrv_lsu_lsu_addr_r[6]),
+	.B1(n_4614),
+	.B2(n_1538),
+	.C1(n_5969),
+	.Y(n_6058), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g795763 (
+	.A(n_3365),
+	.B(n_5737),
+	.C(n_5790),
+	.Y(brqrv_top_brqrv_ifu_ifc_fbwrite_ff_en), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g795764 (
+	.A1(n_5057),
+	.A2(n_5709),
+	.B1(n_5344),
+	.B2(n_34870),
+	.C1(n_5852),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_WrPtr1_m[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g795765 (
+	.A(n_5903),
+	.B(n_5828),
+	.C(n_4756),
+	.D(n_5282),
+	.Y(n_6057), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g795766 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_data[291]),
+	.A2(n_4211),
+	.B1(n_5693),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[67]),
+	.C1(n_4209),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_data[227]),
+	.Y(n_6056), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g795767 (
+	.A(n_5904),
+	.B(n_5829),
+	.C(n_5280),
+	.D(n_4757),
+	.Y(n_6055), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g795768 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_data[225]),
+	.A2(n_4209),
+	.B1(n_5693),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[65]),
+	.C1(n_4211),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_data[289]),
+	.Y(n_6054), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g795769 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_data[224]),
+	.A2(n_4209),
+	.B1(n_5693),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[64]),
+	.C1(n_4211),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_data[288]),
+	.Y(n_6053), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g795771 (
+	.A(n_5955),
+	.B(n_1344),
+	.Y(n_6051), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g795772 (
+	.A(n_2671),
+	.B(n_5957),
+	.Y(n_6050), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g795773 (
+	.A1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[46]),
+	.A2(n_1547),
+	.B1(n_5966),
+	.Y(n_6049), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g795774 (
+	.A1(n_4844),
+	.A2(n_3109),
+	.B1(n_5997),
+	.Y(n_6048), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g795775 (
+	.A(n_5905),
+	.B(n_5830),
+	.C(n_4758),
+	.D(n_5279),
+	.Y(n_6047), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o32ai_1 g795776 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dualtag[5]),
+	.A2(n_5701),
+	.A3(n_5699),
+	.B1(n_1558),
+	.B2(n_5700),
+	.Y(n_6046), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g795777 (
+	.A1(n_36272),
+	.A2(n_4615),
+	.B1(n_4936),
+	.C1(n_5133),
+	.D1(n_5793),
+	.Y(n_6045), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g795778 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dualtag[7]),
+	.A2(n_5822),
+	.B1(n_1859),
+	.B2(n_5700),
+	.Y(n_6044), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g795779 (
+	.A(n_4803),
+	.B(n_5791),
+	.C(n_5119),
+	.Y(n_6043), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g795780 (
+	.A(n_4995),
+	.B(n_4536),
+	.C(n_5723),
+	.Y(n_6042), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g795781 (
+	.A(n_4994),
+	.B(n_4535),
+	.C(n_5722),
+	.Y(n_6041), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g795782 (
+	.A(n_4993),
+	.B(n_4534),
+	.C(n_5721),
+	.Y(n_6040), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g795783 (
+	.A(n_4991),
+	.B(n_4533),
+	.C(n_5720),
+	.Y(n_6039), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g795784 (
+	.A(n_4990),
+	.B(n_4532),
+	.C(n_5719),
+	.Y(n_6038), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g795785 (
+	.A1(n_5056),
+	.A2(n_5595),
+	.B1(n_5994),
+	.Y(brqrv_top_brqrv_dbg_sbcs_error_reg_n_10), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g795786 (
+	.A1(brqrv_top_brqrv_ifu_aln_f2val_in[1]),
+	.A2(n_1642),
+	.B1(n_5728),
+	.C1(n_5810),
+	.Y(n_6037), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g795787 (
+	.A(n_5906),
+	.B(n_5831),
+	.C(n_5278),
+	.D(n_4759),
+	.Y(n_6036), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g795788 (
+	.A1(n_34222),
+	.A2(brqrv_top_brqrv_dec_tlu_ic_diag_pkt[0]),
+	.B1(n_5760),
+	.B2(brqrv_top_brqrv_dec_tlu_meicidpl[1]),
+	.C1(n_2738),
+	.Y(n_6035), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g795789 (
+	.A(n_5907),
+	.B(n_5832),
+	.C(n_5277),
+	.D(n_4760),
+	.Y(n_6034), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g795790 (
+	.A(n_5926),
+	.B(n_5851),
+	.C(n_4780),
+	.D(n_5210),
+	.Y(n_6033), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g795791 (
+	.A(n_5925),
+	.B(n_5850),
+	.C(n_4779),
+	.D(n_5209),
+	.Y(n_6032), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g795792 (
+	.A(n_5924),
+	.B(n_5849),
+	.C(n_4777),
+	.D(n_5208),
+	.Y(n_6031), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g795793 (
+	.A(n_5923),
+	.B(n_5848),
+	.C(n_4776),
+	.D(n_5207),
+	.Y(n_6030), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g795794 (
+	.A(n_5922),
+	.B(n_5847),
+	.C(n_4775),
+	.D(n_5206),
+	.Y(n_6029), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g795795 (
+	.A(n_5921),
+	.B(n_5846),
+	.C(n_4774),
+	.D(n_5205),
+	.Y(n_6028), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g795796 (
+	.A(n_5920),
+	.B(n_5845),
+	.C(n_4773),
+	.D(n_5238),
+	.Y(n_6027), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g795797 (
+	.A(n_5919),
+	.B(n_5844),
+	.C(n_4772),
+	.D(n_5239),
+	.Y(n_6026), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g795798 (
+	.A(n_5918),
+	.B(n_5843),
+	.C(n_4771),
+	.D(n_5240),
+	.Y(n_6025), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g795799 (
+	.A(n_5917),
+	.B(n_5842),
+	.C(n_4770),
+	.D(n_5242),
+	.Y(n_6024), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g795800 (
+	.A(n_5916),
+	.B(n_5841),
+	.C(n_4769),
+	.D(n_5245),
+	.Y(n_6023), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g795801 (
+	.A(n_5915),
+	.B(n_5840),
+	.C(n_4768),
+	.D(n_5263),
+	.Y(n_6022), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g795802 (
+	.A(n_5914),
+	.B(n_5839),
+	.C(n_4767),
+	.D(n_5267),
+	.Y(n_6021), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g795803 (
+	.A(n_5913),
+	.B(n_5838),
+	.C(n_5271),
+	.D(n_4766),
+	.Y(n_6020), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g795804 (
+	.A(n_5912),
+	.B(n_5837),
+	.C(n_4765),
+	.D(n_5272),
+	.Y(n_6019), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g795805 (
+	.A(n_5911),
+	.B(n_5836),
+	.C(n_4764),
+	.D(n_5273),
+	.Y(n_6018), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g795806 (
+	.A(n_5910),
+	.B(n_5835),
+	.C(n_4763),
+	.D(n_5274),
+	.Y(n_6017), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g795807 (
+	.A(n_5909),
+	.B(n_5834),
+	.C(n_4762),
+	.D(n_5275),
+	.Y(n_6016), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g795808 (
+	.A(n_5908),
+	.B(n_5833),
+	.C(n_4761),
+	.D(n_5276),
+	.Y(n_6015), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o32ai_1 g795809 (
+	.A1(n_1559),
+	.A2(n_5701),
+	.A3(n_5700),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dualtag[1]),
+	.B2(n_5699),
+	.Y(n_6107), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o32ai_1 g795810 (
+	.A1(n_5635),
+	.A2(brqrv_top_brqrv_dbg_sbcs_reg[12]),
+	.A3(n_4220),
+	.B1(n_1561),
+	.B2(n_3758),
+	.Y(brqrv_top_brqrv_dbg_n_4595), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g795811 (
+	.A(brqrv_top_brqrv_dec_decode_i0_dec_n_168),
+	.B(n_5735),
+	.C(n_36248),
+	.Y(n_6106), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g795812 (
+	.A1(n_1846),
+	.A2(n_5803),
+	.B1(n_4252),
+	.Y(n_6105), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g795813 (
+	.A1(n_4252),
+	.A2(brqrv_top_brqrv_dec_tlu_mstatus[1]),
+	.B1(brqrv_top_brqrv_dec_tlu_exc_or_int_valid_r),
+	.B2(n_5534),
+	.C1(n_5820),
+	.Y(n_6104), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3b_1 g795814 (
+	.A(n_35544),
+	.B(brqrv_top_brqrv_dbg_cmd_write),
+	.C_N(n_5885),
+	.X(n_6103), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o311ai_1 g795815 (
+	.A1(n_1412),
+	.A2(brqrv_top_brqrv_dbg_command_wren),
+	.A3(n_5478),
+	.B1(n_1877),
+	.C1(brqrv_top_brqrv_dbg_abstractcs_reg[12]),
+	.Y(n_6102), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_2 g795816 (
+	.A1(n_4476),
+	.A2(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[1]),
+	.B1(n_5984),
+	.X(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_2 g795817 (
+	.A1(n_5042),
+	.A2(n_43405),
+	.B1(brqrv_top_brqrv_lsu_stbuf_reqvld_any),
+	.C1(n_1415),
+	.Y(n_6100), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g795818 (
+	.A(n_6014),
+	.Y(n_6013), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g795819 (
+	.A(n_6012),
+	.Y(n_6011), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g795820 (
+	.A(n_6010),
+	.Y(n_6009), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g795821 (
+	.A(n_6008),
+	.Y(n_6007), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g795825 (
+	.A(n_43065),
+	.Y(n_1480), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g795842 (
+	.A(n_6005),
+	.Y(n_1479), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g795853 (
+	.A(n_6003),
+	.Y(n_1478), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g795864 (
+	.A(n_6001),
+	.Y(n_1477), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 g795866 (
+	.A(n_35788),
+	.B(n_5566),
+	.COUT(n_5998),
+	.SUM(n_5999), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g795867 (
+	.A1(n_3109),
+	.A2(n_4844),
+	.B1(n_5888),
+	.Y(n_5997), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g795870 (
+	.A_N(n_5771),
+	.B(n_43008),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_age_in[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g795871 (
+	.A(n_5885),
+	.B(n_3089),
+	.Y(n_5996), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g795872 (
+	.A(n_5807),
+	.B(n_35793),
+	.Y(n_5995), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g795873 (
+	.A(n_5627),
+	.B(n_4812),
+	.C(n_4723),
+	.Y(brqrv_top_brqrv_dbg_dmi_reg_rdata_din[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g795874 (
+	.A(n_5626),
+	.B(n_3862),
+	.C(n_4731),
+	.Y(brqrv_top_brqrv_dbg_dmi_reg_rdata_din[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g795875 (
+	.A(n_5623),
+	.B(n_3329),
+	.C(n_4731),
+	.Y(brqrv_top_brqrv_dbg_dmi_reg_rdata_din[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g795876 (
+	.A1(n_35214),
+	.A2(n_2669),
+	.B1(brqrv_top_brqrv_dma_ctrl_fifo_reset[4]),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_error_dff_din_new [1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g795877 (
+	.A1(n_4470),
+	.A2(n_5707),
+	.B1(brqrv_top_brqrv_dbg_sbcs_reg[14]),
+	.Y(n_5994), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g795878 (
+	.A1(n_4469),
+	.A2(n_5707),
+	.B1(brqrv_top_brqrv_dbg_sbcs_reg[13]),
+	.Y(n_5993), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g795879 (
+	.A1(n_4468),
+	.A2(n_5707),
+	.B1(brqrv_top_brqrv_dbg_sbcs_reg[12]),
+	.Y(n_5992), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g795880 (
+	.A(n_5492),
+	.B(n_5132),
+	.C(n_4732),
+	.D(n_2723),
+	.Y(brqrv_top_brqrv_dbg_dmi_reg_rdata_din[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g795881 (
+	.A(n_5491),
+	.B(n_5125),
+	.C(n_4710),
+	.D(n_2855),
+	.Y(brqrv_top_brqrv_dbg_dmi_reg_rdata_din[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o41ai_1 g795882 (
+	.A1(brqrv_top_brqrv_dec_i0_predict_p_d[42]),
+	.A2(brqrv_top_brqrv_dec_dec_i0_instr_d[20]),
+	.A3(n_5295),
+	.A4(n_34784),
+	.B1(n_5655),
+	.Y(n_5991), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g795883 (
+	.A(n_5806),
+	.B(n_35792),
+	.Y(n_5990), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g795884 (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_reset[1]),
+	.B(n_3366),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_error_dff_din_new [0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g795885 (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_reset[3]),
+	.B(n_3356),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_error_dff_din_new [0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g795886 (
+	.A1(brqrv_top_brqrv_exu_i0_pc_x[26]),
+	.A2(n_96),
+	.B1(n_3941),
+	.C1(n_3940),
+	.D1(n_5726),
+	.Y(n_5989), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g795887 (
+	.A1(n_35208),
+	.A2(n_2907),
+	.B1(brqrv_top_brqrv_dma_ctrl_fifo_reset[2]),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_error_dff_din_new [1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g795888 (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_reset[0]),
+	.B(n_3355),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_error_dff_din_new [0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g795889 (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_reset[4]),
+	.B(n_3357),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_error_dff_din_new [0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g795890 (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_reset[2]),
+	.B(n_3354),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_error_dff_din_new [0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g795891 (
+	.A(n_3880),
+	.B(n_5458),
+	.C(n_2932),
+	.D(n_4568),
+	.Y(brqrv_top_brqrv_dbg_dmi_reg_rdata_din[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g795892 (
+	.A1(n_2932),
+	.A2(brqrv_top_brqrv_dbg_sbcs_reg_int[18]),
+	.B1(n_3670),
+	.C1(n_5733),
+	.Y(brqrv_top_brqrv_dbg_dmi_reg_rdata_din[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g795893 (
+	.A(n_5620),
+	.B(n_5126),
+	.C(n_2932),
+	.Y(brqrv_top_brqrv_dbg_dmi_reg_rdata_din[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g795894 (
+	.A(n_3860),
+	.B(n_5451),
+	.C(n_4221),
+	.D(n_5009),
+	.Y(brqrv_top_brqrv_dbg_dmi_reg_rdata_din[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g795895 (
+	.A(n_4809),
+	.B(n_5447),
+	.C(n_2932),
+	.D(n_5008),
+	.Y(brqrv_top_brqrv_dbg_dmi_reg_rdata_din[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g795896 (
+	.A(n_3856),
+	.B(n_5446),
+	.C(n_2932),
+	.D(n_5007),
+	.Y(brqrv_top_brqrv_dbg_dmi_reg_rdata_din[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g795897 (
+	.A1(n_1956),
+	.A2(brqrv_top_brqrv_dec_decode_x_t[14]),
+	.B1(n_1721),
+	.B2(brqrv_top_brqrv_dec_decode_x_t[16]),
+	.C1(n_5781),
+	.Y(n_5988), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g795898 (
+	.A_N(n_5763),
+	.B(n_5561),
+	.C(n_34867),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_age_in[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g795899 (
+	.A_N(n_5767),
+	.B(n_5558),
+	.C(n_34853),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_age_in[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g795900 (
+	.A1(n_3018),
+	.A2(n_5490),
+	.B1_N(n_5763),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_rspage_in[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g795901 (
+	.A1(n_5572),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[12]),
+	.B1(n_5571),
+	.B2(brqrv_top_brqrv_dec_tlu_mcause[12]),
+	.C1(n_3155),
+	.X(brqrv_top_brqrv_dec_tlu_mcause_ns[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g795902 (
+	.A1(n_3010),
+	.A2(n_5489),
+	.B1(n_5765),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_rspage_in[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g795903 (
+	.A1(n_3036),
+	.A2(n_5488),
+	.B1(n_5773),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_rspage_in[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g795904 (
+	.A1(n_3018),
+	.A2(n_5487),
+	.B1_N(n_5767),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_rspage_in[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g795905 (
+	.A1(n_3027),
+	.A2(n_5486),
+	.B1(n_5768),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_rspage_in[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g795906 (
+	.A1(n_3036),
+	.A2(n_5485),
+	.B1(n_5770),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_rspage_in[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g795907 (
+	.A1(n_3027),
+	.A2(n_5484),
+	.B1(n_5772),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_rspage_in[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g795908 (
+	.A1(n_3010),
+	.A2(n_5483),
+	.B1(n_5766),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_rspage_in[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g795909 (
+	.A1(n_3036),
+	.A2(n_5482),
+	.B1(n_5762),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_rspage_in[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g795910 (
+	.A1(n_5572),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[28]),
+	.B1(n_5571),
+	.B2(brqrv_top_brqrv_dec_tlu_mcause[28]),
+	.C1(n_5706),
+	.X(brqrv_top_brqrv_dec_tlu_mcause_ns[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g795911 (
+	.A1(n_34351),
+	.A2(n_2829),
+	.B1(brqrv_top_brqrv_dma_ctrl_fifo_reset[1]),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_error_dff_din_new [1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g795912 (
+	.A1(n_34254),
+	.A2(n_2768),
+	.B1(brqrv_top_brqrv_dma_ctrl_fifo_reset[3]),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_error_dff_din_new [1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g795913 (
+	.A1(n_35211),
+	.A2(n_2848),
+	.B1(brqrv_top_brqrv_dma_ctrl_fifo_reset[0]),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_error_dff_din_new [1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g795914 (
+	.A(n_37342),
+	.B(n_5883),
+	.Y(n_5987), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g795915 (
+	.A1(n_5698),
+	.A2(brqrv_top_brqrv_dma_ctrl_RspPtr[2]),
+	.B1(brqrv_top_brqrv_dma_ctrl_fifo_reset[3]),
+	.X(brqrv_top_brqrv_dma_ctrl_RspPtr_dff_n_10), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g795916 (
+	.A(n_37260),
+	.B(n_5883),
+	.Y(n_5986), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g795917 (
+	.A1(brqrv_top_brqrv_dbg_cmd_write),
+	.A2(n_3976),
+	.B1(n_1794),
+	.B2(brqrv_top_brqrv_dbg_sbdata1_reg[16]),
+	.C1(n_5732),
+	.X(brqrv_top_brqrv_dbg_dmi_reg_rdata_din[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g795918 (
+	.A(n_5452),
+	.B(n_4810),
+	.C(n_5064),
+	.D(n_5010),
+	.Y(brqrv_top_brqrv_dbg_dmi_reg_rdata_din[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g795919 (
+	.A1(brqrv_top_brqrv_dbg_abstractcs_reg[8]),
+	.A2(n_3988),
+	.B1(brqrv_top_brqrv_dbg_sbaddress0_reg[8]),
+	.B2(n_2928),
+	.C1(n_5731),
+	.X(brqrv_top_brqrv_dbg_dmi_reg_rdata_din[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g795920 (
+	.A(n_5730),
+	.B(n_4329),
+	.Y(brqrv_top_brqrv_dec_tlu_mcause_ns[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g795921 (
+	.A1(n_5572),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[0]),
+	.B1(n_2957),
+	.B2(brqrv_top_brqrv_dec_tlu_exc_cause_r[0]),
+	.C1(n_5792),
+	.X(brqrv_top_brqrv_dec_tlu_mcause_ns[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g795922 (
+	.A(n_5765),
+	.B(n_5560),
+	.C(n_34864),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_age_in[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g795923 (
+	.A(n_5773),
+	.B(n_5559),
+	.C(n_34862),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_age_in[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g795924 (
+	.A(n_5768),
+	.B(n_5557),
+	.C(n_34854),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_age_in[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g795925 (
+	.A(n_5770),
+	.B(n_5556),
+	.C(n_34855),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_age_in[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g795926 (
+	.A(n_5772),
+	.B(n_5555),
+	.C(n_34856),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_age_in[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g795927 (
+	.A(n_5766),
+	.B(n_5554),
+	.C(n_34861),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_age_in[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g795928 (
+	.A(n_5762),
+	.B(n_5553),
+	.C(n_34860),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_age_in[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g795929 (
+	.A1(n_34600),
+	.A2(n_5704),
+	.B1(n_5748),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_byteenff_n_10 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g795930 (
+	.A1(n_34600),
+	.A2(n_5703),
+	.B1(n_5747),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_byteenff_n_10 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g795931 (
+	.A1(n_34600),
+	.A2(n_5705),
+	.B1(n_5746),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_byteenff_n_10 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31o_1 g795932 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_rsp_pickage[10]),
+	.A2(n_1787),
+	.A3(n_3026),
+	.B1(n_5764),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_rspage_in[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31o_1 g795933 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_rsp_pickage[5]),
+	.A2(n_1787),
+	.A3(n_3009),
+	.B1(n_5769),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_rspage_in[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31o_1 g795934 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_rsp_pickage[15]),
+	.A2(n_1787),
+	.A3(n_3017),
+	.B1(n_5771),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_rspage_in[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g795935 (
+	.A1(n_34600),
+	.A2(n_5702),
+	.B1(n_5745),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_byteenff_n_10 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g795936 (
+	.A(n_4335),
+	.B(n_5237),
+	.C(n_4942),
+	.D(n_5464),
+	.Y(n_5985), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g795937 (
+	.A1(n_1580),
+	.A2(n_5704),
+	.B1(n_5799),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dualtagff_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g795938 (
+	.A1(n_1892),
+	.A2(n_5704),
+	.B1(n_5802),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dualtagff_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g795939 (
+	.A1(n_1580),
+	.A2(n_5705),
+	.B1(n_5808),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dualtagff_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g795940 (
+	.A1(n_1892),
+	.A2(n_5705),
+	.B1(n_5813),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dualtagff_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g795941 (
+	.A1(n_1580),
+	.A2(n_5703),
+	.B1(n_5815),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dualtagff_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g795942 (
+	.A1(n_1892),
+	.A2(n_5703),
+	.B1(n_5814),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dualtagff_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g795943 (
+	.A1(n_1580),
+	.A2(n_5702),
+	.B1(n_5816),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dualtagff_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g795944 (
+	.A1(n_1892),
+	.A2(n_5702),
+	.B1(n_5821),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dualtagff_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g795945 (
+	.A(n_4114),
+	.B(n_2666),
+	.C(n_5606),
+	.Y(n_5984), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g795946 (
+	.A1(n_1659),
+	.A2(brqrv_top_brqrv_lsu_lsu_addr_r[6]),
+	.B1(brqrv_top_brqrv_lsu_stbuf_n_580),
+	.B2(n_1841),
+	.C1(n_5718),
+	.Y(n_5983), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g795947 (
+	.A1(n_3752),
+	.A2(n_5355),
+	.B1(n_4806),
+	.C1(n_4240),
+	.D1(n_4261),
+	.Y(brqrv_top_brqrv_dbg_n_4582), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g795948 (
+	.A1_N(brqrv_top_brqrv_i0_brp[37]),
+	.A2_N(n_5630),
+	.B1(n_5630),
+	.B2(FE_DBTN22_n_54),
+	.Y(brqrv_top_brqrv_exu_i0_predict_p_d[51]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g795949 (
+	.A1(n_35361),
+	.A2(n_5704),
+	.B1(n_34368),
+	.B2(n_5574),
+	.C1(n_5618),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_byteenff_n_11 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g795950 (
+	.A1(n_35361),
+	.A2(n_5703),
+	.B1(n_34368),
+	.B2(n_5580),
+	.C1(n_5616),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_byteenff_n_11 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g795951 (
+	.A1(n_35361),
+	.A2(n_5705),
+	.B1(n_34368),
+	.B2(n_5576),
+	.C1(n_5614),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_byteenff_n_11 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g795952 (
+	.A1(n_35361),
+	.A2(n_5702),
+	.B1(n_34368),
+	.B2(n_5578),
+	.C1(n_5612),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_byteenff_n_11 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o311ai_1 g795953 (
+	.A1(n_4572),
+	.A2(n_4293),
+	.A3(n_4292),
+	.B1(n_1877),
+	.C1(n_5885),
+	.Y(n_5982), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g795954 (
+	.A1(n_1965),
+	.A2(brqrv_top_brqrv_dec_tlu_pc_r_d1[31]),
+	.B1(brqrv_top_brqrv_dec_tlu_pc_r[25]),
+	.B2(n_2018),
+	.C1(n_5796),
+	.Y(n_5981), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g795955 (
+	.A1(n_2034),
+	.A2(brqrv_top_brqrv_dec_tlu_npc_r[25]),
+	.B1(n_2045),
+	.B2(brqrv_top_brqrv_dec_tlu_npc_r[29]),
+	.C1(n_5794),
+	.Y(n_5980), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g795956 (
+	.A1(n_2958),
+	.A2(n_4332),
+	.B1(n_5887),
+	.Y(n_5979), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g795957 (
+	.A1(brqrv_top_brqrv_dec_tlu_minstret_enable_f),
+	.A2(n_1976),
+	.B1(n_5775),
+	.Y(n_5978), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g795958 (
+	.A(brqrv_top_brqrv_dma_ctrl_n_2635),
+	.B(brqrv_top_brqrv_lsu_lsu_pkt_r[0]),
+	.C(brqrv_top_brqrv_dec_tlu_misc_clk_override),
+	.D(n_5461),
+	.X(n_5977), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g795959 (
+	.A(n_4357),
+	.B(n_4350),
+	.C(n_5421),
+	.D(n_5650),
+	.Y(n_5976), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g795960 (
+	.A1(n_5572),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[30]),
+	.B1(n_5571),
+	.B2(brqrv_top_brqrv_dec_tlu_mcause[30]),
+	.C1(n_5706),
+	.X(brqrv_top_brqrv_dec_tlu_mcause_ns[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g795961 (
+	.A1(n_5572),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[29]),
+	.B1(n_5571),
+	.B2(brqrv_top_brqrv_dec_tlu_mcause[29]),
+	.C1(n_5706),
+	.X(brqrv_top_brqrv_dec_tlu_mcause_ns[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g795962 (
+	.A(n_5751),
+	.B(n_3726),
+	.Y(n_6014), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g795963 (
+	.A1(n_33865),
+	.A2(n_5513),
+	.B1(n_2993),
+	.B2(n_4725),
+	.C1(n_3453),
+	.Y(n_6012), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g795964 (
+	.A(n_5750),
+	.B(n_2972),
+	.Y(n_6010), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g795965 (
+	.A1(n_33865),
+	.A2(n_5515),
+	.B1(n_2993),
+	.B2(n_4721),
+	.C1(n_3989),
+	.Y(n_6008), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g795967 (
+	.A(n_5884),
+	.B(n_5603),
+	.Y(n_6005), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g795968 (
+	.A(n_5884),
+	.B(n_5601),
+	.Y(n_6003), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g795969 (
+	.A(n_5884),
+	.B(n_5599),
+	.Y(n_6001), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g795970 (
+	.A(n_5963),
+	.Y(brqrv_top_brqrv_dec_tlu_mcause_ns[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g795971 (
+	.A1(n_4601),
+	.A2(brqrv_top_brqrv_lsu_lsu_addr_m[2]),
+	.B1(n_4605),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_m[4]),
+	.C1(n_5727),
+	.Y(n_5971), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g795972 (
+	.A1(n_4607),
+	.A2(brqrv_top_brqrv_lsu_end_addr_m[11]),
+	.B1(n_5776),
+	.Y(n_5970), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g795973 (
+	.A1(n_1538),
+	.A2(n_4614),
+	.B1(n_4927),
+	.C1(n_5420),
+	.D1(n_5639),
+	.Y(n_5969), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g795974 (
+	.A1(n_5357),
+	.A2(n_5419),
+	.B1(n_33867),
+	.C1(n_33985),
+	.D1(n_34786),
+	.Y(n_5968), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g795975 (
+	.A1(brqrv_top_brqrv_dma_dccm_req),
+	.A2(n_5716),
+	.B1(n_2074),
+	.B2(n_35900),
+	.Y(n_5967), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o32ai_1 g795976 (
+	.A1(brqrv_top_brqrv_dma_ctrl_RspPtr[0]),
+	.A2(n_3698),
+	.A3(n_5698),
+	.B1(n_1564),
+	.B2(brqrv_top_brqrv_dma_dbg_cmd_done),
+	.Y(brqrv_top_brqrv_dma_ctrl_RspPtr_dff_n_8), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g795977 (
+	.A(n_3115),
+	.B(n_3321),
+	.C(n_3285),
+	.D(n_5463),
+	.Y(n_5966), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g795978 (
+	.A1(n_1868),
+	.A2(n_5704),
+	.B1(n_34367),
+	.B2(n_5574),
+	.C1(n_5619),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_byteenff_n_12 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g795979 (
+	.A1(n_1868),
+	.A2(n_5703),
+	.B1(n_34367),
+	.B2(n_5580),
+	.C1(n_5617),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_byteenff_n_12 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g795980 (
+	.A1(n_1868),
+	.A2(n_5705),
+	.B1(n_34367),
+	.B2(n_5576),
+	.C1(n_5615),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_byteenff_n_12 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g795982 (
+	.A1(n_1868),
+	.A2(n_5702),
+	.B1(n_34367),
+	.B2(n_5578),
+	.C1(n_5613),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_byteenff_n_12 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g795983 (
+	.A(n_2726),
+	.B(n_5724),
+	.Y(n_5964), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g795984 (
+	.A1(brqrv_top_brqrv_dec_tlu_mcause[3]),
+	.A2(n_5571),
+	.B1(n_2957),
+	.B2(brqrv_top_brqrv_dec_tlu_exc_cause_r[3]),
+	.C1(n_5572),
+	.C2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[3]),
+	.Y(n_5963), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g795985 (
+	.A1(n_2964),
+	.A2(n_5578),
+	.B1(n_34640),
+	.B2(n_1394),
+	.C1(n_5646),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_age_in[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o311ai_1 g795986 (
+	.A1(n_1576),
+	.A2(n_34257),
+	.A3(n_3560),
+	.B1(n_4075),
+	.C1(n_5797),
+	.Y(n_5962), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g795987 (
+	.A(n_4275),
+	.B(n_5649),
+	.C(n_5689),
+	.D(n_5076),
+	.Y(n_5961), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g795988 (
+	.A(n_5674),
+	.B(n_5675),
+	.C(n_5115),
+	.Y(n_5960), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g795989 (
+	.A(n_5672),
+	.B(n_5673),
+	.C(n_5114),
+	.Y(n_5959), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g795990 (
+	.A(n_5113),
+	.B(n_5671),
+	.C(n_5670),
+	.Y(n_5958), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g795991 (
+	.A1(n_1052),
+	.A2(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[4]),
+	.B1(n_5817),
+	.Y(n_5957), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g795992 (
+	.A1(brqrv_top_brqrv_dec_tlu_interrupt_valid_r),
+	.A2(n_2957),
+	.B1(n_5572),
+	.B2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[31]),
+	.C1(n_5571),
+	.C2(brqrv_top_brqrv_dec_tlu_mcause[31]),
+	.Y(n_5956), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g795993 (
+	.A1(n_2649),
+	.A2(n_5578),
+	.B1(n_34599),
+	.B2(n_1394),
+	.C1(n_5642),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_age_in[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g795994 (
+	.A1(n_2648),
+	.A2(n_5578),
+	.B1(n_34709),
+	.B2(n_1394),
+	.C1(n_5645),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_age_in[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g795995 (
+	.A1(n_2962),
+	.A2(n_5578),
+	.B1(n_34708),
+	.B2(n_1394),
+	.C1(n_34572),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_age_in[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g795996 (
+	.A1(n_2058),
+	.A2(n_41719),
+	.B1(n_5818),
+	.Y(n_5955), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g795997 (
+	.A1(n_5571),
+	.A2(brqrv_top_brqrv_dec_tlu_mcause[4]),
+	.B1(n_5572),
+	.B2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[4]),
+	.C1(brqrv_top_brqrv_dec_tlu_exc_cause_r[4]),
+	.X(brqrv_top_brqrv_dec_tlu_mcause_ns[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g795998 (
+	.A1(n_5571),
+	.A2(brqrv_top_brqrv_dec_tlu_mcause[2]),
+	.B1(n_5572),
+	.B2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[2]),
+	.C1(brqrv_top_brqrv_dec_tlu_exc_cause_r[2]),
+	.X(brqrv_top_brqrv_dec_tlu_mcause_ns[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g795999 (
+	.A1(n_1808),
+	.A2(n_5697),
+	.B1(n_5881),
+	.Y(n_5954), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g796000 (
+	.A1(n_1513),
+	.A2(n_5697),
+	.B1(n_5880),
+	.Y(n_5953), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g796001 (
+	.A1(n_5696),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[29]),
+	.B1(n_5695),
+	.B2(brqrv_top_brqrv_dec_tlu_mepc[29]),
+	.X(n_5952), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g796002 (
+	.A1(n_5696),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[28]),
+	.B1(n_5695),
+	.B2(brqrv_top_brqrv_dec_tlu_mepc[28]),
+	.X(n_5951), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g796003 (
+	.A1(brqrv_top_brqrv_dec_tlu_n_6062),
+	.A2(n_5697),
+	.B1(n_5879),
+	.Y(n_5950), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g796004 (
+	.A1(brqrv_top_brqrv_dec_tlu_int_timers_n_324),
+	.A2(n_5697),
+	.B1(n_5878),
+	.Y(n_5949), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g796005 (
+	.A1(brqrv_top_brqrv_dec_tlu_int_timers_n_323),
+	.A2(n_5697),
+	.B1(n_5877),
+	.Y(n_5948), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g796006 (
+	.A1(brqrv_top_brqrv_dec_tlu_int_timers_n_322),
+	.A2(n_5697),
+	.B1(n_5876),
+	.Y(n_5947), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g796007 (
+	.A1(brqrv_top_brqrv_dec_tlu_int_timers_n_321),
+	.A2(n_5697),
+	.B1(n_5875),
+	.Y(n_5946), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g796008 (
+	.A1(brqrv_top_brqrv_dec_tlu_int_timers_n_318),
+	.A2(n_5697),
+	.B1(n_5872),
+	.Y(n_5945), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g796009 (
+	.A1(brqrv_top_brqrv_dec_tlu_n_6058),
+	.A2(n_5697),
+	.B1(n_5871),
+	.Y(n_5944), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g796010 (
+	.A1(brqrv_top_brqrv_dec_tlu_int_timers_n_316),
+	.A2(n_5697),
+	.B1(n_5870),
+	.Y(n_5943), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g796011 (
+	.A1(brqrv_top_brqrv_dec_tlu_int_timers_n_315),
+	.A2(n_5697),
+	.B1(n_5869),
+	.Y(n_5942), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g796012 (
+	.A1(brqrv_top_brqrv_dec_tlu_int_timers_n_314),
+	.A2(n_5697),
+	.B1(n_5868),
+	.Y(n_5941), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g796013 (
+	.A1(brqrv_top_brqrv_dec_tlu_int_timers_n_313),
+	.A2(n_5697),
+	.B1(n_5867),
+	.Y(n_5940), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g796014 (
+	.A1(n_1518),
+	.A2(n_5697),
+	.B1(n_5866),
+	.Y(n_5939), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g796015 (
+	.A1(n_1519),
+	.A2(n_5697),
+	.B1(n_5865),
+	.Y(n_5938), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g796016 (
+	.A1(brqrv_top_brqrv_dec_tlu_int_timers_n_310),
+	.A2(n_5697),
+	.B1(n_5864),
+	.Y(n_5937), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g796017 (
+	.A1(n_1511),
+	.A2(n_5697),
+	.B1(n_5863),
+	.Y(n_5936), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g796018 (
+	.A1(n_1514),
+	.A2(n_5697),
+	.B1(n_5862),
+	.Y(n_5935), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g796019 (
+	.A1(n_1809),
+	.A2(n_5697),
+	.B1(n_5860),
+	.Y(n_5934), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g796020 (
+	.A1(n_1507),
+	.A2(n_5697),
+	.B1(n_5859),
+	.Y(n_5933), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g796021 (
+	.A1(n_1506),
+	.A2(n_5697),
+	.B1(n_5858),
+	.Y(n_5932), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g796022 (
+	.A1(n_1805),
+	.A2(n_5697),
+	.B1(n_5857),
+	.Y(n_5931), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g796023 (
+	.A1(n_1508),
+	.A2(n_5697),
+	.B1(n_5856),
+	.Y(n_5930), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g796024 (
+	.A1(n_1802),
+	.A2(n_5697),
+	.B1(n_5855),
+	.Y(n_5929), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g796025 (
+	.A1(n_1790),
+	.A2(n_5697),
+	.B1(n_5853),
+	.Y(n_5928), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g796027 (
+	.A1(n_5692),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[287]),
+	.B1(n_5690),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[159]),
+	.Y(n_5926), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g796028 (
+	.A1(n_5693),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[94]),
+	.B1(n_5691),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[222]),
+	.Y(n_5925), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g796029 (
+	.A1(n_5694),
+	.A2(brqrv_top_brqrv_dma_ctrl_n_1144),
+	.B1(n_5691),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[221]),
+	.Y(n_5924), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g796030 (
+	.A1(n_5693),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[92]),
+	.B1(n_5690),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[156]),
+	.Y(n_5923), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g796031 (
+	.A1(n_5692),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[283]),
+	.B1(n_5691),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[219]),
+	.Y(n_5922), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g796032 (
+	.A1(n_5692),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[282]),
+	.B1(n_5691),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[218]),
+	.Y(n_5921), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g796033 (
+	.A1(n_5693),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[89]),
+	.B1(n_5690),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[153]),
+	.Y(n_5920), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g796034 (
+	.A1(n_5693),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[88]),
+	.B1(n_5690),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[152]),
+	.Y(n_5919), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g796035 (
+	.A1(n_5693),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[87]),
+	.B1(n_5691),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[215]),
+	.Y(n_5918), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g796036 (
+	.A1(n_5693),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[86]),
+	.B1(n_5690),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[150]),
+	.Y(n_5917), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g796037 (
+	.A1(n_5693),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[85]),
+	.B1(n_5692),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[277]),
+	.Y(n_5916), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g796038 (
+	.A1(n_5693),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[84]),
+	.B1(n_5691),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[212]),
+	.Y(n_5915), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g796039 (
+	.A1(n_5693),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[83]),
+	.B1(n_5690),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[147]),
+	.Y(n_5914), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g796040 (
+	.A1(n_5690),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[146]),
+	.B1(n_5691),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[210]),
+	.Y(n_5913), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g796041 (
+	.A1(n_5693),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[81]),
+	.B1(n_5690),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[145]),
+	.Y(n_5912), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g796042 (
+	.A1(n_5694),
+	.A2(brqrv_top_brqrv_dma_ctrl_n_1079),
+	.B1(n_5693),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[80]),
+	.Y(n_5911), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g796043 (
+	.A1(n_5693),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[79]),
+	.B1(n_5691),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[207]),
+	.Y(n_5910), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g796044 (
+	.A1(n_5690),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[142]),
+	.B1(n_5691),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[206]),
+	.Y(n_5909), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g796045 (
+	.A1_N(n_35373),
+	.A2_N(n_35933),
+	.B1(n_35373),
+	.B2(n_5474),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_in [30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g796046 (
+	.A1(n_5692),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[269]),
+	.B1(n_5691),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[205]),
+	.Y(n_5908), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g796047 (
+	.A1(n_5690),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[140]),
+	.B1(n_5691),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[204]),
+	.Y(n_5907), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g796048 (
+	.A1(n_5692),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[267]),
+	.B1(n_5691),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[203]),
+	.Y(n_5906), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g796049 (
+	.A1(n_5693),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[74]),
+	.B1(n_5690),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[138]),
+	.Y(n_5905), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g796050 (
+	.A1(n_5693),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[73]),
+	.B1(n_5692),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[265]),
+	.Y(n_5904), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g796051 (
+	.A1(n_5693),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[72]),
+	.B1(n_5690),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[136]),
+	.Y(n_5903), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g796052 (
+	.A1(n_5692),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[263]),
+	.B1(n_4210),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_1194),
+	.Y(n_5902), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g796053 (
+	.A1(n_5694),
+	.A2(brqrv_top_brqrv_dma_ctrl_n_1034),
+	.B1(n_5693),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[71]),
+	.X(n_5901), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g796054 (
+	.A1(n_5692),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[262]),
+	.B1(n_4210),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_1189),
+	.Y(n_5900), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g796055 (
+	.A1(n_5693),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[70]),
+	.B1(n_5690),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[134]),
+	.Y(n_5899), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g796056 (
+	.A1(n_5690),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[133]),
+	.B1(n_5691),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[197]),
+	.Y(n_5898), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g796057 (
+	.A1(n_5694),
+	.A2(brqrv_top_brqrv_dma_ctrl_n_1024),
+	.B1(n_5693),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[69]),
+	.X(n_5897), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g796058 (
+	.A1(n_5692),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[260]),
+	.B1(n_4210),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_1179),
+	.Y(n_5896), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g796059 (
+	.A1(n_5694),
+	.A2(brqrv_top_brqrv_dma_ctrl_n_1019),
+	.B1(n_5691),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[196]),
+	.Y(n_5895), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g796060 (
+	.A1(n_5694),
+	.A2(brqrv_top_brqrv_dma_ctrl_n_1014),
+	.B1(n_5691),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[195]),
+	.Y(n_5894), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g796061 (
+	.A1(n_5690),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[130]),
+	.B1(n_5691),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[194]),
+	.Y(n_5893), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g796062 (
+	.A1(n_5694),
+	.A2(brqrv_top_brqrv_dma_ctrl_n_1009),
+	.B1(n_5693),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[66]),
+	.Y(n_5892), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g796063 (
+	.A1(n_5694),
+	.A2(brqrv_top_brqrv_dma_ctrl_n_1004),
+	.B1(n_5691),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[193]),
+	.Y(n_5891), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g796064 (
+	.A1(n_5694),
+	.A2(brqrv_top_brqrv_dma_ctrl_n_999),
+	.B1(n_5691),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[192]),
+	.Y(n_5890), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g796065 (
+	.A1_N(n_35788),
+	.A2_N(n_1416),
+	.B1(n_35788),
+	.B2(n_1416),
+	.Y(n_5889), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796066 (
+	.A1(n_1865),
+	.A2(n_5702),
+	.B1(n_2978),
+	.B2(n_34417),
+	.C1(n_5549),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_byteenff_n_13 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796067 (
+	.A1(n_1865),
+	.A2(n_5704),
+	.B1(n_2978),
+	.B2(n_34606),
+	.C1(n_5552),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_byteenff_n_13 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796068 (
+	.A1(n_1865),
+	.A2(n_5703),
+	.B1(n_2978),
+	.B2(n_34597),
+	.C1(n_5551),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_byteenff_n_13 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796069 (
+	.A1(n_1865),
+	.A2(n_5705),
+	.B1(n_2978),
+	.B2(n_34378),
+	.C1(n_5550),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_byteenff_n_13 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g796070 (
+	.A1_N(n_3766),
+	.A2_N(n_5363),
+	.B1(n_3766),
+	.B2(n_5363),
+	.Y(n_5975), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g796071 (
+	.A1(brqrv_top_brqrv_exu_flush_final),
+	.A2(n_5666),
+	.B1(n_42991),
+	.B2(n_5359),
+	.Y(brqrv_top_brqrv_ifu_aln_f1val_in[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o31a_1 g796072 (
+	.A1(n_3013),
+	.A2(n_5382),
+	.A3(n_5629),
+	.B1(n_3771),
+	.X(n_5974), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g796073 (
+	.A1(n_5715),
+	.A2(n_43405),
+	.B1(n_4911),
+	.Y(n_5973), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g796074 (
+	.A1(n_43023),
+	.A2(n_5474),
+	.B1(n_3402),
+	.X(n_5972), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g796075 (
+	.A(n_5788),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_samedwff_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g796076 (
+	.A(n_5787),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_unsignff_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g796077 (
+	.A(n_5786),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_samedwff_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g796078 (
+	.A(n_5785),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_unsignff_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g796079 (
+	.A(n_5784),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_samedwff_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g796080 (
+	.A(n_5783),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_unsignff_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g796081 (
+	.A(n_5782),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_samedwff_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g796082 (
+	.A(n_5778),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_unsignff_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g796083 (
+	.A(n_5774),
+	.Y(brqrv_top_brqrv_dec_tlu_meicidpl_ns[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g796084 (
+	.A1(n_4703),
+	.A2(brqrv_top_brqrv_dec_tlu_minstretl_cout_f),
+	.B1(n_5663),
+	.Y(n_5882), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796085 (
+	.A(n_5695),
+	.B(brqrv_top_brqrv_dec_tlu_mepc[31]),
+	.Y(n_5881), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796086 (
+	.A(n_5695),
+	.B(brqrv_top_brqrv_dec_tlu_mepc[30]),
+	.Y(n_5880), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796087 (
+	.A(n_5695),
+	.B(brqrv_top_brqrv_dec_tlu_mepc[27]),
+	.Y(n_5879), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796088 (
+	.A(n_5695),
+	.B(brqrv_top_brqrv_dec_tlu_mepc[26]),
+	.Y(n_5878), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796089 (
+	.A(n_5695),
+	.B(brqrv_top_brqrv_dec_tlu_mepc[25]),
+	.Y(n_5877), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796090 (
+	.A(n_5695),
+	.B(brqrv_top_brqrv_dec_tlu_mepc[24]),
+	.Y(n_5876), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796091 (
+	.A(n_5695),
+	.B(brqrv_top_brqrv_dec_tlu_mepc[23]),
+	.Y(n_5875), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796092 (
+	.A(n_5695),
+	.B(brqrv_top_brqrv_dec_tlu_mepc[22]),
+	.Y(n_5874), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796093 (
+	.A(n_5695),
+	.B(brqrv_top_brqrv_dec_tlu_mepc[21]),
+	.Y(n_5873), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796094 (
+	.A(n_5695),
+	.B(brqrv_top_brqrv_dec_tlu_mepc[20]),
+	.Y(n_5872), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796095 (
+	.A(n_5695),
+	.B(brqrv_top_brqrv_dec_tlu_mepc[19]),
+	.Y(n_5871), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796096 (
+	.A(n_5695),
+	.B(brqrv_top_brqrv_dec_tlu_mepc[18]),
+	.Y(n_5870), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796097 (
+	.A(n_5695),
+	.B(brqrv_top_brqrv_dec_tlu_mepc[17]),
+	.Y(n_5869), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796098 (
+	.A(n_5695),
+	.B(brqrv_top_brqrv_dec_tlu_mepc[16]),
+	.Y(n_5868), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796099 (
+	.A(n_5695),
+	.B(brqrv_top_brqrv_dec_tlu_mepc[15]),
+	.Y(n_5867), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796100 (
+	.A(n_5695),
+	.B(brqrv_top_brqrv_dec_tlu_mepc[14]),
+	.Y(n_5866), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796101 (
+	.A(n_5695),
+	.B(brqrv_top_brqrv_dec_tlu_mepc[13]),
+	.Y(n_5865), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796102 (
+	.A(n_5695),
+	.B(brqrv_top_brqrv_dec_tlu_mepc[12]),
+	.Y(n_5864), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796103 (
+	.A(n_5695),
+	.B(brqrv_top_brqrv_dec_tlu_mepc[11]),
+	.Y(n_5863), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796104 (
+	.A(n_5695),
+	.B(brqrv_top_brqrv_dec_tlu_mepc[10]),
+	.Y(n_5862), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796105 (
+	.A(n_5695),
+	.B(brqrv_top_brqrv_dec_tlu_mepc[9]),
+	.Y(n_5861), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796106 (
+	.A(n_5695),
+	.B(brqrv_top_brqrv_dec_tlu_mepc[8]),
+	.Y(n_5860), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796107 (
+	.A(n_5695),
+	.B(brqrv_top_brqrv_dec_tlu_mepc[7]),
+	.Y(n_5859), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796108 (
+	.A(n_5695),
+	.B(brqrv_top_brqrv_dec_tlu_mepc[6]),
+	.Y(n_5858), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796109 (
+	.A(n_5695),
+	.B(brqrv_top_brqrv_dec_tlu_mepc[5]),
+	.Y(n_5857), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796110 (
+	.A(n_5695),
+	.B(brqrv_top_brqrv_dec_tlu_mepc[4]),
+	.Y(n_5856), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796111 (
+	.A(n_5695),
+	.B(brqrv_top_brqrv_dec_tlu_mepc[3]),
+	.Y(n_5855), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796112 (
+	.A(n_5695),
+	.B(brqrv_top_brqrv_dec_tlu_mepc[2]),
+	.Y(n_5854), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796113 (
+	.A(n_5695),
+	.B(brqrv_top_brqrv_dec_tlu_mepc[1]),
+	.Y(n_5853), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796114 (
+	.A(n_5709),
+	.B(n_5374),
+	.Y(n_5852), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796115 (
+	.A(n_5691),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_data[223]),
+	.Y(n_5851), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796116 (
+	.A(n_5690),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_data[158]),
+	.Y(n_5850), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796117 (
+	.A(n_5693),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_data[93]),
+	.Y(n_5849), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796118 (
+	.A(n_5691),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_data[220]),
+	.Y(n_5848), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796119 (
+	.A(n_5690),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_data[155]),
+	.Y(n_5847), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796120 (
+	.A(n_5690),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_data[154]),
+	.Y(n_5846), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796121 (
+	.A(n_5691),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_data[217]),
+	.Y(n_5845), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796122 (
+	.A(n_5691),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_data[216]),
+	.Y(n_5844), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796123 (
+	.A(n_5690),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_data[151]),
+	.Y(n_5843), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796124 (
+	.A(n_5692),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_data[278]),
+	.Y(n_5842), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796125 (
+	.A(n_5694),
+	.B(brqrv_top_brqrv_dma_ctrl_n_1104),
+	.Y(n_5841), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796126 (
+	.A(n_5690),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_data[148]),
+	.Y(n_5840), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796127 (
+	.A(n_5691),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_data[211]),
+	.Y(n_5839), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796128 (
+	.A(n_5692),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_data[274]),
+	.Y(n_5838), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796129 (
+	.A(n_5692),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_data[273]),
+	.Y(n_5837), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796130 (
+	.A(n_5692),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_data[272]),
+	.Y(n_5836), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796131 (
+	.A(n_5694),
+	.B(brqrv_top_brqrv_dma_ctrl_n_1074),
+	.Y(n_5835), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796132 (
+	.A(n_5692),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_data[270]),
+	.Y(n_5834), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796133 (
+	.A(n_5690),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_data[141]),
+	.Y(n_5833), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796134 (
+	.A(n_5692),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_data[268]),
+	.Y(n_5832), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796135 (
+	.A(n_5690),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_data[139]),
+	.Y(n_5831), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796136 (
+	.A(n_5691),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_data[202]),
+	.Y(n_5830), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796137 (
+	.A(n_5694),
+	.B(brqrv_top_brqrv_dma_ctrl_n_1044),
+	.Y(n_5829), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796138 (
+	.A(n_5691),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_data[200]),
+	.Y(n_5828), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796139 (
+	.A(n_5690),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_data[131]),
+	.Y(n_5827), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796140 (
+	.A(n_5690),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_data[129]),
+	.Y(n_5826), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796141 (
+	.A(n_5690),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_data[128]),
+	.Y(n_5825), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796143 (
+	.A1(brqrv_top_brqrv_dma_ctrl_WrPtr[0]),
+	.A2(n_5370),
+	.B1(n_34903),
+	.B2(n_1620),
+	.C1(n_2041),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_data_en[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796144 (
+	.A1(brqrv_top_brqrv_dma_ctrl_WrPtr[0]),
+	.A2(n_5376),
+	.B1(n_34899),
+	.B2(n_1620),
+	.C1(n_1716),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_data_en[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796145 (
+	.A1(n_1552),
+	.A2(n_5376),
+	.B1(n_34898),
+	.B2(n_1620),
+	.C1(n_1730),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_data_en[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796146 (
+	.A(n_1444),
+	.B(n_1872),
+	.Y(n_5824), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796147 (
+	.A(brqrv_top_brqrv_dma_dbg_cmd_done),
+	.B(brqrv_top_brqrv_dma_ctrl_RspPtr[0]),
+	.Y(n_5823), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796149 (
+	.A(n_5700),
+	.B(n_5701),
+	.Y(n_5822), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g796150 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dualtag[0]),
+	.A2(n_5338),
+	.B1(n_1852),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dualtag[0]),
+	.C1(n_5579),
+	.C2(brqrv_top_brqrv_lsu_nonblock_load_inv_tag_r[0]),
+	.Y(n_5821), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g796151 (
+	.A1(n_5268),
+	.A2(n_5291),
+	.A3(n_33322),
+	.B1(brqrv_top_brqrv_dec_tlu_exc_or_int_valid_r),
+	.Y(n_5820), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g796152 (
+	.A1(n_5355),
+	.A2(n_4239),
+	.B1(brqrv_top_brqrv_dbg_n_4554),
+	.B2(brqrv_top_brqrv_dbg_sbdata0_reg_wren),
+	.C1(n_4702),
+	.X(brqrv_top_brqrv_dbg_n_4575), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g796153 (
+	.A1(n_1418),
+	.A2(brqrv_top_brqrv_dma_ctrl_WrPtr[0]),
+	.B1(brqrv_top_brqrv_dma_ctrl_n_1834),
+	.B2(n_1671),
+	.C1(n_34624),
+	.X(brqrv_top_brqrv_dma_ctrl_fifo_data_en[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g796154 (
+	.A(n_1509),
+	.B_N(brqrv_top_brqrv_dec_tlu_flush_path_r[5]),
+	.Y(n_5819), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796155 (
+	.A(brqrv_top_brqrv_dec_tlu_flush_path_r[6]),
+	.B(n_32502),
+	.Y(n_5818), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g796156 (
+	.A(n_1509),
+	.B_N(brqrv_top_brqrv_dec_tlu_flush_path_r[4]),
+	.Y(n_5817), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g796157 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dualtag[1]),
+	.A2(n_5338),
+	.B1(n_1852),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dualtag[1]),
+	.C1(n_5579),
+	.C2(brqrv_top_brqrv_lsu_nonblock_load_inv_tag_r[1]),
+	.Y(n_5816), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g796158 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dualtag[5]),
+	.A2(n_5335),
+	.B1(n_1546),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dualtag[1]),
+	.C1(n_5581),
+	.C2(brqrv_top_brqrv_lsu_nonblock_load_inv_tag_r[1]),
+	.Y(n_5815), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g796159 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dualtag[4]),
+	.A2(n_5335),
+	.B1(n_1546),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dualtag[0]),
+	.C1(n_5581),
+	.C2(brqrv_top_brqrv_lsu_nonblock_load_inv_tag_r[0]),
+	.Y(n_5814), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g796160 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dualtag[6]),
+	.A2(n_5337),
+	.B1(n_1545),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dualtag[0]),
+	.C1(n_5577),
+	.C2(brqrv_top_brqrv_lsu_nonblock_load_inv_tag_r[0]),
+	.Y(n_5813), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g796161 (
+	.A(n_3302),
+	.B(n_3115),
+	.C(n_4838),
+	.D(n_5266),
+	.Y(n_5812), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g796162 (
+	.A1(n_3522),
+	.A2(n_5526),
+	.B1(brqrv_top_brqrv_dec_decode_d_t[5]),
+	.Y(n_5811), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g796163 (
+	.A(n_1867),
+	.B(brqrv_top_brqrv_ifu_aln_f0val_in[1]),
+	.Y(n_5810), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211o_1 g796164 (
+	.A1(brqrv_top_brqrv_dma_ctrl_n_1834),
+	.A2(n_1887),
+	.B1(n_35368),
+	.C1(n_5432),
+	.X(brqrv_top_brqrv_dma_ctrl_fifo_data_en[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g796165 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dualtag[3]),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dualtag[2]),
+	.A3(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dual[1]),
+	.B1(n_5700),
+	.Y(n_5809), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g796166 (
+	.A1(n_43390),
+	.A2(n_4825),
+	.A3(n_43393),
+	.B1(n_4001),
+	.Y(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_f_ff_dff_dff_left_final_en), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g796167 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dualtag[7]),
+	.A2(n_5337),
+	.B1(n_1545),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dualtag[1]),
+	.C1(n_5577),
+	.C2(brqrv_top_brqrv_lsu_nonblock_load_inv_tag_r[1]),
+	.Y(n_5808), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796168 (
+	.A(n_5708),
+	.B(n_3574),
+	.Y(n_5807), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g796169 (
+	.A1(n_35791),
+	.A2(n_41741),
+	.B1(n_5708),
+	.Y(n_5806), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a41oi_1 g796170 (
+	.A1(n_5218),
+	.A2(n_4824),
+	.A3(n_3444),
+	.A4(n_4559),
+	.B1(n_2960),
+	.Y(brqrv_top_brqrv_exu_i_flush_path_x_ff_dff_dff_left_final_en), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g796171 (
+	.A1(n_5535),
+	.A2(n_3048),
+	.B1(n_4431),
+	.B2(n_3737),
+	.X(n_5805), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32o_1 g796172 (
+	.A1(n_5036),
+	.A2(brqrv_top_brqrv_dec_tlu_debug_mode),
+	.A3(brqrv_top_brqrv_dec_tlu_nmi_int_detected_f),
+	.B1(n_5517),
+	.B2(brqrv_top_brqrv_dec_tlu_dcsr[3]),
+	.X(brqrv_top_brqrv_dec_tlu_dcsr_ns[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796173 (
+	.A(n_1444),
+	.B(n_3586),
+	.Y(n_5804), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796174 (
+	.A1(n_109),
+	.A2(n_5378),
+	.B1(n_1614),
+	.B2(n_33322),
+	.C1(n_5373),
+	.Y(n_5803), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g796175 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dualtag[2]),
+	.A2(n_5336),
+	.B1(n_1850),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dualtag[0]),
+	.C1(n_5575),
+	.C2(brqrv_top_brqrv_lsu_nonblock_load_inv_tag_r[0]),
+	.Y(n_5802), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g796176 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dualtag[3]),
+	.B(n_3087),
+	.C(n_5699),
+	.Y(n_5801), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31o_1 g796177 (
+	.A1(n_1558),
+	.A2(n_1876),
+	.A3(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dual[2]),
+	.B1(n_5699),
+	.X(n_5800), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796178 (
+	.A1(n_34471),
+	.A2(n_5469),
+	.B1(n_1503),
+	.B2(n_34469),
+	.C1(n_2619),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[893]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796179 (
+	.A1(n_34511),
+	.A2(n_5469),
+	.B1(n_1503),
+	.B2(n_34509),
+	.C1(n_2477),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[61]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796180 (
+	.A1(n_34450),
+	.A2(n_5469),
+	.B1(n_1503),
+	.B2(n_34448),
+	.C1(n_2534),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[669]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796181 (
+	.A1(n_34496),
+	.A2(n_5469),
+	.B1(n_1503),
+	.B2(n_34494),
+	.C1(n_2556),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[253]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796182 (
+	.A1(n_34474),
+	.A2(n_5469),
+	.B1(n_1503),
+	.B2(n_34472),
+	.C1(n_2332),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[957]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796183 (
+	.A1(n_34453),
+	.A2(n_5469),
+	.B1(n_1503),
+	.B2(n_34451),
+	.C1(n_2300),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[701]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796184 (
+	.A1(n_34493),
+	.A2(n_5469),
+	.B1(n_1503),
+	.B2(n_34491),
+	.C1(n_2535),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[221]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796185 (
+	.A1(n_35336),
+	.A2(n_5469),
+	.B1(n_1503),
+	.B2(n_35334),
+	.C1(n_2433),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[477]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796186 (
+	.A1(n_34456),
+	.A2(n_5469),
+	.B1(n_1503),
+	.B2(n_34454),
+	.C1(n_2145),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[733]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796187 (
+	.A1(n_35324),
+	.A2(n_5469),
+	.B1(n_1503),
+	.B2(n_35322),
+	.C1(n_2143),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[285]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796188 (
+	.A1(n_34508),
+	.A2(n_5469),
+	.B1(n_1503),
+	.B2(n_34506),
+	.C1(n_2190),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[93]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796189 (
+	.A1(n_34477),
+	.A2(n_5469),
+	.B1(n_1503),
+	.B2(n_34475),
+	.C1(n_2110),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[1021]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796190 (
+	.A1(n_34462),
+	.A2(n_5469),
+	.B1(n_1503),
+	.B2(n_34460),
+	.C1(n_2177),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[829]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796191 (
+	.A1(n_34484),
+	.A2(n_5469),
+	.B1(n_1503),
+	.B2(n_34482),
+	.C1(n_2256),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[413]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796192 (
+	.A1(n_34499),
+	.A2(n_5469),
+	.B1(n_1503),
+	.B2(n_34497),
+	.C1(n_2213),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[189]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796193 (
+	.A1(n_35330),
+	.A2(n_5469),
+	.B1(n_1503),
+	.B2(n_35328),
+	.C1(n_2287),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[381]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796194 (
+	.A1(n_35341),
+	.A2(n_5469),
+	.B1(n_1503),
+	.B2(n_34441),
+	.C1(n_2091),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[541]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796195 (
+	.A1(n_34502),
+	.A2(n_5469),
+	.B1(n_1503),
+	.B2(n_34500),
+	.C1(n_2372),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[157]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796196 (
+	.A1(n_34459),
+	.A2(n_5469),
+	.B1(n_1503),
+	.B2(n_34457),
+	.C1(n_2379),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[765]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796197 (
+	.A1(n_34468),
+	.A2(n_5469),
+	.B1(n_1503),
+	.B2(n_34466),
+	.C1(n_2360),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[797]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796198 (
+	.A1(n_35343),
+	.A2(n_5469),
+	.B1(n_1503),
+	.B2(n_34478),
+	.C1(n_2338),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[989]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796199 (
+	.A1(n_35327),
+	.A2(n_5469),
+	.B1(n_1503),
+	.B2(n_35325),
+	.C1(n_2319),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[349]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796200 (
+	.A1(n_34481),
+	.A2(n_5469),
+	.B1(n_1503),
+	.B2(n_34479),
+	.C1(n_2084),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[317]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796201 (
+	.A1(n_34465),
+	.A2(n_5469),
+	.B1(n_1503),
+	.B2(n_34463),
+	.C1(n_2276),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[861]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796202 (
+	.A1(n_34444),
+	.A2(n_5469),
+	.B1(n_1503),
+	.B2(n_34442),
+	.C1(n_2519),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[573]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796203 (
+	.A1(n_34490),
+	.A2(n_5469),
+	.B1(n_1503),
+	.B2(n_34488),
+	.C1(n_2137),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[637]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796204 (
+	.A1(n_35333),
+	.A2(n_5469),
+	.B1(n_1503),
+	.B2(n_35331),
+	.C1(n_2215),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[445]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796205 (
+	.A1(n_34447),
+	.A2(n_5469),
+	.B1(n_1503),
+	.B2(n_34445),
+	.C1(n_2546),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[605]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796206 (
+	.A1(n_34487),
+	.A2(n_5469),
+	.B1(n_1503),
+	.B2(n_34485),
+	.C1(n_2173),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[925]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796207 (
+	.A1(n_34505),
+	.A2(n_5469),
+	.B1(n_1503),
+	.B2(n_34503),
+	.C1(n_2154),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[125]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796208 (
+	.A1(n_35339),
+	.A2(n_5469),
+	.B1(n_1503),
+	.B2(n_35337),
+	.C1(n_2630),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[509]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g796209 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dualtag[3]),
+	.A2(n_5336),
+	.B1(n_1850),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dualtag[1]),
+	.C1(n_5575),
+	.C2(brqrv_top_brqrv_lsu_nonblock_load_inv_tag_r[1]),
+	.Y(n_5799), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o31ai_1 g796210 (
+	.A1(n_5118),
+	.A2(brqrv_top_brqrv_dbg_abstractcs_reg[12]),
+	.A3(n_1608),
+	.B1(n_4588),
+	.Y(brqrv_top_brqrv_dbg_execute_command_ns), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g796211 (
+	.A1(n_1859),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dualtag[6]),
+	.A3(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dual[3]),
+	.B1(n_5699),
+	.Y(n_5798), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g796212 (
+	.A(n_5574),
+	.B(n_5541),
+	.C(n_3126),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dualhiff_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g796213 (
+	.A(n_5580),
+	.B(n_5540),
+	.C(n_3165),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dualhiff_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g796214 (
+	.A(n_5576),
+	.B(n_5538),
+	.C(n_3187),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dualhiff_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g796215 (
+	.A(n_5578),
+	.B(n_5539),
+	.C(n_3071),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dualhiff_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111oi_0 g796216 (
+	.A1(n_3884),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [1]),
+	.B1(n_4074),
+	.C1(n_5024),
+	.D1(n_5425),
+	.Y(n_5797), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211o_1 g796217 (
+	.A1(brqrv_top_brqrv_dec_tlu_pc_r[29]),
+	.A2(n_2017),
+	.B1(n_4360),
+	.C1(n_5466),
+	.X(n_5796), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g796218 (
+	.A(n_3315),
+	.B(n_5530),
+	.C(n_34814),
+	.Y(n_5795), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g796219 (
+	.A1(brqrv_top_brqrv_dec_tlu_npc_r[14]),
+	.A2(n_1745),
+	.B1(brqrv_top_brqrv_dec_tlu_npc_r[29]),
+	.B2(n_2045),
+	.C1(n_5651),
+	.Y(n_5794), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111oi_0 g796220 (
+	.A1(n_4616),
+	.A2(n_36271),
+	.B1(n_5532),
+	.C1(n_4937),
+	.D1(n_5130),
+	.Y(n_5793), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g796221 (
+	.A1(brqrv_top_brqrv_dec_tlu_take_nmi),
+	.A2(n_5265),
+	.B1(n_5571),
+	.B2(brqrv_top_brqrv_dec_tlu_mcause[0]),
+	.X(n_5792), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g796222 (
+	.A1(brqrv_top_brqrv_exu_ghr_d[2]),
+	.A2(n_3994),
+	.B1(n_5652),
+	.Y(n_5791), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g796223 (
+	.A(n_5387),
+	.B(n_5622),
+	.Y(n_5790), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g796224 (
+	.A1(n_5529),
+	.A2(n_3169),
+	.B1(n_4342),
+	.B2(n_3730),
+	.X(n_5789), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g796225 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_samedw[1]),
+	.A2(n_5336),
+	.B1(n_1850),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_samedw),
+	.C1(n_5588),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ldst_samedw_r),
+	.Y(n_5788), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g796226 (
+	.A1(n_1619),
+	.A2(n_5589),
+	.B1(n_5611),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_szff_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g796227 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_unsign[1]),
+	.A2(n_5336),
+	.B1(n_1850),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_unsign),
+	.C1(n_5588),
+	.C2(brqrv_top_brqrv_lsu_lsu_pkt_r[5]),
+	.Y(n_5787), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g796228 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_samedw[2]),
+	.A2(n_5335),
+	.B1(n_1546),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_samedw),
+	.C1(n_5586),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ldst_samedw_r),
+	.Y(n_5786), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g796229 (
+	.A1(n_1619),
+	.A2(n_5587),
+	.B1(n_5610),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_szff_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g796230 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_unsign[2]),
+	.A2(n_5335),
+	.B1(n_1546),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_unsign),
+	.C1(n_5586),
+	.C2(brqrv_top_brqrv_lsu_lsu_pkt_r[5]),
+	.Y(n_5785), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g796231 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_samedw[0]),
+	.A2(n_5338),
+	.B1(n_1852),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_samedw),
+	.C1(n_5582),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ldst_samedw_r),
+	.Y(n_5784), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g796232 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_unsign[0]),
+	.A2(n_5338),
+	.B1(n_1852),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_unsign),
+	.C1(n_5582),
+	.C2(brqrv_top_brqrv_lsu_lsu_pkt_r[5]),
+	.Y(n_5783), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g796233 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_samedw[3]),
+	.A2(n_5337),
+	.B1(n_1545),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_samedw),
+	.C1(n_5584),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ldst_samedw_r),
+	.Y(n_5782), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796234 (
+	.A1(n_1975),
+	.A2(brqrv_top_brqrv_dec_decode_x_t[15]),
+	.B1(brqrv_top_brqrv_dec_decode_x_t[16]),
+	.B2(n_1721),
+	.C1(n_5644),
+	.Y(n_5781), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g796235 (
+	.A(n_3232),
+	.B(n_3445),
+	.C(n_4445),
+	.D(n_5443),
+	.Y(n_5780), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g796236 (
+	.A(n_37077),
+	.B(n_5216),
+	.C(n_37079),
+	.D(n_37080),
+	.X(n_5779), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g796237 (
+	.A1(n_1619),
+	.A2(n_5585),
+	.B1(n_5608),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_szff_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g796238 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_unsign[3]),
+	.A2(n_5337),
+	.B1(n_1545),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_unsign),
+	.C1(n_5584),
+	.C2(brqrv_top_brqrv_lsu_lsu_pkt_r[5]),
+	.Y(n_5778), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g796239 (
+	.A1(n_4613),
+	.A2(brqrv_top_brqrv_lsu_lsu_addr_m[9]),
+	.B1(n_4607),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_m[11]),
+	.C1(n_5658),
+	.X(n_5777), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g796240 (
+	.A1(n_1619),
+	.A2(n_5583),
+	.B1(n_5609),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_szff_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g796241 (
+	.A1(brqrv_top_brqrv_lsu_end_addr_m[6]),
+	.A2(n_4616),
+	.B1(n_5093),
+	.C1(n_4981),
+	.D1(n_5437),
+	.Y(n_5776), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g796242 (
+	.A1(n_5366),
+	.A2(brqrv_top_brqrv_dec_tlu_mdseac_locked_f),
+	.B1(n_1976),
+	.B2(brqrv_top_brqrv_dec_tlu_minstret_enable_f),
+	.C1(n_3316),
+	.Y(n_5775), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g796243 (
+	.A(n_5381),
+	.B(n_5629),
+	.Y(n_5888), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o31ai_1 g796244 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_samedw[0]),
+	.A2(n_1968),
+	.A3(n_5149),
+	.B1(n_3176),
+	.Y(n_5887), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g796245 (
+	.A(n_3704),
+	.B(n_5698),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_reset[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g796246 (
+	.A_N(n_1416),
+	.B(n_35788),
+	.Y(n_5886), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g796247 (
+	.A1(n_1857),
+	.A2(n_35615),
+	.B1(n_5698),
+	.Y(n_5885), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g796248 (
+	.A(n_3702),
+	.B(n_5698),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_reset[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g796249 (
+	.A1(brqrv_top_brqrv_dec_dec_csr_wrdata_r[0]),
+	.A2(n_5353),
+	.B1(brqrv_top_brqrv_dec_tlu_wr_meicpct_r),
+	.B2(brqrv_top_brqrv_pic_pl[0]),
+	.C1(n_5356),
+	.C2(brqrv_top_brqrv_dec_tlu_meicidpl[0]),
+	.Y(n_5774), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g796250 (
+	.A(n_3697),
+	.B(n_5698),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_reset[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g796251 (
+	.A(n_3700),
+	.B(n_5698),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_reset[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g796252 (
+	.A(n_1443),
+	.B(n_1442),
+	.Y(n_5884), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796253 (
+	.A(n_4123),
+	.B(n_5661),
+	.Y(n_5883), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g796255 (
+	.A(n_5759),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_sideeffectff_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g796256 (
+	.A(n_5758),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_sideeffectff_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g796257 (
+	.A(n_5757),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_sideeffectff_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g796258 (
+	.A(n_5755),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_szff_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g796259 (
+	.A(n_5754),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_szff_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g796260 (
+	.A(n_5753),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_szff_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g796261 (
+	.A(n_5752),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_szff_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g796262 (
+	.A(n_5743),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_writeff_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g796263 (
+	.A(n_5742),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_writeff_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g796264 (
+	.A(n_5741),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_writeff_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g796265 (
+	.A(n_5740),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_writeff_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g796266 (
+	.A(n_5729),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_sideeffectff_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g796269 (
+	.A(n_5761),
+	.Y(brqrv_top_brqrv_dec_tlu_meicidpl_ns[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g796270 (
+	.A(n_5717),
+	.Y(brqrv_top_brqrv_dec_tlu_meicidpl_ns[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g796271 (
+	.A(n_5760),
+	.Y(brqrv_top_brqrv_dec_tlu_meicidpl_ns[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g796272 (
+	.A1_N(n_5569),
+	.A2_N(n_37730),
+	.B1(brqrv_top_brqrv_dec_tlu_n_6058),
+	.B2(n_5334),
+	.Y(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ns[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g796273 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_sideeffect[1]),
+	.A2(n_5336),
+	.B1(n_1850),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_sideeffect),
+	.C1(n_5588),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_is_sideeffects_r),
+	.Y(n_5759), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g796274 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_sideeffect[3]),
+	.A2(n_5337),
+	.B1(n_1545),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_sideeffect),
+	.C1(n_5584),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_is_sideeffects_r),
+	.Y(n_5758), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g796275 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_sideeffect[0]),
+	.A2(n_5338),
+	.B1(n_1852),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_sideeffect),
+	.C1(n_5582),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_is_sideeffects_r),
+	.Y(n_5757), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796276 (
+	.A1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[17]),
+	.A2(n_1844),
+	.B1(n_1543),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[14]),
+	.C1(n_5429),
+	.Y(n_5756), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g796277 (
+	.A1(n_3446),
+	.A2(n_5568),
+	.B1(n_1790),
+	.B2(n_5334),
+	.Y(\brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ns[1]_290 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g796278 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_sz[3]),
+	.A2(n_5336),
+	.B1(n_1850),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_sz[1]),
+	.C1(n_5588),
+	.C2(brqrv_top_brqrv_lsu_lsu_pkt_r[9]),
+	.Y(n_5755), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g796279 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_sz[5]),
+	.A2(n_5335),
+	.B1(n_1546),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_sz[1]),
+	.C1(n_5586),
+	.C2(brqrv_top_brqrv_lsu_lsu_pkt_r[9]),
+	.Y(n_5754), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g796280 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_sz[7]),
+	.A2(n_5337),
+	.B1(n_1545),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_sz[1]),
+	.C1(n_5584),
+	.C2(brqrv_top_brqrv_lsu_lsu_pkt_r[9]),
+	.Y(n_5753), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g796281 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_sz[1]),
+	.A2(n_5338),
+	.B1(n_1852),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_sz[1]),
+	.C1(n_5582),
+	.C2(brqrv_top_brqrv_lsu_lsu_pkt_r[9]),
+	.Y(n_5752), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g796282 (
+	.A1(n_33865),
+	.A2(n_5514),
+	.B1(n_2993),
+	.B2(n_4729),
+	.Y(n_5751), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g796283 (
+	.A1(n_33865),
+	.A2(n_5512),
+	.B1(n_2993),
+	.B2(n_4727),
+	.Y(n_5750), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796284 (
+	.A(n_1444),
+	.B(n_2866),
+	.Y(n_5749), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g796285 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[4]),
+	.A2(n_5336),
+	.B1(n_1850),
+	.B2(n_2987),
+	.C1(n_5575),
+	.C2(n_34362),
+	.Y(n_5748), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g796286 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[8]),
+	.A2(n_5335),
+	.B1(n_1546),
+	.B2(n_2987),
+	.C1(n_5581),
+	.C2(n_34362),
+	.Y(n_5747), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g796287 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[12]),
+	.A2(n_5337),
+	.B1(n_1545),
+	.B2(n_2987),
+	.C1(n_5577),
+	.C2(n_34362),
+	.Y(n_5746), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g796288 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[0]),
+	.A2(n_5338),
+	.B1(n_1852),
+	.B2(n_2987),
+	.C1(n_5579),
+	.C2(n_34362),
+	.Y(n_5745), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g796289 (
+	.A1(n_3377),
+	.A2(n_5568),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_300),
+	.B2(n_5334),
+	.Y(\brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ns[2]_291 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g796290 (
+	.A1(n_1794),
+	.A2(brqrv_top_brqrv_dbg_sbdata1_reg[0]),
+	.B1(n_1792),
+	.B2(brqrv_top_brqrv_dbg_sbdata0_reg[0]),
+	.C1(n_5632),
+	.Y(n_5744), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g796291 (
+	.A1(n_4805),
+	.A2(n_5568),
+	.B1(n_1802),
+	.B2(n_5334),
+	.Y(\brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ns[3]_292 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g796292 (
+	.A1(n_3378),
+	.A2(n_5568),
+	.B1(n_1508),
+	.B2(n_5334),
+	.Y(\brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ns[4]_293 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g796293 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_write[1]),
+	.A2(n_5336),
+	.B1(n_1850),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_write),
+	.C1(n_5588),
+	.C2(brqrv_top_brqrv_lsu_lsu_pkt_r[6]),
+	.Y(n_5743), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g796294 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_write[2]),
+	.A2(n_5335),
+	.B1(n_1546),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_write),
+	.C1(n_5586),
+	.C2(brqrv_top_brqrv_lsu_lsu_pkt_r[6]),
+	.Y(n_5742), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g796295 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_write[3]),
+	.A2(n_5337),
+	.B1(n_1545),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_write),
+	.C1(n_5584),
+	.C2(brqrv_top_brqrv_lsu_lsu_pkt_r[6]),
+	.Y(n_5741), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g796296 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_write[0]),
+	.A2(n_5338),
+	.B1(n_1852),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_write),
+	.C1(n_5582),
+	.C2(brqrv_top_brqrv_lsu_lsu_pkt_r[6]),
+	.Y(n_5740), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g796297 (
+	.A1(n_5472),
+	.A2(n_34786),
+	.B1(n_37327),
+	.B2(FE_DBTN21_n_34786),
+	.X(brqrv_top_brqrv_exu_i0_flush_path_d[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g796298 (
+	.A(n_5229),
+	.B(n_5138),
+	.C(n_4561),
+	.D(n_2867),
+	.Y(brqrv_top_brqrv_dbg_dmi_reg_rdata_din[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g796299 (
+	.A(n_5225),
+	.B(n_4811),
+	.C(n_3647),
+	.D(n_5011),
+	.Y(brqrv_top_brqrv_dbg_dmi_reg_rdata_din[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g796300 (
+	.A(n_3882),
+	.B(n_5460),
+	.C(n_4570),
+	.Y(brqrv_top_brqrv_dbg_dmi_reg_rdata_din[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g796301 (
+	.A(n_3881),
+	.B(n_5459),
+	.C(n_4569),
+	.Y(brqrv_top_brqrv_dbg_dmi_reg_rdata_din[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g796302 (
+	.A(n_3879),
+	.B(n_5457),
+	.C(n_4567),
+	.Y(brqrv_top_brqrv_dbg_dmi_reg_rdata_din[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g796303 (
+	.A(n_3878),
+	.B(n_5456),
+	.C(n_4566),
+	.Y(brqrv_top_brqrv_dbg_dmi_reg_rdata_din[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g796304 (
+	.A(n_3876),
+	.B(n_5455),
+	.C(n_4565),
+	.Y(brqrv_top_brqrv_dbg_dmi_reg_rdata_din[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g796305 (
+	.A(n_3875),
+	.B(n_5454),
+	.C(n_4564),
+	.Y(brqrv_top_brqrv_dbg_dmi_reg_rdata_din[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g796306 (
+	.A(n_3874),
+	.B(n_5453),
+	.C(n_4562),
+	.Y(brqrv_top_brqrv_dbg_dmi_reg_rdata_din[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g796307 (
+	.A(n_3873),
+	.B(n_5140),
+	.C(n_2791),
+	.D(n_5139),
+	.Y(brqrv_top_brqrv_dbg_dmi_reg_rdata_din[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g796308 (
+	.A(n_3871),
+	.B(n_5137),
+	.C(n_2865),
+	.D(n_5136),
+	.Y(brqrv_top_brqrv_dbg_dmi_reg_rdata_din[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g796309 (
+	.A(n_5129),
+	.B(n_3865),
+	.C(n_2853),
+	.D(n_5128),
+	.Y(brqrv_top_brqrv_dbg_dmi_reg_rdata_din[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g796310 (
+	.A(n_3846),
+	.B(n_5450),
+	.C(n_4539),
+	.Y(brqrv_top_brqrv_dbg_dmi_reg_rdata_din[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g796311 (
+	.A(n_3859),
+	.B(n_5449),
+	.C(n_4558),
+	.Y(brqrv_top_brqrv_dbg_dmi_reg_rdata_din[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g796312 (
+	.A(n_3858),
+	.B(n_5448),
+	.C(n_4557),
+	.Y(brqrv_top_brqrv_dbg_dmi_reg_rdata_din[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g796313 (
+	.A(n_4808),
+	.B(n_5286),
+	.C(n_5122),
+	.D(n_3380),
+	.Y(n_5739), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g796314 (
+	.A1(brqrv_top_brqrv_lsu_stbuf_n_584),
+	.A2(n_1847),
+	.B1(n_3289),
+	.C1(n_2790),
+	.D1(n_5641),
+	.Y(n_5738), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g796315 (
+	.A(n_5654),
+	.B(n_5631),
+	.Y(n_5737), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796316 (
+	.A1(n_34649),
+	.A2(n_5583),
+	.B1(n_2984),
+	.B2(n_34417),
+	.C1(n_5544),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_nomergeff_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g796317 (
+	.A(n_2675),
+	.B(n_5664),
+	.C(n_2688),
+	.Y(n_5736), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796318 (
+	.A1(n_34874),
+	.A2(n_1665),
+	.B1(n_1782),
+	.B2(n_43112),
+	.C1(n_5537),
+	.Y(n_5735), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g796319 (
+	.A1(n_5573),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[0]),
+	.B1(brqrv_top_brqrv_dec_tlu_lsu_i0_exc_r),
+	.B2(brqrv_top_brqrv_lsu_error_pkt_r[2]),
+	.X(n_5734), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g796320 (
+	.A1(brqrv_top_brqrv_dbg_cmd_wrdata[18]),
+	.A2(n_4592),
+	.B1(n_1794),
+	.B2(brqrv_top_brqrv_dbg_sbdata1_reg[18]),
+	.C1(n_5565),
+	.Y(n_5733), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g796321 (
+	.A1(brqrv_top_brqrv_dbg_data1_reg[16]),
+	.A2(n_4590),
+	.B1(n_1792),
+	.B2(brqrv_top_brqrv_dbg_sbdata0_reg[16]),
+	.C1(n_5564),
+	.X(n_5732), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g796322 (
+	.A1(brqrv_top_brqrv_dbg_cmd_wrdata[8]),
+	.A2(n_4592),
+	.B1(n_1794),
+	.B2(brqrv_top_brqrv_dbg_sbdata1_reg[8]),
+	.C1(n_5563),
+	.X(n_5731), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g796323 (
+	.A1(n_5572),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[27]),
+	.B1(n_5571),
+	.B2(brqrv_top_brqrv_dec_tlu_mcause[27]),
+	.X(brqrv_top_brqrv_dec_tlu_mcause_ns[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g796324 (
+	.A1(n_5572),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[26]),
+	.B1(n_5571),
+	.B2(brqrv_top_brqrv_dec_tlu_mcause[26]),
+	.X(brqrv_top_brqrv_dec_tlu_mcause_ns[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g796325 (
+	.A1(n_5572),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[25]),
+	.B1(n_5571),
+	.B2(brqrv_top_brqrv_dec_tlu_mcause[25]),
+	.X(brqrv_top_brqrv_dec_tlu_mcause_ns[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g796326 (
+	.A1(n_5572),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[24]),
+	.B1(n_5571),
+	.B2(brqrv_top_brqrv_dec_tlu_mcause[24]),
+	.X(brqrv_top_brqrv_dec_tlu_mcause_ns[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g796327 (
+	.A1(n_5572),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[23]),
+	.B1(n_5571),
+	.B2(brqrv_top_brqrv_dec_tlu_mcause[23]),
+	.X(brqrv_top_brqrv_dec_tlu_mcause_ns[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g796328 (
+	.A1(n_5572),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[22]),
+	.B1(n_5571),
+	.B2(brqrv_top_brqrv_dec_tlu_mcause[22]),
+	.X(brqrv_top_brqrv_dec_tlu_mcause_ns[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g796329 (
+	.A1(n_5572),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[21]),
+	.B1(n_5571),
+	.B2(brqrv_top_brqrv_dec_tlu_mcause[21]),
+	.X(brqrv_top_brqrv_dec_tlu_mcause_ns[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g796330 (
+	.A1(n_5572),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[20]),
+	.B1(n_5571),
+	.B2(brqrv_top_brqrv_dec_tlu_mcause[20]),
+	.X(brqrv_top_brqrv_dec_tlu_mcause_ns[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g796331 (
+	.A1(n_5572),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[19]),
+	.B1(n_5571),
+	.B2(brqrv_top_brqrv_dec_tlu_mcause[19]),
+	.X(brqrv_top_brqrv_dec_tlu_mcause_ns[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g796332 (
+	.A1(n_5572),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[18]),
+	.B1(n_5571),
+	.B2(brqrv_top_brqrv_dec_tlu_mcause[18]),
+	.X(brqrv_top_brqrv_dec_tlu_mcause_ns[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g796333 (
+	.A1(n_5572),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[17]),
+	.B1(n_5571),
+	.B2(brqrv_top_brqrv_dec_tlu_mcause[17]),
+	.X(brqrv_top_brqrv_dec_tlu_mcause_ns[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g796334 (
+	.A1(n_5572),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[16]),
+	.B1(n_5571),
+	.B2(brqrv_top_brqrv_dec_tlu_mcause[16]),
+	.X(brqrv_top_brqrv_dec_tlu_mcause_ns[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g796335 (
+	.A1(n_5572),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[15]),
+	.B1(n_5571),
+	.B2(brqrv_top_brqrv_dec_tlu_mcause[15]),
+	.X(brqrv_top_brqrv_dec_tlu_mcause_ns[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g796336 (
+	.A1(n_5572),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[14]),
+	.B1(n_5571),
+	.B2(brqrv_top_brqrv_dec_tlu_mcause[14]),
+	.X(brqrv_top_brqrv_dec_tlu_mcause_ns[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g796337 (
+	.A1(n_5572),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[13]),
+	.B1(n_5571),
+	.B2(brqrv_top_brqrv_dec_tlu_mcause[13]),
+	.X(brqrv_top_brqrv_dec_tlu_mcause_ns[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g796338 (
+	.A1(n_5572),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[11]),
+	.B1(n_5571),
+	.B2(brqrv_top_brqrv_dec_tlu_mcause[11]),
+	.X(brqrv_top_brqrv_dec_tlu_mcause_ns[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g796339 (
+	.A1(n_5572),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[10]),
+	.B1(n_5571),
+	.B2(brqrv_top_brqrv_dec_tlu_mcause[10]),
+	.X(brqrv_top_brqrv_dec_tlu_mcause_ns[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g796340 (
+	.A1(n_5572),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[9]),
+	.B1(n_5571),
+	.B2(brqrv_top_brqrv_dec_tlu_mcause[9]),
+	.X(brqrv_top_brqrv_dec_tlu_mcause_ns[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g796341 (
+	.A1(n_5572),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[8]),
+	.B1(n_5571),
+	.B2(brqrv_top_brqrv_dec_tlu_mcause[8]),
+	.X(brqrv_top_brqrv_dec_tlu_mcause_ns[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g796342 (
+	.A1(n_5572),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[7]),
+	.B1(n_5571),
+	.B2(brqrv_top_brqrv_dec_tlu_mcause[7]),
+	.X(brqrv_top_brqrv_dec_tlu_mcause_ns[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g796343 (
+	.A1(n_5572),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[6]),
+	.B1(n_5571),
+	.B2(brqrv_top_brqrv_dec_tlu_mcause[6]),
+	.X(brqrv_top_brqrv_dec_tlu_mcause_ns[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g796344 (
+	.A1(n_5572),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[5]),
+	.B1(n_5571),
+	.B2(brqrv_top_brqrv_dec_tlu_mcause[5]),
+	.X(brqrv_top_brqrv_dec_tlu_mcause_ns[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g796345 (
+	.A1(n_5572),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[1]),
+	.B1(n_5571),
+	.B2(brqrv_top_brqrv_dec_tlu_mcause[1]),
+	.Y(n_5730), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g796346 (
+	.A1_N(n_5569),
+	.A2_N(n_37742),
+	.B1(n_1808),
+	.B2(n_5334),
+	.Y(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ns[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g796347 (
+	.A1_N(n_5569),
+	.A2_N(n_37741),
+	.B1(n_1513),
+	.B2(n_5334),
+	.Y(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ns[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g796348 (
+	.A1(n_37740),
+	.A2(n_5569),
+	.B1(n_5333),
+	.B2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[29]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ns[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g796349 (
+	.A1(n_37739),
+	.A2(n_5569),
+	.B1(n_5333),
+	.B2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[28]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ns[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g796350 (
+	.A1_N(n_5569),
+	.A2_N(n_37738),
+	.B1(brqrv_top_brqrv_dec_tlu_n_6062),
+	.B2(n_5334),
+	.Y(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ns[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g796351 (
+	.A1_N(n_5569),
+	.A2_N(n_37737),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_324),
+	.B2(n_5334),
+	.Y(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ns[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g796352 (
+	.A1_N(n_5569),
+	.A2_N(n_37736),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_323),
+	.B2(n_5334),
+	.Y(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ns[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g796353 (
+	.A1_N(n_5569),
+	.A2_N(n_37735),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_322),
+	.B2(n_5334),
+	.Y(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ns[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g796354 (
+	.A1_N(n_5569),
+	.A2_N(n_37734),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_321),
+	.B2(n_5334),
+	.Y(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ns[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g796355 (
+	.A1_N(n_5569),
+	.A2_N(n_37733),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_320),
+	.B2(n_5334),
+	.Y(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ns[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g796356 (
+	.A1_N(n_5569),
+	.A2_N(n_37732),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_319),
+	.B2(n_5334),
+	.Y(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ns[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g796357 (
+	.A1_N(n_5569),
+	.A2_N(n_37731),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_318),
+	.B2(n_5334),
+	.Y(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ns[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g796358 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_sideeffect[2]),
+	.A2(n_5335),
+	.B1(n_1546),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_sideeffect),
+	.C1(n_5586),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_is_sideeffects_r),
+	.Y(n_5729), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g796359 (
+	.A1_N(n_5569),
+	.A2_N(n_37729),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_316),
+	.B2(n_5334),
+	.Y(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ns[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g796360 (
+	.A1_N(n_5569),
+	.A2_N(n_37728),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_315),
+	.B2(n_5334),
+	.Y(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ns[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g796361 (
+	.A1_N(n_5569),
+	.A2_N(n_37727),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_314),
+	.B2(n_5334),
+	.Y(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ns[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g796362 (
+	.A1_N(n_5569),
+	.A2_N(n_37726),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_313),
+	.B2(n_5334),
+	.Y(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ns[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g796363 (
+	.A1_N(n_37725),
+	.A2_N(n_5569),
+	.B1(n_1518),
+	.B2(n_5334),
+	.Y(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ns[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g796364 (
+	.A1_N(n_37724),
+	.A2_N(n_5569),
+	.B1(n_1519),
+	.B2(n_5334),
+	.Y(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ns[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g796365 (
+	.A1_N(n_37723),
+	.A2_N(n_5569),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_310),
+	.B2(n_5334),
+	.Y(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ns[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g796366 (
+	.A1_N(n_37722),
+	.A2_N(n_5569),
+	.B1(n_1511),
+	.B2(n_5334),
+	.Y(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ns[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g796367 (
+	.A1_N(n_37721),
+	.A2_N(n_5569),
+	.B1(n_1514),
+	.B2(n_5334),
+	.Y(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ns[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g796368 (
+	.A1_N(n_37720),
+	.A2_N(n_5569),
+	.B1(brqrv_top_brqrv_dec_tlu_n_3024),
+	.B2(n_5334),
+	.Y(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ns[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g796369 (
+	.A1_N(n_37719),
+	.A2_N(n_5569),
+	.B1(n_1809),
+	.B2(n_5334),
+	.Y(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ns[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g796370 (
+	.A1_N(n_4577),
+	.A2_N(n_5569),
+	.B1(n_1506),
+	.B2(n_5334),
+	.Y(\brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ns[6]_295 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g796371 (
+	.A1(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[0]),
+	.A2(n_5568),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_298),
+	.B2(n_5334),
+	.Y(\brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ns[0]_289 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g796372 (
+	.A1(brqrv_top_brqrv_ifu_aln_f1val[0]),
+	.A2(n_5597),
+	.B1(brqrv_top_brqrv_ifu_aln_f2val[0]),
+	.B2(n_5596),
+	.Y(n_5728), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g796373 (
+	.A1(n_38196),
+	.A2(n_5570),
+	.B1(n_1393),
+	.B2(brqrv_top_dmi_reg_wdata[31]),
+	.X(brqrv_top_brqrv_dbg_sbaddress0_reg_din[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g796374 (
+	.A1(n_38195),
+	.A2(n_5570),
+	.B1(n_1393),
+	.B2(brqrv_top_dmi_reg_wdata[30]),
+	.X(brqrv_top_brqrv_dbg_sbaddress0_reg_din[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g796375 (
+	.A1(n_38194),
+	.A2(n_5570),
+	.B1(n_1393),
+	.B2(brqrv_top_dmi_reg_wdata[29]),
+	.X(brqrv_top_brqrv_dbg_sbaddress0_reg_din[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g796376 (
+	.A1(n_38193),
+	.A2(n_5570),
+	.B1(n_1393),
+	.B2(brqrv_top_dmi_reg_wdata[28]),
+	.X(brqrv_top_brqrv_dbg_sbaddress0_reg_din[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g796377 (
+	.A1(n_38192),
+	.A2(n_5570),
+	.B1(n_1393),
+	.B2(brqrv_top_dmi_reg_wdata[27]),
+	.X(brqrv_top_brqrv_dbg_sbaddress0_reg_din[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g796378 (
+	.A1(n_38191),
+	.A2(n_5570),
+	.B1(n_1393),
+	.B2(brqrv_top_dmi_reg_wdata[26]),
+	.X(brqrv_top_brqrv_dbg_sbaddress0_reg_din[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g796379 (
+	.A1(n_38190),
+	.A2(n_5570),
+	.B1(n_1393),
+	.B2(brqrv_top_dmi_reg_wdata[25]),
+	.X(brqrv_top_brqrv_dbg_sbaddress0_reg_din[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g796380 (
+	.A1(n_38189),
+	.A2(n_5570),
+	.B1(n_1393),
+	.B2(brqrv_top_dmi_reg_wdata[24]),
+	.X(brqrv_top_brqrv_dbg_sbaddress0_reg_din[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g796381 (
+	.A1(n_38188),
+	.A2(n_5570),
+	.B1(n_1393),
+	.B2(brqrv_top_dmi_reg_wdata[23]),
+	.X(brqrv_top_brqrv_dbg_sbaddress0_reg_din[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g796382 (
+	.A1(n_38187),
+	.A2(n_5570),
+	.B1(n_1393),
+	.B2(brqrv_top_dmi_reg_wdata[22]),
+	.X(brqrv_top_brqrv_dbg_sbaddress0_reg_din[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g796383 (
+	.A1(n_38186),
+	.A2(n_5570),
+	.B1(n_1393),
+	.B2(brqrv_top_dmi_reg_wdata[21]),
+	.X(brqrv_top_brqrv_dbg_sbaddress0_reg_din[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g796384 (
+	.A1(n_38185),
+	.A2(n_5570),
+	.B1(n_1393),
+	.B2(brqrv_top_dmi_reg_wdata[20]),
+	.X(brqrv_top_brqrv_dbg_sbaddress0_reg_din[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g796385 (
+	.A1(n_38184),
+	.A2(n_5570),
+	.B1(n_1393),
+	.B2(brqrv_top_dmi_reg_wdata[19]),
+	.X(brqrv_top_brqrv_dbg_sbaddress0_reg_din[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g796386 (
+	.A1(n_38183),
+	.A2(n_5570),
+	.B1(n_1393),
+	.B2(brqrv_top_dmi_reg_wdata[18]),
+	.X(brqrv_top_brqrv_dbg_sbaddress0_reg_din[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g796387 (
+	.A1(n_38182),
+	.A2(n_5570),
+	.B1(n_1393),
+	.B2(brqrv_top_dmi_reg_wdata[17]),
+	.X(brqrv_top_brqrv_dbg_sbaddress0_reg_din[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g796388 (
+	.A1(n_38181),
+	.A2(n_5570),
+	.B1(n_1393),
+	.B2(brqrv_top_dmi_reg_wdata[16]),
+	.X(brqrv_top_brqrv_dbg_sbaddress0_reg_din[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g796389 (
+	.A1(n_38180),
+	.A2(n_5570),
+	.B1(n_1393),
+	.B2(brqrv_top_dmi_reg_wdata[15]),
+	.X(brqrv_top_brqrv_dbg_sbaddress0_reg_din[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g796390 (
+	.A1(n_38179),
+	.A2(n_5570),
+	.B1(n_1393),
+	.B2(brqrv_top_dmi_reg_wdata[14]),
+	.X(brqrv_top_brqrv_dbg_sbaddress0_reg_din[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g796391 (
+	.A1(n_38178),
+	.A2(n_5570),
+	.B1(n_1393),
+	.B2(brqrv_top_dmi_reg_wdata[13]),
+	.X(brqrv_top_brqrv_dbg_sbaddress0_reg_din[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g796392 (
+	.A1(n_38177),
+	.A2(n_5570),
+	.B1(n_1393),
+	.B2(brqrv_top_dmi_reg_wdata[12]),
+	.X(brqrv_top_brqrv_dbg_sbaddress0_reg_din[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g796393 (
+	.A1(n_38176),
+	.A2(n_5570),
+	.B1(n_1393),
+	.B2(brqrv_top_dmi_reg_wdata[11]),
+	.X(brqrv_top_brqrv_dbg_sbaddress0_reg_din[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g796394 (
+	.A1(n_38175),
+	.A2(n_5570),
+	.B1(n_1393),
+	.B2(brqrv_top_dmi_reg_wdata[10]),
+	.X(brqrv_top_brqrv_dbg_sbaddress0_reg_din[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g796395 (
+	.A1(n_38174),
+	.A2(n_5570),
+	.B1(n_1393),
+	.B2(brqrv_top_dmi_reg_wdata[9]),
+	.X(brqrv_top_brqrv_dbg_sbaddress0_reg_din[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g796396 (
+	.A1(n_38173),
+	.A2(n_5570),
+	.B1(n_1393),
+	.B2(brqrv_top_dmi_reg_wdata[8]),
+	.X(brqrv_top_brqrv_dbg_sbaddress0_reg_din[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g796397 (
+	.A1(n_38172),
+	.A2(n_5570),
+	.B1(n_1393),
+	.B2(brqrv_top_dmi_reg_wdata[7]),
+	.X(brqrv_top_brqrv_dbg_sbaddress0_reg_din[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g796398 (
+	.A1(n_38171),
+	.A2(n_5570),
+	.B1(n_1393),
+	.B2(brqrv_top_dmi_reg_wdata[6]),
+	.X(brqrv_top_brqrv_dbg_sbaddress0_reg_din[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g796399 (
+	.A1(n_38170),
+	.A2(n_5570),
+	.B1(n_1393),
+	.B2(brqrv_top_dmi_reg_wdata[5]),
+	.X(brqrv_top_brqrv_dbg_sbaddress0_reg_din[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g796400 (
+	.A1(n_38169),
+	.A2(n_5570),
+	.B1(n_1393),
+	.B2(brqrv_top_dmi_reg_wdata[4]),
+	.X(brqrv_top_brqrv_dbg_sbaddress0_reg_din[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g796401 (
+	.A1(n_38168),
+	.A2(n_5570),
+	.B1(n_1393),
+	.B2(brqrv_top_dmi_reg_wdata[3]),
+	.X(brqrv_top_brqrv_dbg_sbaddress0_reg_din[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g796402 (
+	.A1(n_5570),
+	.A2(n_38167),
+	.B1(n_1393),
+	.B2(brqrv_top_dmi_reg_wdata[2]),
+	.X(brqrv_top_brqrv_dbg_sbaddress0_reg_din[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g796403 (
+	.A1(n_5570),
+	.A2(n_38166),
+	.B1(n_1393),
+	.B2(brqrv_top_dmi_reg_wdata[1]),
+	.X(brqrv_top_brqrv_dbg_sbaddress0_reg_din[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g796404 (
+	.A1(n_5570),
+	.A2(n_38165),
+	.B1(n_1393),
+	.B2(brqrv_top_dmi_reg_wdata[0]),
+	.X(brqrv_top_brqrv_dbg_sbaddress0_reg_din[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g796405 (
+	.A1(n_4802),
+	.A2(n_5568),
+	.B1(n_1805),
+	.B2(n_5334),
+	.Y(\brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ns[5]_294 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g796406 (
+	.A1(n_5079),
+	.A2(n_5568),
+	.B1(n_1507),
+	.B2(n_5334),
+	.Y(\brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ns[7]_296 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g796407 (
+	.A1(brqrv_top_brqrv_lsu_lsu_addr_m[4]),
+	.A2(n_4605),
+	.B1(brqrv_top_brqrv_lsu_addr_in_dccm_m),
+	.C1(n_4996),
+	.D1(n_5438),
+	.Y(n_5727), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g796408 (
+	.A(n_3424),
+	.B(n_3415),
+	.C(n_4822),
+	.D(n_5442),
+	.Y(n_5726), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g796409 (
+	.A(n_4346),
+	.B(n_4347),
+	.C(n_5423),
+	.D(n_5422),
+	.Y(n_5725), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g796410 (
+	.A1(brqrv_top_brqrv_dec_tlu_flush_path_r[3]),
+	.A2(n_32502),
+	.B1(n_1052),
+	.B2(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[3]),
+	.Y(n_5724), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g796411 (
+	.A1(n_35662),
+	.A2(n_5585),
+	.B1(n_3187),
+	.C1(n_5546),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dualff_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g796412 (
+	.A1(n_35662),
+	.A2(n_5587),
+	.B1(n_3165),
+	.C1(n_5547),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dualff_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g796413 (
+	.A1(n_35662),
+	.A2(n_5589),
+	.B1(n_3126),
+	.C1(n_5548),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dualff_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796414 (
+	.A1(n_35662),
+	.A2(n_5583),
+	.B1(n_1968),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_3215),
+	.C1(n_3071),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dualff_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g796415 (
+	.A1(n_35853),
+	.A2(n_5384),
+	.B1(n_1551),
+	.B2(n_4322),
+	.Y(brqrv_top_brqrv_ifu_i0_bp_btag[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g796416 (
+	.A1(n_35853),
+	.A2(n_5385),
+	.B1(n_1551),
+	.B2(n_4321),
+	.Y(brqrv_top_brqrv_ifu_i0_bp_btag[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g796417 (
+	.A1(n_5591),
+	.A2(n_35166),
+	.B1(n_5590),
+	.B2(n_34430),
+	.Y(n_5723), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g796418 (
+	.A1(n_5591),
+	.A2(n_35167),
+	.B1(n_5590),
+	.B2(n_34428),
+	.Y(n_5722), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g796419 (
+	.A1(n_5591),
+	.A2(n_35170),
+	.B1(n_5590),
+	.B2(n_34426),
+	.Y(n_5721), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g796420 (
+	.A1(n_5591),
+	.A2(n_35165),
+	.B1(n_5590),
+	.B2(n_35318),
+	.Y(n_5720), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g796421 (
+	.A1(n_5591),
+	.A2(n_35169),
+	.B1(n_5590),
+	.B2(n_35317),
+	.Y(n_5719), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796422 (
+	.A1(n_1836),
+	.A2(brqrv_top_brqrv_lsu_stbuf_n_592),
+	.B1(brqrv_top_brqrv_lsu_lsu_addr_r[11]),
+	.B2(n_1921),
+	.C1(n_5435),
+	.Y(n_5718), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796423 (
+	.A1(n_34649),
+	.A2(n_5587),
+	.B1(n_2984),
+	.B2(n_34597),
+	.C1(n_5545),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_nomergeff_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796424 (
+	.A1(n_34649),
+	.A2(n_5589),
+	.B1(n_2984),
+	.B2(n_34606),
+	.C1(n_5543),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_nomergeff_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796425 (
+	.A1(n_34649),
+	.A2(n_5585),
+	.B1(n_2984),
+	.B2(n_34378),
+	.C1(n_5542),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_nomergeff_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g796426 (
+	.A1(n_35853),
+	.A2(n_5388),
+	.B1(n_1551),
+	.B2(n_4326),
+	.Y(brqrv_top_brqrv_ifu_i0_bp_index[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g796427 (
+	.A1(n_35853),
+	.A2(n_5386),
+	.B1(n_1551),
+	.B2(n_4324),
+	.Y(brqrv_top_brqrv_ifu_i0_bp_btag[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g796428 (
+	.A1(n_34708),
+	.A2(n_1392),
+	.B1(n_2962),
+	.B2(n_5580),
+	.X(n_5773), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g796429 (
+	.A1(n_34599),
+	.A2(n_1396),
+	.B1(n_2649),
+	.B2(n_5576),
+	.X(n_5772), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g796430 (
+	.A1(n_34640),
+	.A2(n_1396),
+	.B1(n_2964),
+	.B2(n_5576),
+	.Y(n_5771), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g796431 (
+	.A1(n_34708),
+	.A2(n_1391),
+	.B1(n_2962),
+	.B2(n_5574),
+	.X(n_5770), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g796432 (
+	.A1(n_34709),
+	.A2(n_1391),
+	.B1(n_2648),
+	.B2(n_5574),
+	.Y(n_5769), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g796433 (
+	.A1(n_34599),
+	.A2(n_1391),
+	.B1(n_2649),
+	.B2(n_5574),
+	.X(n_5768), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g796434 (
+	.A1(n_34640),
+	.A2(n_1391),
+	.B1(n_2964),
+	.B2(n_5574),
+	.Y(n_5767), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g796435 (
+	.A1(n_34709),
+	.A2(n_1396),
+	.B1(n_2648),
+	.B2(n_5576),
+	.X(n_5766), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g796436 (
+	.A1(n_34709),
+	.A2(n_1392),
+	.B1(n_2648),
+	.B2(n_5580),
+	.X(n_5765), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g796437 (
+	.A1(n_34599),
+	.A2(n_1392),
+	.B1(n_2649),
+	.B2(n_5580),
+	.Y(n_5764), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g796438 (
+	.A1(n_34640),
+	.A2(n_1392),
+	.B1(n_2964),
+	.B2(n_5580),
+	.Y(n_5763), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g796439 (
+	.A1(n_34708),
+	.A2(n_1396),
+	.B1(n_2962),
+	.B2(n_5576),
+	.X(n_5762), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g796440 (
+	.A1(brqrv_top_brqrv_dec_dec_csr_wrdata_r[3]),
+	.A2(n_5353),
+	.B1(n_5356),
+	.B2(brqrv_top_brqrv_dec_tlu_meicidpl[3]),
+	.C1(brqrv_top_brqrv_dec_tlu_wr_meicpct_r),
+	.C2(brqrv_top_brqrv_pic_pl[3]),
+	.Y(n_5761), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g796441 (
+	.A1(brqrv_top_brqrv_dec_dec_csr_wrdata_r[2]),
+	.A2(n_5353),
+	.B1(n_5356),
+	.B2(brqrv_top_brqrv_dec_tlu_meicidpl[2]),
+	.C1(brqrv_top_brqrv_dec_tlu_wr_meicpct_r),
+	.C2(brqrv_top_brqrv_pic_pl[2]),
+	.Y(n_5717), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g796442 (
+	.A1(brqrv_top_brqrv_dec_dec_csr_wrdata_r[1]),
+	.A2(n_5353),
+	.B1(n_5356),
+	.B2(brqrv_top_brqrv_dec_tlu_meicidpl[1]),
+	.C1(brqrv_top_brqrv_dec_tlu_wr_meicpct_r),
+	.C2(brqrv_top_brqrv_pic_pl[1]),
+	.Y(n_5760), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g796443 (
+	.A(brqrv_top_brqrv_dma_ctrl_RspPtr[1]),
+	.B(n_1564),
+	.C(n_5698),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_reset[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g796444 (
+	.A(n_5715),
+	.Y(n_5716), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g796449 (
+	.A(n_5709),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_WrPtr1_m[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g796452 (
+	.A(n_5700),
+	.Y(n_5699), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g796453 (
+	.A(n_5698),
+	.Y(brqrv_top_brqrv_dma_dbg_cmd_done), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g796454 (
+	.A(n_5697),
+	.Y(n_5696), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g796455 (
+	.A(n_5695),
+	.Y(brqrv_top_brqrv_dec_tlu_n_3337), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g796456 (
+	.A(n_4344),
+	.B(n_4818),
+	.C(n_4817),
+	.D(n_4416),
+	.Y(n_5689), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g796457 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[29]),
+	.B(n_5468),
+	.X(n_5688), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g796458 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[28]),
+	.B(n_5468),
+	.X(n_5687), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g796459 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[27]),
+	.B(n_5468),
+	.X(n_5686), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g796460 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[26]),
+	.B(n_5468),
+	.X(n_5685), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g796461 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[25]),
+	.B(n_5468),
+	.X(n_5684), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g796462 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[23]),
+	.B(n_5468),
+	.X(n_5683), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g796463 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[22]),
+	.B(n_5468),
+	.X(n_5682), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g796464 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[21]),
+	.B(n_5468),
+	.X(n_5681), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g796465 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[20]),
+	.B(n_5468),
+	.X(n_5680), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g796466 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[17]),
+	.B(n_5468),
+	.X(n_5679), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g796467 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[15]),
+	.B(n_5468),
+	.X(n_5678), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g796468 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[3]),
+	.B(n_5468),
+	.X(n_5677), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796469 (
+	.A(n_5597),
+	.B(brqrv_top_brqrv_ifu_aln_f1val[0]),
+	.Y(n_5676), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g796470 (
+	.A(n_5591),
+	.B(n_35173),
+	.Y(n_5675), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g796471 (
+	.A(n_5590),
+	.B(n_34429),
+	.Y(n_5674), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g796472 (
+	.A(n_5590),
+	.B(n_34427),
+	.Y(n_5673), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g796473 (
+	.A(n_5591),
+	.B(n_35171),
+	.Y(n_5672), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g796474 (
+	.A(n_5591),
+	.B(n_35168),
+	.Y(n_5671), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g796475 (
+	.A(n_5590),
+	.B(n_34425),
+	.Y(n_5670), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796476 (
+	.A(n_5600),
+	.B(n_5518),
+	.Y(n_5669), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796477 (
+	.A(n_5598),
+	.B(n_5519),
+	.Y(n_5668), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g796478 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[31]),
+	.B(n_5468),
+	.X(n_5667), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g796479 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mcountinhibit[6]),
+	.A2_N(n_5342),
+	.B1(n_1506),
+	.B2(n_5342),
+	.Y(brqrv_top_brqrv_dec_tlu_mcountinhibit_ff_n_19), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g796480 (
+	.A1_N(n_1642),
+	.A2_N(n_4690),
+	.B1(n_4452),
+	.B2(n_5359),
+	.Y(n_5666), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796481 (
+	.A(n_5596),
+	.B(brqrv_top_brqrv_ifu_aln_f2val[0]),
+	.Y(n_5665), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796482 (
+	.A(n_1934),
+	.B(n_5472),
+	.Y(n_5664), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g796483 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mcountinhibit[4]),
+	.A2_N(n_5342),
+	.B1(n_1508),
+	.B2(n_5342),
+	.Y(brqrv_top_brqrv_dec_tlu_mcountinhibit_ff_n_17), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g796484 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mcountinhibit[5]),
+	.A2_N(n_5342),
+	.B1(n_1805),
+	.B2(n_5342),
+	.Y(brqrv_top_brqrv_dec_tlu_mcountinhibit_ff_n_18), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g796485 (
+	.A1(brqrv_top_brqrv_dec_tlu_minstretl_cout_f),
+	.A2(n_4703),
+	.B1(n_5445),
+	.Y(n_5663), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g796486 (
+	.A(n_3290),
+	.B(n_3150),
+	.C(n_4351),
+	.D(n_4870),
+	.Y(n_5662), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g796489 (
+	.A_N(n_3094),
+	.B(n_31450),
+	.C(n_5290),
+	.Y(n_5661), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g796491 (
+	.A1(n_4840),
+	.A2(n_1858),
+	.B1(n_4742),
+	.B2(n_1878),
+	.C1(n_3916),
+	.Y(n_5660), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796492 (
+	.A(n_5604),
+	.B(n_5525),
+	.Y(n_5659), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796493 (
+	.A1(brqrv_top_brqrv_lsu_lsu_addr_m[6]),
+	.A2(n_4616),
+	.B1(brqrv_top_brqrv_lsu_lsu_addr_m[7]),
+	.B2(n_4615),
+	.C1(n_5439),
+	.Y(n_5658), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g796494 (
+	.A1(n_5042),
+	.A2(n_5215),
+	.B1(brqrv_top_dccm_clk_override),
+	.Y(n_5657), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796495 (
+	.A(n_41757),
+	.B(n_5567),
+	.Y(n_5656), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g796496 (
+	.A(brqrv_top_brqrv_dec_dec_csr_wraddr_r[3]),
+	.B(n_35115),
+	.C(n_34660),
+	.D(n_5045),
+	.Y(brqrv_top_brqrv_dec_tlu_wr_mhpme6_r), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g796497 (
+	.A(brqrv_top_brqrv_dec_decode_debug_fence_i),
+	.B(n_43013),
+	.C(n_4928),
+	.D(n_36248),
+	.Y(n_5655), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g796498 (
+	.A1(brqrv_top_brqrv_dec_tlu_int_timers_n_300),
+	.A2(n_5051),
+	.B1(n_5383),
+	.Y(brqrv_top_brqrv_dec_tlu_mscause_ns[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796499 (
+	.A(n_5434),
+	.B(n_1413),
+	.Y(n_5654), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g796500 (
+	.A1(n_5042),
+	.A2(n_5247),
+	.B1(brqrv_top_dccm_clk_override),
+	.Y(n_5653), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g796501 (
+	.A1(n_3994),
+	.A2(brqrv_top_brqrv_exu_ghr_d[2]),
+	.B1(n_5444),
+	.Y(n_5652), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211o_1 g796502 (
+	.A1(brqrv_top_brqrv_dec_tlu_npc_r[25]),
+	.A2(n_2034),
+	.B1(n_4874),
+	.C1(n_5235),
+	.X(n_5651), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g796503 (
+	.A(n_4355),
+	.B(n_4952),
+	.C(n_5147),
+	.Y(n_5650), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796504 (
+	.A1(n_1802),
+	.A2(n_5051),
+	.B1(n_3490),
+	.B2(n_1846),
+	.C1(n_5319),
+	.Y(brqrv_top_brqrv_dec_tlu_mscause_ns[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g796506 (
+	.A(n_4276),
+	.B(n_4820),
+	.C(n_4819),
+	.D(n_4417),
+	.Y(n_5649), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g796507 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[30]),
+	.B(n_5468),
+	.X(n_5648), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g796508 (
+	.A(n_3298),
+	.B(n_3079),
+	.C(n_4352),
+	.D(n_4869),
+	.Y(n_5647), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g796509 (
+	.A1(n_5066),
+	.A2(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_inc_cout),
+	.B1(n_5568),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_n_288), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g796510 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_3215),
+	.A2(n_35350),
+	.B1_N(n_34850),
+	.Y(n_5646), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g796511 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_3215),
+	.A2(n_35348),
+	.B1_N(n_34851),
+	.Y(n_5645), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g796512 (
+	.A1(n_3032),
+	.A2(brqrv_top_brqrv_dec_decode_r_t[8]),
+	.B1(n_5528),
+	.Y(n_5644), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111oi_0 g796513 (
+	.A1(n_34574),
+	.A2(n_5067),
+	.B1(n_3559),
+	.C1(n_34560),
+	.D1(n_34570),
+	.Y(n_5643), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g796514 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_3215),
+	.A2(n_34564),
+	.B1_N(n_34852),
+	.Y(n_5642), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111oi_0 g796515 (
+	.A1(n_1844),
+	.A2(brqrv_top_brqrv_lsu_stbuf_n_592),
+	.B1(n_3899),
+	.C1(n_3288),
+	.D1(n_5479),
+	.Y(n_5641), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g796516 (
+	.A1(n_5067),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_is_sideeffects_r),
+	.B1(n_35379),
+	.C1(n_34561),
+	.Y(n_5640), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g796517 (
+	.A1(n_3752),
+	.A2(n_5354),
+	.B1_N(n_4702),
+	.Y(brqrv_top_brqrv_dbg_n_4571), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g796518 (
+	.A1(n_4611),
+	.A2(brqrv_top_brqrv_lsu_lsu_addr_r[10]),
+	.B1(n_5433),
+	.Y(n_5639), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g796519 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_samedw[1]),
+	.A2(n_5151),
+	.B1(n_3080),
+	.Y(n_5638), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g796520 (
+	.A1(n_5042),
+	.A2(n_5283),
+	.B1(brqrv_top_dccm_clk_override),
+	.Y(n_5637), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g796521 (
+	.A1(n_4852),
+	.A2(n_4294),
+	.B1(n_5368),
+	.C1(n_5367),
+	.Y(n_5636), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111o_1 g796522 (
+	.A1(n_4291),
+	.A2(n_34656),
+	.B1(brqrv_top_brqrv_dbg_sbcs_reg[14]),
+	.C1(brqrv_top_brqrv_dbg_sbcs_reg[13]),
+	.D1(brqrv_top_brqrv_dbg_sbcs_reg[22]),
+	.X(n_5635), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796523 (
+	.A(n_5602),
+	.B(n_5524),
+	.Y(n_5634), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a41oi_1 g796524 (
+	.A1(n_4336),
+	.A2(n_4066),
+	.A3(n_3248),
+	.A4(n_3249),
+	.B1(n_3733),
+	.Y(brqrv_top_brqrv_dec_decode_trap_xff_dff_left_final_en), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g796525 (
+	.A1(n_5042),
+	.A2(n_5214),
+	.B1(brqrv_top_dccm_clk_override),
+	.Y(n_5633), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g796526 (
+	.A(n_5462),
+	.B(n_33911),
+	.Y(brqrv_top_brqrv_dec_decode_d_t[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o311ai_1 g796527 (
+	.A1(brqrv_top_dmi_reg_addr[3]),
+	.A2(n_1556),
+	.A3(n_4198),
+	.B1(n_5121),
+	.C1(n_5120),
+	.Y(n_5632), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g796528 (
+	.A(n_1388),
+	.B(n_1382),
+	.C(n_4835),
+	.D(n_5288),
+	.Y(n_5631), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g796529 (
+	.A1(n_3990),
+	.A2(brqrv_top_brqrv_dma_mem_addr[2]),
+	.B1(n_5287),
+	.C1(n_43062),
+	.Y(n_5715), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g796530 (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_dma_kill_en[3]),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_dma_kill_en[2]),
+	.C(n_5284),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_dma_kill_en[0]),
+	.Y(brqrv_top_brqrv_lsu_stbuf_reqvld_any), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796531 (
+	.A(n_5471),
+	.B(n_3042),
+	.Y(n_5714), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796532 (
+	.A(n_5471),
+	.B(n_3152),
+	.Y(n_5713), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796533 (
+	.A(n_5594),
+	.B(n_5056),
+	.Y(n_5712), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g796534 (
+	.A(n_3066),
+	.B(n_5470),
+	.Y(n_5711), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796535 (
+	.A(n_5471),
+	.B(n_3057),
+	.Y(n_5710), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g796536 (
+	.A1(n_34684),
+	.A2(n_42977),
+	.B1(n_5426),
+	.Y(brqrv_top_brqrv_dec_tlu_flush_path_r[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g796537 (
+	.A1(n_34684),
+	.A2(n_2066),
+	.B1(n_5427),
+	.Y(brqrv_top_brqrv_dec_tlu_flush_path_r[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g796538 (
+	.A1(n_34684),
+	.A2(n_42976),
+	.B1(n_5428),
+	.Y(brqrv_top_brqrv_dec_tlu_flush_path_r[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796539 (
+	.A(n_5481),
+	.B(n_5374),
+	.Y(n_5709), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g796541 (
+	.A1(n_5297),
+	.A2(n_5038),
+	.B1(n_5257),
+	.X(n_5708), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g796542 (
+	.A1(n_42991),
+	.A2(n_5360),
+	.B1(n_5516),
+	.Y(brqrv_top_brqrv_ifu_aln_f2val_in[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g796543 (
+	.A(brqrv_top_brqrv_dbg_sbcs_wren),
+	.B(n_5594),
+	.Y(n_5707), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g796544 (
+	.A1(n_5270),
+	.A2(n_35118),
+	.B1(n_43110),
+	.Y(n_5706), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796545 (
+	.A(n_5584),
+	.B(n_34641),
+	.Y(n_5705), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796546 (
+	.A(n_5588),
+	.B(n_34422),
+	.Y(n_5704), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796547 (
+	.A(n_5586),
+	.B(n_34421),
+	.Y(n_5703), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796548 (
+	.A(n_5582),
+	.B(n_34420),
+	.Y(n_5702), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g796549 (
+	.A1(n_4860),
+	.A2(n_1751),
+	.A3(n_3714),
+	.B1(n_5473),
+	.Y(n_5701), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g796550 (
+	.A(n_5430),
+	.B(n_5473),
+	.Y(n_5700), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796551 (
+	.A(n_5493),
+	.B(n_5367),
+	.Y(n_5698), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796552 (
+	.A(n_1846),
+	.B(n_5475),
+	.Y(n_5697), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g796553 (
+	.A(n_5475),
+	.B(brqrv_top_brqrv_dec_tlu_exc_or_int_valid_r),
+	.Y(n_5695), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_0 g796554 (
+	.A(n_5593),
+	.B(n_3701),
+	.X(n_5694), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_0 g796555 (
+	.A(n_5593),
+	.B(n_3706),
+	.X(n_5693), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_0 g796556 (
+	.A(n_5593),
+	.B(n_3698),
+	.X(n_5692), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g796557 (
+	.A(n_3700),
+	.B(n_5592),
+	.Y(n_5691), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_0 g796558 (
+	.A(n_5593),
+	.B(n_3703),
+	.X(n_5690), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g796561 (
+	.A(brqrv_top_brqrv_lsu_nonblock_load_tag_m[0]),
+	.Y(n_5628), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g796562 (
+	.A1(n_37761),
+	.A2(n_5332),
+	.B1(n_5033),
+	.B2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[26]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ns[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g796563 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mcountinhibit[0]),
+	.A2_N(n_5342),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_298),
+	.B2(n_5342),
+	.Y(brqrv_top_brqrv_dec_tlu_mcountinhibit_ff_n_14), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g796564 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mcountinhibit[2]),
+	.A2_N(n_5342),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_300),
+	.B2(n_5342),
+	.Y(brqrv_top_brqrv_dec_tlu_mcountinhibit_ff_n_15), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g796565 (
+	.A1_N(brqrv_top_brqrv_trigger_pkt_any[113]),
+	.A2_N(n_5341),
+	.B1(brqrv_top_brqrv_dec_tlu_n_6058),
+	.B2(n_5341),
+	.Y(brqrv_top_brqrv_dec_tlu_mtdata1_t2_ns[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g796566 (
+	.A1_N(brqrv_top_brqrv_trigger_pkt_any[112]),
+	.A2_N(n_5341),
+	.B1(n_1507),
+	.B2(n_5341),
+	.Y(brqrv_top_brqrv_dec_tlu_mtdata1_t2_ns[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g796567 (
+	.A1_N(brqrv_top_brqrv_trigger_pkt_any[108]),
+	.A2_N(n_5341),
+	.B1(n_1506),
+	.B2(n_5341),
+	.Y(brqrv_top_brqrv_dec_tlu_mtdata1_t2_ns[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g796568 (
+	.A1_N(brqrv_top_brqrv_trigger_pkt_any[111]),
+	.A2_N(n_5341),
+	.B1(n_1790),
+	.B2(n_5341),
+	.Y(brqrv_top_brqrv_dec_tlu_mtdata1_t2_ns[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g796570 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mtdata1_t2[6]),
+	.A2_N(n_5341),
+	.B1(n_3741),
+	.B2(n_5341),
+	.Y(brqrv_top_brqrv_dec_tlu_mtdata1_t2_ns[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g796571 (
+	.A1(n_35853),
+	.A2(n_5250),
+	.B1(n_1551),
+	.B2(n_5322),
+	.Y(brqrv_top_brqrv_i0_brp[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g796574 (
+	.A1_N(brqrv_top_brqrv_trigger_pkt_any[75]),
+	.A2_N(n_5340),
+	.B1(brqrv_top_brqrv_dec_tlu_n_6058),
+	.B2(n_5340),
+	.Y(brqrv_top_brqrv_dec_tlu_mtdata1_t1_ns[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g796575 (
+	.A1_N(brqrv_top_brqrv_trigger_pkt_any[151]),
+	.A2_N(n_5339),
+	.B1(brqrv_top_brqrv_dec_tlu_n_6058),
+	.B2(n_5339),
+	.Y(brqrv_top_brqrv_dec_tlu_mtdata1_t3_ns[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g796576 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mtdata1_t2[2]),
+	.A2_N(n_5341),
+	.B1(n_2650),
+	.B2(n_5341),
+	.Y(brqrv_top_brqrv_dec_tlu_mtdata1_t2_ns[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g796577 (
+	.A1_N(brqrv_top_brqrv_trigger_pkt_any[110]),
+	.A2_N(n_5341),
+	.B1(n_2651),
+	.B2(n_5341),
+	.Y(brqrv_top_brqrv_dec_tlu_mtdata1_t2_ns[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g796578 (
+	.A1_N(brqrv_top_brqrv_trigger_pkt_any[74]),
+	.A2_N(n_5340),
+	.B1(n_1507),
+	.B2(n_5340),
+	.Y(brqrv_top_brqrv_dec_tlu_mtdata1_t1_ns[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g796579 (
+	.A1_N(brqrv_top_brqrv_trigger_pkt_any[70]),
+	.A2_N(n_5340),
+	.B1(n_1506),
+	.B2(n_5340),
+	.Y(brqrv_top_brqrv_dec_tlu_mtdata1_t1_ns[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g796580 (
+	.A1_N(brqrv_top_brqrv_trigger_pkt_any[150]),
+	.A2_N(n_5339),
+	.B1(n_1507),
+	.B2(n_5339),
+	.Y(brqrv_top_brqrv_dec_tlu_mtdata1_t3_ns[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g796581 (
+	.A1_N(brqrv_top_brqrv_trigger_pkt_any[146]),
+	.A2_N(n_5339),
+	.B1(n_1506),
+	.B2(n_5339),
+	.Y(brqrv_top_brqrv_dec_tlu_mtdata1_t3_ns[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g796582 (
+	.A1(n_1792),
+	.A2(brqrv_top_brqrv_dbg_sbdata0_reg[19]),
+	.B1(brqrv_top_brqrv_dbg_cmd_wrdata[19]),
+	.B2(n_4592),
+	.C1(n_5380),
+	.Y(n_5627), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g796583 (
+	.A1(n_1792),
+	.A2(brqrv_top_brqrv_dbg_sbdata0_reg[13]),
+	.B1(brqrv_top_brqrv_dbg_cmd_wrdata[13]),
+	.B2(n_4592),
+	.C1(n_5379),
+	.Y(n_5626), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g796584 (
+	.A(n_5248),
+	.B(n_4317),
+	.C(n_4323),
+	.Y(n_5625), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g796585 (
+	.A1(n_1543),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[26]),
+	.B1(n_5476),
+	.Y(n_5624), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g796586 (
+	.A1(brqrv_top_brqrv_dbg_cmd_wrdata[12]),
+	.A2(n_4592),
+	.B1(n_5531),
+	.Y(n_5623), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g796588 (
+	.A1(n_1616),
+	.A2(brqrv_top_brqrv_ifu_ifc_fb_write_ns[1]),
+	.B1(n_4389),
+	.C1(n_5315),
+	.Y(n_5622), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g796589 (
+	.A1(n_5075),
+	.A2(n_5082),
+	.B1(n_5074),
+	.B2(n_5073),
+	.Y(n_5621), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g796590 (
+	.A(n_4889),
+	.B(n_4828),
+	.C(n_43416),
+	.D(n_4538),
+	.Y(brqrv_top_brqrv_ifu_ifc_faddrf1_ff_dff_dff_left_final_en), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g796591 (
+	.A1(n_3218),
+	.A2(n_5331),
+	.B1(n_1790),
+	.B2(n_5032),
+	.Y(\brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ns[1]_280 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g796592 (
+	.A1(brqrv_top_brqrv_dbg_data1_reg[10]),
+	.A2(n_4590),
+	.B1(brqrv_top_brqrv_dbg_abstractcs_reg[10]),
+	.B2(n_3988),
+	.C1(n_5328),
+	.Y(n_5620), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g796593 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mtdata1_t1[9]),
+	.A2_N(n_5340),
+	.B1(n_2966),
+	.B2(n_5340),
+	.Y(brqrv_top_brqrv_dec_tlu_mtdata1_t1_ns[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g796594 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mtdata1_t1[6]),
+	.A2_N(n_5340),
+	.B1(n_3741),
+	.B2(n_5340),
+	.Y(brqrv_top_brqrv_dec_tlu_mtdata1_t1_ns[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g796595 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mtdata1_t1[5]),
+	.A2_N(n_5340),
+	.B1(n_5052),
+	.B2(n_5340),
+	.Y(brqrv_top_brqrv_dec_tlu_mtdata1_t1_ns[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g796596 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mtdata1_t1[2]),
+	.A2_N(n_5340),
+	.B1(n_2650),
+	.B2(n_5340),
+	.Y(brqrv_top_brqrv_dec_tlu_mtdata1_t1_ns[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g796597 (
+	.A1_N(brqrv_top_brqrv_trigger_pkt_any[73]),
+	.A2_N(n_5340),
+	.B1(n_1790),
+	.B2(n_5340),
+	.Y(brqrv_top_brqrv_dec_tlu_mtdata1_t1_ns[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g796598 (
+	.A1_N(brqrv_top_brqrv_trigger_pkt_any[72]),
+	.A2_N(n_5340),
+	.B1(n_2651),
+	.B2(n_5340),
+	.Y(brqrv_top_brqrv_dec_tlu_mtdata1_t1_ns[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g796599 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mtdata1_t3[9]),
+	.A2_N(n_5339),
+	.B1(n_2966),
+	.B2(n_5339),
+	.Y(brqrv_top_brqrv_dec_tlu_mtdata1_t3_ns[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g796600 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mtdata1_t3[6]),
+	.A2_N(n_5339),
+	.B1(n_3741),
+	.B2(n_5339),
+	.Y(brqrv_top_brqrv_dec_tlu_mtdata1_t3_ns[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g796601 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mtdata1_t3[5]),
+	.A2_N(n_5339),
+	.B1(n_5052),
+	.B2(n_5339),
+	.Y(brqrv_top_brqrv_dec_tlu_mtdata1_t3_ns[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g796602 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mtdata1_t3[2]),
+	.A2_N(n_5339),
+	.B1(n_2650),
+	.B2(n_5339),
+	.Y(brqrv_top_brqrv_dec_tlu_mtdata1_t3_ns[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g796603 (
+	.A1_N(brqrv_top_brqrv_trigger_pkt_any[149]),
+	.A2_N(n_5339),
+	.B1(n_1790),
+	.B2(n_5339),
+	.Y(brqrv_top_brqrv_dec_tlu_mtdata1_t3_ns[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g796604 (
+	.A1_N(brqrv_top_brqrv_trigger_pkt_any[148]),
+	.A2_N(n_5339),
+	.B1(n_2651),
+	.B2(n_5339),
+	.Y(brqrv_top_brqrv_dec_tlu_mtdata1_t3_ns[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g796605 (
+	.A1(n_3379),
+	.A2(n_5331),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_300),
+	.B2(n_5032),
+	.Y(\brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ns[2]_281 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g796606 (
+	.A1(n_5332),
+	.A2(n_4579),
+	.B1(n_5033),
+	.B2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[6]),
+	.X(\brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ns[6]_285 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g796607 (
+	.A1(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[0]),
+	.A2(n_5331),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_298),
+	.B2(n_5032),
+	.Y(\brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ns[0]_279 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g796608 (
+	.A1(n_37766),
+	.A2(n_5332),
+	.B1(n_5033),
+	.B2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[31]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ns[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g796609 (
+	.A1(n_37765),
+	.A2(n_5332),
+	.B1(n_5033),
+	.B2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[30]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ns[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g796610 (
+	.A1(n_37764),
+	.A2(n_5332),
+	.B1(n_5033),
+	.B2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[29]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ns[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g796611 (
+	.A1(n_37763),
+	.A2(n_5332),
+	.B1(n_5033),
+	.B2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[28]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ns[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g796612 (
+	.A1(n_37762),
+	.A2(n_5332),
+	.B1(n_5033),
+	.B2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[27]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ns[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g796613 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mcountinhibit[3]),
+	.A2_N(n_5342),
+	.B1(n_1802),
+	.B2(n_5342),
+	.Y(brqrv_top_brqrv_dec_tlu_mcountinhibit_ff_n_16), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g796614 (
+	.A1(n_37760),
+	.A2(n_5332),
+	.B1(n_5033),
+	.B2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[25]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ns[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g796615 (
+	.A1(n_37759),
+	.A2(n_5332),
+	.B1(n_5033),
+	.B2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[24]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ns[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g796616 (
+	.A1(n_37758),
+	.A2(n_5332),
+	.B1(n_5033),
+	.B2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[23]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ns[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g796617 (
+	.A1(n_37757),
+	.A2(n_5332),
+	.B1(n_5033),
+	.B2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[22]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ns[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g796618 (
+	.A1(n_37756),
+	.A2(n_5332),
+	.B1(n_5033),
+	.B2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[21]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ns[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g796619 (
+	.A1(n_37755),
+	.A2(n_5332),
+	.B1(n_5033),
+	.B2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[20]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ns[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g796620 (
+	.A1(n_37754),
+	.A2(n_5332),
+	.B1(n_5033),
+	.B2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[19]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ns[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g796621 (
+	.A1(n_37753),
+	.A2(n_5332),
+	.B1(n_5033),
+	.B2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[18]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ns[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g796622 (
+	.A1(n_37752),
+	.A2(n_5332),
+	.B1(n_5033),
+	.B2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[17]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ns[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g796623 (
+	.A1(n_37751),
+	.A2(n_5332),
+	.B1(n_5033),
+	.B2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[16]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ns[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g796624 (
+	.A1(n_37750),
+	.A2(n_5332),
+	.B1(n_5033),
+	.B2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[15]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ns[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g796625 (
+	.A1(n_37749),
+	.A2(n_5332),
+	.B1(n_5033),
+	.B2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[14]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ns[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g796626 (
+	.A1(n_5332),
+	.A2(n_37748),
+	.B1(n_5033),
+	.B2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[13]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ns[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g796627 (
+	.A1(n_5332),
+	.A2(n_37747),
+	.B1(n_5033),
+	.B2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[12]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ns[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g796628 (
+	.A1(n_5332),
+	.A2(n_37746),
+	.B1(n_5033),
+	.B2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[11]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ns[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g796629 (
+	.A1(n_5332),
+	.A2(n_37745),
+	.B1(n_5033),
+	.B2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[10]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ns[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g796630 (
+	.A1(n_5332),
+	.A2(n_37744),
+	.B1(n_5033),
+	.B2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[9]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ns[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g796631 (
+	.A1(n_5332),
+	.A2(n_37743),
+	.B1(n_5033),
+	.B2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[8]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ns[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g796632 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_dcsr[15]),
+	.A2_N(n_5343),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_313),
+	.B2(n_5343),
+	.Y(brqrv_top_brqrv_dec_tlu_dcsr_ns[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g796633 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_dcsr[11]),
+	.A2_N(n_5343),
+	.B1(n_1511),
+	.B2(n_5343),
+	.Y(brqrv_top_brqrv_dec_tlu_dcsr_ns[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g796634 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_dcsr[10]),
+	.A2_N(n_5343),
+	.B1(n_1514),
+	.B2(n_5343),
+	.Y(brqrv_top_brqrv_dec_tlu_dcsr_ns[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g796635 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_dcsr[2]),
+	.A2_N(n_5343),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_300),
+	.B2(n_5343),
+	.Y(brqrv_top_brqrv_dec_tlu_dcsr_ns[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g796636 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mtdata1_t2[9]),
+	.A2_N(n_5341),
+	.B1(n_2966),
+	.B2(n_5341),
+	.Y(brqrv_top_brqrv_dec_tlu_mtdata1_t2_ns[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g796637 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mtdata1_t2[5]),
+	.A2_N(n_5341),
+	.B1(n_5052),
+	.B2(n_5341),
+	.Y(brqrv_top_brqrv_dec_tlu_mtdata1_t2_ns[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g796638 (
+	.A1(n_5336),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[6]),
+	.B1(n_1850),
+	.B2(n_3006),
+	.Y(n_5619), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g796639 (
+	.A1(n_5336),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[5]),
+	.B1(n_1850),
+	.B2(n_2983),
+	.Y(n_5618), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g796640 (
+	.A1(n_5335),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[10]),
+	.B1(n_1546),
+	.B2(n_3006),
+	.Y(n_5617), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g796641 (
+	.A1(n_5335),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[9]),
+	.B1(n_1546),
+	.B2(n_2983),
+	.Y(n_5616), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g796642 (
+	.A1(n_5337),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[14]),
+	.B1(n_1545),
+	.B2(n_3006),
+	.Y(n_5615), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g796643 (
+	.A1(n_5337),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[13]),
+	.B1(n_1545),
+	.B2(n_2983),
+	.Y(n_5614), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g796644 (
+	.A1(n_5338),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[2]),
+	.B1(n_1852),
+	.B2(n_3006),
+	.Y(n_5613), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g796645 (
+	.A1(n_5338),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[1]),
+	.B1(n_1852),
+	.B2(n_2983),
+	.Y(n_5612), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g796646 (
+	.A1(n_5336),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_sz[2]),
+	.B1(n_1850),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_sz[0]),
+	.Y(n_5611), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g796647 (
+	.A1(n_5335),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_sz[4]),
+	.B1(n_1546),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_sz[0]),
+	.Y(n_5610), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g796648 (
+	.A1(n_5338),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_sz[0]),
+	.B1(n_1852),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_sz[0]),
+	.Y(n_5609), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g796649 (
+	.A1(n_5337),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_sz[6]),
+	.B1(n_1545),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_sz[0]),
+	.Y(n_5608), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g796650 (
+	.A1(n_1790),
+	.A2(n_5051),
+	.B1(n_5477),
+	.Y(brqrv_top_brqrv_dec_tlu_mscause_ns[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796651 (
+	.A1(brqrv_top_brqrv_dec_tlu_int_timers_n_298),
+	.A2(n_5051),
+	.B1(n_4028),
+	.B2(n_1846),
+	.C1(n_5307),
+	.Y(brqrv_top_brqrv_dec_tlu_mscause_ns[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111oi_0 g796652 (
+	.A1(n_2007),
+	.A2(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.iccm_dma_rvalid_in ),
+	.B1(n_3430),
+	.C1(n_5285),
+	.D1(n_3266),
+	.Y(n_5607), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g796654 (
+	.A1(n_1052),
+	.A2(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[1]),
+	.B1(n_5533),
+	.Y(n_5606), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g796655 (
+	.A1(n_5080),
+	.A2(n_4582),
+	.B1(n_1507),
+	.B2(n_4583),
+	.Y(\brqrv_top_brqrv_dec_tlu_mcyclel_ns[7]_3323 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g796656 (
+	.A1(n_5077),
+	.A2(n_1786),
+	.B1(n_1507),
+	.B2(n_34621),
+	.Y(\brqrv_top_brqrv_dec_tlu_minstretl_ns[7]_3334 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g796657 (
+	.A1(n_5078),
+	.A2(n_5331),
+	.B1(n_1507),
+	.B2(n_5032),
+	.Y(\brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ns[7]_286 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g796658 (
+	.A1(n_4798),
+	.A2(n_5331),
+	.B1(n_1805),
+	.B2(n_5032),
+	.Y(\brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ns[5]_284 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g796659 (
+	.A1(n_3371),
+	.A2(n_5331),
+	.B1(n_1508),
+	.B2(n_5032),
+	.Y(\brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ns[4]_283 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g796660 (
+	.A1(n_4797),
+	.A2(n_5331),
+	.B1(n_1802),
+	.B2(n_5032),
+	.Y(\brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ns[3]_282 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g796661 (
+	.A1(brqrv_top_brqrv_ifu_aln_first2B),
+	.A2(n_5256),
+	.B1(n_5436),
+	.Y(n_5630), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g796662 (
+	.A(n_3013),
+	.B(n_5330),
+	.Y(n_5629), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g796663 (
+	.A1(brqrv_top_brqrv_exu_flush_final),
+	.A2(n_5146),
+	.B1(n_42991),
+	.B2(n_4241),
+	.Y(brqrv_top_brqrv_ifu_aln_f0val_in[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g796664 (
+	.A1(n_5057),
+	.A2(n_5344),
+	.B1(n_5049),
+	.B2(brqrv_top_brqrv_lsu_nonblock_load_tag_m[1]),
+	.Y(brqrv_top_brqrv_lsu_nonblock_load_tag_m[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g796666 (
+	.A(n_5602),
+	.Y(n_5603), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g796667 (
+	.A(n_5600),
+	.Y(n_5601), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g796668 (
+	.A(n_5598),
+	.Y(n_5599), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g796669 (
+	.A(brqrv_top_brqrv_ifu_aln_f1val_in[0]),
+	.Y(n_5597), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g796670 (
+	.A(brqrv_top_brqrv_ifu_aln_f2val_in[0]),
+	.Y(n_5596), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g796671 (
+	.A(n_5595),
+	.Y(n_5594), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g796672 (
+	.A(n_5593),
+	.Y(n_5592), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g796673 (
+	.A(n_5589),
+	.Y(n_5588), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g796674 (
+	.A(n_5587),
+	.Y(n_5586), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g796675 (
+	.A(n_5585),
+	.Y(n_5584), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g796676 (
+	.A(n_5583),
+	.Y(n_5582), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g796677 (
+	.A(n_5581),
+	.Y(n_5580), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g796678 (
+	.A(n_5579),
+	.Y(n_5578), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g796679 (
+	.A(n_5577),
+	.Y(n_5576), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g796680 (
+	.A(n_5575),
+	.Y(n_5574), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g796681 (
+	.A(n_5571),
+	.Y(brqrv_top_brqrv_dec_tlu_n_3338), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g796683 (
+	.A(n_5569),
+	.Y(n_5568), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 g796684 (
+	.A(n_35787),
+	.B(n_1335),
+	.COUT(n_5566),
+	.SUM(n_5567), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796685 (
+	.A1(n_1799),
+	.A2(n_35328),
+	.B1(n_35330),
+	.B2(n_4373),
+	.C1(n_2436),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[353]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796686 (
+	.A(n_5227),
+	.B(n_4723),
+	.Y(n_5565), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796687 (
+	.A(n_5226),
+	.B(n_4732),
+	.Y(n_5564), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796688 (
+	.A(n_5222),
+	.B(n_4710),
+	.Y(n_5563), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g796689 (
+	.A1(n_4214),
+	.A2(n_4915),
+	.B1(n_37285),
+	.Y(n_5562), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796690 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_3311),
+	.B(n_35350),
+	.Y(n_5561), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796691 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_3311),
+	.B(n_35348),
+	.Y(n_5560), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796692 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_3311),
+	.B(n_34562),
+	.Y(n_5559), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796693 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_3227),
+	.B(n_35350),
+	.Y(n_5558), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796694 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_3227),
+	.B(n_34564),
+	.Y(n_5557), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796695 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_3227),
+	.B(n_34562),
+	.Y(n_5556), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796696 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_en[3]),
+	.B(n_34564),
+	.Y(n_5555), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796697 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_en[3]),
+	.B(n_35348),
+	.Y(n_5554), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796698 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_en[3]),
+	.B(n_34562),
+	.Y(n_5553), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796699 (
+	.A(n_5336),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[7]),
+	.Y(n_5552), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796700 (
+	.A(n_5335),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[11]),
+	.Y(n_5551), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796701 (
+	.A(n_5337),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[15]),
+	.Y(n_5550), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796702 (
+	.A(n_5338),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[3]),
+	.Y(n_5549), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796703 (
+	.A(n_5336),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dual[1]),
+	.Y(n_5548), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796704 (
+	.A(n_5335),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dual[2]),
+	.Y(n_5547), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796705 (
+	.A(n_5337),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dual[3]),
+	.Y(n_5546), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796706 (
+	.A(n_5335),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_nomerge[2]),
+	.Y(n_5545), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796707 (
+	.A(n_5338),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_nomerge[0]),
+	.Y(n_5544), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796708 (
+	.A(n_5336),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_nomerge[1]),
+	.Y(n_5543), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796709 (
+	.A(n_5337),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_nomerge[3]),
+	.Y(n_5542), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796710 (
+	.A(n_5336),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dualhi[1]),
+	.Y(n_5541), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796711 (
+	.A(n_5335),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dualhi[2]),
+	.Y(n_5540), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796712 (
+	.A(n_5338),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dualhi[0]),
+	.Y(n_5539), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796713 (
+	.A(n_5337),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dualhi[3]),
+	.Y(n_5538), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796714 (
+	.A(n_5341),
+	.B(n_35580),
+	.Y(brqrv_top_brqrv_dec_tlu_n_3395), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796715 (
+	.A(n_5339),
+	.B(n_35585),
+	.Y(brqrv_top_brqrv_dec_tlu_n_3396), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796716 (
+	.A(n_5340),
+	.B(n_35584),
+	.Y(brqrv_top_brqrv_dec_tlu_n_3394), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796717 (
+	.A(n_5081),
+	.B(n_31667),
+	.Y(n_5537), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g796718 (
+	.A(n_43108),
+	.B(n_5211),
+	.Y(n_5536), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796719 (
+	.A(n_5281),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dual[3]),
+	.Y(n_5535), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796720 (
+	.A1(n_9269),
+	.A2(n_35328),
+	.B1(n_35330),
+	.B2(n_4374),
+	.C1(n_2488),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[356]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796721 (
+	.A1(n_9161),
+	.A2(n_35328),
+	.B1(n_35330),
+	.B2(n_4361),
+	.C1(n_2325),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[357]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796722 (
+	.A1(n_9056),
+	.A2(n_35328),
+	.B1(n_35330),
+	.B2(n_4368),
+	.C1(n_2095),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[358]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796723 (
+	.A1(n_1504),
+	.A2(n_35328),
+	.B1(n_35330),
+	.B2(n_4370),
+	.C1(n_2532),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[360]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796724 (
+	.A1(n_1499),
+	.A2(n_35328),
+	.B1(n_35330),
+	.B2(n_4367),
+	.C1(n_2214),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[361]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796725 (
+	.A(n_5373),
+	.B(n_5378),
+	.Y(n_5534), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796726 (
+	.A1(n_1498),
+	.A2(n_35328),
+	.B1(n_35330),
+	.B2(n_4369),
+	.C1(n_2208),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[362]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796727 (
+	.A(n_4435),
+	.B(brqrv_top_brqrv_dbg_sbcs_sbbusyerror_din),
+	.Y(brqrv_top_brqrv_dbg_sbcs_sbbusyerror_wren), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796728 (
+	.A1(n_1801),
+	.A2(n_35328),
+	.B1(n_35330),
+	.B2(n_4362),
+	.C1(n_2636),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[364]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796729 (
+	.A1(n_1797),
+	.A2(n_35328),
+	.B1(n_35330),
+	.B2(n_4372),
+	.C1(n_2566),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[365]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796730 (
+	.A1(n_35330),
+	.A2(n_4842),
+	.B1(n_33142),
+	.B2(n_35328),
+	.C1(n_2539),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[370]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796731 (
+	.A1(n_1799),
+	.A2(n_34497),
+	.B1(n_34499),
+	.B2(n_4373),
+	.C1(n_2376),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[161]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796732 (
+	.A1(n_9269),
+	.A2(n_34497),
+	.B1(n_34499),
+	.B2(n_4374),
+	.C1(n_2370),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[164]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796733 (
+	.A1(n_34499),
+	.A2(n_4361),
+	.B1(n_9161),
+	.B2(n_34497),
+	.C1(n_2628),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[165]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796734 (
+	.A1(n_9056),
+	.A2(n_34497),
+	.B1(n_34499),
+	.B2(n_4368),
+	.C1(n_2364),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[166]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796735 (
+	.A1(n_1504),
+	.A2(n_34497),
+	.B1(n_34499),
+	.B2(n_4370),
+	.C1(n_2505),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[168]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796736 (
+	.A1(n_1499),
+	.A2(n_34497),
+	.B1(n_34499),
+	.B2(n_4367),
+	.C1(n_2461),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[169]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796738 (
+	.A1(n_1498),
+	.A2(n_34497),
+	.B1(n_34499),
+	.B2(n_4369),
+	.C1(n_2437),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[170]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796739 (
+	.A(n_1509),
+	.B(n_5364),
+	.Y(brqrv_top_brqrv_dec_tlu_n_3339), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g796740 (
+	.A(n_1509),
+	.B_N(brqrv_top_brqrv_dec_tlu_flush_path_r[1]),
+	.Y(n_5533), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796741 (
+	.A(n_5230),
+	.B(n_5141),
+	.Y(brqrv_top_brqrv_dbg_dmi_reg_rdata_din[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796742 (
+	.A1(n_1547),
+	.A2(n_4610),
+	.B1(n_36274),
+	.B2(n_4613),
+	.C1(brqrv_top_brqrv_lsu_addr_in_dccm_r),
+	.Y(n_5532), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796743 (
+	.A(n_5223),
+	.B(n_5127),
+	.Y(n_5531), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g796744 (
+	.A1(n_5027),
+	.A2(n_4552),
+	.B1(brqrv_top_brqrv_dec_decode_i0_dp_raw[73]),
+	.Y(n_5530), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796745 (
+	.A1(n_1801),
+	.A2(n_34497),
+	.B1(n_34499),
+	.B2(n_4362),
+	.C1(n_2421),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[172]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796746 (
+	.A(n_5249),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dual[2]),
+	.Y(n_5529), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g796747 (
+	.A(n_3427),
+	.B(n_4827),
+	.C(n_3796),
+	.Y(n_5528), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g796748 (
+	.A1(n_43591),
+	.A2(n_4979),
+	.B1(n_3002),
+	.Y(n_5527), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g796749 (
+	.A1(n_4165),
+	.A2(n_33863),
+	.B1(n_5357),
+	.Y(n_5526), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796750 (
+	.A1(n_1797),
+	.A2(n_34497),
+	.B1(n_34499),
+	.B2(n_4372),
+	.C1(n_2571),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[173]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796751 (
+	.A(n_5165),
+	.B(n_5348),
+	.Y(n_5525), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796752 (
+	.A(n_5347),
+	.B(n_5164),
+	.Y(n_5524), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796753 (
+	.A(n_5351),
+	.B(n_5348),
+	.Y(n_5523), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796754 (
+	.A(n_5347),
+	.B(n_5352),
+	.Y(n_5522), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796755 (
+	.A(n_5345),
+	.B(n_5165),
+	.Y(n_5521), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796756 (
+	.A(n_5164),
+	.B(n_5346),
+	.Y(n_5520), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796757 (
+	.A1(n_1505),
+	.A2(n_34497),
+	.B1(n_34499),
+	.B2(n_4363),
+	.C1(n_2400),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[174]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796758 (
+	.A(n_5164),
+	.B(n_5348),
+	.Y(n_5519), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796759 (
+	.A1(n_34499),
+	.A2(n_4842),
+	.B1(n_33142),
+	.B2(n_34497),
+	.C1(n_2536),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[178]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796760 (
+	.A(n_5347),
+	.B(n_5165),
+	.Y(n_5518), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796761 (
+	.A(n_5343),
+	.B(n_5036),
+	.Y(n_5517), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796762 (
+	.A1(n_1799),
+	.A2(n_34482),
+	.B1(n_34484),
+	.B2(n_4373),
+	.C1(n_2468),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[385]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796763 (
+	.A(n_32507),
+	.B(n_5220),
+	.Y(brqrv_top_brqrv_dec_tlu_n_3272), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796764 (
+	.A(n_5360),
+	.B(n_5264),
+	.Y(n_5516), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g796765 (
+	.A(n_4269),
+	.B(n_3892),
+	.C(brqrv_top_brqrv_dec_decode_cam_raw[38]),
+	.D(n_3337),
+	.Y(n_5515), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g796766 (
+	.A(n_4266),
+	.B(n_3889),
+	.C(brqrv_top_brqrv_dec_decode_cam_raw[18]),
+	.D(n_3331),
+	.Y(n_5514), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g796767 (
+	.A(n_4268),
+	.B(n_3891),
+	.C(brqrv_top_brqrv_dec_decode_cam_raw[28]),
+	.D(n_3330),
+	.Y(n_5513), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g796768 (
+	.A(n_4267),
+	.B(n_3890),
+	.C(brqrv_top_brqrv_dec_decode_cam_raw[8]),
+	.D(n_3359),
+	.Y(n_5512), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g796769 (
+	.A1(n_4980),
+	.A2(n_35537),
+	.B1_N(n_35610),
+	.Y(brqrv_top_brqrv_dec_tlu_debug_resume_req), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g796770 (
+	.A(n_4804),
+	.B(n_5221),
+	.Y(n_5511), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g796771 (
+	.A1(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_inc_cout),
+	.A2(n_4715),
+	.B1(n_5331),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_n_275), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g796772 (
+	.A(n_4308),
+	.B(n_5261),
+	.Y(n_5510), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g796773 (
+	.A(n_4989),
+	.B(n_5112),
+	.Y(n_5509), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g796774 (
+	.A(n_4988),
+	.B(n_5111),
+	.Y(n_5508), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g796775 (
+	.A(n_4987),
+	.B(n_5110),
+	.Y(n_5507), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g796776 (
+	.A(n_4986),
+	.B(n_5109),
+	.Y(n_5506), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g796777 (
+	.A(n_4985),
+	.B(n_5108),
+	.Y(n_5505), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g796778 (
+	.A(n_4984),
+	.B(n_5107),
+	.Y(n_5504), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g796779 (
+	.A(n_4983),
+	.B(n_5106),
+	.Y(n_5503), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g796780 (
+	.A(n_4982),
+	.B(n_5105),
+	.Y(n_5502), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g796781 (
+	.A(n_4515),
+	.B(n_5091),
+	.Y(n_5501), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g796782 (
+	.A(n_4514),
+	.B(n_5090),
+	.Y(n_5500), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g796783 (
+	.A(n_4513),
+	.B(n_5089),
+	.Y(n_5499), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g796784 (
+	.A(n_4512),
+	.B(n_5088),
+	.Y(n_5498), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g796785 (
+	.A(n_4511),
+	.B(n_5087),
+	.Y(n_5497), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g796786 (
+	.A(n_4510),
+	.B(n_5086),
+	.Y(n_5496), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g796787 (
+	.A(n_4509),
+	.B(n_5085),
+	.Y(n_5495), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g796788 (
+	.A(n_4508),
+	.B(n_5084),
+	.Y(n_5494), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g796789 (
+	.A1(n_4943),
+	.A2(n_4281),
+	.B1(n_5368),
+	.Y(n_5493), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796790 (
+	.A(n_5325),
+	.B(n_4973),
+	.Y(brqrv_top_brqrv_dec_decode_write_csr_data_in[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796791 (
+	.A(n_5326),
+	.B(n_4972),
+	.Y(brqrv_top_brqrv_dec_decode_write_csr_data_in[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796792 (
+	.A(n_5308),
+	.B(n_4971),
+	.Y(brqrv_top_brqrv_dec_decode_write_csr_data_in[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796793 (
+	.A(n_5311),
+	.B(n_4970),
+	.Y(brqrv_top_brqrv_dec_decode_write_csr_data_in[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796794 (
+	.A(n_5161),
+	.B(n_4969),
+	.Y(brqrv_top_brqrv_dec_decode_write_csr_data_in[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796795 (
+	.A(n_5312),
+	.B(n_4968),
+	.Y(brqrv_top_brqrv_dec_decode_write_csr_data_in[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796796 (
+	.A(n_5313),
+	.B(n_4967),
+	.Y(brqrv_top_brqrv_dec_decode_write_csr_data_in[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796797 (
+	.A(n_5314),
+	.B(n_4966),
+	.Y(brqrv_top_brqrv_dec_decode_write_csr_data_in[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796798 (
+	.A(n_5316),
+	.B(n_4965),
+	.Y(brqrv_top_brqrv_dec_decode_write_csr_data_in[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796799 (
+	.A(n_5327),
+	.B(n_4964),
+	.Y(brqrv_top_brqrv_dec_decode_write_csr_data_in[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796800 (
+	.A(n_5329),
+	.B(n_4963),
+	.Y(brqrv_top_brqrv_dec_decode_write_csr_data_in[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796801 (
+	.A(n_5157),
+	.B(n_4962),
+	.Y(brqrv_top_brqrv_dec_decode_write_csr_data_in[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796802 (
+	.A(n_5155),
+	.B(n_4961),
+	.Y(brqrv_top_brqrv_dec_decode_write_csr_data_in[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796803 (
+	.A(n_5317),
+	.B(n_4960),
+	.Y(brqrv_top_brqrv_dec_decode_write_csr_data_in[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796804 (
+	.A(n_5318),
+	.B(n_4959),
+	.Y(brqrv_top_brqrv_dec_decode_write_csr_data_in[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796805 (
+	.A(n_5320),
+	.B(n_4958),
+	.Y(brqrv_top_brqrv_dec_decode_write_csr_data_in[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796806 (
+	.A(n_5148),
+	.B(n_4957),
+	.Y(brqrv_top_brqrv_dec_decode_write_csr_data_in[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796807 (
+	.A(n_5323),
+	.B(n_4956),
+	.Y(brqrv_top_brqrv_dec_decode_write_csr_data_in[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796808 (
+	.A(n_5324),
+	.B(n_4955),
+	.Y(brqrv_top_brqrv_dec_decode_write_csr_data_in[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796809 (
+	.A(n_5160),
+	.B(n_4954),
+	.Y(brqrv_top_brqrv_dec_decode_write_csr_data_in[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796810 (
+	.A(n_5159),
+	.B(n_4953),
+	.Y(brqrv_top_brqrv_dec_decode_write_csr_data_in[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796811 (
+	.A(n_5163),
+	.B(n_4951),
+	.Y(brqrv_top_brqrv_dec_decode_write_csr_data_in[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796812 (
+	.A(n_5158),
+	.B(n_4950),
+	.Y(brqrv_top_brqrv_dec_decode_write_csr_data_in[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796813 (
+	.A(n_5162),
+	.B(n_4949),
+	.Y(brqrv_top_brqrv_dec_decode_write_csr_data_in[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796814 (
+	.A(n_5154),
+	.B(n_4948),
+	.Y(brqrv_top_brqrv_dec_decode_write_csr_data_in[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796815 (
+	.A(n_5153),
+	.B(n_4947),
+	.Y(brqrv_top_brqrv_dec_decode_write_csr_data_in[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g796816 (
+	.A(n_5156),
+	.B(n_4946),
+	.Y(brqrv_top_brqrv_dec_decode_write_csr_data_in[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g796817 (
+	.A1(brqrv_top_brqrv_dbg_sbaddress0_reg[17]),
+	.A2(n_2928),
+	.B1(n_1792),
+	.B2(brqrv_top_brqrv_dbg_sbdata0_reg[17]),
+	.C1(n_5131),
+	.Y(n_5492), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g796818 (
+	.A1(brqrv_top_brqrv_dbg_sbaddress0_reg[9]),
+	.A2(n_2928),
+	.B1(n_1792),
+	.B2(brqrv_top_brqrv_dbg_sbdata0_reg[9]),
+	.C1(n_5123),
+	.Y(n_5491), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g796819 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_rspageQ[11]),
+	.A2(n_1787),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_3311),
+	.Y(n_5490), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g796820 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_rspageQ[9]),
+	.A2(n_1787),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_3311),
+	.Y(n_5489), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g796821 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_rspageQ[8]),
+	.A2(n_1787),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_3311),
+	.Y(n_5488), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g796822 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_rspageQ[7]),
+	.A2(n_1787),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_3227),
+	.Y(n_5487), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g796823 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_rspageQ[6]),
+	.A2(n_1787),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_3227),
+	.Y(n_5486), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g796824 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_rspageQ[4]),
+	.A2(n_1787),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_3227),
+	.Y(n_5485), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g796825 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_rspageQ[14]),
+	.A2(n_1787),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_en[3]),
+	.Y(n_5484), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g796826 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_rspageQ[13]),
+	.A2(n_1787),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_en[3]),
+	.Y(n_5483), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g796827 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_rspageQ[12]),
+	.A2(n_1787),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_en[3]),
+	.Y(n_5482), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g796828 (
+	.A1(n_5049),
+	.A2(n_34870),
+	.B1(n_5058),
+	.Y(n_5481), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g796829 (
+	.A1(n_4609),
+	.A2(brqrv_top_brqrv_lsu_end_addr_m[5]),
+	.B1(n_4611),
+	.B2(brqrv_top_brqrv_lsu_end_addr_m[10]),
+	.C1(n_5094),
+	.Y(n_5480), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g796830 (
+	.A(brqrv_top_brqrv_dec_tlu_mstatus_mie_ns),
+	.B(n_4884),
+	.C_N(brqrv_top_brqrv_dec_tlu_mie_ns[3]),
+	.Y(brqrv_top_brqrv_dec_tlu_int_timer1_int_hold), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796831 (
+	.A1(brqrv_top_brqrv_dec_tlu_int_timers_n_298),
+	.A2(n_2929),
+	.B1(brqrv_top_brqrv_dec_decode_write_csr_data[0]),
+	.B2(n_1497),
+	.C1(n_5083),
+	.Y(brqrv_top_brqrv_dec_decode_write_csr_data_in[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g796832 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_dcsr[7]),
+	.A2_N(n_5036),
+	.B1(n_4092),
+	.B2(n_5036),
+	.Y(brqrv_top_brqrv_dec_tlu_dcsr_ns[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796833 (
+	.A1(n_9269),
+	.A2(n_34482),
+	.B1(n_34484),
+	.B2(n_4374),
+	.C1(n_2415),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[388]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g796834 (
+	.A1(brqrv_top_brqrv_lsu_stbuf_n_612),
+	.A2(n_1547),
+	.B1(n_3287),
+	.C1(n_3898),
+	.D1(n_4330),
+	.Y(n_5479), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g796835 (
+	.A1(n_4591),
+	.A2(n_4589),
+	.A3(n_2991),
+	.B1(n_1608),
+	.Y(n_5478), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796836 (
+	.A1(n_9161),
+	.A2(n_34482),
+	.B1(n_34484),
+	.B2(n_4361),
+	.C1(n_2586),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[389]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g796837 (
+	.A1(n_5050),
+	.A2(brqrv_top_brqrv_dec_tlu_mscause[1]),
+	.B1(n_4898),
+	.Y(n_5477), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g796838 (
+	.A1(n_1843),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[35]),
+	.B1(n_5253),
+	.Y(n_5476), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796839 (
+	.A1(n_34471),
+	.A2(n_4366),
+	.B1(n_33876),
+	.B2(n_34470),
+	.C1(n_1367),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[871]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796840 (
+	.A1(n_34511),
+	.A2(n_4366),
+	.B1(n_33876),
+	.B2(n_34510),
+	.C1(n_1322),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[39]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796841 (
+	.A1(n_34450),
+	.A2(n_4366),
+	.B1(n_33876),
+	.B2(n_34449),
+	.C1(n_1364),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[647]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796842 (
+	.A1(n_34496),
+	.A2(n_4366),
+	.B1(n_33876),
+	.B2(n_34495),
+	.C1(n_1331),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[231]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796843 (
+	.A1(n_34474),
+	.A2(n_4366),
+	.B1(n_33876),
+	.B2(n_34473),
+	.C1(n_1365),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[935]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796844 (
+	.A1(n_34453),
+	.A2(n_4366),
+	.B1(n_33876),
+	.B2(n_34452),
+	.C1(n_1362),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[679]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796845 (
+	.A1(n_34493),
+	.A2(n_4366),
+	.B1(n_33876),
+	.B2(n_34492),
+	.C1(n_1359),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[199]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796846 (
+	.A1(n_35336),
+	.A2(n_4366),
+	.B1(n_33876),
+	.B2(n_35335),
+	.C1(n_1324),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[455]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796847 (
+	.A1(n_34456),
+	.A2(n_4366),
+	.B1(n_33876),
+	.B2(n_34455),
+	.C1(n_1369),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[711]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796848 (
+	.A1(n_35324),
+	.A2(n_4366),
+	.B1(n_33876),
+	.B2(n_35323),
+	.C1(n_1372),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[263]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796849 (
+	.A1(n_34508),
+	.A2(n_4366),
+	.B1(n_33876),
+	.B2(n_34507),
+	.C1(n_1325),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[71]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796850 (
+	.A1(n_34477),
+	.A2(n_4366),
+	.B1(n_33876),
+	.B2(n_34476),
+	.C1(n_1327),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[999]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796851 (
+	.A1(n_34462),
+	.A2(n_4366),
+	.B1(n_33876),
+	.B2(n_34461),
+	.C1(n_1361),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[807]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796852 (
+	.A1(n_34484),
+	.A2(n_4366),
+	.B1(n_33876),
+	.B2(n_34483),
+	.C1(n_1375),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[391]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796853 (
+	.A1(n_34499),
+	.A2(n_4366),
+	.B1(n_33876),
+	.B2(n_34498),
+	.C1(n_1357),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[167]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796854 (
+	.A1(n_35330),
+	.A2(n_4366),
+	.B1(n_33876),
+	.B2(n_35329),
+	.C1(n_1360),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[359]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796855 (
+	.A1(n_35341),
+	.A2(n_4366),
+	.B1(n_33876),
+	.B2(n_35340),
+	.C1(n_1373),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[519]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796856 (
+	.A1(n_34502),
+	.A2(n_4366),
+	.B1(n_33876),
+	.B2(n_34501),
+	.C1(n_1323),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[135]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796857 (
+	.A1(n_34459),
+	.A2(n_4366),
+	.B1(n_33876),
+	.B2(n_34458),
+	.C1(n_1328),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[743]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796858 (
+	.A1(n_34468),
+	.A2(n_4366),
+	.B1(n_33876),
+	.B2(n_34467),
+	.C1(n_1363),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[775]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796859 (
+	.A1(n_35343),
+	.A2(n_4366),
+	.B1(n_33876),
+	.B2(n_35342),
+	.C1(n_1330),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[967]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796860 (
+	.A1(n_35327),
+	.A2(n_4366),
+	.B1(n_33876),
+	.B2(n_35326),
+	.C1(n_1332),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[327]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796861 (
+	.A1(n_34481),
+	.A2(n_4366),
+	.B1(n_33876),
+	.B2(n_34480),
+	.C1(n_1366),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[295]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796862 (
+	.A1(n_34465),
+	.A2(n_4366),
+	.B1(n_33876),
+	.B2(n_34464),
+	.C1(n_1371),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[839]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796863 (
+	.A1(n_34444),
+	.A2(n_4366),
+	.B1(n_33876),
+	.B2(n_34443),
+	.C1(n_1358),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[551]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796864 (
+	.A1(n_34490),
+	.A2(n_4366),
+	.B1(n_33876),
+	.B2(n_34489),
+	.C1(n_1370),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[615]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796865 (
+	.A1(n_35333),
+	.A2(n_4366),
+	.B1(n_33876),
+	.B2(n_35332),
+	.C1(n_1368),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[423]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796866 (
+	.A1(n_34447),
+	.A2(n_4366),
+	.B1(n_33876),
+	.B2(n_34446),
+	.C1(n_1376),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[583]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796867 (
+	.A1(n_34487),
+	.A2(n_4366),
+	.B1(n_33876),
+	.B2(n_34486),
+	.C1(n_1326),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[903]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796868 (
+	.A1(n_34505),
+	.A2(n_4366),
+	.B1(n_33876),
+	.B2(n_34504),
+	.C1(n_1374),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[103]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796869 (
+	.A1(n_35339),
+	.A2(n_4366),
+	.B1(n_33876),
+	.B2(n_35338),
+	.C1(n_1329),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[487]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796870 (
+	.A1(n_34471),
+	.A2(n_4842),
+	.B1(n_33142),
+	.B2(n_34469),
+	.C1(n_2609),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[882]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796871 (
+	.A1(n_1505),
+	.A2(n_34469),
+	.B1(n_34471),
+	.B2(n_4363),
+	.C1(n_2521),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[878]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796872 (
+	.A1(n_1797),
+	.A2(n_34469),
+	.B1(n_34471),
+	.B2(n_4372),
+	.C1(n_2622),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[877]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796873 (
+	.A1(n_1801),
+	.A2(n_34469),
+	.B1(n_34471),
+	.B2(n_4362),
+	.C1(n_2511),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[876]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796874 (
+	.A1(n_1498),
+	.A2(n_34469),
+	.B1(n_34471),
+	.B2(n_4369),
+	.C1(n_2597),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[874]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796875 (
+	.A1(n_1499),
+	.A2(n_34469),
+	.B1(n_34471),
+	.B2(n_4367),
+	.C1(n_2492),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[873]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796876 (
+	.A1(n_1504),
+	.A2(n_34469),
+	.B1(n_34471),
+	.B2(n_4370),
+	.C1(n_2587),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[872]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796877 (
+	.A1(n_9056),
+	.A2(n_34469),
+	.B1(n_34471),
+	.B2(n_4368),
+	.C1(n_2573),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[870]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796878 (
+	.A1(n_9161),
+	.A2(n_34469),
+	.B1(n_34471),
+	.B2(n_4361),
+	.C1(n_2347),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[869]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796879 (
+	.A1(n_9269),
+	.A2(n_34469),
+	.B1(n_34471),
+	.B2(n_4374),
+	.C1(n_2638),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[868]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796880 (
+	.A1(n_1799),
+	.A2(n_34469),
+	.B1(n_34471),
+	.B2(n_4373),
+	.C1(n_2584),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[865]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796881 (
+	.A1(n_34511),
+	.A2(n_4842),
+	.B1(n_33142),
+	.B2(n_34509),
+	.C1(n_2575),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[50]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796882 (
+	.A1(n_1505),
+	.A2(n_34509),
+	.B1(n_34511),
+	.B2(n_4363),
+	.C1(n_2574),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[46]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796883 (
+	.A1(n_1797),
+	.A2(n_34509),
+	.B1(n_34511),
+	.B2(n_4372),
+	.C1(n_2489),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[45]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796884 (
+	.A1(n_1801),
+	.A2(n_34509),
+	.B1(n_34511),
+	.B2(n_4362),
+	.C1(n_2146),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[44]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796885 (
+	.A1(n_1498),
+	.A2(n_34509),
+	.B1(n_34511),
+	.B2(n_4369),
+	.C1(n_2570),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[42]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796886 (
+	.A1(n_1499),
+	.A2(n_34509),
+	.B1(n_34511),
+	.B2(n_4367),
+	.C1(n_2610),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[41]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796887 (
+	.A1(n_1504),
+	.A2(n_34509),
+	.B1(n_34511),
+	.B2(n_4370),
+	.C1(n_2239),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[40]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796888 (
+	.A1(n_9056),
+	.A2(n_34509),
+	.B1(n_34511),
+	.B2(n_4368),
+	.C1(n_2237),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[38]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796889 (
+	.A1(n_9161),
+	.A2(n_34509),
+	.B1(n_34511),
+	.B2(n_4361),
+	.C1(n_2553),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[37]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796890 (
+	.A1(n_9269),
+	.A2(n_34509),
+	.B1(n_34511),
+	.B2(n_4374),
+	.C1(n_2410),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[36]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796891 (
+	.A1(n_1799),
+	.A2(n_34509),
+	.B1(n_34511),
+	.B2(n_4373),
+	.C1(n_2525),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[33]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796892 (
+	.A1(n_1505),
+	.A2(n_34448),
+	.B1(n_34450),
+	.B2(n_4363),
+	.C1(n_2469),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[654]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796893 (
+	.A1(n_34450),
+	.A2(n_4842),
+	.B1(n_33142),
+	.B2(n_34448),
+	.C1(n_2440),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[658]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796894 (
+	.A1(n_1797),
+	.A2(n_34448),
+	.B1(n_34450),
+	.B2(n_4372),
+	.C1(n_2567),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[653]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796895 (
+	.A1(n_1801),
+	.A2(n_34448),
+	.B1(n_34450),
+	.B2(n_4362),
+	.C1(n_2387),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[652]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796896 (
+	.A1(n_1498),
+	.A2(n_34448),
+	.B1(n_34450),
+	.B2(n_4369),
+	.C1(n_2406),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[650]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796897 (
+	.A1(n_1499),
+	.A2(n_34448),
+	.B1(n_34450),
+	.B2(n_4367),
+	.C1(n_2564),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[649]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796898 (
+	.A1(n_1504),
+	.A2(n_34448),
+	.B1(n_34450),
+	.B2(n_4370),
+	.C1(n_2562),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[648]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796899 (
+	.A1(n_9056),
+	.A2(n_34448),
+	.B1(n_34450),
+	.B2(n_4368),
+	.C1(n_2561),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[646]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796900 (
+	.A1(n_9161),
+	.A2(n_34448),
+	.B1(n_34450),
+	.B2(n_4361),
+	.C1(n_2524),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[645]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796901 (
+	.A1(n_9269),
+	.A2(n_34448),
+	.B1(n_34450),
+	.B2(n_4374),
+	.C1(n_2528),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[644]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796902 (
+	.A1(n_1799),
+	.A2(n_34448),
+	.B1(n_34450),
+	.B2(n_4373),
+	.C1(n_2559),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[641]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796903 (
+	.A1(n_34496),
+	.A2(n_4842),
+	.B1(n_33142),
+	.B2(n_34494),
+	.C1(n_2604),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[242]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796904 (
+	.A1(n_1505),
+	.A2(n_34494),
+	.B1(n_34496),
+	.B2(n_4363),
+	.C1(n_2547),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[238]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796905 (
+	.A1(n_1797),
+	.A2(n_34494),
+	.B1(n_34496),
+	.B2(n_4372),
+	.C1(n_2494),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[237]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796906 (
+	.A1(n_1801),
+	.A2(n_34494),
+	.B1(n_34496),
+	.B2(n_4362),
+	.C1(n_2554),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[236]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796907 (
+	.A1(n_1498),
+	.A2(n_34494),
+	.B1(n_34496),
+	.B2(n_4369),
+	.C1(n_2631),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[234]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796908 (
+	.A1(n_1499),
+	.A2(n_34494),
+	.B1(n_34496),
+	.B2(n_4367),
+	.C1(n_2495),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[233]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796909 (
+	.A1(n_1504),
+	.A2(n_34494),
+	.B1(n_34496),
+	.B2(n_4370),
+	.C1(n_2601),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[232]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796910 (
+	.A1(n_9056),
+	.A2(n_34494),
+	.B1(n_34496),
+	.B2(n_4368),
+	.C1(n_2404),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[230]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796911 (
+	.A1(n_9161),
+	.A2(n_34494),
+	.B1(n_34496),
+	.B2(n_4361),
+	.C1(n_2474),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[229]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796912 (
+	.A1(n_9269),
+	.A2(n_34494),
+	.B1(n_34496),
+	.B2(n_4374),
+	.C1(n_2315),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[228]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796913 (
+	.A1(n_1799),
+	.A2(n_34494),
+	.B1(n_34496),
+	.B2(n_4373),
+	.C1(n_2617),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[225]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796914 (
+	.A1(n_34474),
+	.A2(n_4842),
+	.B1(n_33142),
+	.B2(n_34472),
+	.C1(n_2464),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[946]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796915 (
+	.A1(n_1505),
+	.A2(n_34472),
+	.B1(n_34474),
+	.B2(n_4363),
+	.C1(n_2308),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[942]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796916 (
+	.A1(n_1797),
+	.A2(n_34472),
+	.B1(n_34474),
+	.B2(n_4372),
+	.C1(n_2513),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[941]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796917 (
+	.A1(n_1801),
+	.A2(n_34472),
+	.B1(n_34474),
+	.B2(n_4362),
+	.C1(n_2518),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[940]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796918 (
+	.A1(n_1498),
+	.A2(n_34472),
+	.B1(n_34474),
+	.B2(n_4369),
+	.C1(n_2548),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[938]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796919 (
+	.A1(n_1499),
+	.A2(n_34472),
+	.B1(n_34474),
+	.B2(n_4367),
+	.C1(n_2465),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[937]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796920 (
+	.A1(n_1504),
+	.A2(n_34472),
+	.B1(n_34474),
+	.B2(n_4370),
+	.C1(n_2568),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[936]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796921 (
+	.A1(n_9056),
+	.A2(n_34472),
+	.B1(n_34474),
+	.B2(n_4368),
+	.C1(n_2324),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[934]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796922 (
+	.A1(n_9161),
+	.A2(n_34472),
+	.B1(n_34474),
+	.B2(n_4361),
+	.C1(n_2558),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[933]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796923 (
+	.A1(n_9269),
+	.A2(n_34472),
+	.B1(n_34474),
+	.B2(n_4374),
+	.C1(n_2397),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[932]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796924 (
+	.A1(n_1799),
+	.A2(n_34472),
+	.B1(n_34474),
+	.B2(n_4373),
+	.C1(n_2422),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[929]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796925 (
+	.A1(n_34453),
+	.A2(n_4842),
+	.B1(n_33142),
+	.B2(n_34451),
+	.C1(n_2462),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[690]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796926 (
+	.A1(n_1505),
+	.A2(n_34451),
+	.B1(n_34453),
+	.B2(n_4363),
+	.C1(n_2240),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[686]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796927 (
+	.A1(n_1801),
+	.A2(n_34451),
+	.B1(n_34453),
+	.B2(n_4362),
+	.C1(n_2393),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[684]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796928 (
+	.A1(n_1498),
+	.A2(n_34451),
+	.B1(n_34453),
+	.B2(n_4369),
+	.C1(n_2122),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[682]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796929 (
+	.A1(n_1499),
+	.A2(n_34451),
+	.B1(n_34453),
+	.B2(n_4367),
+	.C1(n_2088),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[681]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796930 (
+	.A1(n_1504),
+	.A2(n_34451),
+	.B1(n_34453),
+	.B2(n_4370),
+	.C1(n_2158),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[680]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796931 (
+	.A1(n_9161),
+	.A2(n_34451),
+	.B1(n_34453),
+	.B2(n_4361),
+	.C1(n_2175),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[677]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796932 (
+	.A1(n_9269),
+	.A2(n_34451),
+	.B1(n_34453),
+	.B2(n_4374),
+	.C1(n_2514),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[676]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796933 (
+	.A1(n_1799),
+	.A2(n_34451),
+	.B1(n_34453),
+	.B2(n_4373),
+	.C1(n_2383),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[673]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796934 (
+	.A1(n_34493),
+	.A2(n_4842),
+	.B1(n_33142),
+	.B2(n_34491),
+	.C1(n_2395),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[210]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796935 (
+	.A1(n_1505),
+	.A2(n_34491),
+	.B1(n_34493),
+	.B2(n_4363),
+	.C1(n_2195),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[206]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796936 (
+	.A1(n_1797),
+	.A2(n_34491),
+	.B1(n_34493),
+	.B2(n_4372),
+	.C1(n_2401),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[205]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796937 (
+	.A1(n_1801),
+	.A2(n_34491),
+	.B1(n_34493),
+	.B2(n_4362),
+	.C1(n_2473),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[204]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796938 (
+	.A1(n_1498),
+	.A2(n_34491),
+	.B1(n_34493),
+	.B2(n_4369),
+	.C1(n_2537),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[202]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796939 (
+	.A1(n_1499),
+	.A2(n_34491),
+	.B1(n_34493),
+	.B2(n_4367),
+	.C1(n_2405),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[201]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796940 (
+	.A1(n_1504),
+	.A2(n_34491),
+	.B1(n_34493),
+	.B2(n_4370),
+	.C1(n_2408),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[200]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796941 (
+	.A1(n_9056),
+	.A2(n_34491),
+	.B1(n_34493),
+	.B2(n_4368),
+	.C1(n_2595),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[198]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796942 (
+	.A1(n_9161),
+	.A2(n_34491),
+	.B1(n_34493),
+	.B2(n_4361),
+	.C1(n_2600),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[197]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796943 (
+	.A1(n_9269),
+	.A2(n_34491),
+	.B1(n_34493),
+	.B2(n_4374),
+	.C1(n_2501),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[196]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796944 (
+	.A1(n_1799),
+	.A2(n_34491),
+	.B1(n_34493),
+	.B2(n_4373),
+	.C1(n_2593),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[193]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796945 (
+	.A1(n_35336),
+	.A2(n_4842),
+	.B1(n_33142),
+	.B2(n_35334),
+	.C1(n_2430),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[466]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796946 (
+	.A1(n_1505),
+	.A2(n_35328),
+	.B1(n_35330),
+	.B2(n_4363),
+	.C1(n_2591),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[366]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796947 (
+	.A1(n_1505),
+	.A2(n_35334),
+	.B1(n_35336),
+	.B2(n_4363),
+	.C1(n_2316),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[462]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796948 (
+	.A1(n_1797),
+	.A2(n_35334),
+	.B1(n_35336),
+	.B2(n_4372),
+	.C1(n_2099),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[461]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796949 (
+	.A1(n_1801),
+	.A2(n_35334),
+	.B1(n_35336),
+	.B2(n_4362),
+	.C1(n_2181),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[460]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796950 (
+	.A1(n_1498),
+	.A2(n_35334),
+	.B1(n_35336),
+	.B2(n_4369),
+	.C1(n_2466),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[458]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796951 (
+	.A1(n_1499),
+	.A2(n_35334),
+	.B1(n_35336),
+	.B2(n_4367),
+	.C1(n_2365),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[457]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796952 (
+	.A1(n_1504),
+	.A2(n_35334),
+	.B1(n_35336),
+	.B2(n_4370),
+	.C1(n_2097),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[456]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796953 (
+	.A1(n_9056),
+	.A2(n_35334),
+	.B1(n_35336),
+	.B2(n_4368),
+	.C1(n_2620),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[454]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796954 (
+	.A1(n_9161),
+	.A2(n_35334),
+	.B1(n_35336),
+	.B2(n_4361),
+	.C1(n_2438),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[453]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796955 (
+	.A1(n_9269),
+	.A2(n_35334),
+	.B1(n_35336),
+	.B2(n_4374),
+	.C1(n_2264),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[452]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796956 (
+	.A1(n_1799),
+	.A2(n_35334),
+	.B1(n_35336),
+	.B2(n_4373),
+	.C1(n_2527),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[449]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796957 (
+	.A1(n_34456),
+	.A2(n_4842),
+	.B1(n_33142),
+	.B2(n_34454),
+	.C1(n_2271),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[722]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796958 (
+	.A1(n_1505),
+	.A2(n_34454),
+	.B1(n_34456),
+	.B2(n_4363),
+	.C1(n_2090),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[718]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796959 (
+	.A1(n_1797),
+	.A2(n_34454),
+	.B1(n_34456),
+	.B2(n_4372),
+	.C1(n_2392),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[717]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796960 (
+	.A1(n_1801),
+	.A2(n_34454),
+	.B1(n_34456),
+	.B2(n_4362),
+	.C1(n_2359),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[716]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796961 (
+	.A1(n_1498),
+	.A2(n_34454),
+	.B1(n_34456),
+	.B2(n_4369),
+	.C1(n_2100),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[714]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796962 (
+	.A1(n_1499),
+	.A2(n_34454),
+	.B1(n_34456),
+	.B2(n_4367),
+	.C1(n_2366),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[713]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796963 (
+	.A1(n_1504),
+	.A2(n_34454),
+	.B1(n_34456),
+	.B2(n_4370),
+	.C1(n_2105),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[712]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796964 (
+	.A1(n_9056),
+	.A2(n_34454),
+	.B1(n_34456),
+	.B2(n_4368),
+	.C1(n_2212),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[710]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796965 (
+	.A1(n_9161),
+	.A2(n_34454),
+	.B1(n_34456),
+	.B2(n_4361),
+	.C1(n_2102),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[709]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796966 (
+	.A1(n_9269),
+	.A2(n_34454),
+	.B1(n_34456),
+	.B2(n_4374),
+	.C1(n_2285),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[708]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796967 (
+	.A1(n_1799),
+	.A2(n_34454),
+	.B1(n_34456),
+	.B2(n_4373),
+	.C1(n_2098),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[705]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796968 (
+	.A1(n_35324),
+	.A2(n_4842),
+	.B1(n_33142),
+	.B2(n_35322),
+	.C1(n_2603),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[274]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796969 (
+	.A1(n_1505),
+	.A2(n_35322),
+	.B1(n_35324),
+	.B2(n_4363),
+	.C1(n_2113),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[270]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796970 (
+	.A1(n_1797),
+	.A2(n_35322),
+	.B1(n_35324),
+	.B2(n_4372),
+	.C1(n_2094),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[269]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796971 (
+	.A1(n_1801),
+	.A2(n_35322),
+	.B1(n_35324),
+	.B2(n_4362),
+	.C1(n_2443),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[268]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796972 (
+	.A1(n_1498),
+	.A2(n_35322),
+	.B1(n_35324),
+	.B2(n_4369),
+	.C1(n_2530),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[266]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796973 (
+	.A1(n_1499),
+	.A2(n_35322),
+	.B1(n_35324),
+	.B2(n_4367),
+	.C1(n_2563),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[265]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796974 (
+	.A1(n_1504),
+	.A2(n_35322),
+	.B1(n_35324),
+	.B2(n_4370),
+	.C1(n_2531),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[264]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796975 (
+	.A1(n_9056),
+	.A2(n_35322),
+	.B1(n_35324),
+	.B2(n_4368),
+	.C1(n_2471),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[262]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796976 (
+	.A1(n_9161),
+	.A2(n_35322),
+	.B1(n_35324),
+	.B2(n_4361),
+	.C1(n_2608),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[261]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796977 (
+	.A1(n_9269),
+	.A2(n_35322),
+	.B1(n_35324),
+	.B2(n_4374),
+	.C1(n_2625),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[260]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796978 (
+	.A1(n_1799),
+	.A2(n_35322),
+	.B1(n_35324),
+	.B2(n_4373),
+	.C1(n_2411),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[257]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796979 (
+	.A1(n_34508),
+	.A2(n_4842),
+	.B1(n_33142),
+	.B2(n_34506),
+	.C1(n_2633),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[82]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796980 (
+	.A1(n_1505),
+	.A2(n_34506),
+	.B1(n_34508),
+	.B2(n_4363),
+	.C1(n_2340),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[78]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796981 (
+	.A1(n_1797),
+	.A2(n_34506),
+	.B1(n_34508),
+	.B2(n_4372),
+	.C1(n_2279),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[77]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796982 (
+	.A1(n_34508),
+	.A2(n_4362),
+	.B1(n_1801),
+	.B2(n_34506),
+	.C1(n_2435),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[76]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796983 (
+	.A1(n_34508),
+	.A2(n_4369),
+	.B1(n_1498),
+	.B2(n_34506),
+	.C1(n_2481),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[74]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796984 (
+	.A1(n_1499),
+	.A2(n_34506),
+	.B1(n_34508),
+	.B2(n_4367),
+	.C1(n_2165),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[73]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796985 (
+	.A1(n_1504),
+	.A2(n_34506),
+	.B1(n_34508),
+	.B2(n_4370),
+	.C1(n_2193),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[72]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796986 (
+	.A1(n_9056),
+	.A2(n_34506),
+	.B1(n_34508),
+	.B2(n_4368),
+	.C1(n_2419),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[70]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796987 (
+	.A1(n_9161),
+	.A2(n_34506),
+	.B1(n_34508),
+	.B2(n_4361),
+	.C1(n_2337),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[69]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796988 (
+	.A1(n_9269),
+	.A2(n_34506),
+	.B1(n_34508),
+	.B2(n_4374),
+	.C1(n_2104),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[68]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796989 (
+	.A1(n_1799),
+	.A2(n_34506),
+	.B1(n_34508),
+	.B2(n_4373),
+	.C1(n_2444),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[65]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796990 (
+	.A1(n_34477),
+	.A2(n_4842),
+	.B1(n_33142),
+	.B2(n_34475),
+	.C1(n_2280),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[1010]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796991 (
+	.A1(n_1505),
+	.A2(n_34475),
+	.B1(n_34477),
+	.B2(n_4363),
+	.C1(n_2504),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[1006]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796992 (
+	.A1(n_1797),
+	.A2(n_34475),
+	.B1(n_34477),
+	.B2(n_4372),
+	.C1(n_2516),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[1005]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796993 (
+	.A1(n_1801),
+	.A2(n_34475),
+	.B1(n_34477),
+	.B2(n_4362),
+	.C1(n_2108),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[1004]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796994 (
+	.A1(n_1498),
+	.A2(n_34475),
+	.B1(n_34477),
+	.B2(n_4369),
+	.C1(n_2277),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[1002]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796995 (
+	.A1(n_1499),
+	.A2(n_34475),
+	.B1(n_34477),
+	.B2(n_4367),
+	.C1(n_2293),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[1001]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796996 (
+	.A1(n_1504),
+	.A2(n_34475),
+	.B1(n_34477),
+	.B2(n_4370),
+	.C1(n_2327),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[1000]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796997 (
+	.A1(n_9056),
+	.A2(n_34475),
+	.B1(n_34477),
+	.B2(n_4368),
+	.C1(n_2282),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[998]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796998 (
+	.A1(n_9161),
+	.A2(n_34475),
+	.B1(n_34477),
+	.B2(n_4361),
+	.C1(n_2623),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[997]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g796999 (
+	.A1(n_9269),
+	.A2(n_34475),
+	.B1(n_34477),
+	.B2(n_4374),
+	.C1(n_2565),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[996]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797000 (
+	.A1(n_1799),
+	.A2(n_34475),
+	.B1(n_34477),
+	.B2(n_4373),
+	.C1(n_2381),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[993]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797001 (
+	.A1(n_34462),
+	.A2(n_4842),
+	.B1(n_33142),
+	.B2(n_34460),
+	.C1(n_2134),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[818]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797002 (
+	.A1(n_1505),
+	.A2(n_34460),
+	.B1(n_34462),
+	.B2(n_4363),
+	.C1(n_2613),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[814]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797003 (
+	.A1(n_1797),
+	.A2(n_34460),
+	.B1(n_34462),
+	.B2(n_4372),
+	.C1(n_2228),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[813]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797004 (
+	.A1(n_1801),
+	.A2(n_34460),
+	.B1(n_34462),
+	.B2(n_4362),
+	.C1(n_2230),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[812]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797005 (
+	.A1(n_1498),
+	.A2(n_34460),
+	.B1(n_34462),
+	.B2(n_4369),
+	.C1(n_2119),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[810]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797006 (
+	.A1(n_1499),
+	.A2(n_34460),
+	.B1(n_34462),
+	.B2(n_4367),
+	.C1(n_2624),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[809]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797007 (
+	.A1(n_1504),
+	.A2(n_34460),
+	.B1(n_34462),
+	.B2(n_4370),
+	.C1(n_2425),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[808]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797008 (
+	.A1(n_9056),
+	.A2(n_34460),
+	.B1(n_34462),
+	.B2(n_4368),
+	.C1(n_2355),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[806]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797009 (
+	.A1(n_9161),
+	.A2(n_34460),
+	.B1(n_34462),
+	.B2(n_4361),
+	.C1(n_2560),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[805]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797010 (
+	.A1(n_9269),
+	.A2(n_34460),
+	.B1(n_34462),
+	.B2(n_4374),
+	.C1(n_2354),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[804]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797011 (
+	.A1(n_1799),
+	.A2(n_34460),
+	.B1(n_34462),
+	.B2(n_4373),
+	.C1(n_2259),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[801]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797012 (
+	.A1(n_34484),
+	.A2(n_4842),
+	.B1(n_33142),
+	.B2(n_34482),
+	.C1(n_2320),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[402]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797013 (
+	.A1(n_1505),
+	.A2(n_34482),
+	.B1(n_34484),
+	.B2(n_4363),
+	.C1(n_2235),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[398]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797014 (
+	.A1(n_1797),
+	.A2(n_34482),
+	.B1(n_34484),
+	.B2(n_4372),
+	.C1(n_2467),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[397]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797015 (
+	.A1(n_1801),
+	.A2(n_34482),
+	.B1(n_34484),
+	.B2(n_4362),
+	.C1(n_2386),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[396]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797016 (
+	.A1(n_1498),
+	.A2(n_34482),
+	.B1(n_34484),
+	.B2(n_4369),
+	.C1(n_2557),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[394]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797017 (
+	.A1(n_1499),
+	.A2(n_34482),
+	.B1(n_34484),
+	.B2(n_4367),
+	.C1(n_2416),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[393]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797018 (
+	.A1(n_1504),
+	.A2(n_34482),
+	.B1(n_34484),
+	.B2(n_4370),
+	.C1(n_2266),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[392]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797019 (
+	.A1(n_9056),
+	.A2(n_34482),
+	.B1(n_34484),
+	.B2(n_4368),
+	.C1(n_2544),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[390]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797020 (
+	.A1(n_34684),
+	.A2(n_42177),
+	.B1(n_1760),
+	.B2(n_34685),
+	.C1(n_5152),
+	.Y(brqrv_top_brqrv_dec_tlu_flush_path_r[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g797021 (
+	.A(n_5352),
+	.B(n_5346),
+	.Y(n_5604), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g797022 (
+	.A(n_5351),
+	.B(n_5345),
+	.Y(n_5602), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g797023 (
+	.A(n_5351),
+	.B(n_5346),
+	.Y(n_5600), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g797024 (
+	.A(n_5345),
+	.B(n_5352),
+	.Y(n_5598), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g797025 (
+	.A1(n_4900),
+	.A2(brqrv_top_brqrv_exu_flush_final),
+	.B1(n_5359),
+	.Y(brqrv_top_brqrv_ifu_aln_f1val_in[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g797026 (
+	.A1(n_1395),
+	.A2(brqrv_top_brqrv_exu_flush_final),
+	.B1(n_5360),
+	.Y(brqrv_top_brqrv_ifu_aln_f2val_in[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g797027 (
+	.A(n_5354),
+	.B(n_4718),
+	.Y(n_5595), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g797028 (
+	.A(n_4211),
+	.B(n_4210),
+	.C(n_4212),
+	.D(n_4427),
+	.Y(n_5593), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g797029 (
+	.A(n_5371),
+	.B(n_1536),
+	.Y(n_5591), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g797030 (
+	.A(n_5371),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_m[0]),
+	.Y(n_5590), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g797031 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_3227),
+	.B(n_34607),
+	.Y(n_5589), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g797032 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_3311),
+	.B(n_34598),
+	.Y(n_5587), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g797033 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_en[3]),
+	.B(n_34634),
+	.Y(n_5585), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g797034 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_3215),
+	.B(n_34419),
+	.Y(n_5583), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g797035 (
+	.A(n_34421),
+	.B(n_5335),
+	.Y(n_5581), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g797036 (
+	.A(n_34420),
+	.B(n_5338),
+	.Y(n_5579), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g797037 (
+	.A(n_34641),
+	.B(n_5337),
+	.Y(n_5577), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g797038 (
+	.A(n_34422),
+	.B(n_5336),
+	.Y(n_5575), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g797039 (
+	.A(n_5364),
+	.B(brqrv_top_brqrv_dec_tlu_interrupt_valid_r),
+	.Y(n_5573), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_0 g797040 (
+	.A(n_1846),
+	.B(n_5377),
+	.X(n_5572), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g797041 (
+	.A(n_5377),
+	.B(brqrv_top_brqrv_dec_tlu_exc_or_int_valid_r),
+	.Y(n_5571), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3b_1 g797042 (
+	.A_N(brqrv_top_brqrv_dbg_sbcs_reg[12]),
+	.B(n_4863),
+	.C(brqrv_top_brqrv_dbg_sb_state[0]),
+	.X(n_5570), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g797043 (
+	.A(brqrv_top_brqrv_dec_tlu_dec_timer_t1_pulse),
+	.B(n_5333),
+	.Y(n_5569), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g797044 (
+	.A(n_5470),
+	.Y(n_5471), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g797045 (
+	.A(n_4305),
+	.B(n_43389),
+	.Y(n_5467), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797046 (
+	.A1(n_1505),
+	.A2(n_34441),
+	.B1(n_35341),
+	.B2(n_4363),
+	.C1(n_2637),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[526]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797047 (
+	.A1(n_1797),
+	.A2(n_34441),
+	.B1(n_35341),
+	.B2(n_4372),
+	.C1(n_2388),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[525]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797048 (
+	.A1(n_1801),
+	.A2(n_34441),
+	.B1(n_35341),
+	.B2(n_4362),
+	.C1(n_2227),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[524]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797049 (
+	.A1(n_1499),
+	.A2(n_34441),
+	.B1(n_35341),
+	.B2(n_4367),
+	.C1(n_2103),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[521]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797050 (
+	.A1(n_1498),
+	.A2(n_34441),
+	.B1(n_35341),
+	.B2(n_4369),
+	.C1(n_2389),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[522]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797051 (
+	.A1(n_1504),
+	.A2(n_34441),
+	.B1(n_35341),
+	.B2(n_4370),
+	.C1(n_2445),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[520]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797052 (
+	.A1(n_9056),
+	.A2(n_34441),
+	.B1(n_35341),
+	.B2(n_4368),
+	.C1(n_2616),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[518]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797053 (
+	.A1(n_9161),
+	.A2(n_34441),
+	.B1(n_35341),
+	.B2(n_4361),
+	.C1(n_2174),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[517]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797054 (
+	.A1(n_9269),
+	.A2(n_34441),
+	.B1(n_35341),
+	.B2(n_4374),
+	.C1(n_2454),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[516]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797055 (
+	.A1(n_1799),
+	.A2(n_34441),
+	.B1(n_35341),
+	.B2(n_4373),
+	.C1(n_2130),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[513]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797056 (
+	.A1(n_34502),
+	.A2(n_4842),
+	.B1(n_33142),
+	.B2(n_34500),
+	.C1(n_2538),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[146]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797057 (
+	.A1(n_1505),
+	.A2(n_34500),
+	.B1(n_34502),
+	.B2(n_4363),
+	.C1(n_2299),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[142]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797058 (
+	.A1(n_1797),
+	.A2(n_34500),
+	.B1(n_34502),
+	.B2(n_4372),
+	.C1(n_2446),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[141]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797059 (
+	.A1(n_1498),
+	.A2(n_34500),
+	.B1(n_34502),
+	.B2(n_4369),
+	.C1(n_2384),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[138]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797060 (
+	.A1(n_9056),
+	.A2(n_34500),
+	.B1(n_34502),
+	.B2(n_4368),
+	.C1(n_2109),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[134]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797061 (
+	.A1(n_34459),
+	.A2(n_4842),
+	.B1(n_33142),
+	.B2(n_34457),
+	.C1(n_2218),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[754]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797062 (
+	.A1(n_1505),
+	.A2(n_34457),
+	.B1(n_34459),
+	.B2(n_4363),
+	.C1(n_2225),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[750]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797063 (
+	.A1(n_1797),
+	.A2(n_34457),
+	.B1(n_34459),
+	.B2(n_4372),
+	.C1(n_2375),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[749]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797064 (
+	.A1(n_1801),
+	.A2(n_34457),
+	.B1(n_34459),
+	.B2(n_4362),
+	.C1(n_2268),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[748]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797065 (
+	.A1(n_1504),
+	.A2(n_34500),
+	.B1(n_34502),
+	.B2(n_4370),
+	.C1(n_2206),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[136]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797066 (
+	.A1(n_1498),
+	.A2(n_34457),
+	.B1(n_34459),
+	.B2(n_4369),
+	.C1(n_2374),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[746]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797067 (
+	.A1(n_1499),
+	.A2(n_34457),
+	.B1(n_34459),
+	.B2(n_4367),
+	.C1(n_2373),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[745]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797068 (
+	.A1(n_1504),
+	.A2(n_34457),
+	.B1(n_34459),
+	.B2(n_4370),
+	.C1(n_2403),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[744]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797069 (
+	.A1(n_9056),
+	.A2(n_34457),
+	.B1(n_34459),
+	.B2(n_4368),
+	.C1(n_2543),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[742]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797070 (
+	.A1(n_9161),
+	.A2(n_34457),
+	.B1(n_34459),
+	.B2(n_4361),
+	.C1(n_2428),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[741]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797071 (
+	.A1(n_9269),
+	.A2(n_34457),
+	.B1(n_34459),
+	.B2(n_4374),
+	.C1(n_2369),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[740]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797072 (
+	.A1(n_1799),
+	.A2(n_34457),
+	.B1(n_34459),
+	.B2(n_4373),
+	.C1(n_2368),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[737]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797073 (
+	.A1(n_34468),
+	.A2(n_4842),
+	.B1(n_33142),
+	.B2(n_34466),
+	.C1(n_2577),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[786]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797074 (
+	.A1(n_1505),
+	.A2(n_34466),
+	.B1(n_34468),
+	.B2(n_4363),
+	.C1(n_2353),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[782]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797075 (
+	.A1(n_1797),
+	.A2(n_34466),
+	.B1(n_34468),
+	.B2(n_4372),
+	.C1(n_2592),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[781]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797076 (
+	.A1(n_1801),
+	.A2(n_34466),
+	.B1(n_34468),
+	.B2(n_4362),
+	.C1(n_2594),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[780]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797077 (
+	.A1(n_1498),
+	.A2(n_34466),
+	.B1(n_34468),
+	.B2(n_4369),
+	.C1(n_2596),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[778]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797078 (
+	.A1(n_1499),
+	.A2(n_34466),
+	.B1(n_34468),
+	.B2(n_4367),
+	.C1(n_2352),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[777]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797079 (
+	.A1(n_1504),
+	.A2(n_34466),
+	.B1(n_34468),
+	.B2(n_4370),
+	.C1(n_2351),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[776]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797080 (
+	.A1(n_9056),
+	.A2(n_34466),
+	.B1(n_34468),
+	.B2(n_4368),
+	.C1(n_2349),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[774]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797081 (
+	.A1(n_9161),
+	.A2(n_34466),
+	.B1(n_34468),
+	.B2(n_4361),
+	.C1(n_2348),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[773]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797082 (
+	.A1(n_9269),
+	.A2(n_34466),
+	.B1(n_34468),
+	.B2(n_4374),
+	.C1(n_2346),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[772]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797083 (
+	.A1(n_1799),
+	.A2(n_34466),
+	.B1(n_34468),
+	.B2(n_4373),
+	.C1(n_2345),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[769]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797084 (
+	.A1(n_35343),
+	.A2(n_4842),
+	.B1(n_33142),
+	.B2(n_34478),
+	.C1(n_2335),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[978]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797085 (
+	.A1(n_1505),
+	.A2(n_34478),
+	.B1(n_35343),
+	.B2(n_4363),
+	.C1(n_2333),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[974]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797086 (
+	.A1(n_1797),
+	.A2(n_34478),
+	.B1(n_35343),
+	.B2(n_4372),
+	.C1(n_2434),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[973]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797087 (
+	.A1(n_1801),
+	.A2(n_34478),
+	.B1(n_35343),
+	.B2(n_4362),
+	.C1(n_2475),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[972]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797088 (
+	.A1(n_1498),
+	.A2(n_34478),
+	.B1(n_35343),
+	.B2(n_4369),
+	.C1(n_2634),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[970]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797089 (
+	.A1(n_1499),
+	.A2(n_34478),
+	.B1(n_35343),
+	.B2(n_4367),
+	.C1(n_2330),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[969]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797090 (
+	.A1(n_1504),
+	.A2(n_34478),
+	.B1(n_35343),
+	.B2(n_4370),
+	.C1(n_2503),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[968]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797091 (
+	.A1(n_9056),
+	.A2(n_34478),
+	.B1(n_35343),
+	.B2(n_4368),
+	.C1(n_2328),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[966]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797092 (
+	.A1(n_9161),
+	.A2(n_34478),
+	.B1(n_35343),
+	.B2(n_4361),
+	.C1(n_2326),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[965]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797093 (
+	.A1(n_9269),
+	.A2(n_34478),
+	.B1(n_35343),
+	.B2(n_4374),
+	.C1(n_2323),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[964]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797094 (
+	.A1(n_1799),
+	.A2(n_34478),
+	.B1(n_35343),
+	.B2(n_4373),
+	.C1(n_2322),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[961]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797095 (
+	.A1(n_9269),
+	.A2(n_34500),
+	.B1(n_34502),
+	.B2(n_4374),
+	.C1(n_2242),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[132]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797096 (
+	.A1(n_35327),
+	.A2(n_4842),
+	.B1(n_33142),
+	.B2(n_35325),
+	.C1(n_2314),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[338]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797097 (
+	.A1(n_1505),
+	.A2(n_35325),
+	.B1(n_35327),
+	.B2(n_4363),
+	.C1(n_2313),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[334]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797098 (
+	.A1(n_1797),
+	.A2(n_35325),
+	.B1(n_35327),
+	.B2(n_4372),
+	.C1(n_2312),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[333]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797099 (
+	.A1(n_1801),
+	.A2(n_35325),
+	.B1(n_35327),
+	.B2(n_4362),
+	.C1(n_2311),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[332]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797100 (
+	.A1(n_1498),
+	.A2(n_35325),
+	.B1(n_35327),
+	.B2(n_4369),
+	.C1(n_2309),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[330]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797101 (
+	.A1(n_1499),
+	.A2(n_35325),
+	.B1(n_35327),
+	.B2(n_4367),
+	.C1(n_2614),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[329]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797102 (
+	.A1(n_1504),
+	.A2(n_35325),
+	.B1(n_35327),
+	.B2(n_4370),
+	.C1(n_2307),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[328]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797103 (
+	.A1(n_9056),
+	.A2(n_35325),
+	.B1(n_35327),
+	.B2(n_4368),
+	.C1(n_2490),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[326]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797104 (
+	.A1(n_9161),
+	.A2(n_35325),
+	.B1(n_35327),
+	.B2(n_4361),
+	.C1(n_2305),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[325]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797105 (
+	.A1(n_9269),
+	.A2(n_35325),
+	.B1(n_35327),
+	.B2(n_4374),
+	.C1(n_2304),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[324]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797106 (
+	.A1(n_1799),
+	.A2(n_35325),
+	.B1(n_35327),
+	.B2(n_4373),
+	.C1(n_2096),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[321]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797107 (
+	.A1(n_34481),
+	.A2(n_4842),
+	.B1(n_33142),
+	.B2(n_34479),
+	.C1(n_2394),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[306]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797108 (
+	.A1(n_1505),
+	.A2(n_34479),
+	.B1(n_34481),
+	.B2(n_4363),
+	.C1(n_2296),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[302]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797109 (
+	.A1(n_1797),
+	.A2(n_34479),
+	.B1(n_34481),
+	.B2(n_4372),
+	.C1(n_2294),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[301]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797110 (
+	.A1(n_1801),
+	.A2(n_34479),
+	.B1(n_34481),
+	.B2(n_4362),
+	.C1(n_2529),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[300]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797111 (
+	.A1(n_1498),
+	.A2(n_34479),
+	.B1(n_34481),
+	.B2(n_4369),
+	.C1(n_2292),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[298]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797112 (
+	.A1(n_1499),
+	.A2(n_34479),
+	.B1(n_34481),
+	.B2(n_4367),
+	.C1(n_2290),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[297]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797113 (
+	.A1(n_1504),
+	.A2(n_34479),
+	.B1(n_34481),
+	.B2(n_4370),
+	.C1(n_2588),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[296]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797114 (
+	.A1(n_9056),
+	.A2(n_34479),
+	.B1(n_34481),
+	.B2(n_4368),
+	.C1(n_2288),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[294]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797115 (
+	.A1(n_9161),
+	.A2(n_34479),
+	.B1(n_34481),
+	.B2(n_4361),
+	.C1(n_2306),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[293]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797116 (
+	.A1(n_9269),
+	.A2(n_34479),
+	.B1(n_34481),
+	.B2(n_4374),
+	.C1(n_2286),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[292]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797117 (
+	.A1(n_1799),
+	.A2(n_34479),
+	.B1(n_34481),
+	.B2(n_4373),
+	.C1(n_2221),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[289]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797118 (
+	.A1(n_34465),
+	.A2(n_4842),
+	.B1(n_33142),
+	.B2(n_34463),
+	.C1(n_2273),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[850]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797119 (
+	.A1(n_1505),
+	.A2(n_34463),
+	.B1(n_34465),
+	.B2(n_4363),
+	.C1(n_2272),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[846]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797120 (
+	.A1(n_1797),
+	.A2(n_34463),
+	.B1(n_34465),
+	.B2(n_4372),
+	.C1(n_2270),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[845]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797121 (
+	.A1(n_1498),
+	.A2(n_34463),
+	.B1(n_34465),
+	.B2(n_4369),
+	.C1(n_2267),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[842]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797122 (
+	.A1(n_1801),
+	.A2(n_34463),
+	.B1(n_34465),
+	.B2(n_4362),
+	.C1(n_2269),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[844]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797123 (
+	.A1(n_1499),
+	.A2(n_34463),
+	.B1(n_34465),
+	.B2(n_4367),
+	.C1(n_2265),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[841]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797124 (
+	.A1(n_9161),
+	.A2(n_34500),
+	.B1(n_34502),
+	.B2(n_4361),
+	.C1(n_2089),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[133]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797125 (
+	.A1(n_1504),
+	.A2(n_34463),
+	.B1(n_34465),
+	.B2(n_4370),
+	.C1(n_2263),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[840]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797126 (
+	.A1(n_9056),
+	.A2(n_34463),
+	.B1(n_34465),
+	.B2(n_4368),
+	.C1(n_2261),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[838]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797127 (
+	.A1(n_9161),
+	.A2(n_34463),
+	.B1(n_34465),
+	.B2(n_4361),
+	.C1(n_2260),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[837]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797128 (
+	.A1(n_9269),
+	.A2(n_34463),
+	.B1(n_34465),
+	.B2(n_4374),
+	.C1(n_2258),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[836]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797129 (
+	.A1(n_1799),
+	.A2(n_34463),
+	.B1(n_34465),
+	.B2(n_4373),
+	.C1(n_2257),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[833]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797130 (
+	.A1(n_34444),
+	.A2(n_4842),
+	.B1(n_33142),
+	.B2(n_34442),
+	.C1(n_2244),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[562]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797131 (
+	.A1(n_1505),
+	.A2(n_34442),
+	.B1(n_34444),
+	.B2(n_4363),
+	.C1(n_2243),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[558]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797132 (
+	.A1(n_1797),
+	.A2(n_34442),
+	.B1(n_34444),
+	.B2(n_4372),
+	.C1(n_2241),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[557]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797133 (
+	.A1(n_1801),
+	.A2(n_34442),
+	.B1(n_34444),
+	.B2(n_4362),
+	.C1(n_2542),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[556]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797134 (
+	.A1(n_1498),
+	.A2(n_34442),
+	.B1(n_34444),
+	.B2(n_4369),
+	.C1(n_2238),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[554]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797135 (
+	.A1(n_1499),
+	.A2(n_34442),
+	.B1(n_34444),
+	.B2(n_4367),
+	.C1(n_2236),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[553]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797136 (
+	.A1(n_1799),
+	.A2(n_34500),
+	.B1(n_34502),
+	.B2(n_4373),
+	.C1(n_2476),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[129]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797137 (
+	.A1(n_1504),
+	.A2(n_34442),
+	.B1(n_34444),
+	.B2(n_4370),
+	.C1(n_2234),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[552]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797138 (
+	.A1(n_9056),
+	.A2(n_34442),
+	.B1(n_34444),
+	.B2(n_4368),
+	.C1(n_2111),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[550]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797139 (
+	.A1(n_9161),
+	.A2(n_34442),
+	.B1(n_34444),
+	.B2(n_4361),
+	.C1(n_2231),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[549]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797140 (
+	.A1(n_9269),
+	.A2(n_34442),
+	.B1(n_34444),
+	.B2(n_4374),
+	.C1(n_2115),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[548]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797141 (
+	.A1(n_1799),
+	.A2(n_34442),
+	.B1(n_34444),
+	.B2(n_4373),
+	.C1(n_2233),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[545]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797142 (
+	.A1(n_34490),
+	.A2(n_4842),
+	.B1(n_33142),
+	.B2(n_34488),
+	.C1(n_2224),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[626]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797143 (
+	.A1(n_1505),
+	.A2(n_34488),
+	.B1(n_34490),
+	.B2(n_4363),
+	.C1(n_2168),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[622]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797144 (
+	.A1(n_1797),
+	.A2(n_34488),
+	.B1(n_34490),
+	.B2(n_4372),
+	.C1(n_2172),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[621]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797145 (
+	.A1(n_1801),
+	.A2(n_34488),
+	.B1(n_34490),
+	.B2(n_4362),
+	.C1(n_2187),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[620]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797146 (
+	.A1(n_1498),
+	.A2(n_34488),
+	.B1(n_34490),
+	.B2(n_4369),
+	.C1(n_2204),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[618]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797147 (
+	.A1(n_1499),
+	.A2(n_34488),
+	.B1(n_34490),
+	.B2(n_4367),
+	.C1(n_2222),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[617]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797148 (
+	.A1(n_1504),
+	.A2(n_34488),
+	.B1(n_34490),
+	.B2(n_4370),
+	.C1(n_2249),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[616]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797149 (
+	.A1(n_9056),
+	.A2(n_34488),
+	.B1(n_34490),
+	.B2(n_4368),
+	.C1(n_2220),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[614]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797150 (
+	.A1(n_9161),
+	.A2(n_34488),
+	.B1(n_34490),
+	.B2(n_4361),
+	.C1(n_2251),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[613]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797151 (
+	.A1(n_9269),
+	.A2(n_34488),
+	.B1(n_34490),
+	.B2(n_4374),
+	.C1(n_2219),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[612]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797152 (
+	.A1(n_1799),
+	.A2(n_34488),
+	.B1(n_34490),
+	.B2(n_4373),
+	.C1(n_2291),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[609]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797153 (
+	.A1(n_35333),
+	.A2(n_4842),
+	.B1(n_33142),
+	.B2(n_35331),
+	.C1(n_2552),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[434]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797154 (
+	.A1(n_1505),
+	.A2(n_35331),
+	.B1(n_35333),
+	.B2(n_4363),
+	.C1(n_2211),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[430]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797155 (
+	.A1(n_1797),
+	.A2(n_35331),
+	.B1(n_35333),
+	.B2(n_4372),
+	.C1(n_2210),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[429]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797156 (
+	.A1(n_1801),
+	.A2(n_35331),
+	.B1(n_35333),
+	.B2(n_4362),
+	.C1(n_2209),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[428]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797157 (
+	.A1(n_1498),
+	.A2(n_35331),
+	.B1(n_35333),
+	.B2(n_4369),
+	.C1(n_2207),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[426]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797158 (
+	.A1(n_1499),
+	.A2(n_35331),
+	.B1(n_35333),
+	.B2(n_4367),
+	.C1(n_2085),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[425]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797159 (
+	.A1(n_1504),
+	.A2(n_35331),
+	.B1(n_35333),
+	.B2(n_4370),
+	.C1(n_2456),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[424]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797160 (
+	.A1(n_9056),
+	.A2(n_35331),
+	.B1(n_35333),
+	.B2(n_4368),
+	.C1(n_2087),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[422]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797161 (
+	.A1(n_9161),
+	.A2(n_35331),
+	.B1(n_35333),
+	.B2(n_4361),
+	.C1(n_2205),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[421]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797162 (
+	.A1(n_9269),
+	.A2(n_35331),
+	.B1(n_35333),
+	.B2(n_4374),
+	.C1(n_2203),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[420]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797163 (
+	.A1(n_1799),
+	.A2(n_35331),
+	.B1(n_35333),
+	.B2(n_4373),
+	.C1(n_2202),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[417]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797164 (
+	.A1(n_9056),
+	.A2(n_34451),
+	.B1(n_34453),
+	.B2(n_4368),
+	.C1(n_2555),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[678]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797165 (
+	.A1(n_34447),
+	.A2(n_4842),
+	.B1(n_33142),
+	.B2(n_34445),
+	.C1(n_2191),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[594]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797166 (
+	.A1(n_1505),
+	.A2(n_34445),
+	.B1(n_34447),
+	.B2(n_4363),
+	.C1(n_2188),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[590]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797167 (
+	.A1(n_1797),
+	.A2(n_34445),
+	.B1(n_34447),
+	.B2(n_4372),
+	.C1(n_2186),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[589]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797168 (
+	.A1(n_34447),
+	.A2(n_4362),
+	.B1(n_1801),
+	.B2(n_34445),
+	.C1(n_2185),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[588]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797169 (
+	.A1(n_1498),
+	.A2(n_34445),
+	.B1(n_34447),
+	.B2(n_4369),
+	.C1(n_2598),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[586]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797170 (
+	.A1(n_1499),
+	.A2(n_34445),
+	.B1(n_34447),
+	.B2(n_4367),
+	.C1(n_2602),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[585]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797171 (
+	.A1(n_1504),
+	.A2(n_34445),
+	.B1(n_34447),
+	.B2(n_4370),
+	.C1(n_2606),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[584]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797172 (
+	.A1(n_9056),
+	.A2(n_34445),
+	.B1(n_34447),
+	.B2(n_4368),
+	.C1(n_2183),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[582]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797173 (
+	.A1(n_9161),
+	.A2(n_34445),
+	.B1(n_34447),
+	.B2(n_4361),
+	.C1(n_2182),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[581]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797174 (
+	.A1(n_9269),
+	.A2(n_34445),
+	.B1(n_34447),
+	.B2(n_4374),
+	.C1(n_2398),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[580]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797175 (
+	.A1(n_1799),
+	.A2(n_34445),
+	.B1(n_34447),
+	.B2(n_4373),
+	.C1(n_2180),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[577]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797176 (
+	.A1(n_34487),
+	.A2(n_4842),
+	.B1(n_33142),
+	.B2(n_34485),
+	.C1(n_2132),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[914]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797177 (
+	.A1(n_1505),
+	.A2(n_34485),
+	.B1(n_34487),
+	.B2(n_4363),
+	.C1(n_2170),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[910]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797178 (
+	.A1(n_1797),
+	.A2(n_34485),
+	.B1(n_34487),
+	.B2(n_4372),
+	.C1(n_2432),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[909]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797179 (
+	.A1(n_1801),
+	.A2(n_34485),
+	.B1(n_34487),
+	.B2(n_4362),
+	.C1(n_2310),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[908]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797180 (
+	.A1(n_1498),
+	.A2(n_34485),
+	.B1(n_34487),
+	.B2(n_4369),
+	.C1(n_2167),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[906]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797181 (
+	.A1(n_1499),
+	.A2(n_34485),
+	.B1(n_34487),
+	.B2(n_4367),
+	.C1(n_2166),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[905]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797182 (
+	.A1(n_1504),
+	.A2(n_34485),
+	.B1(n_34487),
+	.B2(n_4370),
+	.C1(n_2164),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[904]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797183 (
+	.A1(n_9056),
+	.A2(n_34485),
+	.B1(n_34487),
+	.B2(n_4368),
+	.C1(n_2590),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[902]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797184 (
+	.A1(n_9161),
+	.A2(n_34485),
+	.B1(n_34487),
+	.B2(n_4361),
+	.C1(n_2163),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[901]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797185 (
+	.A1(n_9269),
+	.A2(n_34485),
+	.B1(n_34487),
+	.B2(n_4374),
+	.C1(n_2162),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[900]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797186 (
+	.A1(n_1799),
+	.A2(n_34485),
+	.B1(n_34487),
+	.B2(n_4373),
+	.C1(n_2161),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[897]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797187 (
+	.A1(n_34505),
+	.A2(n_4842),
+	.B1(n_33142),
+	.B2(n_34503),
+	.C1(n_2151),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[114]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797188 (
+	.A1(n_1505),
+	.A2(n_34503),
+	.B1(n_34505),
+	.B2(n_4363),
+	.C1(n_2150),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[110]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797189 (
+	.A1(n_1797),
+	.A2(n_34503),
+	.B1(n_34505),
+	.B2(n_4372),
+	.C1(n_2486),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[109]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797190 (
+	.A1(n_1801),
+	.A2(n_34503),
+	.B1(n_34505),
+	.B2(n_4362),
+	.C1(n_2126),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[108]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797191 (
+	.A1(n_34505),
+	.A2(n_4369),
+	.B1(n_1498),
+	.B2(n_34503),
+	.C1(n_2148),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[106]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797192 (
+	.A1(n_34505),
+	.A2(n_4367),
+	.B1(n_1499),
+	.B2(n_34503),
+	.C1(n_2147),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[105]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797193 (
+	.A1(n_1504),
+	.A2(n_34503),
+	.B1(n_34505),
+	.B2(n_4370),
+	.C1(n_2457),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[104]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797194 (
+	.A1(n_9056),
+	.A2(n_34503),
+	.B1(n_34505),
+	.B2(n_4368),
+	.C1(n_2507),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[102]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797195 (
+	.A1(n_9161),
+	.A2(n_34503),
+	.B1(n_34505),
+	.B2(n_4361),
+	.C1(n_2140),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[101]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797196 (
+	.A1(n_34505),
+	.A2(n_4374),
+	.B1(n_9269),
+	.B2(n_34503),
+	.C1(n_2139),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[100]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797197 (
+	.A1(n_34505),
+	.A2(n_4373),
+	.B1(n_1799),
+	.B2(n_34503),
+	.C1(n_2138),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[97]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797198 (
+	.A1(n_35339),
+	.A2(n_4842),
+	.B1(n_33142),
+	.B2(n_35337),
+	.C1(n_2482),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[498]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797199 (
+	.A1(n_1505),
+	.A2(n_35337),
+	.B1(n_35339),
+	.B2(n_4363),
+	.C1(n_2131),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[494]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797200 (
+	.A1(n_1797),
+	.A2(n_35337),
+	.B1(n_35339),
+	.B2(n_4372),
+	.C1(n_2189),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[493]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797201 (
+	.A1(n_1801),
+	.A2(n_35337),
+	.B1(n_35339),
+	.B2(n_4362),
+	.C1(n_2509),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[492]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797202 (
+	.A1(n_1498),
+	.A2(n_35337),
+	.B1(n_35339),
+	.B2(n_4369),
+	.C1(n_2128),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[490]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797203 (
+	.A1(n_1499),
+	.A2(n_35337),
+	.B1(n_35339),
+	.B2(n_4367),
+	.C1(n_2640),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[489]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797204 (
+	.A1(n_1504),
+	.A2(n_35337),
+	.B1(n_35339),
+	.B2(n_4370),
+	.C1(n_2125),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[488]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797205 (
+	.A1(n_9056),
+	.A2(n_35337),
+	.B1(n_35339),
+	.B2(n_4368),
+	.C1(n_2184),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[486]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797206 (
+	.A1(n_9161),
+	.A2(n_35337),
+	.B1(n_35339),
+	.B2(n_4361),
+	.C1(n_2479),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[485]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797207 (
+	.A1(n_9269),
+	.A2(n_35337),
+	.B1(n_35339),
+	.B2(n_4374),
+	.C1(n_2441),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[484]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797208 (
+	.A1(n_1799),
+	.A2(n_35337),
+	.B1(n_35339),
+	.B2(n_4373),
+	.C1(n_2124),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[481]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797209 (
+	.A1(n_1499),
+	.A2(n_34500),
+	.B1(n_34502),
+	.B2(n_4367),
+	.C1(n_2417),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[137]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797210 (
+	.A1(n_1797),
+	.A2(n_34451),
+	.B1(n_34453),
+	.B2(n_4372),
+	.C1(n_2439),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[685]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797211 (
+	.A1(n_1801),
+	.A2(n_34500),
+	.B1(n_34502),
+	.B2(n_4362),
+	.C1(n_2371),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[140]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g797212 (
+	.A(n_4875),
+	.B(n_4058),
+	.C(n_3233),
+	.D(n_3236),
+	.Y(n_5466), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797214 (
+	.A1(n_4442),
+	.A2(n_4931),
+	.B1(n_4444),
+	.B2(n_4735),
+	.C1(n_34561),
+	.Y(n_5464), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797215 (
+	.A1(n_35341),
+	.A2(n_4842),
+	.B1(n_33142),
+	.B2(n_34441),
+	.C1(n_2117),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[530]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797216 (
+	.A1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[41]),
+	.A2(n_1844),
+	.B1(brqrv_top_brqrv_lsu_end_addr_r[2]),
+	.B2(n_1604),
+	.C1(n_5255),
+	.Y(n_5463), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g797217 (
+	.A(n_5055),
+	.B(n_5357),
+	.C_N(n_33863),
+	.Y(n_5462), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g797218 (
+	.A(brqrv_top_brqrv_dma_ctrl_wrbuf_vld),
+	.B(brqrv_top_brqrv_dma_ctrl_rdbuf_vld),
+	.C(n_33332),
+	.D(n_4413),
+	.X(n_5461), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g797219 (
+	.A1(brqrv_top_brqrv_dbg_sbdata1_reg[31]),
+	.A2(n_1794),
+	.B1(brqrv_top_brqrv_dbg_data1_reg[31]),
+	.B2(n_4590),
+	.C1(brqrv_top_brqrv_dbg_cmd_wrdata[31]),
+	.C2(n_4592),
+	.Y(n_5460), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g797220 (
+	.A1(brqrv_top_brqrv_dbg_sbdata1_reg[30]),
+	.A2(n_1794),
+	.B1(brqrv_top_brqrv_dbg_data1_reg[30]),
+	.B2(n_4590),
+	.C1(brqrv_top_brqrv_dbg_cmd_wrdata[30]),
+	.C2(n_4592),
+	.Y(n_5459), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g797221 (
+	.A1(brqrv_top_brqrv_dbg_sbdata1_reg[29]),
+	.A2(n_1794),
+	.B1(brqrv_top_brqrv_dbg_data1_reg[29]),
+	.B2(n_4590),
+	.C1(brqrv_top_brqrv_dbg_cmd_wrdata[29]),
+	.C2(n_4592),
+	.Y(n_5458), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g797222 (
+	.A1(brqrv_top_brqrv_dbg_sbdata1_reg[28]),
+	.A2(n_1794),
+	.B1(brqrv_top_brqrv_dbg_data1_reg[28]),
+	.B2(n_4590),
+	.C1(brqrv_top_brqrv_dbg_cmd_wrdata[28]),
+	.C2(n_4592),
+	.Y(n_5457), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g797223 (
+	.A1(brqrv_top_brqrv_dbg_sbdata1_reg[27]),
+	.A2(n_1794),
+	.B1(brqrv_top_brqrv_dbg_data1_reg[27]),
+	.B2(n_4590),
+	.C1(brqrv_top_brqrv_dbg_cmd_wrdata[27]),
+	.C2(n_4592),
+	.Y(n_5456), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g797224 (
+	.A1(brqrv_top_brqrv_dbg_sbdata1_reg[26]),
+	.A2(n_1794),
+	.B1(brqrv_top_brqrv_dbg_data1_reg[26]),
+	.B2(n_4590),
+	.C1(brqrv_top_brqrv_dbg_cmd_wrdata[26]),
+	.C2(n_4592),
+	.Y(n_5455), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g797225 (
+	.A1(brqrv_top_brqrv_dbg_sbdata1_reg[25]),
+	.A2(n_1794),
+	.B1(brqrv_top_brqrv_dbg_data1_reg[25]),
+	.B2(n_4590),
+	.C1(brqrv_top_brqrv_dbg_cmd_wrdata[25]),
+	.C2(n_4592),
+	.Y(n_5454), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g797226 (
+	.A1(brqrv_top_brqrv_dbg_sbdata1_reg[24]),
+	.A2(n_1794),
+	.B1(brqrv_top_brqrv_dbg_data1_reg[24]),
+	.B2(n_4590),
+	.C1(brqrv_top_brqrv_dbg_cmd_wrdata[24]),
+	.C2(n_4592),
+	.Y(n_5453), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g797227 (
+	.A1(brqrv_top_brqrv_dbg_sbdata1_reg[11]),
+	.A2(n_1794),
+	.B1(brqrv_top_brqrv_dbg_cmd_wrdata[11]),
+	.B2(n_4592),
+	.C1(brqrv_top_brqrv_dbg_sbaddress0_reg[11]),
+	.C2(n_2928),
+	.Y(n_5452), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g797228 (
+	.A1(brqrv_top_brqrv_dbg_sbdata1_reg[7]),
+	.A2(n_1794),
+	.B1(brqrv_top_brqrv_dbg_cmd_wrdata[7]),
+	.B2(n_4592),
+	.C1(brqrv_top_brqrv_dbg_command_reg[7]),
+	.C2(n_3976),
+	.Y(n_5451), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g797229 (
+	.A1(brqrv_top_brqrv_dbg_sbdata1_reg[6]),
+	.A2(n_1794),
+	.B1(brqrv_top_brqrv_dbg_data1_reg[6]),
+	.B2(n_4590),
+	.C1(brqrv_top_brqrv_dbg_cmd_wrdata[6]),
+	.C2(n_4592),
+	.Y(n_5450), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g797230 (
+	.A1(brqrv_top_brqrv_dbg_sbdata1_reg[5]),
+	.A2(n_1794),
+	.B1(brqrv_top_brqrv_dbg_data1_reg[5]),
+	.B2(n_4590),
+	.C1(brqrv_top_brqrv_dbg_cmd_wrdata[5]),
+	.C2(n_4592),
+	.Y(n_5449), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g797231 (
+	.A1(brqrv_top_brqrv_dbg_sbdata1_reg[4]),
+	.A2(n_1794),
+	.B1(brqrv_top_brqrv_dbg_data1_reg[4]),
+	.B2(n_4590),
+	.C1(brqrv_top_brqrv_dbg_cmd_wrdata[4]),
+	.C2(n_4592),
+	.Y(n_5448), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g797232 (
+	.A1(brqrv_top_brqrv_dbg_sbdata1_reg[3]),
+	.A2(n_1794),
+	.B1(brqrv_top_brqrv_dbg_data1_reg[3]),
+	.B2(n_4590),
+	.C1(n_1792),
+	.C2(brqrv_top_brqrv_dbg_sbdata0_reg[3]),
+	.Y(n_5447), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g797233 (
+	.A1(brqrv_top_brqrv_dbg_sbdata1_reg[2]),
+	.A2(n_1794),
+	.B1(brqrv_top_brqrv_dbg_cmd_wrdata[2]),
+	.B2(n_4592),
+	.C1(brqrv_top_brqrv_dbg_command_reg[2]),
+	.C2(n_3976),
+	.Y(n_5446), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g797234 (
+	.A1(n_42162),
+	.A2(brqrv_top_brqrv_dec_tlu_fw_halted),
+	.B1(n_3264),
+	.C1(n_5006),
+	.Y(n_5445), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g797235 (
+	.A1(brqrv_top_brqrv_exu_mul_valid_x),
+	.A2(n_35394),
+	.B1(n_5251),
+	.Y(n_5444), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g797236 (
+	.A1(n_4790),
+	.A2(n_1786),
+	.B1(n_1802),
+	.B2(n_34621),
+	.Y(\brqrv_top_brqrv_dec_tlu_minstretl_ns[3]_3330 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o41ai_1 g797237 (
+	.A1(brqrv_top_brqrv_dec_tlu_trigger_hit_dmode_r_d1),
+	.A2(n_3174),
+	.A3(n_3135),
+	.A4(n_5036),
+	.B1(n_5321),
+	.Y(brqrv_top_brqrv_dec_tlu_dcsr_ns[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g797238 (
+	.A1(n_4778),
+	.A2(n_1786),
+	.B1(n_1805),
+	.B2(n_34621),
+	.Y(\brqrv_top_brqrv_dec_tlu_minstretl_ns[5]_3332 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g797239 (
+	.A1(n_4791),
+	.A2(n_4582),
+	.B1(n_1802),
+	.B2(n_4583),
+	.Y(\brqrv_top_brqrv_dec_tlu_mcyclel_ns[3]_3319 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g797240 (
+	.A1(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[20]),
+	.A2(n_1733),
+	.B1(n_3237),
+	.C1(n_4338),
+	.D1(n_5144),
+	.Y(n_5443), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g797241 (
+	.A1(n_96),
+	.A2(brqrv_top_brqrv_exu_i0_pc_x[26]),
+	.B1(n_2055),
+	.B2(brqrv_top_brqrv_exu_i0_pc_x[27]),
+	.C1(n_5143),
+	.X(n_5442), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g797242 (
+	.A(n_5260),
+	.B(n_5259),
+	.Y(n_5441), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g797243 (
+	.A1(n_4789),
+	.A2(n_4582),
+	.B1(n_1805),
+	.B2(n_4583),
+	.Y(\brqrv_top_brqrv_dec_tlu_mcyclel_ns[5]_3321 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g797244 (
+	.A(n_3340),
+	.B(n_5309),
+	.Y(n_5440), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g797245 (
+	.A1(n_4616),
+	.A2(brqrv_top_brqrv_lsu_lsu_addr_m[6]),
+	.B1(n_4615),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_m[7]),
+	.C1(n_5117),
+	.Y(n_5439), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g797246 (
+	.A1(n_4603),
+	.A2(brqrv_top_brqrv_lsu_lsu_addr_m[3]),
+	.B1(n_4609),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_m[5]),
+	.C1(n_5116),
+	.Y(n_5438), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g797247 (
+	.A1(n_4615),
+	.A2(brqrv_top_brqrv_lsu_end_addr_m[7]),
+	.B1(n_4612),
+	.B2(brqrv_top_brqrv_lsu_end_addr_m[8]),
+	.C1(n_5092),
+	.Y(n_5437), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g797248 (
+	.A(n_4830),
+	.B(brqrv_top_brqrv_ifu_aln_first2B),
+	.C(n_11472),
+	.Y(n_5436), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g797249 (
+	.A(n_3293),
+	.B(n_35321),
+	.C(n_3322),
+	.D(n_4272),
+	.Y(n_5435), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797250 (
+	.A1(n_1764),
+	.A2(n_4448),
+	.B1(n_3472),
+	.B2(n_3720),
+	.C1(n_3917),
+	.Y(brqrv_top_brqrv_dec_decode_write_csr_data_in[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797251 (
+	.A1(n_2062),
+	.A2(n_4449),
+	.B1(n_3466),
+	.B2(n_3720),
+	.C1(n_3923),
+	.Y(brqrv_top_brqrv_dec_decode_write_csr_data_in[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797252 (
+	.A1(n_2078),
+	.A2(n_4450),
+	.B1(n_3467),
+	.B2(n_3720),
+	.C1(n_3928),
+	.Y(brqrv_top_brqrv_dec_decode_write_csr_data_in[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797253 (
+	.A1(n_1778),
+	.A2(n_4453),
+	.B1(n_3473),
+	.B2(n_3720),
+	.C1(n_3929),
+	.Y(brqrv_top_brqrv_dec_decode_write_csr_data_in[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g797254 (
+	.A1(brqrv_top_brqrv_ifu_ifc_fb_write_ns[2]),
+	.A2(n_1931),
+	.B1(n_5289),
+	.Y(n_5434), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797255 (
+	.A1(brqrv_top_brqrv_lsu_lsu_addr_r[9]),
+	.A2(n_4613),
+	.B1(n_1838),
+	.B2(n_4606),
+	.C1(brqrv_top_brqrv_lsu_addr_in_dccm_r),
+	.Y(n_5433), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32o_1 g797256 (
+	.A1(n_5037),
+	.A2(n_3962),
+	.A3(brqrv_top_brqrv_dec_tlu_dcsr_single_step_done_f),
+	.B1(n_5036),
+	.B2(brqrv_top_brqrv_dec_tlu_dcsr[8]),
+	.X(brqrv_top_brqrv_dec_tlu_dcsr_ns[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g797257 (
+	.A1(n_4741),
+	.A2(n_3745),
+	.B1(n_1687),
+	.B2(n_3757),
+	.Y(brqrv_top_brqrv_dma_ctrl_nack_count_dff_n_10), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g797258 (
+	.A(brqrv_top_brqrv_dma_ctrl_WrPtr[1]),
+	.B(n_1598),
+	.C(brqrv_top_brqrv_dma_ctrl_WrPtr[0]),
+	.D(n_4713),
+	.Y(n_5432), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g797259 (
+	.A1(n_5044),
+	.A2(n_5038),
+	.B1(n_4908),
+	.Y(n_5431), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111oi_0 g797260 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_rspageQ[9]),
+	.A2(n_3714),
+	.B1(n_3715),
+	.C1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_rsp_pickage[10]),
+	.D1(n_4319),
+	.Y(n_5430), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797261 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_int_timers_mitctl0[2]),
+	.A2_N(n_5041),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_300),
+	.B2(n_5041),
+	.Y(brqrv_top_brqrv_dec_tlu_int_timers_mitctl0_ff_n_10), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797262 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_int_timers_mitctl0[1]),
+	.A2_N(n_5041),
+	.B1(n_1790),
+	.B2(n_5041),
+	.Y(brqrv_top_brqrv_dec_tlu_int_timers_mitctl0_ff_n_9), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o32ai_1 g797263 (
+	.A1(n_1552),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_cmd_en[1]),
+	.A3(brqrv_top_brqrv_dma_ctrl_fifo_cmd_en[3]),
+	.B1(brqrv_top_brqrv_dma_ctrl_WrPtr[0]),
+	.B2(n_3743),
+	.Y(brqrv_top_brqrv_dma_ctrl_WrPtr_dff_n_8), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g797264 (
+	.A1(n_1848),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[16]),
+	.B1(n_1844),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[17]),
+	.C1(n_5243),
+	.Y(n_5429), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g797265 (
+	.A1(n_1969),
+	.A2(brqrv_top_brqrv_dec_tlu_mtvec[4]),
+	.B1(n_1895),
+	.B2(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[5]),
+	.C1(n_5005),
+	.Y(n_5428), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g797266 (
+	.A1(n_1969),
+	.A2(brqrv_top_brqrv_dec_tlu_mtvec[5]),
+	.B1(n_1895),
+	.B2(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[6]),
+	.C1(n_4829),
+	.Y(n_5427), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g797267 (
+	.A1(n_1969),
+	.A2(brqrv_top_brqrv_dec_tlu_mtvec[3]),
+	.B1(n_1895),
+	.B2(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[4]),
+	.C1(n_4841),
+	.Y(n_5426), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o32ai_1 g797268 (
+	.A1(n_1855),
+	.A2(n_1576),
+	.A3(n_3883),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [2]),
+	.B2(n_4897),
+	.Y(n_5425), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797270 (
+	.A1_N(n_5029),
+	.A2_N(n_37419),
+	.B1(n_1808),
+	.B2(n_5029),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc6h_ns[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797271 (
+	.A1_N(n_5029),
+	.A2_N(n_37418),
+	.B1(n_1513),
+	.B2(n_5029),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc6h_ns[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g797272 (
+	.A1(n_5028),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[29]),
+	.B1(n_37417),
+	.B2(n_5029),
+	.X(brqrv_top_brqrv_dec_tlu_mhpmc6h_ns[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g797273 (
+	.A1(n_5028),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[28]),
+	.B1(n_37416),
+	.B2(n_5029),
+	.X(brqrv_top_brqrv_dec_tlu_mhpmc6h_ns[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797274 (
+	.A1_N(n_5029),
+	.A2_N(n_37415),
+	.B1(brqrv_top_brqrv_dec_tlu_n_6062),
+	.B2(n_5029),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc6h_ns[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797275 (
+	.A1_N(n_5029),
+	.A2_N(n_37414),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_324),
+	.B2(n_5029),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc6h_ns[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797276 (
+	.A1_N(n_5029),
+	.A2_N(n_37413),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_323),
+	.B2(n_5029),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc6h_ns[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797277 (
+	.A1_N(n_5029),
+	.A2_N(n_37412),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_322),
+	.B2(n_5029),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc6h_ns[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797278 (
+	.A1_N(n_5029),
+	.A2_N(n_37411),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_321),
+	.B2(n_5029),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc6h_ns[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797279 (
+	.A1_N(n_5029),
+	.A2_N(n_37410),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_320),
+	.B2(n_5029),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc6h_ns[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797280 (
+	.A1_N(n_5029),
+	.A2_N(n_37409),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_319),
+	.B2(n_5029),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc6h_ns[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797281 (
+	.A1_N(n_5029),
+	.A2_N(n_37408),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_318),
+	.B2(n_5029),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc6h_ns[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797282 (
+	.A1_N(n_5029),
+	.A2_N(n_37407),
+	.B1(brqrv_top_brqrv_dec_tlu_n_6058),
+	.B2(n_5029),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc6h_ns[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797283 (
+	.A1_N(n_5029),
+	.A2_N(n_37406),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_316),
+	.B2(n_5029),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc6h_ns[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797284 (
+	.A1_N(n_5029),
+	.A2_N(n_37405),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_315),
+	.B2(n_5029),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc6h_ns[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797285 (
+	.A1_N(n_5029),
+	.A2_N(n_37404),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_314),
+	.B2(n_5029),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc6h_ns[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797286 (
+	.A1_N(n_5029),
+	.A2_N(n_37403),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_313),
+	.B2(n_5029),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc6h_ns[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797287 (
+	.A1_N(n_5029),
+	.A2_N(n_37402),
+	.B1(n_1518),
+	.B2(n_5029),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc6h_ns[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797288 (
+	.A1_N(n_5029),
+	.A2_N(n_37401),
+	.B1(n_1519),
+	.B2(n_5029),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc6h_ns[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797289 (
+	.A1_N(n_5029),
+	.A2_N(n_37400),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_310),
+	.B2(n_5029),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc6h_ns[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797290 (
+	.A1_N(n_5029),
+	.A2_N(n_37399),
+	.B1(n_1511),
+	.B2(n_5029),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc6h_ns[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797291 (
+	.A1_N(n_5029),
+	.A2_N(n_37398),
+	.B1(n_1514),
+	.B2(n_5029),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc6h_ns[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797292 (
+	.A1_N(n_5029),
+	.A2_N(n_37397),
+	.B1(brqrv_top_brqrv_dec_tlu_n_3024),
+	.B2(n_5029),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc6h_ns[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797293 (
+	.A1_N(n_5029),
+	.A2_N(n_37396),
+	.B1(n_1809),
+	.B2(n_5029),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc6h_ns[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797294 (
+	.A1_N(n_5029),
+	.A2_N(n_37395),
+	.B1(n_1507),
+	.B2(n_5029),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc6h_ns[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797295 (
+	.A1_N(n_5029),
+	.A2_N(n_37394),
+	.B1(n_1506),
+	.B2(n_5029),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc6h_ns[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797296 (
+	.A1_N(n_5029),
+	.A2_N(n_37393),
+	.B1(n_1805),
+	.B2(n_5029),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc6h_ns[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797297 (
+	.A1_N(n_5029),
+	.A2_N(n_37392),
+	.B1(n_1508),
+	.B2(n_5029),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc6h_ns[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797298 (
+	.A1_N(n_5029),
+	.A2_N(n_37391),
+	.B1(n_1802),
+	.B2(n_5029),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc6h_ns[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797299 (
+	.A1_N(n_5029),
+	.A2_N(n_37390),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_300),
+	.B2(n_5029),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc6h_ns[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797300 (
+	.A1_N(n_5029),
+	.A2_N(n_37389),
+	.B1(n_1790),
+	.B2(n_5029),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc6h_ns[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797301 (
+	.A1_N(n_5029),
+	.A2_N(n_37388),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_298),
+	.B2(n_5029),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc6h_ns[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797302 (
+	.A1_N(brqrv_top_brqrv_trigger_pkt_any[37]),
+	.A2_N(n_5035),
+	.B1(brqrv_top_brqrv_dec_tlu_n_6058),
+	.B2(n_5035),
+	.Y(brqrv_top_brqrv_dec_tlu_mtdata1_t0_ns[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797303 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_valid_ff ),
+	.A2(n_1666),
+	.B1(n_4462),
+	.B2(n_34336),
+	.C1(n_34515),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_in [2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797304 (
+	.A1_N(brqrv_top_brqrv_trigger_pkt_any[36]),
+	.A2_N(n_5035),
+	.B1(n_1507),
+	.B2(n_5035),
+	.Y(brqrv_top_brqrv_dec_tlu_mtdata1_t0_ns[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797305 (
+	.A1_N(brqrv_top_brqrv_trigger_pkt_any[32]),
+	.A2_N(n_5035),
+	.B1(n_1506),
+	.B2(n_5035),
+	.Y(brqrv_top_brqrv_dec_tlu_mtdata1_t0_ns[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797306 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_int_timers_mitctl0_0_b),
+	.A2_N(n_5041),
+	.B1(brqrv_top_brqrv_dec_dec_csr_wrdata_r[0]),
+	.B2(n_5041),
+	.Y(brqrv_top_brqrv_dec_tlu_int_timers_mitctl0_0_b_ns), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g797307 (
+	.A1(n_5071),
+	.A2(n_34786),
+	.B1(n_43435),
+	.B2(FE_DBTN21_n_34786),
+	.X(brqrv_top_brqrv_exu_i0_flush_path_d[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797308 (
+	.A1_N(n_35787),
+	.A2_N(n_5044),
+	.B1(n_35787),
+	.B2(n_5044),
+	.Y(n_5424), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g797309 (
+	.A1(n_4127),
+	.A2(n_34578),
+	.B1(n_2888),
+	.C1(n_4415),
+	.D1(n_4816),
+	.Y(n_5423), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g797310 (
+	.A1(n_4140),
+	.A2(n_34578),
+	.B1(n_2826),
+	.C1(n_4414),
+	.D1(n_4815),
+	.Y(n_5422), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g797311 (
+	.A1(n_4146),
+	.A2(n_34578),
+	.B1(n_2716),
+	.C1(n_4412),
+	.D1(n_4814),
+	.Y(n_5421), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g797312 (
+	.A1(brqrv_top_brqrv_lsu_lsu_addr_r[9]),
+	.A2(n_4613),
+	.B1(n_4606),
+	.B2(n_1838),
+	.C1(n_4610),
+	.C2(n_1835),
+	.Y(n_5420), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797313 (
+	.A1_N(n_35373),
+	.A2_N(n_35931),
+	.B1(n_35373),
+	.B2(n_4387),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_in [29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211a_1 g797314 (
+	.A1(n_1961),
+	.A2(n_5055),
+	.B1(n_33863),
+	.C1(n_35400),
+	.X(n_5419), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g797315 (
+	.A1(n_5034),
+	.A2(brqrv_top_brqrv_dec_tlu_pc_r[31]),
+	.B1(n_3978),
+	.B2(brqrv_top_brqrv_dec_tlu_n_5620),
+	.Y(n_5418), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g797316 (
+	.A1(n_5034),
+	.A2(brqrv_top_brqrv_dec_tlu_pc_r[30]),
+	.B1(n_3978),
+	.B2(brqrv_top_brqrv_dec_tlu_n_5619),
+	.Y(n_5417), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g797317 (
+	.A1(n_5034),
+	.A2(brqrv_top_brqrv_dec_tlu_pc_r[29]),
+	.B1(n_3978),
+	.B2(brqrv_top_brqrv_dec_tlu_n_5618),
+	.Y(n_5416), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g797318 (
+	.A1(n_5034),
+	.A2(brqrv_top_brqrv_dec_tlu_pc_r[28]),
+	.B1(n_3978),
+	.B2(brqrv_top_brqrv_dec_tlu_n_5617),
+	.Y(n_5415), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g797319 (
+	.A1(n_5034),
+	.A2(brqrv_top_brqrv_dec_tlu_pc_r[27]),
+	.B1(n_3978),
+	.B2(brqrv_top_brqrv_dec_tlu_n_5616),
+	.Y(n_5414), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g797320 (
+	.A1(n_5034),
+	.A2(brqrv_top_brqrv_dec_tlu_pc_r[26]),
+	.B1(n_3978),
+	.B2(brqrv_top_brqrv_dec_tlu_n_5615),
+	.Y(n_5413), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g797321 (
+	.A1(n_5034),
+	.A2(brqrv_top_brqrv_dec_tlu_pc_r[25]),
+	.B1(n_3978),
+	.B2(brqrv_top_brqrv_dec_tlu_n_5614),
+	.Y(n_5412), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g797322 (
+	.A1(n_5034),
+	.A2(brqrv_top_brqrv_dec_tlu_pc_r[24]),
+	.B1(n_3978),
+	.B2(brqrv_top_brqrv_dec_tlu_n_5613),
+	.Y(n_5411), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g797323 (
+	.A1(n_5034),
+	.A2(brqrv_top_brqrv_dec_tlu_pc_r[23]),
+	.B1(n_3978),
+	.B2(brqrv_top_brqrv_dec_tlu_n_5612),
+	.Y(n_5410), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g797324 (
+	.A1(n_5034),
+	.A2(brqrv_top_brqrv_dec_tlu_pc_r[22]),
+	.B1(n_3978),
+	.B2(brqrv_top_brqrv_dec_tlu_n_5611),
+	.Y(n_5409), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g797325 (
+	.A1(n_5034),
+	.A2(brqrv_top_brqrv_dec_tlu_pc_r[21]),
+	.B1(n_3978),
+	.B2(brqrv_top_brqrv_dec_tlu_n_5610),
+	.Y(n_5408), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g797326 (
+	.A1(n_5034),
+	.A2(brqrv_top_brqrv_dec_tlu_pc_r[20]),
+	.B1(n_3978),
+	.B2(brqrv_top_brqrv_dec_tlu_n_5609),
+	.Y(n_5407), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g797327 (
+	.A1(n_5034),
+	.A2(brqrv_top_brqrv_dec_tlu_pc_r[19]),
+	.B1(n_3978),
+	.B2(brqrv_top_brqrv_dec_tlu_n_5608),
+	.Y(n_5406), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g797328 (
+	.A1(n_5034),
+	.A2(brqrv_top_brqrv_dec_tlu_pc_r[18]),
+	.B1(n_3978),
+	.B2(brqrv_top_brqrv_dec_tlu_n_5607),
+	.Y(n_5405), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g797329 (
+	.A1(n_5034),
+	.A2(brqrv_top_brqrv_dec_tlu_pc_r[17]),
+	.B1(n_3978),
+	.B2(brqrv_top_brqrv_dec_tlu_n_5606),
+	.Y(n_5404), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g797330 (
+	.A1(n_5034),
+	.A2(brqrv_top_brqrv_dec_tlu_pc_r[16]),
+	.B1(n_3978),
+	.B2(brqrv_top_brqrv_dec_tlu_n_5605),
+	.Y(n_5403), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g797331 (
+	.A1(n_5034),
+	.A2(brqrv_top_brqrv_dec_tlu_pc_r[15]),
+	.B1(n_3978),
+	.B2(brqrv_top_brqrv_dec_tlu_n_5604),
+	.Y(n_5402), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g797332 (
+	.A1(n_5034),
+	.A2(brqrv_top_brqrv_dec_tlu_pc_r[14]),
+	.B1(n_3978),
+	.B2(brqrv_top_brqrv_dec_tlu_n_5603),
+	.Y(n_5401), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g797333 (
+	.A1(n_5034),
+	.A2(brqrv_top_brqrv_dec_tlu_pc_r[13]),
+	.B1(n_3978),
+	.B2(brqrv_top_brqrv_dec_tlu_n_5602),
+	.Y(n_5400), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g797334 (
+	.A1(n_5034),
+	.A2(brqrv_top_brqrv_dec_tlu_pc_r[12]),
+	.B1(n_3978),
+	.B2(brqrv_top_brqrv_dec_tlu_n_5601),
+	.Y(n_5399), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g797335 (
+	.A1(n_5034),
+	.A2(brqrv_top_brqrv_dec_tlu_pc_r[11]),
+	.B1(n_3978),
+	.B2(brqrv_top_brqrv_dec_tlu_n_5600),
+	.Y(n_5398), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g797336 (
+	.A1(n_5034),
+	.A2(brqrv_top_brqrv_dec_tlu_pc_r[10]),
+	.B1(n_3978),
+	.B2(brqrv_top_brqrv_dec_tlu_n_5599),
+	.Y(n_5397), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g797337 (
+	.A1(n_5034),
+	.A2(brqrv_top_brqrv_dec_tlu_pc_r[9]),
+	.B1(n_3978),
+	.B2(brqrv_top_brqrv_dec_tlu_n_5598),
+	.Y(n_5396), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g797338 (
+	.A1(n_5034),
+	.A2(brqrv_top_brqrv_dec_tlu_pc_r[8]),
+	.B1(n_3978),
+	.B2(brqrv_top_brqrv_dec_tlu_n_5597),
+	.Y(n_5395), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g797339 (
+	.A1(n_5034),
+	.A2(brqrv_top_brqrv_dec_tlu_pc_r[7]),
+	.B1(n_3978),
+	.B2(brqrv_top_brqrv_dec_tlu_n_5596),
+	.Y(n_5394), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g797340 (
+	.A1(n_5034),
+	.A2(brqrv_top_brqrv_dec_tlu_pc_r[6]),
+	.B1(n_3978),
+	.B2(brqrv_top_brqrv_dec_tlu_n_5595),
+	.Y(n_5393), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g797341 (
+	.A1(n_5034),
+	.A2(brqrv_top_brqrv_dec_tlu_pc_r[5]),
+	.B1(n_3978),
+	.B2(brqrv_top_brqrv_dec_tlu_n_5594),
+	.Y(n_5392), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g797342 (
+	.A1(n_5034),
+	.A2(brqrv_top_brqrv_dec_tlu_pc_r[4]),
+	.B1(n_3978),
+	.B2(brqrv_top_brqrv_dec_tlu_n_5593),
+	.Y(n_5391), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g797343 (
+	.A1(n_5034),
+	.A2(brqrv_top_brqrv_dec_tlu_pc_r[3]),
+	.B1(n_3978),
+	.B2(brqrv_top_brqrv_dec_tlu_n_5592),
+	.Y(n_5390), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g797344 (
+	.A1(n_5034),
+	.A2(brqrv_top_brqrv_dec_tlu_pc_r[2]),
+	.B1(n_3978),
+	.B2(brqrv_top_brqrv_dec_tlu_n_5591),
+	.Y(n_5389), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797345 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mtdata1_t0[9]),
+	.A2_N(n_5035),
+	.B1(n_2966),
+	.B2(n_5035),
+	.Y(brqrv_top_brqrv_dec_tlu_mtdata1_t0_ns[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797346 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mtdata1_t0[6]),
+	.A2_N(n_5035),
+	.B1(n_3741),
+	.B2(n_5035),
+	.Y(brqrv_top_brqrv_dec_tlu_mtdata1_t0_ns[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797347 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mtdata1_t0[5]),
+	.A2_N(n_5035),
+	.B1(n_5052),
+	.B2(n_5035),
+	.Y(brqrv_top_brqrv_dec_tlu_mtdata1_t0_ns[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797348 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mtdata1_t0[2]),
+	.A2_N(n_5035),
+	.B1(n_2650),
+	.B2(n_5035),
+	.Y(brqrv_top_brqrv_dec_tlu_mtdata1_t0_ns[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797349 (
+	.A1_N(brqrv_top_brqrv_trigger_pkt_any[35]),
+	.A2_N(n_5035),
+	.B1(n_1790),
+	.B2(n_5035),
+	.Y(brqrv_top_brqrv_dec_tlu_mtdata1_t0_ns[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797350 (
+	.A1_N(brqrv_top_brqrv_trigger_pkt_any[34]),
+	.A2_N(n_5035),
+	.B1(n_2651),
+	.B2(n_5035),
+	.Y(brqrv_top_brqrv_dec_tlu_mtdata1_t0_ns[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797351 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_int_timers_mitctl1[3]),
+	.A2_N(n_5040),
+	.B1(n_1802),
+	.B2(n_5040),
+	.Y(brqrv_top_brqrv_dec_tlu_int_timers_mitctl1_ff_n_13), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797352 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_int_timers_mitctl1[2]),
+	.A2_N(n_5040),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_300),
+	.B2(n_5040),
+	.Y(brqrv_top_brqrv_dec_tlu_int_timers_mitctl1_ff_n_12), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797353 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_int_timers_mitctl1[1]),
+	.A2_N(n_5040),
+	.B1(n_1790),
+	.B2(n_5040),
+	.Y(brqrv_top_brqrv_dec_tlu_int_timers_mitctl1_ff_n_11), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797354 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_int_timers_mitctl1_0_b),
+	.A2_N(n_5040),
+	.B1(brqrv_top_brqrv_dec_dec_csr_wrdata_r[0]),
+	.B2(n_5040),
+	.Y(brqrv_top_brqrv_dec_tlu_int_timers_mitctl1_0_b_ns), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g797355 (
+	.A(n_4737),
+	.B(n_35843),
+	.Y(n_5388), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g797356 (
+	.A1(n_5030),
+	.A2(brqrv_top_dmi_reg_wdata[31]),
+	.B1(n_38140),
+	.B2(n_4593),
+	.X(brqrv_top_brqrv_dbg_data1_din[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g797357 (
+	.A1(n_5030),
+	.A2(brqrv_top_dmi_reg_wdata[30]),
+	.B1(n_38139),
+	.B2(n_4593),
+	.X(brqrv_top_brqrv_dbg_data1_din[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g797358 (
+	.A1(n_5030),
+	.A2(brqrv_top_dmi_reg_wdata[29]),
+	.B1(n_38138),
+	.B2(n_4593),
+	.X(brqrv_top_brqrv_dbg_data1_din[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g797359 (
+	.A1(n_5030),
+	.A2(brqrv_top_dmi_reg_wdata[28]),
+	.B1(n_38137),
+	.B2(n_4593),
+	.X(brqrv_top_brqrv_dbg_data1_din[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g797360 (
+	.A1(n_5030),
+	.A2(brqrv_top_dmi_reg_wdata[27]),
+	.B1(n_38136),
+	.B2(n_4593),
+	.X(brqrv_top_brqrv_dbg_data1_din[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g797361 (
+	.A1(n_5030),
+	.A2(brqrv_top_dmi_reg_wdata[26]),
+	.B1(n_38135),
+	.B2(n_4593),
+	.X(brqrv_top_brqrv_dbg_data1_din[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g797362 (
+	.A1(n_5030),
+	.A2(brqrv_top_dmi_reg_wdata[25]),
+	.B1(n_38134),
+	.B2(n_4593),
+	.X(brqrv_top_brqrv_dbg_data1_din[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g797363 (
+	.A1(n_5030),
+	.A2(brqrv_top_dmi_reg_wdata[24]),
+	.B1(n_38133),
+	.B2(n_4593),
+	.X(brqrv_top_brqrv_dbg_data1_din[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g797364 (
+	.A1(n_5030),
+	.A2(brqrv_top_dmi_reg_wdata[23]),
+	.B1(n_38132),
+	.B2(n_4593),
+	.X(brqrv_top_brqrv_dbg_data1_din[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g797365 (
+	.A1(n_5030),
+	.A2(brqrv_top_dmi_reg_wdata[22]),
+	.B1(n_38131),
+	.B2(n_4593),
+	.X(brqrv_top_brqrv_dbg_data1_din[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g797366 (
+	.A1(n_5030),
+	.A2(brqrv_top_dmi_reg_wdata[21]),
+	.B1(n_38130),
+	.B2(n_4593),
+	.X(brqrv_top_brqrv_dbg_data1_din[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g797367 (
+	.A1(n_5030),
+	.A2(brqrv_top_dmi_reg_wdata[20]),
+	.B1(n_38129),
+	.B2(n_4593),
+	.X(brqrv_top_brqrv_dbg_data1_din[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g797368 (
+	.A1(n_5030),
+	.A2(brqrv_top_dmi_reg_wdata[19]),
+	.B1(n_38128),
+	.B2(n_4593),
+	.X(brqrv_top_brqrv_dbg_data1_din[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g797369 (
+	.A1(n_5030),
+	.A2(brqrv_top_dmi_reg_wdata[18]),
+	.B1(n_38127),
+	.B2(n_4593),
+	.X(brqrv_top_brqrv_dbg_data1_din[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g797370 (
+	.A1(n_5030),
+	.A2(brqrv_top_dmi_reg_wdata[17]),
+	.B1(n_38126),
+	.B2(n_4593),
+	.X(brqrv_top_brqrv_dbg_data1_din[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g797371 (
+	.A1(n_5030),
+	.A2(brqrv_top_dmi_reg_wdata[16]),
+	.B1(n_38125),
+	.B2(n_4593),
+	.X(brqrv_top_brqrv_dbg_data1_din[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g797372 (
+	.A1(n_5030),
+	.A2(brqrv_top_dmi_reg_wdata[15]),
+	.B1(n_38124),
+	.B2(n_4593),
+	.X(brqrv_top_brqrv_dbg_data1_din[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g797373 (
+	.A1(n_5030),
+	.A2(brqrv_top_dmi_reg_wdata[14]),
+	.B1(n_38123),
+	.B2(n_4593),
+	.X(brqrv_top_brqrv_dbg_data1_din[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g797374 (
+	.A1(n_5030),
+	.A2(brqrv_top_dmi_reg_wdata[13]),
+	.B1(n_38122),
+	.B2(n_4593),
+	.X(brqrv_top_brqrv_dbg_data1_din[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g797375 (
+	.A1(n_5030),
+	.A2(brqrv_top_dmi_reg_wdata[12]),
+	.B1(n_38121),
+	.B2(n_4593),
+	.X(brqrv_top_brqrv_dbg_data1_din[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g797376 (
+	.A1(n_5030),
+	.A2(brqrv_top_dmi_reg_wdata[11]),
+	.B1(n_38120),
+	.B2(n_4593),
+	.X(brqrv_top_brqrv_dbg_data1_din[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g797377 (
+	.A1(n_5030),
+	.A2(brqrv_top_dmi_reg_wdata[10]),
+	.B1(n_38119),
+	.B2(n_4593),
+	.X(brqrv_top_brqrv_dbg_data1_din[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g797378 (
+	.A1(n_5030),
+	.A2(brqrv_top_dmi_reg_wdata[9]),
+	.B1(n_38118),
+	.B2(n_4593),
+	.X(brqrv_top_brqrv_dbg_data1_din[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g797379 (
+	.A1(n_5030),
+	.A2(brqrv_top_dmi_reg_wdata[8]),
+	.B1(n_38117),
+	.B2(n_4593),
+	.X(brqrv_top_brqrv_dbg_data1_din[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g797380 (
+	.A1(n_5030),
+	.A2(brqrv_top_dmi_reg_wdata[7]),
+	.B1(n_38116),
+	.B2(n_4593),
+	.X(brqrv_top_brqrv_dbg_data1_din[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g797381 (
+	.A1(n_5030),
+	.A2(brqrv_top_dmi_reg_wdata[6]),
+	.B1(n_38115),
+	.B2(n_4593),
+	.X(brqrv_top_brqrv_dbg_data1_din[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g797382 (
+	.A1(n_5030),
+	.A2(brqrv_top_dmi_reg_wdata[5]),
+	.B1(n_38114),
+	.B2(n_4593),
+	.X(brqrv_top_brqrv_dbg_data1_din[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g797383 (
+	.A1(n_5030),
+	.A2(brqrv_top_dmi_reg_wdata[4]),
+	.B1(n_38113),
+	.B2(n_4593),
+	.X(brqrv_top_brqrv_dbg_data1_din[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g797384 (
+	.A1(n_5030),
+	.A2(brqrv_top_dmi_reg_wdata[3]),
+	.B1(n_38112),
+	.B2(n_4593),
+	.X(brqrv_top_brqrv_dbg_data1_din[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g797385 (
+	.A1(n_5030),
+	.A2(brqrv_top_dmi_reg_wdata[2]),
+	.B1(n_38111),
+	.B2(n_4593),
+	.X(brqrv_top_brqrv_dbg_data1_din[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g797386 (
+	.A1(n_5030),
+	.A2(brqrv_top_dmi_reg_wdata[1]),
+	.B1(n_38110),
+	.B2(n_4593),
+	.X(brqrv_top_brqrv_dbg_data1_din[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g797387 (
+	.A1(n_5030),
+	.A2(brqrv_top_dmi_reg_wdata[0]),
+	.B1(n_38109),
+	.B2(n_4593),
+	.X(brqrv_top_brqrv_dbg_data1_din[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g797388 (
+	.A1(brqrv_top_brqrv_ifu_ifc_fb_write_f[1]),
+	.A2(n_5053),
+	.B1(n_1417),
+	.Y(n_5387), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g797389 (
+	.A(n_35851),
+	.B(n_4738),
+	.Y(n_5386), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g797390 (
+	.A(n_35849),
+	.B(n_4740),
+	.Y(n_5385), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g797391 (
+	.A(n_35847),
+	.B(n_4739),
+	.Y(n_5384), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g797392 (
+	.A1(n_5050),
+	.A2(brqrv_top_brqrv_dec_tlu_mscause[2]),
+	.B1(brqrv_top_brqrv_dec_tlu_lsu_i0_exc_r),
+	.B2(brqrv_top_brqrv_lsu_error_pkt_r[36]),
+	.Y(n_5383), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g797393 (
+	.A(brqrv_top_brqrv_dec_dec_csr_wraddr_r[3]),
+	.B(n_34664),
+	.C(n_5048),
+	.Y(n_5475), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g797394 (
+	.A1(n_3767),
+	.A2(n_4247),
+	.B1(n_5363),
+	.Y(n_5474), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111oi_0 g797395 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_rspageQ[13]),
+	.A2(n_3714),
+	.B1(n_3711),
+	.C1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_rsp_pickage[15]),
+	.D1(n_4320),
+	.Y(n_5473), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797396 (
+	.A1_N(n_3092),
+	.A2_N(n_5070),
+	.B1(n_3092),
+	.B2(n_5070),
+	.Y(n_5472), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g797400 (
+	.A1(brqrv_top_dccm_rd_addr_hi[3]),
+	.A2(n_4376),
+	.B1(n_1869),
+	.B2(brqrv_top_dccm_rd_addr_lo[3]),
+	.C1(n_3475),
+	.Y(n_5470), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g797402 (
+	.A1(n_43023),
+	.A2(n_4387),
+	.B1(n_3399),
+	.X(n_5469), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g797403 (
+	.A(n_4887),
+	.B(n_32406),
+	.C(n_31667),
+	.X(n_5468), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g797404 (
+	.A(n_5381),
+	.Y(n_5382), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g797405 (
+	.A(n_5228),
+	.Y(n_5380), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g797406 (
+	.A(n_5224),
+	.Y(n_5379), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g797407 (
+	.A(n_1418),
+	.Y(n_5370), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g797408 (
+	.A(n_5366),
+	.Y(brqrv_top_brqrv_dec_tlu_mdseac_locked_ns), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g797409 (
+	.A(n_5361),
+	.Y(n_5362), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g797410 (
+	.A(n_5355),
+	.Y(n_5354), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g797411 (
+	.A(n_5352),
+	.Y(n_5351), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g797412 (
+	.A(n_5350),
+	.Y(n_5349), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g797413 (
+	.A(n_5348),
+	.Y(n_5347), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g797414 (
+	.A(n_5346),
+	.Y(n_5345), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g797415 (
+	.A(brqrv_top_brqrv_lsu_nonblock_load_tag_m[1]),
+	.Y(n_5344), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g797419 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_3215),
+	.Y(n_5338), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g797420 (
+	.A(n_5337),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_en[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g797421 (
+	.A(n_5336),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_3227), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g797422 (
+	.A(n_5335),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_3311), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g797423 (
+	.A(n_5334),
+	.Y(n_5333), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g797424 (
+	.A(n_5332),
+	.Y(n_5331), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 g797425 (
+	.A(n_34581),
+	.B(n_34573),
+	.CIN(n_3194),
+	.COUT(n_5330),
+	.SUM(n_5381), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797426 (
+	.A1(n_1501),
+	.A2(n_34475),
+	.B1(n_34477),
+	.B2(n_3979),
+	.C1(n_2295),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[992]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g797427 (
+	.A(n_4792),
+	.B(brqrv_top_brqrv_ifu_aln_qwen[2]),
+	.Y(brqrv_top_brqrv_ifu_aln_q2off_in), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g797428 (
+	.A(n_4793),
+	.B(brqrv_top_brqrv_ifu_aln_qwen[1]),
+	.Y(brqrv_top_brqrv_ifu_aln_q1off_in), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g797429 (
+	.A1(brqrv_top_brqrv_dec_dec_csr_wrdata_r[21]),
+	.A2(n_2930),
+	.B1(n_4213),
+	.B2(brqrv_top_brqrv_exu_csr_rs1_x[21]),
+	.C1(n_36840),
+	.C2(brqrv_top_brqrv_dec_dec_pause_state),
+	.Y(n_5329), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g797430 (
+	.A(n_4156),
+	.B(n_5064),
+	.Y(n_5328), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g797431 (
+	.A1(brqrv_top_brqrv_dec_dec_csr_wrdata_r[22]),
+	.A2(n_2930),
+	.B1(n_4213),
+	.B2(brqrv_top_brqrv_exu_csr_rs1_x[22]),
+	.C1(n_36841),
+	.C2(brqrv_top_brqrv_dec_dec_pause_state),
+	.Y(n_5327), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g797432 (
+	.A1(brqrv_top_brqrv_dec_dec_csr_wrdata_r[30]),
+	.A2(n_2930),
+	.B1(n_4213),
+	.B2(brqrv_top_brqrv_exu_csr_rs1_x[30]),
+	.C1(n_36849),
+	.C2(brqrv_top_brqrv_dec_dec_pause_state),
+	.Y(n_5326), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g797433 (
+	.A1(brqrv_top_brqrv_dec_dec_csr_wrdata_r[31]),
+	.A2(n_2930),
+	.B1(n_4213),
+	.B2(brqrv_top_brqrv_exu_csr_rs1_x[31]),
+	.C1(n_36850),
+	.C2(brqrv_top_brqrv_dec_dec_pause_state),
+	.Y(n_5325), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g797434 (
+	.A1(brqrv_top_brqrv_dec_dec_csr_wrdata_r[13]),
+	.A2(n_2930),
+	.B1(n_4213),
+	.B2(brqrv_top_brqrv_exu_csr_rs1_x[13]),
+	.C1(n_36832),
+	.C2(brqrv_top_brqrv_dec_dec_pause_state),
+	.Y(n_5324), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g797435 (
+	.A1(brqrv_top_brqrv_dec_dec_csr_wrdata_r[14]),
+	.A2(n_2930),
+	.B1(n_4213),
+	.B2(brqrv_top_brqrv_exu_csr_rs1_x[14]),
+	.C1(n_36833),
+	.C2(brqrv_top_brqrv_dec_dec_pause_state),
+	.Y(n_5323), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g797436 (
+	.A(n_4831),
+	.B(n_11472),
+	.Y(n_5322), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g797437 (
+	.A(n_5036),
+	.B(brqrv_top_brqrv_dec_tlu_dcsr[6]),
+	.Y(n_5321), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g797438 (
+	.A1(brqrv_top_brqrv_dec_dec_csr_wrdata_r[16]),
+	.A2(n_2930),
+	.B1(n_4213),
+	.B2(brqrv_top_brqrv_exu_csr_rs1_x[16]),
+	.C1(n_36835),
+	.C2(brqrv_top_brqrv_dec_dec_pause_state),
+	.Y(n_5320), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g797439 (
+	.A(n_5050),
+	.B(brqrv_top_brqrv_dec_tlu_mscause[3]),
+	.Y(n_5319), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g797441 (
+	.A1(brqrv_top_brqrv_dec_dec_csr_wrdata_r[17]),
+	.A2(n_2930),
+	.B1(n_4213),
+	.B2(brqrv_top_brqrv_exu_csr_rs1_x[17]),
+	.C1(n_36836),
+	.C2(brqrv_top_brqrv_dec_dec_pause_state),
+	.Y(n_5318), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g797442 (
+	.A1(brqrv_top_brqrv_dec_dec_csr_wrdata_r[18]),
+	.A2(n_2930),
+	.B1(n_4213),
+	.B2(brqrv_top_brqrv_exu_csr_rs1_x[18]),
+	.C1(n_36837),
+	.C2(brqrv_top_brqrv_dec_dec_pause_state),
+	.Y(n_5317), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g797443 (
+	.A1(brqrv_top_brqrv_dec_dec_csr_wrdata_r[23]),
+	.A2(n_2930),
+	.B1(n_4213),
+	.B2(brqrv_top_brqrv_exu_csr_rs1_x[23]),
+	.C1(n_36842),
+	.C2(brqrv_top_brqrv_dec_dec_pause_state),
+	.Y(n_5316), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g797444 (
+	.A(brqrv_top_brqrv_ifu_ifc_fb_write_ns[0]),
+	.B(n_1960),
+	.Y(n_5315), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g797445 (
+	.A1(brqrv_top_brqrv_dec_dec_csr_wrdata_r[24]),
+	.A2(n_2930),
+	.B1(n_4213),
+	.B2(brqrv_top_brqrv_exu_csr_rs1_x[24]),
+	.C1(n_36843),
+	.C2(brqrv_top_brqrv_dec_dec_pause_state),
+	.Y(n_5314), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g797446 (
+	.A1(brqrv_top_brqrv_dec_dec_csr_wrdata_r[25]),
+	.A2(n_2930),
+	.B1(n_4213),
+	.B2(brqrv_top_brqrv_exu_csr_rs1_x[25]),
+	.C1(n_36844),
+	.C2(brqrv_top_brqrv_dec_dec_pause_state),
+	.Y(n_5313), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g797447 (
+	.A1(brqrv_top_brqrv_dec_dec_csr_wrdata_r[26]),
+	.A2(n_2930),
+	.B1(n_4213),
+	.B2(brqrv_top_brqrv_exu_csr_rs1_x[26]),
+	.C1(n_36845),
+	.C2(brqrv_top_brqrv_dec_dec_pause_state),
+	.Y(n_5312), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g797448 (
+	.A1(brqrv_top_brqrv_dec_dec_csr_wrdata_r[28]),
+	.A2(n_2930),
+	.B1(n_4213),
+	.B2(brqrv_top_brqrv_exu_csr_rs1_x[28]),
+	.C1(n_36847),
+	.C2(brqrv_top_brqrv_dec_dec_pause_state),
+	.Y(n_5311), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g797450 (
+	.A(n_5035),
+	.B(n_35576),
+	.Y(brqrv_top_brqrv_dec_tlu_n_3393), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g797451 (
+	.A(n_1934),
+	.B(n_5071),
+	.Y(n_5309), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g797452 (
+	.A1(brqrv_top_brqrv_dec_dec_csr_wrdata_r[29]),
+	.A2(n_2930),
+	.B1(n_4213),
+	.B2(brqrv_top_brqrv_exu_csr_rs1_x[29]),
+	.C1(n_36848),
+	.C2(brqrv_top_brqrv_dec_dec_pause_state),
+	.Y(n_5308), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g797453 (
+	.A(n_5050),
+	.B(brqrv_top_brqrv_dec_tlu_mscause[0]),
+	.Y(n_5307), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g797454 (
+	.A(n_5031),
+	.B(brqrv_top_dmi_reg_wdata[31]),
+	.Y(n_5306), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g797455 (
+	.A(n_5031),
+	.B(brqrv_top_dmi_reg_wdata[30]),
+	.Y(n_5305), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g797456 (
+	.A_N(brqrv_top_brqrv_dec_tlu_n_3397),
+	.B(brqrv_top_brqrv_dec_tlu_mcyclel_cout_f),
+	.Y(n_5304), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g797457 (
+	.A(n_5031),
+	.B(brqrv_top_dmi_reg_wdata[29]),
+	.Y(n_5303), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g797458 (
+	.A(n_5031),
+	.B(brqrv_top_dmi_reg_wdata[25]),
+	.Y(n_5302), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g797459 (
+	.A(n_5031),
+	.B(brqrv_top_dmi_reg_wdata[24]),
+	.Y(n_5301), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g797460 (
+	.A(n_5031),
+	.B(brqrv_top_dmi_reg_wdata[22]),
+	.Y(n_5300), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g797461 (
+	.A(n_5031),
+	.B(brqrv_top_dmi_reg_wdata[18]),
+	.Y(n_5299), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g797462 (
+	.A(brqrv_top_brqrv_dec_tlu_internal_dbg_halt_mode),
+	.B(n_5037),
+	.C(n_4258),
+	.D(brqrv_top_brqrv_dec_tlu_take_nmi),
+	.X(brqrv_top_brqrv_dec_tlu_n_3388), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g797463 (
+	.A(n_5031),
+	.B(brqrv_top_dmi_reg_wdata[2]),
+	.Y(n_5298), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g797464 (
+	.A(n_4244),
+	.B(n_5044),
+	.Y(n_5297), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g797465 (
+	.A(brqrv_top_brqrv_dec_tlu_mstatus_mie_ns),
+	.B(n_4743),
+	.Y(brqrv_top_brqrv_dec_tlu_int_timer0_int_hold), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g797466 (
+	.A(brqrv_top_brqrv_dec_tlu_mcyclel_cout_f),
+	.B_N(brqrv_top_brqrv_dec_tlu_n_3397),
+	.Y(n_5296), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g797467 (
+	.A(n_4069),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[21]),
+	.C(brqrv_top_brqrv_dec_i0_predict_p_d[44]),
+	.D(brqrv_top_brqrv_dec_i0_predict_p_d[43]),
+	.Y(n_5295), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797468 (
+	.A1(n_4256),
+	.A2(n_34364),
+	.B1(n_1868),
+	.B2(n_34365),
+	.C1(n_1769),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteen_in[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797469 (
+	.A1(n_4260),
+	.A2(n_34364),
+	.B1(n_35361),
+	.B2(n_34365),
+	.C1(n_2061),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteen_in[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797470 (
+	.A1(n_4256),
+	.A2(n_34375),
+	.B1(n_1868),
+	.B2(n_34374),
+	.C1(n_1767),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteen_in[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797471 (
+	.A1(n_4260),
+	.A2(n_34375),
+	.B1(n_35361),
+	.B2(n_34374),
+	.C1(n_2059),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteen_in[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797472 (
+	.A1(n_4257),
+	.A2(n_34375),
+	.B1(n_34600),
+	.B2(n_34374),
+	.C1(n_1766),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteen_in[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797473 (
+	.A1(n_4257),
+	.A2(n_34364),
+	.B1(n_34600),
+	.B2(n_34365),
+	.C1(n_1772),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteen_in[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g797474 (
+	.A1(n_4214),
+	.A2(n_4454),
+	.B1(n_37279),
+	.Y(n_5294), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g797475 (
+	.A1(n_4214),
+	.A2(n_4440),
+	.B1(n_37277),
+	.Y(n_5293), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g797476 (
+	.A1(brqrv_top_brqrv_dbg_dbg_state[2]),
+	.A2(n_4439),
+	.B1(n_35451),
+	.B2(n_3510),
+	.Y(n_5292), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g797477 (
+	.A(n_5046),
+	.B(brqrv_top_brqrv_dec_tlu_mstatus[1]),
+	.Y(n_5291), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797478 (
+	.A1(n_34875),
+	.A2(n_34823),
+	.B1(brqrv_top_brqrv_dec_dec_i0_instr_d[2]),
+	.B2(n_3907),
+	.C1(n_34787),
+	.Y(n_5290), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g797479 (
+	.A(n_1605),
+	.B(brqrv_top_brqrv_ifu_ifc_next_state[1]),
+	.Y(n_5289), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g797480 (
+	.A(brqrv_top_brqrv_ifu_ifc_next_state[1]),
+	.B(n_1605),
+	.Y(n_5288), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g797481 (
+	.A(n_4235),
+	.B(n_5045),
+	.Y(brqrv_top_brqrv_dec_tlu_wr_mhpme5_r), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g797482 (
+	.A(n_5045),
+	.B_N(n_3001),
+	.Y(brqrv_top_brqrv_dec_tlu_wr_mhpme3_r), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g797483 (
+	.A1(n_4694),
+	.A2(n_34313),
+	.B1(n_1688),
+	.B2(n_34310),
+	.Y(n_5287), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g797484 (
+	.A1(brqrv_top_brqrv_dbg_cmd_wrdata[1]),
+	.A2(n_4592),
+	.B1(brqrv_top_brqrv_dbg_dmcontrol_reg[1]),
+	.B2(n_1729),
+	.Y(n_5286), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g797485 (
+	.A(n_4796),
+	.B(brqrv_top_brqrv_ifu_aln_qwen[0]),
+	.Y(brqrv_top_brqrv_ifu_aln_q0off_in), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g797486 (
+	.A(n_4894),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_wr_en),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_timer_in[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g797487 (
+	.A(n_3261),
+	.B(n_3262),
+	.C(n_3450),
+	.D(n_4105),
+	.X(n_5285), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g797488 (
+	.A(n_43420),
+	.B(n_5029),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc6h_wr_en), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g797489 (
+	.A(n_4709),
+	.B(n_4707),
+	.C(brqrv_top_brqrv_lsu_stbuf_stbuf_dma_kill_en[1]),
+	.X(n_5284), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g797490 (
+	.A1(n_683),
+	.A2(n_4376),
+	.B1_N(n_3042),
+	.Y(n_5283), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g797491 (
+	.A1(brqrv_top_brqrv_dma_ctrl_n_1199),
+	.A2(n_4210),
+	.B1(n_4211),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[296]),
+	.C1(n_4207),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_data[168]),
+	.Y(n_5282), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g797492 (
+	.A1(n_4340),
+	.A2(n_4023),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_samedw[3]),
+	.Y(n_5281), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g797493 (
+	.A(n_4225),
+	.B(n_5048),
+	.Y(brqrv_top_brqrv_dec_tlu_wr_mscratch_r), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g797494 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_data[105]),
+	.A2(n_4212),
+	.B1(n_4209),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[233]),
+	.C1(n_4211),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_data[297]),
+	.Y(n_5280), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g797495 (
+	.A1(brqrv_top_brqrv_dma_ctrl_n_1209),
+	.A2(n_4210),
+	.B1(n_4211),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[298]),
+	.C1(n_4212),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_data[106]),
+	.Y(n_5279), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g797496 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_data[299]),
+	.A2(n_4211),
+	.B1(n_4207),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[171]),
+	.C1(n_4209),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_data[235]),
+	.Y(n_5278), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g797497 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_data[300]),
+	.A2(n_4211),
+	.B1(n_4207),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[172]),
+	.C1(n_4209),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_data[236]),
+	.Y(n_5277), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g797498 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_data[301]),
+	.A2(n_4211),
+	.B1(n_4207),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[173]),
+	.C1(n_4209),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_data[237]),
+	.Y(n_5276), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g797499 (
+	.A1(brqrv_top_brqrv_dma_ctrl_n_1229),
+	.A2(n_4210),
+	.B1(n_4209),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[238]),
+	.C1(n_4207),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_data[174]),
+	.Y(n_5275), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g797500 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_data[239]),
+	.A2(n_4209),
+	.B1(n_4210),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_1234),
+	.C1(n_4212),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_data[111]),
+	.Y(n_5274), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g797501 (
+	.A1(brqrv_top_brqrv_dma_ctrl_n_1239),
+	.A2(n_4210),
+	.B1(n_4209),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[240]),
+	.C1(n_4207),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_data[176]),
+	.Y(n_5273), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g797502 (
+	.A1(brqrv_top_brqrv_dma_ctrl_n_1244),
+	.A2(n_4210),
+	.B1(n_4211),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[305]),
+	.C1(n_4207),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_data[177]),
+	.Y(n_5272), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g797503 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_data[306]),
+	.A2(n_4211),
+	.B1(n_4207),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[178]),
+	.C1(n_4209),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_data[242]),
+	.Y(n_5271), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g797504 (
+	.A(brqrv_top_brqrv_dec_tlu_nmi_lsu_store_type),
+	.B(brqrv_top_brqrv_dec_tlu_nmi_lsu_load_type),
+	.Y(n_5270), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g797505 (
+	.A(n_3033),
+	.B(n_5045),
+	.Y(brqrv_top_brqrv_dec_tlu_wr_mhpme4_r), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g797506 (
+	.A(n_5039),
+	.B(n_3953),
+	.Y(n_5269), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g797507 (
+	.A(n_5047),
+	.B(brqrv_top_brqrv_dec_dec_csr_wrdata_r[7]),
+	.Y(n_5268), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g797508 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_data[243]),
+	.A2(n_4209),
+	.B1(n_4210),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_1254),
+	.C1(n_4212),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_data[115]),
+	.Y(n_5267), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g797510 (
+	.A1(n_1834),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[45]),
+	.B1(n_3318),
+	.C1(n_3300),
+	.D1(n_4273),
+	.Y(n_5266), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g797511 (
+	.A1(n_2785),
+	.A2(n_35118),
+	.B1_N(brqrv_top_brqrv_dec_tlu_nmi_lsu_load_type),
+	.Y(n_5265), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g797512 (
+	.A(n_4865),
+	.B(brqrv_top_brqrv_exu_flush_final),
+	.Y(n_5264), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g797513 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_data[244]),
+	.A2(n_4209),
+	.B1(n_4210),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_1259),
+	.C1(n_4212),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_data[116]),
+	.Y(n_5263), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g797515 (
+	.A(brqrv_top_brqrv_dec_tlu_n_12947),
+	.B(n_5001),
+	.Y(brqrv_top_brqrv_dec_tlu_wr_mtdata2_t2_r), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g797516 (
+	.A(n_4307),
+	.B(n_43417),
+	.C(n_43397),
+	.Y(n_5261), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g797517 (
+	.A(n_43399),
+	.B(n_4299),
+	.C(n_4301),
+	.Y(n_5260), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g797518 (
+	.A(n_4872),
+	.B(n_4853),
+	.Y(n_5259), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g797519 (
+	.A(brqrv_top_brqrv_dbg_abstractcs_reg[10]),
+	.B(n_5000),
+	.Y(n_5258), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g797520 (
+	.A(n_41757),
+	.B(n_4974),
+	.Y(n_5257), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g797521 (
+	.A1(n_4573),
+	.A2(brqrv_top_brqrv_ifu_aln_f0val[1]),
+	.B1(n_4821),
+	.Y(n_5256), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111oi_0 g797522 (
+	.A1(n_1849),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[43]),
+	.B1(n_2838),
+	.C1(n_33871),
+	.D1(n_4271),
+	.Y(n_5255), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g797524 (
+	.A_N(n_4704),
+	.B(n_37267),
+	.C(n_4214),
+	.Y(n_5254), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797525 (
+	.A1(n_1920),
+	.A2(n_36270),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[28]),
+	.B2(n_1848),
+	.C1(n_4866),
+	.Y(n_5253), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g797526 (
+	.A_N(n_3734),
+	.B(n_37263),
+	.C(n_4691),
+	.Y(n_5252), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g797527 (
+	.A1(n_35394),
+	.A2(brqrv_top_brqrv_exu_mul_valid_x),
+	.B1(n_4813),
+	.Y(n_5251), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g797528 (
+	.A1(n_1785),
+	.A2(n_4694),
+	.B1(n_3159),
+	.Y(brqrv_top_n_995), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g797529 (
+	.A1(n_4550),
+	.A2(brqrv_top_brqrv_ifu_aln_f0val[1]),
+	.B1(n_4794),
+	.Y(n_5250), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g797530 (
+	.A1(n_3800),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dualtag[4]),
+	.B1(n_3576),
+	.B2(n_1558),
+	.C1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_samedw[2]),
+	.Y(n_5249), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g797531 (
+	.A1(brqrv_top_brqrv_dec_decode_x_d[22]),
+	.A2(n_2934),
+	.B1(n_4795),
+	.Y(n_5248), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g797532 (
+	.A1(brqrv_top_brqrv_dec_tlu_mpc_debug_run_ack_f),
+	.A2(n_4698),
+	.B1(brqrv_top_brqrv_dec_tlu_mpc_debug_run_req_sync),
+	.X(brqrv_top_brqrv_dec_tlu_mpc_debug_run_ack_ns), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g797533 (
+	.A1(n_1862),
+	.A2(n_4376),
+	.B1(n_3066),
+	.Y(n_5247), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g797534 (
+	.A1(n_3695),
+	.A2(n_4704),
+	.B1(n_4215),
+	.Y(n_5246), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g797535 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_data[181]),
+	.A2(n_4207),
+	.B1(n_4209),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[245]),
+	.C1(n_4210),
+	.C2(brqrv_top_brqrv_dma_ctrl_n_1264),
+	.Y(n_5245), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g797536 (
+	.A1(n_4714),
+	.A2(n_3696),
+	.B1(n_4214),
+	.X(n_5244), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g797537 (
+	.A1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[18]),
+	.A2(n_1851),
+	.B1(n_2854),
+	.C1(n_1583),
+	.D1(n_4270),
+	.Y(n_5243), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g797538 (
+	.A1(n_1598),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_cmd_en[4]),
+	.B1(n_4595),
+	.Y(brqrv_top_brqrv_dma_ctrl_WrPtr_dff_n_10), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797539 (
+	.A1(n_34471),
+	.A2(n_4371),
+	.B1(n_33139),
+	.B2(n_34469),
+	.C1(n_2615),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[889]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797540 (
+	.A1(n_34471),
+	.A2(n_4365),
+	.B1(n_33140),
+	.B2(n_34469),
+	.C1(n_2578),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[888]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797541 (
+	.A1(n_34471),
+	.A2(n_4364),
+	.B1(n_33141),
+	.B2(n_34469),
+	.C1(n_2612),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[886]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797542 (
+	.A1(n_1501),
+	.A2(n_34469),
+	.B1(n_34471),
+	.B2(n_3979),
+	.C1(n_2402),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[864]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797543 (
+	.A1(n_34511),
+	.A2(n_4371),
+	.B1(n_33139),
+	.B2(n_34509),
+	.C1(n_2493),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[57]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797544 (
+	.A1(n_34511),
+	.A2(n_4365),
+	.B1(n_33140),
+	.B2(n_34509),
+	.C1(n_2508),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[56]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797545 (
+	.A1(n_34511),
+	.A2(n_4364),
+	.B1(n_33141),
+	.B2(n_34509),
+	.C1(n_2200),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[54]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797546 (
+	.A1(n_1501),
+	.A2(n_34509),
+	.B1(n_34511),
+	.B2(n_3979),
+	.C1(n_2362),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[32]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797547 (
+	.A1(n_34450),
+	.A2(n_4371),
+	.B1(n_33139),
+	.B2(n_34448),
+	.C1(n_2569),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[665]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797548 (
+	.A1(n_34450),
+	.A2(n_4365),
+	.B1(n_33140),
+	.B2(n_34448),
+	.C1(n_2396),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[664]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797549 (
+	.A1(n_34450),
+	.A2(n_4364),
+	.B1(n_33141),
+	.B2(n_34448),
+	.C1(n_2418),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[662]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797550 (
+	.A1(n_1501),
+	.A2(n_34448),
+	.B1(n_34450),
+	.B2(n_3979),
+	.C1(n_2331),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[640]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797551 (
+	.A1(n_34496),
+	.A2(n_4371),
+	.B1(n_33139),
+	.B2(n_34494),
+	.C1(n_2551),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[249]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797552 (
+	.A1(n_34496),
+	.A2(n_4365),
+	.B1(n_33140),
+	.B2(n_34494),
+	.C1(n_2463),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[248]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797553 (
+	.A1(n_34496),
+	.A2(n_4364),
+	.B1(n_33141),
+	.B2(n_34494),
+	.C1(n_2497),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[246]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797554 (
+	.A1(n_1501),
+	.A2(n_34494),
+	.B1(n_34496),
+	.B2(n_3979),
+	.C1(n_2216),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[224]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797555 (
+	.A1(n_34474),
+	.A2(n_4371),
+	.B1(n_33139),
+	.B2(n_34472),
+	.C1(n_2341),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[953]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797556 (
+	.A1(n_34474),
+	.A2(n_4365),
+	.B1(n_33140),
+	.B2(n_34472),
+	.C1(n_2357),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[952]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797557 (
+	.A1(n_34474),
+	.A2(n_4364),
+	.B1(n_33141),
+	.B2(n_34472),
+	.C1(n_2533),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[950]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797558 (
+	.A1(n_1501),
+	.A2(n_34472),
+	.B1(n_34474),
+	.B2(n_3979),
+	.C1(n_2581),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[928]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797559 (
+	.A1(n_34453),
+	.A2(n_4371),
+	.B1(n_33139),
+	.B2(n_34451),
+	.C1(n_2423),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[697]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797560 (
+	.A1(n_34453),
+	.A2(n_4365),
+	.B1(n_33140),
+	.B2(n_34451),
+	.C1(n_2520),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[696]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797561 (
+	.A1(n_34453),
+	.A2(n_4364),
+	.B1(n_33141),
+	.B2(n_34451),
+	.C1(n_2452),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[694]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797562 (
+	.A1(n_1501),
+	.A2(n_34451),
+	.B1(n_34453),
+	.B2(n_3979),
+	.C1(n_2618),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[672]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797563 (
+	.A1(n_34493),
+	.A2(n_4371),
+	.B1(n_33139),
+	.B2(n_34491),
+	.C1(n_2540),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[217]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797564 (
+	.A1(n_34493),
+	.A2(n_4365),
+	.B1(n_33140),
+	.B2(n_34491),
+	.C1(n_2144),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[216]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797565 (
+	.A1(n_34493),
+	.A2(n_4364),
+	.B1(n_33141),
+	.B2(n_34491),
+	.C1(n_2635),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[214]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797566 (
+	.A1(n_1501),
+	.A2(n_34491),
+	.B1(n_34493),
+	.B2(n_3979),
+	.C1(n_2599),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[192]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797567 (
+	.A1(n_35336),
+	.A2(n_4371),
+	.B1(n_33139),
+	.B2(n_35334),
+	.C1(n_2086),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[473]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797568 (
+	.A1(n_35336),
+	.A2(n_4365),
+	.B1(n_33140),
+	.B2(n_35334),
+	.C1(n_2512),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[472]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797569 (
+	.A1(n_35336),
+	.A2(n_4364),
+	.B1(n_33141),
+	.B2(n_35334),
+	.C1(n_2541),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[470]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797570 (
+	.A1(n_1501),
+	.A2(n_35334),
+	.B1(n_35336),
+	.B2(n_3979),
+	.C1(n_2429),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[448]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797571 (
+	.A1(n_34456),
+	.A2(n_4371),
+	.B1(n_33139),
+	.B2(n_34454),
+	.C1(n_2169),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[729]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797572 (
+	.A1(n_34456),
+	.A2(n_4365),
+	.B1(n_33140),
+	.B2(n_34454),
+	.C1(n_2399),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[728]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797573 (
+	.A1(n_34456),
+	.A2(n_4364),
+	.B1(n_33141),
+	.B2(n_34454),
+	.C1(n_2496),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[726]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797574 (
+	.A1(n_1501),
+	.A2(n_34454),
+	.B1(n_34456),
+	.B2(n_3979),
+	.C1(n_2112),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[704]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797575 (
+	.A1(n_35324),
+	.A2(n_4371),
+	.B1(n_33139),
+	.B2(n_35322),
+	.C1(n_2605),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[281]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797576 (
+	.A1(n_35324),
+	.A2(n_4365),
+	.B1(n_33140),
+	.B2(n_35322),
+	.C1(n_2156),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[280]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797577 (
+	.A1(n_35324),
+	.A2(n_4364),
+	.B1(n_33141),
+	.B2(n_35322),
+	.C1(n_2627),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[278]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797578 (
+	.A1(n_1501),
+	.A2(n_35322),
+	.B1(n_35324),
+	.B2(n_3979),
+	.C1(n_2550),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[256]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797579 (
+	.A1(n_34508),
+	.A2(n_4371),
+	.B1(n_33139),
+	.B2(n_34506),
+	.C1(n_2626),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[89]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797580 (
+	.A1(n_34508),
+	.A2(n_4365),
+	.B1(n_33140),
+	.B2(n_34506),
+	.C1(n_2480),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[88]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797581 (
+	.A1(n_34508),
+	.A2(n_4364),
+	.B1(n_33141),
+	.B2(n_34506),
+	.C1(n_2407),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[86]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797582 (
+	.A1(n_1501),
+	.A2(n_34506),
+	.B1(n_34508),
+	.B2(n_3979),
+	.C1(n_2449),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[64]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797583 (
+	.A1(n_34477),
+	.A2(n_4371),
+	.B1(n_33139),
+	.B2(n_34475),
+	.C1(n_2409),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[1017]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797584 (
+	.A1(n_34477),
+	.A2(n_4365),
+	.B1(n_33140),
+	.B2(n_34475),
+	.C1(n_2582),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[1016]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797585 (
+	.A1(n_34477),
+	.A2(n_4364),
+	.B1(n_33141),
+	.B2(n_34475),
+	.C1(n_2632),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[1014]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g797586 (
+	.A1(brqrv_top_brqrv_dma_ctrl_n_1269),
+	.A2(n_4210),
+	.B1(n_4209),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[246]),
+	.C1(n_4212),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_data[118]),
+	.Y(n_5242), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797587 (
+	.A1(n_34462),
+	.A2(n_4371),
+	.B1(n_33139),
+	.B2(n_34460),
+	.C1(n_2585),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[825]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797588 (
+	.A1(n_34462),
+	.A2(n_4365),
+	.B1(n_33140),
+	.B2(n_34460),
+	.C1(n_2580),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[824]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797589 (
+	.A1(n_34462),
+	.A2(n_4364),
+	.B1(n_33141),
+	.B2(n_34460),
+	.C1(n_2484),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[822]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797590 (
+	.A1(n_1501),
+	.A2(n_34460),
+	.B1(n_34462),
+	.B2(n_3979),
+	.C1(n_2262),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[800]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797591 (
+	.A1(n_34484),
+	.A2(n_4371),
+	.B1(n_33139),
+	.B2(n_34482),
+	.C1(n_2142),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[409]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797592 (
+	.A1(n_34484),
+	.A2(n_4365),
+	.B1(n_33140),
+	.B2(n_34482),
+	.C1(n_2248),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[408]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797593 (
+	.A1(n_34484),
+	.A2(n_4364),
+	.B1(n_33141),
+	.B2(n_34482),
+	.C1(n_2431),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[406]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797594 (
+	.A1(n_1501),
+	.A2(n_34482),
+	.B1(n_34484),
+	.B2(n_3979),
+	.C1(n_2510),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[384]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797595 (
+	.A1(n_34499),
+	.A2(n_4371),
+	.B1(n_33139),
+	.B2(n_34497),
+	.C1(n_2129),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[185]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797596 (
+	.A1(n_34499),
+	.A2(n_4365),
+	.B1(n_33140),
+	.B2(n_34497),
+	.C1(n_2498),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[184]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797597 (
+	.A1(n_34499),
+	.A2(n_4364),
+	.B1(n_33141),
+	.B2(n_34497),
+	.C1(n_2545),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[182]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797598 (
+	.A1(n_35330),
+	.A2(n_4371),
+	.B1(n_33139),
+	.B2(n_35328),
+	.C1(n_2101),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[377]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797599 (
+	.A1(n_35330),
+	.A2(n_4365),
+	.B1(n_33140),
+	.B2(n_35328),
+	.C1(n_2420),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[376]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797600 (
+	.A1(n_35330),
+	.A2(n_4364),
+	.B1(n_33141),
+	.B2(n_35328),
+	.C1(n_2491),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[374]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797601 (
+	.A1(n_1501),
+	.A2(n_35328),
+	.B1(n_35330),
+	.B2(n_3979),
+	.C1(n_2641),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[352]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797602 (
+	.A1(n_35341),
+	.A2(n_4371),
+	.B1(n_33139),
+	.B2(n_34441),
+	.C1(n_2549),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[537]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797603 (
+	.A1(n_35341),
+	.A2(n_4365),
+	.B1(n_33140),
+	.B2(n_34441),
+	.C1(n_2478),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[536]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797604 (
+	.A1(n_35341),
+	.A2(n_4364),
+	.B1(n_33141),
+	.B2(n_34441),
+	.C1(n_2459),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[534]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797605 (
+	.A1(n_34502),
+	.A2(n_4371),
+	.B1(n_33139),
+	.B2(n_34500),
+	.C1(n_2391),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[153]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797606 (
+	.A1(n_34502),
+	.A2(n_4365),
+	.B1(n_33140),
+	.B2(n_34500),
+	.C1(n_2192),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[152]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797607 (
+	.A1(n_1501),
+	.A2(n_34500),
+	.B1(n_34502),
+	.B2(n_3979),
+	.C1(n_2252),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[128]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797608 (
+	.A1(n_34459),
+	.A2(n_4371),
+	.B1(n_33139),
+	.B2(n_34457),
+	.C1(n_2378),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[761]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797609 (
+	.A1(n_34459),
+	.A2(n_4365),
+	.B1(n_33140),
+	.B2(n_34457),
+	.C1(n_2377),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[760]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797610 (
+	.A1(n_34459),
+	.A2(n_4364),
+	.B1(n_33141),
+	.B2(n_34457),
+	.C1(n_2179),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[758]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797611 (
+	.A1(n_1501),
+	.A2(n_34497),
+	.B1(n_34499),
+	.B2(n_3979),
+	.C1(n_2506),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[160]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797612 (
+	.A1(n_1501),
+	.A2(n_34457),
+	.B1(n_34459),
+	.B2(n_3979),
+	.C1(n_2367),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[736]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797613 (
+	.A1(n_34468),
+	.A2(n_4371),
+	.B1(n_33139),
+	.B2(n_34466),
+	.C1(n_2358),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[793]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797614 (
+	.A1(n_34468),
+	.A2(n_4365),
+	.B1(n_33140),
+	.B2(n_34466),
+	.C1(n_2517),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[792]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797615 (
+	.A1(n_34468),
+	.A2(n_4364),
+	.B1(n_33141),
+	.B2(n_34466),
+	.C1(n_2356),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[790]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797616 (
+	.A1(n_1501),
+	.A2(n_34466),
+	.B1(n_34468),
+	.B2(n_3979),
+	.C1(n_2344),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[768]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797617 (
+	.A1(n_35343),
+	.A2(n_4371),
+	.B1(n_33139),
+	.B2(n_34478),
+	.C1(n_2226),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[985]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797618 (
+	.A1(n_35343),
+	.A2(n_4365),
+	.B1(n_33140),
+	.B2(n_34478),
+	.C1(n_2245),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[984]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797619 (
+	.A1(n_35343),
+	.A2(n_4364),
+	.B1(n_33141),
+	.B2(n_34478),
+	.C1(n_2336),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[982]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797620 (
+	.A1(n_1501),
+	.A2(n_34478),
+	.B1(n_35343),
+	.B2(n_3979),
+	.C1(n_2321),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[960]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797621 (
+	.A1(n_35327),
+	.A2(n_4371),
+	.B1(n_33139),
+	.B2(n_35325),
+	.C1(n_2318),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[345]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797622 (
+	.A1(n_35327),
+	.A2(n_4365),
+	.B1(n_33140),
+	.B2(n_35325),
+	.C1(n_2317),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[344]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797623 (
+	.A1(n_35327),
+	.A2(n_4364),
+	.B1(n_33141),
+	.B2(n_35325),
+	.C1(n_2470),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[342]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797624 (
+	.A1(n_1501),
+	.A2(n_35325),
+	.B1(n_35327),
+	.B2(n_3979),
+	.C1(n_2303),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[320]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797625 (
+	.A1(n_34481),
+	.A2(n_4371),
+	.B1(n_33139),
+	.B2(n_34479),
+	.C1(n_2298),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[313]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797626 (
+	.A1(n_34481),
+	.A2(n_4365),
+	.B1(n_33140),
+	.B2(n_34479),
+	.C1(n_2093),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[312]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797627 (
+	.A1(n_34481),
+	.A2(n_4364),
+	.B1(n_33141),
+	.B2(n_34479),
+	.C1(n_2232),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[310]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797628 (
+	.A1(n_1501),
+	.A2(n_34479),
+	.B1(n_34481),
+	.B2(n_3979),
+	.C1(n_2284),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[288]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797629 (
+	.A1(n_34465),
+	.A2(n_4371),
+	.B1(n_33139),
+	.B2(n_34463),
+	.C1(n_2275),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[857]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797630 (
+	.A1(n_34465),
+	.A2(n_4365),
+	.B1(n_33140),
+	.B2(n_34463),
+	.C1(n_2274),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[856]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797631 (
+	.A1(n_34465),
+	.A2(n_4364),
+	.B1(n_33141),
+	.B2(n_34463),
+	.C1(n_2412),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[854]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797632 (
+	.A1(n_1501),
+	.A2(n_34463),
+	.B1(n_34465),
+	.B2(n_3979),
+	.C1(n_2255),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[832]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797633 (
+	.A1(n_34444),
+	.A2(n_4371),
+	.B1(n_33139),
+	.B2(n_34442),
+	.C1(n_2254),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[569]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797634 (
+	.A1(n_34444),
+	.A2(n_4365),
+	.B1(n_33140),
+	.B2(n_34442),
+	.C1(n_2247),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[568]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797635 (
+	.A1(n_34444),
+	.A2(n_4364),
+	.B1(n_33141),
+	.B2(n_34442),
+	.C1(n_2246),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[566]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797636 (
+	.A1(n_1501),
+	.A2(n_34442),
+	.B1(n_34444),
+	.B2(n_3979),
+	.C1(n_2223),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[544]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797637 (
+	.A1(n_34490),
+	.A2(n_4371),
+	.B1(n_33139),
+	.B2(n_34488),
+	.C1(n_2141),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[633]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797638 (
+	.A1(n_34490),
+	.A2(n_4365),
+	.B1(n_33140),
+	.B2(n_34488),
+	.C1(n_2149),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[632]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797639 (
+	.A1(n_34490),
+	.A2(n_4364),
+	.B1(n_33141),
+	.B2(n_34488),
+	.C1(n_2155),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[630]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797640 (
+	.A1(n_1501),
+	.A2(n_34488),
+	.B1(n_34490),
+	.B2(n_3979),
+	.C1(n_2297),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[608]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797641 (
+	.A1(n_1501),
+	.A2(n_34441),
+	.B1(n_35341),
+	.B2(n_3979),
+	.C1(n_2250),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[512]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797642 (
+	.A1(n_35333),
+	.A2(n_4371),
+	.B1(n_33139),
+	.B2(n_35331),
+	.C1(n_2639),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[441]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797643 (
+	.A1(n_35333),
+	.A2(n_4365),
+	.B1(n_33140),
+	.B2(n_35331),
+	.C1(n_2424),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[440]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797644 (
+	.A1(n_35333),
+	.A2(n_4364),
+	.B1(n_33141),
+	.B2(n_35331),
+	.C1(n_2427),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[438]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797645 (
+	.A1(n_1501),
+	.A2(n_35331),
+	.B1(n_35333),
+	.B2(n_3979),
+	.C1(n_2201),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[416]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797646 (
+	.A1(n_34447),
+	.A2(n_4371),
+	.B1(n_33139),
+	.B2(n_34445),
+	.C1(n_2197),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[601]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797647 (
+	.A1(n_34447),
+	.A2(n_4365),
+	.B1(n_33140),
+	.B2(n_34445),
+	.C1(n_2194),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[600]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797648 (
+	.A1(n_34447),
+	.A2(n_4364),
+	.B1(n_33141),
+	.B2(n_34445),
+	.C1(n_2576),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[598]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797649 (
+	.A1(n_1501),
+	.A2(n_34445),
+	.B1(n_34447),
+	.B2(n_3979),
+	.C1(n_2178),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[576]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797650 (
+	.A1(n_34487),
+	.A2(n_4371),
+	.B1(n_33139),
+	.B2(n_34485),
+	.C1(n_2114),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[921]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797651 (
+	.A1(n_34487),
+	.A2(n_4364),
+	.B1(n_33141),
+	.B2(n_34485),
+	.C1(n_2171),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[918]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797652 (
+	.A1(n_34487),
+	.A2(n_4365),
+	.B1(n_33140),
+	.B2(n_34485),
+	.C1(n_2120),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[920]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797653 (
+	.A1(n_1501),
+	.A2(n_34485),
+	.B1(n_34487),
+	.B2(n_3979),
+	.C1(n_2159),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[896]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797654 (
+	.A1(n_34505),
+	.A2(n_4371),
+	.B1(n_33139),
+	.B2(n_34503),
+	.C1(n_2153),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[121]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797655 (
+	.A1(n_34505),
+	.A2(n_4365),
+	.B1(n_33140),
+	.B2(n_34503),
+	.C1(n_2442),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[120]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797656 (
+	.A1(n_34505),
+	.A2(n_4364),
+	.B1(n_33141),
+	.B2(n_34503),
+	.C1(n_2152),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[118]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797657 (
+	.A1(n_1501),
+	.A2(n_34503),
+	.B1(n_34505),
+	.B2(n_3979),
+	.C1(n_2229),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[96]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797658 (
+	.A1(n_35339),
+	.A2(n_4371),
+	.B1(n_33139),
+	.B2(n_35337),
+	.C1(n_2607),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[505]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797659 (
+	.A1(n_35339),
+	.A2(n_4365),
+	.B1(n_33140),
+	.B2(n_35337),
+	.C1(n_2135),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[504]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797660 (
+	.A1(n_35339),
+	.A2(n_4364),
+	.B1(n_33141),
+	.B2(n_35337),
+	.C1(n_2133),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[502]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797661 (
+	.A1(n_1501),
+	.A2(n_35337),
+	.B1(n_35339),
+	.B2(n_3979),
+	.C1(n_2329),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[480]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797662 (
+	.A1(n_34502),
+	.A2(n_4364),
+	.B1(n_33141),
+	.B2(n_34500),
+	.C1(n_2455),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[150]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g797663 (
+	.A1(n_3695),
+	.A2(n_4706),
+	.B1(n_4215),
+	.Y(n_5241), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g797664 (
+	.A1(n_1575),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_cmd_en[3]),
+	.B1(n_4596),
+	.Y(brqrv_top_brqrv_dma_ctrl_WrPtr_dff_n_9), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g797665 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_data[247]),
+	.A2(n_4209),
+	.B1(n_4210),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_1274),
+	.C1(n_4207),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_data[183]),
+	.Y(n_5240), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g797666 (
+	.A1(brqrv_top_brqrv_dma_ctrl_n_1279),
+	.A2(n_4210),
+	.B1(n_4209),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[248]),
+	.C1(n_4207),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_data[184]),
+	.Y(n_5239), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g797667 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_data[249]),
+	.A2(n_4209),
+	.B1(n_4210),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_1284),
+	.C1(n_4207),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_data[185]),
+	.Y(n_5238), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111oi_0 g797668 (
+	.A1(n_1998),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[30]),
+	.B1(n_4345),
+	.C1(n_3674),
+	.D1(n_3675),
+	.Y(n_5237), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g797669 (
+	.A(n_4311),
+	.B(n_4312),
+	.C(n_4858),
+	.Y(n_5236), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g797670 (
+	.A(n_4341),
+	.B(n_4044),
+	.C(n_3221),
+	.D(n_3222),
+	.Y(n_5235), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g797672 (
+	.A(n_37269),
+	.B(n_4386),
+	.C(n_4214),
+	.Y(n_5233), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g797673 (
+	.A(n_37265),
+	.B(n_4714),
+	.C(n_4214),
+	.Y(n_5232), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g797674 (
+	.A(n_37262),
+	.B(n_4691),
+	.C(n_2955),
+	.Y(n_5231), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g797675 (
+	.A1(n_2928),
+	.A2(brqrv_top_brqrv_dbg_sbaddress0_reg[23]),
+	.B1(n_1792),
+	.B2(brqrv_top_brqrv_dbg_sbdata0_reg[23]),
+	.C1(brqrv_top_brqrv_dbg_data1_reg[23]),
+	.C2(n_4590),
+	.Y(n_5230), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g797676 (
+	.A1(n_2928),
+	.A2(brqrv_top_brqrv_dbg_sbaddress0_reg[21]),
+	.B1(n_1792),
+	.B2(brqrv_top_brqrv_dbg_sbdata0_reg[21]),
+	.C1(brqrv_top_brqrv_dbg_cmd_wrdata[21]),
+	.C2(n_4592),
+	.Y(n_5229), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g797677 (
+	.A1(n_2933),
+	.A2(brqrv_top_brqrv_dbg_sbcs_reg_int[19]),
+	.B1(n_1794),
+	.B2(brqrv_top_brqrv_dbg_sbdata1_reg[19]),
+	.C1(brqrv_top_brqrv_dbg_data1_reg[19]),
+	.C2(n_4590),
+	.Y(n_5228), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g797678 (
+	.A1(n_3976),
+	.A2(brqrv_top_brqrv_dbg_command_reg[18]),
+	.B1(n_1792),
+	.B2(brqrv_top_brqrv_dbg_sbdata0_reg[18]),
+	.C1(brqrv_top_brqrv_dbg_data1_reg[18]),
+	.C2(n_4590),
+	.Y(n_5227), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g797679 (
+	.A1(n_2933),
+	.A2(brqrv_top_brqrv_dbg_sbcs_reg_int[16]),
+	.B1(brqrv_top_brqrv_dbg_sbaddress0_reg[16]),
+	.B2(n_2928),
+	.C1(brqrv_top_brqrv_dbg_cmd_wrdata[16]),
+	.C2(n_4592),
+	.Y(n_5226), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g797680 (
+	.A1(n_2928),
+	.A2(brqrv_top_brqrv_dbg_sbaddress0_reg[14]),
+	.B1(n_1792),
+	.B2(brqrv_top_brqrv_dbg_sbdata0_reg[14]),
+	.C1(brqrv_top_brqrv_dbg_cmd_wrdata[14]),
+	.C2(n_4592),
+	.Y(n_5225), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g797681 (
+	.A1(n_3976),
+	.A2(brqrv_top_brqrv_dbg_command_reg[13]),
+	.B1(n_1794),
+	.B2(brqrv_top_brqrv_dbg_sbdata1_reg[13]),
+	.C1(brqrv_top_brqrv_dbg_data1_reg[13]),
+	.C2(n_4590),
+	.Y(n_5224), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g797682 (
+	.A1(n_3976),
+	.A2(brqrv_top_brqrv_dbg_command_reg[12]),
+	.B1(brqrv_top_brqrv_dbg_abstractcs_reg[12]),
+	.B2(n_3988),
+	.C1(brqrv_top_brqrv_dbg_sbaddress0_reg[12]),
+	.C2(n_2928),
+	.Y(n_5223), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g797683 (
+	.A1(n_4590),
+	.A2(brqrv_top_brqrv_dbg_data1_reg[8]),
+	.B1(brqrv_top_brqrv_dbg_command_reg[8]),
+	.B2(n_3976),
+	.C1(n_1792),
+	.C2(brqrv_top_brqrv_dbg_sbdata0_reg[8]),
+	.Y(n_5222), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g797684 (
+	.A1(brqrv_top_brqrv_exu_ghr_d[5]),
+	.A2(n_3999),
+	.B1(n_4555),
+	.C1(n_4807),
+	.Y(n_5221), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g797685 (
+	.A(n_3022),
+	.B(brqrv_top_brqrv_dec_decode_n_1216),
+	.C(n_4388),
+	.X(brqrv_top_brqrv_dec_decode_d_d[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g797686 (
+	.A(brqrv_top_brqrv_dec_tlu_reset_delayed),
+	.B(brqrv_top_brqrv_dec_tlu_debug_mode),
+	.C(brqrv_top_brqrv_dec_tlu_i_cpu_run_req_d1),
+	.D(n_3887),
+	.Y(n_5220), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g797688 (
+	.A(n_3203),
+	.B(n_3202),
+	.C(n_3948),
+	.D(n_4859),
+	.Y(n_5218), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g797689 (
+	.A(n_43396),
+	.B(n_4314),
+	.C(n_4315),
+	.Y(n_5217), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g797690 (
+	.A(n_37075),
+	.B(n_3939),
+	.C(n_37076),
+	.D(n_37078),
+	.X(n_5216), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g797691 (
+	.A1(brqrv_top_dccm_rd_addr_lo[3]),
+	.A2(n_683),
+	.B1_N(n_3057),
+	.Y(n_5215), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g797692 (
+	.A1(n_4376),
+	.A2(n_683),
+	.B1(n_3152),
+	.X(n_5214), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g797693 (
+	.A(n_4280),
+	.B(n_4873),
+	.Y(n_5213), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g797694 (
+	.A(n_34660),
+	.B(n_35115),
+	.C(n_4623),
+	.Y(brqrv_top_brqrv_dec_tlu_int_timers_wr_mitb1_r), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g797695 (
+	.A1(n_3634),
+	.A2(n_3002),
+	.B1(n_4079),
+	.B2(brqrv_top_brqrv_dbg_dmstatus_halted),
+	.C1(n_1378),
+	.Y(n_5212), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o31ai_1 g797696 (
+	.A1(n_3933),
+	.A2(n_36248),
+	.A3(n_34633),
+	.B1(n_2735),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_control_in [0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g797697 (
+	.A(brqrv_top_brqrv_dbg_command_reg[6]),
+	.B(brqrv_top_brqrv_dbg_command_reg[5]),
+	.C(brqrv_top_brqrv_dbg_command_reg[7]),
+	.D(n_3931),
+	.Y(n_5211), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g797698 (
+	.A1(brqrv_top_brqrv_dma_ctrl_n_1314),
+	.A2(n_4210),
+	.B1(n_4209),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[255]),
+	.C1(n_4212),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_data[127]),
+	.Y(n_5210), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g797699 (
+	.A1(brqrv_top_brqrv_dma_ctrl_n_1309),
+	.A2(n_4210),
+	.B1(n_4211),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[318]),
+	.C1(n_4212),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_data[126]),
+	.Y(n_5209), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g797700 (
+	.A1(brqrv_top_brqrv_dma_ctrl_n_1304),
+	.A2(n_4210),
+	.B1(n_4211),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[317]),
+	.C1(n_4207),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_data[189]),
+	.Y(n_5208), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g797701 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_data[316]),
+	.A2(n_4211),
+	.B1(n_4210),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_1299),
+	.C1(n_4207),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_data[188]),
+	.Y(n_5207), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g797702 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_data[123]),
+	.A2(n_4212),
+	.B1(n_4209),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[251]),
+	.C1(n_4210),
+	.C2(brqrv_top_brqrv_dma_ctrl_n_1294),
+	.Y(n_5206), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g797703 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_data[122]),
+	.A2(n_4212),
+	.B1(n_4209),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[250]),
+	.C1(n_4210),
+	.C2(brqrv_top_brqrv_dma_ctrl_n_1289),
+	.Y(n_5205), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g797704 (
+	.A(n_5046),
+	.B(brqrv_top_brqrv_dec_tlu_mstatus[0]),
+	.Y(n_5378), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g797705 (
+	.A(brqrv_top_brqrv_dec_tlu_n_13273),
+	.B(n_5048),
+	.Y(n_5377), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g797706 (
+	.A(brqrv_top_brqrv_dbg_sbcs_wren),
+	.B(brqrv_top_dmi_reg_wdata[22]),
+	.Y(brqrv_top_brqrv_dbg_sbcs_sbbusyerror_din), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g797707 (
+	.A(n_5063),
+	.B(brqrv_top_brqrv_dma_ctrl_WrPtr[1]),
+	.Y(n_5376), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g797708 (
+	.A(n_5061),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_ldfwd[0]),
+	.Y(n_5375), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g797709 (
+	.A(n_34870),
+	.B(n_5049),
+	.Y(n_5374), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g797710 (
+	.A(n_5047),
+	.B(brqrv_top_brqrv_dec_dec_csr_wrdata_r[3]),
+	.Y(n_5373), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g797711 (
+	.A1(n_4395),
+	.A2(n_3932),
+	.B1(n_2995),
+	.X(n_5372), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g797712 (
+	.A(n_1919),
+	.B(n_42150),
+	.Y(n_5371), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g797714 (
+	.A(n_4939),
+	.B(n_4282),
+	.Y(n_5369), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g797715 (
+	.A1(n_3701),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_valid[0]),
+	.B1(n_3706),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_valid[1]),
+	.C1(n_5069),
+	.Y(n_5368), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g797716 (
+	.A(n_4935),
+	.B(n_4284),
+	.Y(n_5367), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g797717 (
+	.A1(n_4455),
+	.A2(brqrv_top_brqrv_dec_tlu_mdseac_locked_f),
+	.B1(brqrv_top_brqrv_dec_tlu_mdseac_en),
+	.Y(n_5366), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g797718 (
+	.A1(n_3701),
+	.A2(brqrv_top_brqrv_dma_ctrl_n_747),
+	.B1(n_3706),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_sz[4]),
+	.C1(n_5068),
+	.Y(n_5365), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g797719 (
+	.A_N(n_5048),
+	.B(n_3001),
+	.Y(n_5364), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g797720 (
+	.A(n_4247),
+	.B(n_3767),
+	.Y(n_5363), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g797721 (
+	.A(n_5061),
+	.B(brqrv_top_brqrv_dec_tlu_force_halt),
+	.Y(n_5361), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g797722 (
+	.A(n_1037),
+	.B(n_4901),
+	.Y(n_5360), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g797724 (
+	.A1(n_3728),
+	.A2(n_4459),
+	.B1(n_1037),
+	.Y(n_5359), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g797725 (
+	.A(n_4930),
+	.B(n_4290),
+	.Y(n_5358), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g797726 (
+	.A(n_34758),
+	.B(n_34786),
+	.C(n_4467),
+	.Y(n_5357), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g797727 (
+	.A(n_4717),
+	.B(brqrv_top_brqrv_dec_tlu_wr_meicpct_r),
+	.Y(n_5356), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g797728 (
+	.A(brqrv_top_brqrv_dbg_sbcs_reg_int[19]),
+	.B(n_5056),
+	.Y(n_5355), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g797729 (
+	.A(brqrv_top_brqrv_dec_tlu_wr_meicpct_r),
+	.B_N(n_4717),
+	.Y(n_5353), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_2 g797730 (
+	.A1(n_1861),
+	.A2(n_43405),
+	.B1(n_5060),
+	.Y(n_5352), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g797731 (
+	.A(n_4934),
+	.B(n_4286),
+	.Y(n_5350), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_2 g797732 (
+	.A1(n_1862),
+	.A2(n_43405),
+	.B1(n_5060),
+	.Y(n_5348), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_2 g797733 (
+	.A1(n_1869),
+	.A2(n_43405),
+	.B1(n_5059),
+	.Y(n_5346), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g797734 (
+	.A(n_5058),
+	.B(n_5049),
+	.Y(brqrv_top_brqrv_lsu_nonblock_load_tag_m[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g797735 (
+	.A(n_4258),
+	.B(n_5036),
+	.Y(n_5343), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g797736 (
+	.A(n_4225),
+	.B(n_5045),
+	.X(n_5342), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g797737 (
+	.A_N(brqrv_top_brqrv_dec_tlu_n_12947),
+	.B(n_4700),
+	.C(n_3158),
+	.Y(n_5341), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g797738 (
+	.A(n_5065),
+	.B(n_3787),
+	.Y(n_5340), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g797739 (
+	.A(n_5065),
+	.B(n_3788),
+	.Y(n_5339), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g797740 (
+	.A(n_34869),
+	.B_N(n_4923),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_3215), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g797741 (
+	.A_N(n_33326),
+	.B(n_4924),
+	.Y(n_5337), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g797742 (
+	.A_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_3460),
+	.B(n_4925),
+	.Y(n_5336), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g797743 (
+	.A_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_3467),
+	.B(n_4926),
+	.Y(n_5335), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g797744 (
+	.A(n_1550),
+	.B(n_3019),
+	.C(n_4623),
+	.X(n_5334), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g797745 (
+	.A(brqrv_top_brqrv_dec_tlu_dec_timer_t0_pulse),
+	.B(n_5033),
+	.Y(n_5332), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g797746 (
+	.A(n_5165),
+	.Y(n_5164), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797747 (
+	.A1_N(n_37696),
+	.A2_N(n_4583),
+	.B1(brqrv_top_brqrv_dec_tlu_n_3024),
+	.B2(n_4583),
+	.Y(brqrv_top_brqrv_dec_tlu_mcyclel_ns[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g797748 (
+	.A1(brqrv_top_brqrv_dec_dec_csr_wrdata_r[10]),
+	.A2(n_2930),
+	.B1(n_4213),
+	.B2(brqrv_top_brqrv_exu_csr_rs1_x[10]),
+	.C1(n_36829),
+	.C2(brqrv_top_brqrv_dec_dec_pause_state),
+	.Y(n_5163), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g797749 (
+	.A1(brqrv_top_brqrv_dec_dec_csr_wrdata_r[8]),
+	.A2(n_2930),
+	.B1(n_4213),
+	.B2(brqrv_top_brqrv_exu_csr_rs1_x[8]),
+	.C1(n_36827),
+	.C2(brqrv_top_brqrv_dec_dec_pause_state),
+	.Y(n_5162), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g797750 (
+	.A1(brqrv_top_brqrv_dec_dec_csr_wrdata_r[27]),
+	.A2(n_2930),
+	.B1(n_4213),
+	.B2(brqrv_top_brqrv_exu_csr_rs1_x[27]),
+	.C1(n_36846),
+	.C2(brqrv_top_brqrv_dec_dec_pause_state),
+	.Y(n_5161), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g797751 (
+	.A1(brqrv_top_brqrv_dec_dec_csr_wrdata_r[12]),
+	.A2(n_2930),
+	.B1(n_4213),
+	.B2(brqrv_top_brqrv_exu_csr_rs1_x[12]),
+	.C1(n_36831),
+	.C2(brqrv_top_brqrv_dec_dec_pause_state),
+	.Y(n_5160), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g797752 (
+	.A1(brqrv_top_brqrv_dec_dec_csr_wrdata_r[11]),
+	.A2(n_2930),
+	.B1(n_4213),
+	.B2(brqrv_top_brqrv_exu_csr_rs1_x[11]),
+	.C1(n_36830),
+	.C2(brqrv_top_brqrv_dec_dec_pause_state),
+	.Y(n_5159), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g797753 (
+	.A1(brqrv_top_brqrv_dec_dec_csr_wrdata_r[9]),
+	.A2(n_2930),
+	.B1(n_4213),
+	.B2(brqrv_top_brqrv_exu_csr_rs1_x[9]),
+	.C1(n_36828),
+	.C2(brqrv_top_brqrv_dec_dec_pause_state),
+	.Y(n_5158), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g797754 (
+	.A1(brqrv_top_brqrv_dec_dec_csr_wrdata_r[20]),
+	.A2(n_2930),
+	.B1(n_4213),
+	.B2(brqrv_top_brqrv_exu_csr_rs1_x[20]),
+	.C1(n_36839),
+	.C2(brqrv_top_brqrv_dec_dec_pause_state),
+	.Y(n_5157), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g797755 (
+	.A1(brqrv_top_brqrv_dec_dec_csr_wrdata_r[5]),
+	.A2(n_2930),
+	.B1(n_4213),
+	.B2(brqrv_top_brqrv_exu_csr_rs1_x[5]),
+	.C1(n_36824),
+	.C2(brqrv_top_brqrv_dec_dec_pause_state),
+	.Y(n_5156), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g797756 (
+	.A1(brqrv_top_brqrv_dec_dec_csr_wrdata_r[19]),
+	.A2(n_2930),
+	.B1(n_4213),
+	.B2(brqrv_top_brqrv_exu_csr_rs1_x[19]),
+	.C1(n_36838),
+	.C2(brqrv_top_brqrv_dec_dec_pause_state),
+	.Y(n_5155), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g797757 (
+	.A1(brqrv_top_brqrv_dec_dec_csr_wrdata_r[7]),
+	.A2(n_2930),
+	.B1(n_4213),
+	.B2(brqrv_top_brqrv_exu_csr_rs1_x[7]),
+	.C1(n_36826),
+	.C2(brqrv_top_brqrv_dec_dec_pause_state),
+	.Y(n_5154), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g797758 (
+	.A1(brqrv_top_brqrv_dec_dec_csr_wrdata_r[6]),
+	.A2(n_2930),
+	.B1(n_4213),
+	.B2(brqrv_top_brqrv_exu_csr_rs1_x[6]),
+	.C1(n_36825),
+	.C2(brqrv_top_brqrv_dec_dec_pause_state),
+	.Y(n_5153), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g797759 (
+	.A1(n_1899),
+	.A2(brqrv_top_brqrv_dec_tlu_npc_r[3]),
+	.B1(n_1969),
+	.B2(brqrv_top_brqrv_dec_tlu_mtvec[2]),
+	.C1(n_4397),
+	.Y(n_5152), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797760 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_meipt[3]),
+	.A2_N(n_4617),
+	.B1(n_1802),
+	.B2(n_4617),
+	.Y(brqrv_top_brqrv_dec_tlu_meipt_ns[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797761 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_meipt[2]),
+	.A2_N(n_4617),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_300),
+	.B2(n_4617),
+	.Y(brqrv_top_brqrv_dec_tlu_meipt_ns[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797762 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_meipt[1]),
+	.A2_N(n_4617),
+	.B1(n_1790),
+	.B2(n_4617),
+	.Y(brqrv_top_brqrv_dec_tlu_meipt_ns[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797763 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_meipt[0]),
+	.A2_N(n_4617),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_298),
+	.B2(n_4617),
+	.Y(brqrv_top_brqrv_dec_tlu_meipt_ns[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797764 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_meicurpl[3]),
+	.A2_N(n_4618),
+	.B1(n_1802),
+	.B2(n_4618),
+	.Y(brqrv_top_brqrv_dec_tlu_meicurpl_ns[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797765 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_meicurpl[2]),
+	.A2_N(n_4618),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_300),
+	.B2(n_4618),
+	.Y(brqrv_top_brqrv_dec_tlu_meicurpl_ns[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797766 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_meicurpl[1]),
+	.A2_N(n_4618),
+	.B1(n_1790),
+	.B2(n_4618),
+	.Y(brqrv_top_brqrv_dec_tlu_meicurpl_ns[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797767 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_meicurpl[0]),
+	.A2_N(n_4618),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_298),
+	.B2(n_4618),
+	.Y(brqrv_top_brqrv_dec_tlu_meicurpl_ns[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g797768 (
+	.A1(n_4334),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dual[1]),
+	.B1(n_3764),
+	.B2(n_3003),
+	.Y(n_5151), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g797769 (
+	.A(brqrv_top_brqrv_ifu_aln_f0val[0]),
+	.B(brqrv_top_brqrv_ifu_aln_f0val_in[0]),
+	.Y(n_5150), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797770 (
+	.A1_N(n_4587),
+	.A2_N(n_37482),
+	.B1(n_1808),
+	.B2(n_4587),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc5h_ns[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797771 (
+	.A1_N(n_4587),
+	.A2_N(n_37481),
+	.B1(n_1513),
+	.B2(n_4587),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc5h_ns[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g797772 (
+	.A1(n_4586),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[29]),
+	.B1(n_37480),
+	.B2(n_4587),
+	.X(brqrv_top_brqrv_dec_tlu_mhpmc5h_ns[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g797773 (
+	.A1(n_4586),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[28]),
+	.B1(n_37479),
+	.B2(n_4587),
+	.X(brqrv_top_brqrv_dec_tlu_mhpmc5h_ns[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797774 (
+	.A1_N(n_4587),
+	.A2_N(n_37478),
+	.B1(brqrv_top_brqrv_dec_tlu_n_6062),
+	.B2(n_4587),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc5h_ns[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797775 (
+	.A1_N(n_4587),
+	.A2_N(n_37477),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_324),
+	.B2(n_4587),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc5h_ns[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797776 (
+	.A1_N(n_4587),
+	.A2_N(n_37476),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_323),
+	.B2(n_4587),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc5h_ns[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797777 (
+	.A1_N(n_4587),
+	.A2_N(n_37475),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_322),
+	.B2(n_4587),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc5h_ns[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797778 (
+	.A1_N(n_4587),
+	.A2_N(n_37474),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_321),
+	.B2(n_4587),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc5h_ns[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797779 (
+	.A1_N(n_4587),
+	.A2_N(n_37473),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_320),
+	.B2(n_4587),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc5h_ns[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797780 (
+	.A1_N(n_4587),
+	.A2_N(n_37472),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_319),
+	.B2(n_4587),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc5h_ns[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797781 (
+	.A1_N(n_4587),
+	.A2_N(n_37471),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_318),
+	.B2(n_4587),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc5h_ns[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797782 (
+	.A1_N(n_4587),
+	.A2_N(n_37470),
+	.B1(brqrv_top_brqrv_dec_tlu_n_6058),
+	.B2(n_4587),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc5h_ns[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797783 (
+	.A1_N(n_4587),
+	.A2_N(n_37469),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_316),
+	.B2(n_4587),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc5h_ns[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797784 (
+	.A1_N(n_4587),
+	.A2_N(n_37468),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_315),
+	.B2(n_4587),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc5h_ns[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797785 (
+	.A1_N(n_4587),
+	.A2_N(n_37467),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_314),
+	.B2(n_4587),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc5h_ns[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797786 (
+	.A1_N(n_4587),
+	.A2_N(n_37466),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_313),
+	.B2(n_4587),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc5h_ns[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797787 (
+	.A1_N(n_4587),
+	.A2_N(n_37465),
+	.B1(n_1518),
+	.B2(n_4587),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc5h_ns[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797788 (
+	.A1_N(n_4587),
+	.A2_N(n_37464),
+	.B1(n_1519),
+	.B2(n_4587),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc5h_ns[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797789 (
+	.A1_N(n_4587),
+	.A2_N(n_37463),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_310),
+	.B2(n_4587),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc5h_ns[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797790 (
+	.A1_N(n_4587),
+	.A2_N(n_37462),
+	.B1(n_1511),
+	.B2(n_4587),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc5h_ns[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797791 (
+	.A1_N(n_4587),
+	.A2_N(n_37461),
+	.B1(n_1514),
+	.B2(n_4587),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc5h_ns[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797792 (
+	.A1_N(n_4587),
+	.A2_N(n_37460),
+	.B1(brqrv_top_brqrv_dec_tlu_n_3024),
+	.B2(n_4587),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc5h_ns[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797793 (
+	.A1_N(n_4587),
+	.A2_N(n_37459),
+	.B1(n_1809),
+	.B2(n_4587),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc5h_ns[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797794 (
+	.A1_N(n_4587),
+	.A2_N(n_37458),
+	.B1(n_1507),
+	.B2(n_4587),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc5h_ns[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797795 (
+	.A1_N(n_4587),
+	.A2_N(n_37457),
+	.B1(n_1506),
+	.B2(n_4587),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc5h_ns[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797796 (
+	.A1_N(n_4587),
+	.A2_N(n_37456),
+	.B1(n_1805),
+	.B2(n_4587),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc5h_ns[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797797 (
+	.A1_N(n_4587),
+	.A2_N(n_37455),
+	.B1(n_1508),
+	.B2(n_4587),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc5h_ns[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797798 (
+	.A1_N(n_4587),
+	.A2_N(n_37454),
+	.B1(n_1802),
+	.B2(n_4587),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc5h_ns[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797799 (
+	.A1_N(n_4587),
+	.A2_N(n_37453),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_300),
+	.B2(n_4587),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc5h_ns[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797800 (
+	.A1_N(n_4587),
+	.A2_N(n_37452),
+	.B1(n_1790),
+	.B2(n_4587),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc5h_ns[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797801 (
+	.A1_N(n_4587),
+	.A2_N(n_37451),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_298),
+	.B2(n_4587),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc5h_ns[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g797802 (
+	.A1(brqrv_top_brqrv_dbg_command_wren),
+	.A2(brqrv_top_dmi_reg_wdata[15]),
+	.B1(n_38124),
+	.B2(n_4588),
+	.X(brqrv_top_brqrv_dbg_command_din[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g797803 (
+	.A1(brqrv_top_brqrv_dbg_command_wren),
+	.A2(brqrv_top_dmi_reg_wdata[14]),
+	.B1(n_38123),
+	.B2(n_4588),
+	.X(brqrv_top_brqrv_dbg_command_din[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g797804 (
+	.A1(brqrv_top_brqrv_dbg_command_wren),
+	.A2(brqrv_top_dmi_reg_wdata[13]),
+	.B1(n_38122),
+	.B2(n_4588),
+	.X(brqrv_top_brqrv_dbg_command_din[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g797805 (
+	.A1(brqrv_top_brqrv_dbg_command_wren),
+	.A2(brqrv_top_dmi_reg_wdata[12]),
+	.B1(n_38121),
+	.B2(n_4588),
+	.X(brqrv_top_brqrv_dbg_command_din[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g797806 (
+	.A1(brqrv_top_brqrv_dbg_command_wren),
+	.A2(brqrv_top_dmi_reg_wdata[11]),
+	.B1(n_38120),
+	.B2(n_4588),
+	.X(brqrv_top_brqrv_dbg_command_din[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g797807 (
+	.A1(brqrv_top_brqrv_dbg_command_wren),
+	.A2(brqrv_top_dmi_reg_wdata[10]),
+	.B1(n_38119),
+	.B2(n_4588),
+	.X(brqrv_top_brqrv_dbg_command_din[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g797808 (
+	.A1(brqrv_top_brqrv_dbg_command_wren),
+	.A2(brqrv_top_dmi_reg_wdata[9]),
+	.B1(n_38118),
+	.B2(n_4588),
+	.X(brqrv_top_brqrv_dbg_command_din[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g797809 (
+	.A1(brqrv_top_brqrv_dbg_command_wren),
+	.A2(brqrv_top_dmi_reg_wdata[8]),
+	.B1(n_38117),
+	.B2(n_4588),
+	.X(brqrv_top_brqrv_dbg_command_din[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g797810 (
+	.A1(brqrv_top_brqrv_dbg_command_wren),
+	.A2(brqrv_top_dmi_reg_wdata[7]),
+	.B1(n_38116),
+	.B2(n_4588),
+	.X(brqrv_top_brqrv_dbg_command_din[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g797811 (
+	.A1(brqrv_top_brqrv_dbg_command_wren),
+	.A2(brqrv_top_dmi_reg_wdata[6]),
+	.B1(n_38115),
+	.B2(n_4588),
+	.X(brqrv_top_brqrv_dbg_command_din[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g797812 (
+	.A1(brqrv_top_brqrv_dbg_command_wren),
+	.A2(brqrv_top_dmi_reg_wdata[5]),
+	.B1(n_38114),
+	.B2(n_4588),
+	.X(brqrv_top_brqrv_dbg_command_din[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g797813 (
+	.A1(brqrv_top_brqrv_dbg_command_wren),
+	.A2(brqrv_top_dmi_reg_wdata[4]),
+	.B1(n_38113),
+	.B2(n_4588),
+	.X(brqrv_top_brqrv_dbg_command_din[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g797814 (
+	.A1(brqrv_top_brqrv_dbg_command_wren),
+	.A2(brqrv_top_dmi_reg_wdata[3]),
+	.B1(n_38112),
+	.B2(n_4588),
+	.X(brqrv_top_brqrv_dbg_command_din[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g797815 (
+	.A1(brqrv_top_brqrv_dbg_command_wren),
+	.A2(brqrv_top_dmi_reg_wdata[2]),
+	.B1(n_38111),
+	.B2(n_4588),
+	.X(brqrv_top_brqrv_dbg_command_din[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g797816 (
+	.A1(brqrv_top_brqrv_dbg_command_wren),
+	.A2(brqrv_top_dmi_reg_wdata[1]),
+	.B1(n_38110),
+	.B2(n_4588),
+	.X(brqrv_top_brqrv_dbg_command_din[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g797817 (
+	.A1(brqrv_top_brqrv_dbg_command_wren),
+	.A2(brqrv_top_dmi_reg_wdata[0]),
+	.B1(n_38109),
+	.B2(n_4588),
+	.X(brqrv_top_brqrv_dbg_command_din[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797818 (
+	.A1_N(n_4585),
+	.A2_N(n_37513),
+	.B1(n_1808),
+	.B2(n_4585),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc5_ns[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797819 (
+	.A1_N(n_4585),
+	.A2_N(n_37512),
+	.B1(n_1513),
+	.B2(n_4585),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc5_ns[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g797820 (
+	.A1(n_4584),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[29]),
+	.B1(n_37511),
+	.B2(n_4585),
+	.X(brqrv_top_brqrv_dec_tlu_mhpmc5_ns[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g797821 (
+	.A1(n_4584),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[28]),
+	.B1(n_37510),
+	.B2(n_4585),
+	.X(brqrv_top_brqrv_dec_tlu_mhpmc5_ns[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797822 (
+	.A1_N(n_4585),
+	.A2_N(n_37509),
+	.B1(brqrv_top_brqrv_dec_tlu_n_6062),
+	.B2(n_4585),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc5_ns[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797823 (
+	.A1_N(n_4585),
+	.A2_N(n_37508),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_324),
+	.B2(n_4585),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc5_ns[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797824 (
+	.A1_N(n_4585),
+	.A2_N(n_37507),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_323),
+	.B2(n_4585),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc5_ns[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797825 (
+	.A1_N(n_4585),
+	.A2_N(n_37506),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_322),
+	.B2(n_4585),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc5_ns[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797826 (
+	.A1_N(n_4585),
+	.A2_N(n_37505),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_321),
+	.B2(n_4585),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc5_ns[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797827 (
+	.A1_N(n_4585),
+	.A2_N(n_37504),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_320),
+	.B2(n_4585),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc5_ns[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797828 (
+	.A1_N(n_4585),
+	.A2_N(n_37503),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_319),
+	.B2(n_4585),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc5_ns[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797829 (
+	.A1_N(n_4585),
+	.A2_N(n_37502),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_318),
+	.B2(n_4585),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc5_ns[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797830 (
+	.A1_N(n_4585),
+	.A2_N(n_37501),
+	.B1(brqrv_top_brqrv_dec_tlu_n_6058),
+	.B2(n_4585),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc5_ns[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797831 (
+	.A1_N(n_4585),
+	.A2_N(n_37500),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_316),
+	.B2(n_4585),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc5_ns[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797832 (
+	.A1_N(n_4585),
+	.A2_N(n_37499),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_315),
+	.B2(n_4585),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc5_ns[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797833 (
+	.A1_N(n_4585),
+	.A2_N(n_37498),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_314),
+	.B2(n_4585),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc5_ns[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797834 (
+	.A1_N(n_4585),
+	.A2_N(n_37497),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_313),
+	.B2(n_4585),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc5_ns[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797835 (
+	.A1_N(n_4585),
+	.A2_N(n_37496),
+	.B1(n_1518),
+	.B2(n_4585),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc5_ns[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797836 (
+	.A1_N(n_4585),
+	.A2_N(n_37495),
+	.B1(n_1519),
+	.B2(n_4585),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc5_ns[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797837 (
+	.A1_N(n_4585),
+	.A2_N(n_37494),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_310),
+	.B2(n_4585),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc5_ns[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797838 (
+	.A1_N(n_4585),
+	.A2_N(n_37493),
+	.B1(n_1511),
+	.B2(n_4585),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc5_ns[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797839 (
+	.A1_N(n_4585),
+	.A2_N(n_37492),
+	.B1(n_1514),
+	.B2(n_4585),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc5_ns[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797840 (
+	.A1_N(n_4585),
+	.A2_N(n_37491),
+	.B1(brqrv_top_brqrv_dec_tlu_n_3024),
+	.B2(n_4585),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc5_ns[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797841 (
+	.A1_N(n_37490),
+	.A2_N(n_4585),
+	.B1(n_1809),
+	.B2(n_4585),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc5_ns[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797842 (
+	.A1_N(n_37489),
+	.A2_N(n_4585),
+	.B1(n_1507),
+	.B2(n_4585),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc5_ns[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797843 (
+	.A1_N(n_37488),
+	.A2_N(n_4585),
+	.B1(n_1506),
+	.B2(n_4585),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc5_ns[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797844 (
+	.A1_N(n_37487),
+	.A2_N(n_4585),
+	.B1(n_1805),
+	.B2(n_4585),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc5_ns[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797845 (
+	.A1_N(n_37486),
+	.A2_N(n_4585),
+	.B1(n_1508),
+	.B2(n_4585),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc5_ns[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797846 (
+	.A1_N(n_37485),
+	.A2_N(n_4585),
+	.B1(n_1802),
+	.B2(n_4585),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc5_ns[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797847 (
+	.A1_N(n_37484),
+	.A2_N(n_4585),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_300),
+	.B2(n_4585),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc5_ns[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797848 (
+	.A1_N(n_37483),
+	.A2_N(n_4585),
+	.B1(n_1790),
+	.B2(n_4585),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc5_ns[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g797849 (
+	.A1(brqrv_top_brqrv_dec_tlu_wr_mdccmect_r),
+	.A2(n_4696),
+	.B1(n_3690),
+	.B2(brqrv_top_brqrv_dec_tlu_mdccmect[28]),
+	.X(brqrv_top_brqrv_dec_tlu_mdccmect_ns[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g797850 (
+	.A1(brqrv_top_brqrv_dec_tlu_wr_miccmect_r),
+	.A2(n_4696),
+	.B1(n_3685),
+	.B2(brqrv_top_brqrv_dec_tlu_miccmect[28]),
+	.X(brqrv_top_brqrv_dec_tlu_miccmect_ns[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797851 (
+	.A1_N(n_4581),
+	.A2_N(n_37893),
+	.B1(n_1808),
+	.B2(n_4581),
+	.Y(brqrv_top_brqrv_dec_tlu_mcycleh_ns[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797852 (
+	.A1_N(n_4581),
+	.A2_N(n_37891),
+	.B1(n_1513),
+	.B2(n_4581),
+	.Y(brqrv_top_brqrv_dec_tlu_mcycleh_ns[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g797853 (
+	.A1(n_4580),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[29]),
+	.B1(n_37889),
+	.B2(n_4581),
+	.X(brqrv_top_brqrv_dec_tlu_mcycleh_ns[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g797854 (
+	.A1(n_4580),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[28]),
+	.B1(n_37887),
+	.B2(n_4581),
+	.X(brqrv_top_brqrv_dec_tlu_mcycleh_ns[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797855 (
+	.A1_N(n_4581),
+	.A2_N(n_37885),
+	.B1(brqrv_top_brqrv_dec_tlu_n_6062),
+	.B2(n_4581),
+	.Y(brqrv_top_brqrv_dec_tlu_mcycleh_ns[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797856 (
+	.A1_N(n_4581),
+	.A2_N(n_37883),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_324),
+	.B2(n_4581),
+	.Y(brqrv_top_brqrv_dec_tlu_mcycleh_ns[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797857 (
+	.A1_N(n_4581),
+	.A2_N(n_37881),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_323),
+	.B2(n_4581),
+	.Y(brqrv_top_brqrv_dec_tlu_mcycleh_ns[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797858 (
+	.A1_N(n_4581),
+	.A2_N(n_37879),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_322),
+	.B2(n_4581),
+	.Y(brqrv_top_brqrv_dec_tlu_mcycleh_ns[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797859 (
+	.A1_N(n_4581),
+	.A2_N(n_37877),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_321),
+	.B2(n_4581),
+	.Y(brqrv_top_brqrv_dec_tlu_mcycleh_ns[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797860 (
+	.A1_N(n_4581),
+	.A2_N(n_37875),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_320),
+	.B2(n_4581),
+	.Y(brqrv_top_brqrv_dec_tlu_mcycleh_ns[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797861 (
+	.A1_N(n_4581),
+	.A2_N(n_37873),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_319),
+	.B2(n_4581),
+	.Y(brqrv_top_brqrv_dec_tlu_mcycleh_ns[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797862 (
+	.A1_N(n_4581),
+	.A2_N(n_37871),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_318),
+	.B2(n_4581),
+	.Y(brqrv_top_brqrv_dec_tlu_mcycleh_ns[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797863 (
+	.A1_N(n_4581),
+	.A2_N(n_37869),
+	.B1(n_4581),
+	.B2(brqrv_top_brqrv_dec_tlu_n_6058),
+	.Y(brqrv_top_brqrv_dec_tlu_mcycleh_ns[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797864 (
+	.A1_N(n_4581),
+	.A2_N(n_37867),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_316),
+	.B2(n_4581),
+	.Y(brqrv_top_brqrv_dec_tlu_mcycleh_ns[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797865 (
+	.A1_N(n_4581),
+	.A2_N(n_37865),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_315),
+	.B2(n_4581),
+	.Y(brqrv_top_brqrv_dec_tlu_mcycleh_ns[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797866 (
+	.A1_N(n_4581),
+	.A2_N(n_37863),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_314),
+	.B2(n_4581),
+	.Y(brqrv_top_brqrv_dec_tlu_mcycleh_ns[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797867 (
+	.A1_N(n_4581),
+	.A2_N(n_37861),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_313),
+	.B2(n_4581),
+	.Y(brqrv_top_brqrv_dec_tlu_mcycleh_ns[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797868 (
+	.A1_N(n_4581),
+	.A2_N(n_37859),
+	.B1(n_1518),
+	.B2(n_4581),
+	.Y(brqrv_top_brqrv_dec_tlu_mcycleh_ns[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797869 (
+	.A1_N(n_4581),
+	.A2_N(n_37857),
+	.B1(n_1519),
+	.B2(n_4581),
+	.Y(brqrv_top_brqrv_dec_tlu_mcycleh_ns[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797870 (
+	.A1_N(n_4581),
+	.A2_N(n_37855),
+	.B1(n_4581),
+	.B2(brqrv_top_brqrv_dec_tlu_int_timers_n_310),
+	.Y(brqrv_top_brqrv_dec_tlu_mcycleh_ns[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797871 (
+	.A1_N(n_4581),
+	.A2_N(n_37853),
+	.B1(n_1511),
+	.B2(n_4581),
+	.Y(brqrv_top_brqrv_dec_tlu_mcycleh_ns[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797872 (
+	.A1_N(n_4581),
+	.A2_N(n_37851),
+	.B1(n_1514),
+	.B2(n_4581),
+	.Y(brqrv_top_brqrv_dec_tlu_mcycleh_ns[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797873 (
+	.A1_N(n_4581),
+	.A2_N(n_37849),
+	.B1(brqrv_top_brqrv_dec_tlu_n_3024),
+	.B2(n_4581),
+	.Y(brqrv_top_brqrv_dec_tlu_mcycleh_ns[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797874 (
+	.A1_N(n_4581),
+	.A2_N(n_37847),
+	.B1(n_1809),
+	.B2(n_4581),
+	.Y(brqrv_top_brqrv_dec_tlu_mcycleh_ns[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797875 (
+	.A1_N(n_4581),
+	.A2_N(n_37845),
+	.B1(n_1507),
+	.B2(n_4581),
+	.Y(brqrv_top_brqrv_dec_tlu_mcycleh_ns[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797876 (
+	.A1_N(n_4581),
+	.A2_N(n_37843),
+	.B1(n_1506),
+	.B2(n_4581),
+	.Y(brqrv_top_brqrv_dec_tlu_mcycleh_ns[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797877 (
+	.A1_N(n_4581),
+	.A2_N(n_37841),
+	.B1(n_1805),
+	.B2(n_4581),
+	.Y(brqrv_top_brqrv_dec_tlu_mcycleh_ns[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797878 (
+	.A1_N(n_37839),
+	.A2_N(n_4581),
+	.B1(n_1508),
+	.B2(n_4581),
+	.Y(brqrv_top_brqrv_dec_tlu_mcycleh_ns[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797879 (
+	.A1_N(n_37837),
+	.A2_N(n_4581),
+	.B1(n_1802),
+	.B2(n_4581),
+	.Y(brqrv_top_brqrv_dec_tlu_mcycleh_ns[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797880 (
+	.A1_N(n_37835),
+	.A2_N(n_4581),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_300),
+	.B2(n_4581),
+	.Y(brqrv_top_brqrv_dec_tlu_mcycleh_ns[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797881 (
+	.A1_N(n_37833),
+	.A2_N(n_4581),
+	.B1(n_1790),
+	.B2(n_4581),
+	.Y(brqrv_top_brqrv_dec_tlu_mcycleh_ns[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797882 (
+	.A1_N(n_37832),
+	.A2_N(n_4581),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_298),
+	.B2(n_4581),
+	.Y(brqrv_top_brqrv_dec_tlu_mcycleh_ns[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g797883 (
+	.A1(brqrv_top_brqrv_dec_tlu_wr_micect_r),
+	.A2(n_4696),
+	.B1(n_4201),
+	.B2(brqrv_top_brqrv_dec_tlu_micect[28]),
+	.X(brqrv_top_brqrv_dec_tlu_micect_ns[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a311oi_1 g797884 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dualtag[0]),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dualtag[1]),
+	.A3(n_3738),
+	.B1(n_4121),
+	.C1(n_4396),
+	.Y(n_5149), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797885 (
+	.A1_N(n_3961),
+	.A2_N(n_4583),
+	.B1(n_1506),
+	.B2(n_4583),
+	.Y(\brqrv_top_brqrv_dec_tlu_mcyclel_ns[6]_3322 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797886 (
+	.A1_N(n_4583),
+	.A2_N(n_37718),
+	.B1(n_1808),
+	.B2(n_4583),
+	.Y(brqrv_top_brqrv_dec_tlu_mcyclel_ns[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797887 (
+	.A1_N(n_4583),
+	.A2_N(n_37717),
+	.B1(n_1513),
+	.B2(n_4583),
+	.Y(brqrv_top_brqrv_dec_tlu_mcyclel_ns[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g797888 (
+	.A1(n_4582),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[29]),
+	.B1(n_37716),
+	.B2(n_4583),
+	.X(brqrv_top_brqrv_dec_tlu_mcyclel_ns[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g797889 (
+	.A1(n_4582),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[28]),
+	.B1(n_37715),
+	.B2(n_4583),
+	.X(brqrv_top_brqrv_dec_tlu_mcyclel_ns[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797890 (
+	.A1_N(n_4583),
+	.A2_N(n_37714),
+	.B1(brqrv_top_brqrv_dec_tlu_n_6062),
+	.B2(n_4583),
+	.Y(brqrv_top_brqrv_dec_tlu_mcyclel_ns[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797891 (
+	.A1_N(n_4583),
+	.A2_N(n_37713),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_324),
+	.B2(n_4583),
+	.Y(brqrv_top_brqrv_dec_tlu_mcyclel_ns[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797892 (
+	.A1_N(n_4583),
+	.A2_N(n_37712),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_323),
+	.B2(n_4583),
+	.Y(brqrv_top_brqrv_dec_tlu_mcyclel_ns[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797893 (
+	.A1_N(n_4583),
+	.A2_N(n_37711),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_322),
+	.B2(n_4583),
+	.Y(brqrv_top_brqrv_dec_tlu_mcyclel_ns[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797894 (
+	.A1_N(n_4583),
+	.A2_N(n_37710),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_321),
+	.B2(n_4583),
+	.Y(brqrv_top_brqrv_dec_tlu_mcyclel_ns[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797895 (
+	.A1_N(n_4583),
+	.A2_N(n_37709),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_320),
+	.B2(n_4583),
+	.Y(brqrv_top_brqrv_dec_tlu_mcyclel_ns[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797896 (
+	.A1_N(n_4583),
+	.A2_N(n_37708),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_319),
+	.B2(n_4583),
+	.Y(brqrv_top_brqrv_dec_tlu_mcyclel_ns[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797897 (
+	.A1_N(n_4583),
+	.A2_N(n_37707),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_318),
+	.B2(n_4583),
+	.Y(brqrv_top_brqrv_dec_tlu_mcyclel_ns[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797898 (
+	.A1_N(n_4583),
+	.A2_N(n_37706),
+	.B1(brqrv_top_brqrv_dec_tlu_n_6058),
+	.B2(n_4583),
+	.Y(brqrv_top_brqrv_dec_tlu_mcyclel_ns[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797899 (
+	.A1_N(n_4583),
+	.A2_N(n_37705),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_316),
+	.B2(n_4583),
+	.Y(brqrv_top_brqrv_dec_tlu_mcyclel_ns[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797900 (
+	.A1_N(n_4583),
+	.A2_N(n_37703),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_314),
+	.B2(n_4583),
+	.Y(brqrv_top_brqrv_dec_tlu_mcyclel_ns[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797901 (
+	.A1_N(n_4583),
+	.A2_N(n_37702),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_313),
+	.B2(n_4583),
+	.Y(brqrv_top_brqrv_dec_tlu_mcyclel_ns[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797902 (
+	.A1_N(n_4583),
+	.A2_N(n_37701),
+	.B1(n_1518),
+	.B2(n_4583),
+	.Y(brqrv_top_brqrv_dec_tlu_mcyclel_ns[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797903 (
+	.A1_N(n_4583),
+	.A2_N(n_37700),
+	.B1(n_1519),
+	.B2(n_4583),
+	.Y(brqrv_top_brqrv_dec_tlu_mcyclel_ns[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797904 (
+	.A1_N(n_4583),
+	.A2_N(n_37699),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_310),
+	.B2(n_4583),
+	.Y(brqrv_top_brqrv_dec_tlu_mcyclel_ns[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797905 (
+	.A1_N(n_4583),
+	.A2_N(n_37698),
+	.B1(n_1511),
+	.B2(n_4583),
+	.Y(brqrv_top_brqrv_dec_tlu_mcyclel_ns[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797906 (
+	.A1_N(n_37697),
+	.A2_N(n_4583),
+	.B1(n_1514),
+	.B2(n_4583),
+	.Y(brqrv_top_brqrv_dec_tlu_mcyclel_ns[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g797907 (
+	.A1(brqrv_top_brqrv_dec_dec_csr_wrdata_r[15]),
+	.A2(n_2930),
+	.B1(n_4213),
+	.B2(brqrv_top_brqrv_exu_csr_rs1_x[15]),
+	.C1(n_36834),
+	.C2(brqrv_top_brqrv_dec_dec_pause_state),
+	.Y(n_5148), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797908 (
+	.A1_N(n_37695),
+	.A2_N(n_4583),
+	.B1(n_1809),
+	.B2(n_4583),
+	.Y(brqrv_top_brqrv_dec_tlu_mcyclel_ns[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797909 (
+	.A1_N(n_4583),
+	.A2_N(n_37704),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_315),
+	.B2(n_4583),
+	.Y(brqrv_top_brqrv_dec_tlu_mcyclel_ns[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g797910 (
+	.A1(n_4383),
+	.A2(n_34786),
+	.B1(n_37329),
+	.B2(FE_DBTN21_n_34786),
+	.X(brqrv_top_brqrv_exu_i0_flush_path_d[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g797911 (
+	.A1(n_4385),
+	.A2(n_34786),
+	.B1(n_37328),
+	.B2(FE_DBTN21_n_34786),
+	.X(brqrv_top_brqrv_exu_i0_flush_path_d[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797912 (
+	.A1_N(n_3959),
+	.A2_N(n_34621),
+	.B1(n_1506),
+	.B2(n_34621),
+	.Y(\brqrv_top_brqrv_dec_tlu_minstretl_ns[6]_3333 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g797913 (
+	.A1(n_34533),
+	.A2(n_35512),
+	.B1(n_34532),
+	.B2(n_34910),
+	.C1(n_4571),
+	.Y(n_5147), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g797914 (
+	.A1(brqrv_top_brqrv_ifu_aln_f2val[1]),
+	.A2(n_3728),
+	.B1(n_1475),
+	.B2(n_3171),
+	.C1(n_4241),
+	.C2(n_4354),
+	.Y(n_5146), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111oi_0 g797916 (
+	.A1(n_1733),
+	.A2(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[20]),
+	.B1(n_3227),
+	.C1(n_3648),
+	.D1(n_4856),
+	.Y(n_5144), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g797917 (
+	.A(n_3419),
+	.B(n_3418),
+	.C(n_4310),
+	.D(n_3447),
+	.Y(n_5143), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g797918 (
+	.A1(n_34367),
+	.A2(n_2969),
+	.B1(n_1868),
+	.B2(n_1333),
+	.C1(n_2725),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_byteenff_n_12), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g797919 (
+	.A1(n_2968),
+	.A2(n_34362),
+	.B1(n_34637),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_byteen[0]),
+	.C1(n_4456),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_byteenff_n_10), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g797921 (
+	.A1(brqrv_top_brqrv_dbg_cmd_wrdata[23]),
+	.A2(n_4592),
+	.B1(n_1794),
+	.B2(brqrv_top_brqrv_dbg_sbdata1_reg[23]),
+	.Y(n_5141), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g797922 (
+	.A1(brqrv_top_brqrv_dbg_data1_reg[22]),
+	.A2(n_4590),
+	.B1(n_1794),
+	.B2(brqrv_top_brqrv_dbg_sbdata1_reg[22]),
+	.Y(n_5140), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g797923 (
+	.A1(brqrv_top_brqrv_dbg_cmd_wrdata[22]),
+	.A2(n_4592),
+	.B1(brqrv_top_brqrv_dbg_command_reg[22]),
+	.B2(n_3976),
+	.Y(n_5139), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g797924 (
+	.A1(brqrv_top_brqrv_dbg_data1_reg[21]),
+	.A2(n_4590),
+	.B1(brqrv_top_brqrv_dbg_sbcs_reg[21]),
+	.B2(n_2933),
+	.Y(n_5138), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g797925 (
+	.A1(brqrv_top_brqrv_dbg_data1_reg[20]),
+	.A2(n_4590),
+	.B1(n_1794),
+	.B2(brqrv_top_brqrv_dbg_sbdata1_reg[20]),
+	.Y(n_5137), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g797926 (
+	.A1(brqrv_top_brqrv_dbg_cmd_wrdata[20]),
+	.A2(n_4592),
+	.B1(brqrv_top_brqrv_dbg_cmd_size[0]),
+	.B2(n_3976),
+	.Y(n_5136), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g797927 (
+	.A1(brqrv_top_brqrv_lsu_end_addr_r[2]),
+	.A2(n_4601),
+	.B1(n_36268),
+	.B2(n_4603),
+	.Y(n_5135), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g797928 (
+	.A1(n_36273),
+	.A2(n_4612),
+	.B1(n_36275),
+	.B2(n_4611),
+	.Y(n_5134), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g797929 (
+	.A1(n_4612),
+	.A2(n_36273),
+	.B1(n_4613),
+	.B2(n_36274),
+	.Y(n_5133), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g797930 (
+	.A1(brqrv_top_brqrv_dbg_data1_reg[17]),
+	.A2(n_4590),
+	.B1(brqrv_top_brqrv_dbg_sbcs_reg_int[17]),
+	.B2(n_2933),
+	.Y(n_5132), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g797931 (
+	.A1(brqrv_top_brqrv_dbg_cmd_wrdata[17]),
+	.A2(n_4592),
+	.B1(brqrv_top_brqrv_dbg_command_reg[17]),
+	.B2(n_3976),
+	.X(n_5131), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g797932 (
+	.A1(n_1843),
+	.A2(n_4606),
+	.B1(n_36276),
+	.B2(n_4607),
+	.Y(n_5130), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g797933 (
+	.A1(brqrv_top_brqrv_dbg_cmd_wrdata[15]),
+	.A2(n_4592),
+	.B1(brqrv_top_brqrv_dbg_sbaddress0_reg[15]),
+	.B2(n_2928),
+	.Y(n_5129), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g797934 (
+	.A1(brqrv_top_brqrv_dbg_data1_reg[15]),
+	.A2(n_4590),
+	.B1(brqrv_top_brqrv_dbg_command_reg[15]),
+	.B2(n_3976),
+	.Y(n_5128), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g797935 (
+	.A1(brqrv_top_brqrv_dbg_data1_reg[12]),
+	.A2(n_4590),
+	.B1(brqrv_top_brqrv_dbg_sbcs_reg[12]),
+	.B2(n_2933),
+	.Y(n_5127), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g797936 (
+	.A1(brqrv_top_brqrv_dbg_cmd_wrdata[10]),
+	.A2(n_4592),
+	.B1(brqrv_top_brqrv_dbg_command_reg[10]),
+	.B2(n_3976),
+	.Y(n_5126), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g797937 (
+	.A1(brqrv_top_brqrv_dbg_data1_reg[9]),
+	.A2(n_4590),
+	.B1(brqrv_top_brqrv_dbg_command_reg[9]),
+	.B2(n_3976),
+	.Y(n_5125), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g797938 (
+	.A1(brqrv_top_brqrv_lsu_lsu_addr_r[2]),
+	.A2(n_4601),
+	.B1(brqrv_top_brqrv_lsu_lsu_addr_r[4]),
+	.B2(n_4605),
+	.Y(n_5124), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g797939 (
+	.A1(brqrv_top_brqrv_dbg_cmd_wrdata[9]),
+	.A2(n_4592),
+	.B1(brqrv_top_brqrv_dbg_abstractcs_reg[9]),
+	.B2(n_3988),
+	.X(n_5123), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g797940 (
+	.A1(brqrv_top_brqrv_dbg_data1_reg[1]),
+	.A2(n_4590),
+	.B1(brqrv_top_brqrv_dbg_abstractauto_reg[1]),
+	.B2(n_2992),
+	.Y(n_5122), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g797941 (
+	.A1(brqrv_top_brqrv_dbg_data1_reg[0]),
+	.A2(n_4590),
+	.B1(brqrv_top_brqrv_dbg_command_reg[0]),
+	.B2(n_3976),
+	.Y(n_5121), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g797942 (
+	.A1(brqrv_top_brqrv_dbg_cmd_wrdata[0]),
+	.A2(n_4592),
+	.B1(brqrv_top_brqrv_dbg_sbaddress0_reg[0]),
+	.B2(n_2928),
+	.Y(n_5120), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797943 (
+	.A1_N(brqrv_top_brqrv_exu_ghr_d[0]),
+	.A2_N(n_4699),
+	.B1(brqrv_top_brqrv_exu_ghr_d[0]),
+	.B2(n_4699),
+	.Y(n_5119), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g797944 (
+	.A1(brqrv_top_brqrv_dbg_abstractauto_reg[1]),
+	.A2(n_4590),
+	.B1(brqrv_top_brqrv_dbg_abstractauto_reg[0]),
+	.B2(n_4592),
+	.Y(n_5118), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g797945 (
+	.A1(brqrv_top_brqrv_dec_tlu_int_timers_n_298),
+	.A2(n_4585),
+	.B1(brqrv_top_brqrv_dec_tlu_mhpmc5[0]),
+	.B2(n_4584),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc5_ns[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797946 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mtsel[1]),
+	.A2_N(n_4693),
+	.B1(n_1790),
+	.B2(n_4693),
+	.Y(brqrv_top_brqrv_dec_tlu_mtsel_ns[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797947 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mtsel[0]),
+	.A2_N(n_4693),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_298),
+	.B2(n_4693),
+	.Y(brqrv_top_brqrv_dec_tlu_mtsel_ns[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g797948 (
+	.A1(n_4701),
+	.A2(n_4201),
+	.B1(n_4542),
+	.Y(brqrv_top_brqrv_dec_tlu_micect_ns[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g797949 (
+	.A1(n_4697),
+	.A2(n_4201),
+	.B1(n_4543),
+	.Y(brqrv_top_brqrv_dec_tlu_micect_ns[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797950 (
+	.A1_N(brqrv_top_brqrv_dma_ctrl_fifo_write[1]),
+	.A2_N(n_4596),
+	.B1(n_4381),
+	.B2(n_4596),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_write_dff_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g797951 (
+	.A1(brqrv_top_brqrv_dbg_n_975),
+	.A2(n_42151),
+	.B1(n_1378),
+	.B2(n_1581),
+	.Y(brqrv_top_brqrv_dbg_dmabstractcs_busy_reg_n_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g797952 (
+	.A1(brqrv_top_brqrv_dec_tlu_int_timers_n_298),
+	.A2(n_4583),
+	.B1(brqrv_top_brqrv_dec_tlu_mcyclel[0]),
+	.B2(n_4582),
+	.Y(\brqrv_top_brqrv_dec_tlu_mcyclel_ns[0]_3316 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g797953 (
+	.A1(n_2974),
+	.A2(n_4375),
+	.B1(n_4976),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_sz_dff_n_9 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g797954 (
+	.A1(n_2970),
+	.A2(n_4375),
+	.B1(n_4992),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_sz_dff_n_8 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797955 (
+	.A1_N(brqrv_top_brqrv_dma_ctrl_fifo_sz[4]),
+	.A2_N(n_4596),
+	.B1(n_2974),
+	.B2(n_4596),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_sz_dff_n_9 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g797956 (
+	.A1_N(brqrv_top_brqrv_dma_ctrl_fifo_sz[3]),
+	.A2_N(n_4596),
+	.B1(n_2970),
+	.B2(n_4596),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_sz_dff_n_8 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g797957 (
+	.A1(n_4375),
+	.A2(n_4381),
+	.B1(n_5002),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_write_dff_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g797958 (
+	.A1(brqrv_top_brqrv_lsu_lsu_addr_m[9]),
+	.A2(n_4613),
+	.B1(brqrv_top_brqrv_lsu_lsu_addr_m[11]),
+	.B2(n_4607),
+	.Y(n_5117), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g797959 (
+	.A1(brqrv_top_brqrv_lsu_lsu_addr_m[3]),
+	.A2(n_4603),
+	.B1(brqrv_top_brqrv_lsu_lsu_addr_m[10]),
+	.B2(n_4611),
+	.Y(n_5116), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g797960 (
+	.A1(n_4599),
+	.A2(n_34334),
+	.B1(n_3981),
+	.B2(n_34330),
+	.Y(n_5115), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g797961 (
+	.A1(n_4599),
+	.A2(n_35280),
+	.B1(n_3981),
+	.B2(n_35277),
+	.Y(n_5114), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g797962 (
+	.A1(n_4599),
+	.A2(n_34332),
+	.B1(n_3981),
+	.B2(n_35275),
+	.Y(n_5113), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g797963 (
+	.A1(n_4620),
+	.A2(n_35166),
+	.B1(n_3983),
+	.B2(n_34430),
+	.Y(n_5112), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g797964 (
+	.A1(n_4620),
+	.A2(n_35173),
+	.B1(n_3983),
+	.B2(n_34429),
+	.Y(n_5111), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g797965 (
+	.A1(n_4620),
+	.A2(n_35167),
+	.B1(n_3983),
+	.B2(n_34428),
+	.Y(n_5110), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g797966 (
+	.A1(n_4620),
+	.A2(n_35171),
+	.B1(n_3983),
+	.B2(n_34427),
+	.Y(n_5109), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g797967 (
+	.A1(n_4620),
+	.A2(n_35170),
+	.B1(n_3983),
+	.B2(n_34426),
+	.Y(n_5108), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g797968 (
+	.A1(n_4620),
+	.A2(n_35168),
+	.B1(n_3983),
+	.B2(n_34425),
+	.Y(n_5107), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g797969 (
+	.A1(n_4620),
+	.A2(n_35165),
+	.B1(n_3983),
+	.B2(n_35318),
+	.Y(n_5106), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g797970 (
+	.A1(n_4620),
+	.A2(n_35169),
+	.B1(n_3983),
+	.B2(n_35317),
+	.Y(n_5105), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g797971 (
+	.A1(n_4619),
+	.A2(n_35166),
+	.B1(n_4622),
+	.B2(n_34430),
+	.X(n_5104), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g797972 (
+	.A1(n_4619),
+	.A2(n_35173),
+	.B1(n_4622),
+	.B2(n_34429),
+	.X(n_5103), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g797973 (
+	.A1(n_4619),
+	.A2(n_35167),
+	.B1(n_4622),
+	.B2(n_34428),
+	.X(n_5102), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g797974 (
+	.A1(n_4619),
+	.A2(n_35171),
+	.B1(n_4622),
+	.B2(n_34427),
+	.X(n_5101), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g797975 (
+	.A1(n_4619),
+	.A2(n_35170),
+	.B1(n_4622),
+	.B2(n_34426),
+	.X(n_5100), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g797976 (
+	.A1(n_4619),
+	.A2(n_35168),
+	.B1(n_4622),
+	.B2(n_34425),
+	.X(n_5099), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g797977 (
+	.A1(n_4619),
+	.A2(n_35165),
+	.B1(n_4622),
+	.B2(n_35318),
+	.X(n_5098), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g797978 (
+	.A1(n_4619),
+	.A2(n_35169),
+	.B1(n_4622),
+	.B2(n_35317),
+	.X(n_5097), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g797979 (
+	.A1(brqrv_top_brqrv_lsu_end_addr_m[4]),
+	.A2(n_4605),
+	.B1(brqrv_top_brqrv_lsu_end_addr_m[10]),
+	.B2(n_4611),
+	.Y(n_5096), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g797980 (
+	.A1(brqrv_top_brqrv_lsu_end_addr_m[3]),
+	.A2(n_4603),
+	.B1(brqrv_top_brqrv_lsu_end_addr_m[5]),
+	.B2(n_4609),
+	.Y(n_5095), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g797981 (
+	.A1(n_4603),
+	.A2(brqrv_top_brqrv_lsu_end_addr_m[3]),
+	.B1(n_4605),
+	.B2(brqrv_top_brqrv_lsu_end_addr_m[4]),
+	.X(n_5094), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g797982 (
+	.A(brqrv_top_brqrv_lsu_end_addr_m[9]),
+	.B(n_4613),
+	.X(n_5093), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g797983 (
+	.A1(brqrv_top_brqrv_lsu_end_addr_m[7]),
+	.A2(n_4615),
+	.B1(brqrv_top_brqrv_lsu_end_addr_m[8]),
+	.B2(n_4612),
+	.Y(n_5092), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g797984 (
+	.A1(n_4621),
+	.A2(n_35166),
+	.B1(n_3981),
+	.B2(n_34335),
+	.Y(n_5091), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g797985 (
+	.A1(n_4621),
+	.A2(n_35173),
+	.B1(n_4218),
+	.B2(n_34330),
+	.Y(n_5090), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g797986 (
+	.A1(n_4621),
+	.A2(n_35167),
+	.B1(n_3981),
+	.B2(n_35281),
+	.Y(n_5089), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g797987 (
+	.A1(n_4621),
+	.A2(n_35171),
+	.B1(n_3981),
+	.B2(n_35280),
+	.Y(n_5088), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g797988 (
+	.A1(n_4621),
+	.A2(n_35170),
+	.B1(n_3981),
+	.B2(n_34333),
+	.Y(n_5087), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g797989 (
+	.A1(n_4621),
+	.A2(n_35168),
+	.B1(n_3981),
+	.B2(n_34332),
+	.Y(n_5086), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g797990 (
+	.A1(n_4621),
+	.A2(n_35165),
+	.B1(n_4218),
+	.B2(n_35274),
+	.Y(n_5085), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g797991 (
+	.A1(n_4621),
+	.A2(n_35169),
+	.B1(n_3981),
+	.B2(n_35278),
+	.Y(n_5084), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g797992 (
+	.A1(n_1887),
+	.A2(n_43395),
+	.B1(n_3580),
+	.Y(brqrv_top_brqrv_dma_ctrl_RdPtr_dff_n_10), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g797993 (
+	.A1_N(n_3468),
+	.A2_N(n_3720),
+	.B1(brqrv_top_brqrv_dec_decode_csr_rddata_x[0]),
+	.B2(n_4457),
+	.Y(n_5083), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g797994 (
+	.A(n_4603),
+	.B(brqrv_top_dccm_rd_addr_lo[3]),
+	.Y(n_5082), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g797995 (
+	.A1(n_32406),
+	.A2(n_4711),
+	.B1(n_35706),
+	.B2(n_3050),
+	.Y(n_5081), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g797996 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mcyclel[7]),
+	.A2_N(n_3776),
+	.B1(brqrv_top_brqrv_dec_tlu_mcyclel[7]),
+	.B2(n_3776),
+	.Y(n_5080), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g797997 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[7]),
+	.B(n_4576),
+	.Y(n_5079), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g797998 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[7]),
+	.B(n_4578),
+	.Y(n_5078), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g797999 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_minstretl[7]),
+	.A2_N(n_3777),
+	.B1(brqrv_top_brqrv_dec_tlu_minstretl[7]),
+	.B2(n_3777),
+	.Y(n_5077), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g798000 (
+	.A(n_4328),
+	.B(n_4277),
+	.C(n_3387),
+	.D(n_4285),
+	.Y(n_5076), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g798001 (
+	.A1(n_34368),
+	.A2(n_2969),
+	.B1(n_35361),
+	.B2(n_1333),
+	.C1(n_2780),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_byteenff_n_11), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g798002 (
+	.A1(n_1508),
+	.A2(n_34437),
+	.B1(n_4799),
+	.Y(brqrv_top_brqrv_dec_tlu_dpc_ns[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g798003 (
+	.A1(n_1805),
+	.A2(n_34437),
+	.B1(n_4800),
+	.Y(brqrv_top_brqrv_dec_tlu_dpc_ns[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g798004 (
+	.A1(n_1506),
+	.A2(n_34437),
+	.B1(n_4801),
+	.Y(brqrv_top_brqrv_dec_tlu_dpc_ns[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g798005 (
+	.A1(n_3210),
+	.A2(n_4582),
+	.B1(n_1790),
+	.B2(n_4583),
+	.Y(\brqrv_top_brqrv_dec_tlu_mcyclel_ns[1]_3317 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g798006 (
+	.A1(n_3362),
+	.A2(n_4582),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_300),
+	.B2(n_4583),
+	.Y(\brqrv_top_brqrv_dec_tlu_mcyclel_ns[2]_3318 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g798007 (
+	.A1(n_3363),
+	.A2(n_4582),
+	.B1(n_1508),
+	.B2(n_4583),
+	.Y(\brqrv_top_brqrv_dec_tlu_mcyclel_ns[4]_3320 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g798008 (
+	.A1(n_1862),
+	.A2(n_4601),
+	.B1(n_683),
+	.B2(n_4600),
+	.Y(n_5075), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g798009 (
+	.A1(n_1861),
+	.A2(n_4601),
+	.B1(brqrv_top_dccm_rd_addr_hi[2]),
+	.B2(n_4600),
+	.Y(n_5074), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g798010 (
+	.A1_N(n_4603),
+	.A2_N(n_1869),
+	.B1(n_4603),
+	.B2(n_1869),
+	.Y(n_5073), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g798011 (
+	.A1(n_35853),
+	.A2(n_4318),
+	.B1(n_1551),
+	.B2(n_4287),
+	.Y(brqrv_top_brqrv_ifu_i0_bp_btag[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g798012 (
+	.A1(n_2970),
+	.A2(n_4597),
+	.B1(n_4978),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_sz_dff_n_8 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g798013 (
+	.A1(n_2974),
+	.A2(n_4597),
+	.B1(n_5004),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_sz_dff_n_9 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g798014 (
+	.A1(n_4381),
+	.A2(n_4597),
+	.B1(n_4975),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_write_dff_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798015 (
+	.A1_N(brqrv_top_brqrv_dma_ctrl_fifo_sz[9]),
+	.A2_N(n_4595),
+	.B1(n_2970),
+	.B2(n_4595),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_sz_dff_n_8 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798016 (
+	.A1_N(brqrv_top_brqrv_dma_ctrl_fifo_sz[10]),
+	.A2_N(n_4595),
+	.B1(n_2974),
+	.B2(n_4595),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_sz_dff_n_9 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798017 (
+	.A1_N(brqrv_top_brqrv_dma_ctrl_fifo_write[3]),
+	.A2_N(n_4595),
+	.B1(n_4381),
+	.B2(n_4595),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_write_dff_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g798018 (
+	.A1(n_2970),
+	.A2(n_4598),
+	.B1(n_5014),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_sz_dff_n_8 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g798019 (
+	.A1(n_2974),
+	.A2(n_4598),
+	.B1(n_5003),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_sz_dff_n_9 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g798020 (
+	.A1(n_4381),
+	.A2(n_4598),
+	.B1(n_4977),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_write_dff_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g798021 (
+	.A1(n_4697),
+	.A2(n_3685),
+	.B1(n_4162),
+	.Y(brqrv_top_brqrv_dec_tlu_miccmect_ns[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g798022 (
+	.A1(n_4701),
+	.A2(n_3685),
+	.B1(n_4159),
+	.Y(brqrv_top_brqrv_dec_tlu_miccmect_ns[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g798023 (
+	.A1(n_4697),
+	.A2(n_3690),
+	.B1(n_4131),
+	.Y(brqrv_top_brqrv_dec_tlu_mdccmect_ns[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g798024 (
+	.A1(n_4701),
+	.A2(n_3690),
+	.B1(n_4160),
+	.Y(brqrv_top_brqrv_dec_tlu_mdccmect_ns[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g798025 (
+	.A1(n_1847),
+	.A2(n_4602),
+	.B1(n_1844),
+	.B2(n_4608),
+	.Y(n_5072), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g798026 (
+	.A1(n_1895),
+	.A2(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[1]),
+	.B1(n_1899),
+	.B2(brqrv_top_brqrv_dec_tlu_npc_r[1]),
+	.C1(n_4418),
+	.X(brqrv_top_brqrv_dec_tlu_flush_path_r[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g798027 (
+	.A1(n_4661),
+	.A2(n_3972),
+	.B1(n_4479),
+	.Y(n_5204), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g798028 (
+	.A1(n_4657),
+	.A2(n_3972),
+	.B1(n_4478),
+	.Y(n_5203), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g798029 (
+	.A1(n_4647),
+	.A2(n_3972),
+	.B1(n_4477),
+	.Y(n_5202), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g798030 (
+	.A1(n_4681),
+	.A2(n_3972),
+	.B1(n_4481),
+	.Y(n_5201), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g798031 (
+	.A1(n_4684),
+	.A2(n_3972),
+	.B1(n_4482),
+	.Y(n_5200), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g798032 (
+	.A1(n_4675),
+	.A2(n_3972),
+	.B1(n_4483),
+	.Y(n_5199), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g798033 (
+	.A1(n_4655),
+	.A2(n_3972),
+	.B1(n_4484),
+	.Y(n_5198), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g798034 (
+	.A1(n_4649),
+	.A2(n_3972),
+	.B1(n_4485),
+	.Y(n_5197), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g798035 (
+	.A1(n_4645),
+	.A2(n_3972),
+	.B1(n_4486),
+	.Y(n_5196), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g798036 (
+	.A1(n_4686),
+	.A2(n_3972),
+	.B1(n_4487),
+	.Y(n_5195), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g798037 (
+	.A1(n_4677),
+	.A2(n_3972),
+	.B1(n_4488),
+	.Y(n_5194), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g798038 (
+	.A1(n_4629),
+	.A2(n_3972),
+	.B1(n_4489),
+	.Y(n_5193), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g798039 (
+	.A1(n_4659),
+	.A2(n_3972),
+	.B1(n_4490),
+	.Y(n_5192), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g798040 (
+	.A1(n_4643),
+	.A2(n_3972),
+	.B1(n_4491),
+	.Y(n_5191), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g798041 (
+	.A1(n_4665),
+	.A2(n_3972),
+	.B1(n_4492),
+	.Y(n_5190), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g798042 (
+	.A1(n_4653),
+	.A2(n_3972),
+	.B1(n_4493),
+	.Y(n_5189), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g798043 (
+	.A1(n_4633),
+	.A2(n_3972),
+	.B1(n_4494),
+	.Y(n_5188), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g798044 (
+	.A1(n_4631),
+	.A2(n_3972),
+	.B1(n_4495),
+	.Y(n_5187), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g798045 (
+	.A1(n_4627),
+	.A2(n_3972),
+	.B1(n_4496),
+	.Y(n_5186), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g798046 (
+	.A1(n_4635),
+	.A2(n_3972),
+	.B1(n_4497),
+	.Y(n_5185), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g798047 (
+	.A1(n_4637),
+	.A2(n_3972),
+	.B1(n_4498),
+	.Y(n_5184), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798048 (
+	.A1_N(brqrv_top_dccm_rd_addr_lo[11]),
+	.A2_N(n_3972),
+	.B1(n_4607),
+	.B2(n_3972),
+	.Y(n_5183), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798049 (
+	.A1_N(brqrv_top_dccm_rd_addr_lo[10]),
+	.A2_N(n_3972),
+	.B1(n_4611),
+	.B2(n_3972),
+	.Y(n_5182), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798050 (
+	.A1_N(brqrv_top_dccm_rd_addr_lo[9]),
+	.A2_N(n_3972),
+	.B1(n_4613),
+	.B2(n_3972),
+	.Y(n_5181), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798051 (
+	.A1_N(brqrv_top_dccm_rd_addr_lo[8]),
+	.A2_N(n_3972),
+	.B1(n_4612),
+	.B2(n_3972),
+	.Y(n_5180), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798052 (
+	.A1_N(brqrv_top_dccm_rd_addr_lo[7]),
+	.A2_N(n_3972),
+	.B1(n_4615),
+	.B2(n_3972),
+	.Y(n_5179), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798053 (
+	.A1_N(brqrv_top_dccm_rd_addr_lo[6]),
+	.A2_N(n_3972),
+	.B1(n_4616),
+	.B2(n_3972),
+	.Y(n_5178), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798054 (
+	.A1_N(brqrv_top_dccm_rd_addr_lo[5]),
+	.A2_N(n_3972),
+	.B1(n_4609),
+	.B2(n_3972),
+	.Y(n_5177), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798055 (
+	.A1_N(brqrv_top_dccm_rd_addr_lo[4]),
+	.A2_N(n_3972),
+	.B1(n_4605),
+	.B2(n_3972),
+	.Y(n_5176), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g798056 (
+	.A1(n_4639),
+	.A2(n_3972),
+	.B1(n_4499),
+	.Y(n_5175), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g798057 (
+	.A1(n_4641),
+	.A2(n_3972),
+	.B1(n_4500),
+	.Y(n_5174), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g798058 (
+	.A1(n_4671),
+	.A2(n_3972),
+	.B1(n_4501),
+	.Y(n_5173), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g798059 (
+	.A1(n_4651),
+	.A2(n_3972),
+	.B1(n_4502),
+	.Y(n_5172), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g798060 (
+	.A1(n_4663),
+	.A2(n_3972),
+	.B1(n_4503),
+	.Y(n_5171), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g798061 (
+	.A1(n_4669),
+	.A2(n_3972),
+	.B1(n_4504),
+	.Y(n_5170), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g798062 (
+	.A1(n_4688),
+	.A2(n_3972),
+	.B1(n_4505),
+	.Y(n_5169), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g798063 (
+	.A1(n_4673),
+	.A2(n_3972),
+	.B1(n_4506),
+	.Y(n_5168), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g798064 (
+	.A1(n_4679),
+	.A2(n_3972),
+	.B1(n_4507),
+	.Y(n_5167), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g798065 (
+	.A1(n_4667),
+	.A2(n_3972),
+	.B1(n_4480),
+	.Y(n_5166), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_2 g798066 (
+	.A1(n_4376),
+	.A2(n_43405),
+	.B1(n_5059),
+	.Y(n_5165), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g798067 (
+	.A(n_4938),
+	.Y(n_5069), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g798068 (
+	.A(n_4933),
+	.Y(n_5068), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g798069 (
+	.A(n_4929),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data_in[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g798070 (
+	.A(n_4916),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data_in[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g798071 (
+	.A(n_4914),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data_in[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g798072 (
+	.A(n_4913),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data_in[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g798073 (
+	.A(n_4909),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data_in[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g798074 (
+	.A(n_4907),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data_in[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g798075 (
+	.A(n_4905),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data_in[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g798076 (
+	.A(n_4904),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data_in[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g798077 (
+	.A(n_4903),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data_in[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g798078 (
+	.A(n_4902),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data_in[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g798079 (
+	.A(n_4899),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data_in[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g798080 (
+	.A(n_4895),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data_in[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g798081 (
+	.A(n_4893),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data_in[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g798082 (
+	.A(n_4890),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data_in[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g798083 (
+	.A(n_4888),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data_in[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g798084 (
+	.A(n_4885),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data_in[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g798085 (
+	.A(n_4883),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data_in[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g798086 (
+	.A(n_4882),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data_in[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g798087 (
+	.A(n_4881),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data_in[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g798088 (
+	.A(n_4879),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data_in[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g798089 (
+	.A(n_4878),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data_in[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g798090 (
+	.A(n_4877),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data_in[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g798091 (
+	.A(n_4864),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data_in[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g798092 (
+	.A(n_4862),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data_in[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g798095 (
+	.A(brqrv_top_brqrv_lsu_stbuf_reqvld_flushed_any),
+	.Y(n_5062), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g798096 (
+	.A(brqrv_top_brqrv_ifu_ifc_fb_write_ns[1]),
+	.Y(n_5053), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g798098 (
+	.A(n_5046),
+	.Y(n_5047), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g798103 (
+	.A(n_5039),
+	.Y(n_5038), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g798104 (
+	.A(n_5037),
+	.Y(n_5036), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g798106 (
+	.A(n_5033),
+	.Y(n_5032), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g798109 (
+	.A(n_5029),
+	.Y(n_5028), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 g798110 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[3]),
+	.B(n_2031),
+	.CIN(n_35689),
+	.COUT(n_5070),
+	.SUM(n_5071), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g798111 (
+	.A1(n_4090),
+	.A2(brqrv_top_brqrv_dec_dec_i0_instr_d[4]),
+	.B1(brqrv_top_brqrv_dec_decode_i0_dec_n_75),
+	.Y(n_5027), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g798112 (
+	.A(n_35884),
+	.B(n_4594),
+	.Y(n_5026), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g798113 (
+	.A(n_35883),
+	.B(n_4594),
+	.Y(n_5025), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g798114 (
+	.A(n_34259),
+	.B(n_4331),
+	.Y(n_5024), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g798115 (
+	.A(n_35882),
+	.B(n_4594),
+	.Y(n_5023), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g798116 (
+	.A(n_35881),
+	.B(n_4594),
+	.Y(n_5022), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g798117 (
+	.A(n_35880),
+	.B(n_4594),
+	.Y(n_5021), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g798118 (
+	.A(n_43429),
+	.B(n_4594),
+	.Y(n_5020), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g798119 (
+	.A(n_35877),
+	.B(n_4594),
+	.Y(n_5019), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g798120 (
+	.A(n_42207),
+	.B(n_4594),
+	.Y(n_5018), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g798121 (
+	.A(n_35875),
+	.B(n_4594),
+	.Y(n_5017), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g798122 (
+	.A(n_4458),
+	.B_N(brqrv_top_brqrv_lsu_trigger_lsu_trigger_data_match[1]),
+	.Y(brqrv_top_brqrv_lsu_trigger_match_m[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g798123 (
+	.A(n_35872),
+	.B(n_4594),
+	.Y(n_5016), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g798124 (
+	.A(n_35870),
+	.B(n_4594),
+	.Y(n_5015), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g798125 (
+	.A(n_4598),
+	.B(brqrv_top_brqrv_dma_ctrl_n_742),
+	.Y(n_5014), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g798126 (
+	.A(n_35858),
+	.B(n_4594),
+	.Y(n_5013), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g798127 (
+	.A(n_35855),
+	.B(n_4594),
+	.Y(n_5012), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g798129 (
+	.A(n_4460),
+	.B_N(brqrv_top_brqrv_lsu_trigger_lsu_trigger_data_match[0]),
+	.Y(brqrv_top_brqrv_lsu_trigger_match_m[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g798130 (
+	.A(brqrv_top_brqrv_dbg_data1_reg[14]),
+	.B(n_4590),
+	.Y(n_5011), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g798131 (
+	.A(brqrv_top_brqrv_dbg_data1_reg[11]),
+	.B(n_4590),
+	.Y(n_5010), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g798132 (
+	.A(brqrv_top_brqrv_dbg_data1_reg[7]),
+	.B(n_4590),
+	.Y(n_5009), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g798133 (
+	.A(brqrv_top_brqrv_dbg_cmd_wrdata[3]),
+	.B(n_4592),
+	.Y(n_5008), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g798134 (
+	.A(brqrv_top_brqrv_dbg_data1_reg[2]),
+	.B(n_4590),
+	.Y(n_5007), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g798135 (
+	.A(brqrv_top_brqrv_dec_tlu_fw_halted),
+	.B(n_42162),
+	.Y(n_5006), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g798136 (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_cmd_en[4]),
+	.B_N(brqrv_top_brqrv_dma_ctrl_fifo_byteen[39]),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_byteen_dff_n_25 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g798137 (
+	.A1(n_4255),
+	.A2(n_34375),
+	.B1(n_1865),
+	.B2(n_34374),
+	.C1(n_34358),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteen_in[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g798138 (
+	.A1(n_4255),
+	.A2(n_34364),
+	.B1(n_1865),
+	.B2(n_34365),
+	.C1(n_35300),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteen_in[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g798140 (
+	.A1(n_4230),
+	.A2(n_34686),
+	.B1(n_1757),
+	.B2(n_34688),
+	.C1(n_3951),
+	.Y(n_5005), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g798141 (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_cmd_en[2]),
+	.B_N(brqrv_top_brqrv_dma_ctrl_fifo_byteen[18]),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_byteen_dff_n_20 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g798142 (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_cmd_en[0]),
+	.B_N(brqrv_top_brqrv_dma_ctrl_n_807),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_byteen_dff_n_24 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g798143 (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_cmd_en[2]),
+	.B_N(brqrv_top_brqrv_dma_ctrl_fifo_byteen[16]),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_byteen_dff_n_18 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g798144 (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_cmd_en[0]),
+	.B_N(brqrv_top_brqrv_dma_ctrl_n_777),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_byteen_dff_n_18 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g798145 (
+	.A(n_34620),
+	.B(n_4623),
+	.Y(brqrv_top_brqrv_dec_tlu_int_timers_wr_mitb0_r), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g798146 (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_cmd_en[4]),
+	.B_N(brqrv_top_brqrv_dma_ctrl_fifo_sz[14]),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_sz_dff_n_10 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g798147 (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_cmd_en[3]),
+	.B_N(brqrv_top_brqrv_dma_ctrl_fifo_sz[11]),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_sz_dff_n_10 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g798148 (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_cmd_en[2]),
+	.B_N(brqrv_top_brqrv_dma_ctrl_fifo_sz[8]),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_sz_dff_n_10 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g798149 (
+	.A(n_4597),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_sz[7]),
+	.Y(n_5004), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g798150 (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_cmd_en[1]),
+	.B_N(brqrv_top_brqrv_dma_ctrl_fifo_sz[5]),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_sz_dff_n_10 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g798151 (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_cmd_en[0]),
+	.B_N(brqrv_top_brqrv_dma_ctrl_n_752),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_sz_dff_n_10 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g798152 (
+	.A(n_4598),
+	.B(brqrv_top_brqrv_dma_ctrl_n_747),
+	.Y(n_5003), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g798153 (
+	.A(n_4375),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_write[4]),
+	.Y(n_5002), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g798155 (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_cmd_en[0]),
+	.B_N(brqrv_top_brqrv_dma_ctrl_n_812),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_byteen_dff_n_25 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g798156 (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_cmd_en[0]),
+	.B_N(brqrv_top_brqrv_dma_ctrl_n_802),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_byteen_dff_n_23 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g798157 (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_cmd_en[0]),
+	.B_N(brqrv_top_brqrv_dma_ctrl_n_797),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_byteen_dff_n_22 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g798158 (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_cmd_en[0]),
+	.B_N(brqrv_top_brqrv_dma_ctrl_n_792),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_byteen_dff_n_21 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g798159 (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_cmd_en[0]),
+	.B_N(brqrv_top_brqrv_dma_ctrl_n_787),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_byteen_dff_n_20 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g798160 (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_cmd_en[0]),
+	.B_N(brqrv_top_brqrv_dma_ctrl_n_782),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_byteen_dff_n_19 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g798161 (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_cmd_en[1]),
+	.B_N(brqrv_top_brqrv_dma_ctrl_fifo_byteen[15]),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_byteen_dff_n_25 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g798162 (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_cmd_en[1]),
+	.B_N(brqrv_top_brqrv_dma_ctrl_fifo_byteen[14]),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_byteen_dff_n_24 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g798163 (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_cmd_en[1]),
+	.B_N(brqrv_top_brqrv_dma_ctrl_fifo_byteen[13]),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_byteen_dff_n_23 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g798164 (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_cmd_en[1]),
+	.B_N(brqrv_top_brqrv_dma_ctrl_fifo_byteen[12]),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_byteen_dff_n_22 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g798165 (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_cmd_en[1]),
+	.B_N(brqrv_top_brqrv_dma_ctrl_fifo_byteen[11]),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_byteen_dff_n_21 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g798166 (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_cmd_en[1]),
+	.B_N(brqrv_top_brqrv_dma_ctrl_fifo_byteen[10]),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_byteen_dff_n_20 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g798167 (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_cmd_en[1]),
+	.B_N(brqrv_top_brqrv_dma_ctrl_fifo_byteen[9]),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_byteen_dff_n_19 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g798168 (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_cmd_en[1]),
+	.B_N(brqrv_top_brqrv_dma_ctrl_fifo_byteen[8]),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_byteen_dff_n_18 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g798169 (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_cmd_en[2]),
+	.B_N(brqrv_top_brqrv_dma_ctrl_fifo_byteen[23]),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_byteen_dff_n_25 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g798170 (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_cmd_en[2]),
+	.B_N(brqrv_top_brqrv_dma_ctrl_fifo_byteen[22]),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_byteen_dff_n_24 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g798171 (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_cmd_en[2]),
+	.B_N(brqrv_top_brqrv_dma_ctrl_fifo_byteen[21]),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_byteen_dff_n_23 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g798172 (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_cmd_en[2]),
+	.B_N(brqrv_top_brqrv_dma_ctrl_fifo_byteen[20]),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_byteen_dff_n_22 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g798173 (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_cmd_en[2]),
+	.B_N(brqrv_top_brqrv_dma_ctrl_fifo_byteen[19]),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_byteen_dff_n_21 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g798174 (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_cmd_en[2]),
+	.B_N(brqrv_top_brqrv_dma_ctrl_fifo_byteen[17]),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_byteen_dff_n_19 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g798175 (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_cmd_en[3]),
+	.B_N(brqrv_top_brqrv_dma_ctrl_fifo_byteen[31]),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_byteen_dff_n_25 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g798176 (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_cmd_en[3]),
+	.B_N(brqrv_top_brqrv_dma_ctrl_fifo_byteen[29]),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_byteen_dff_n_23 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g798177 (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_cmd_en[3]),
+	.B_N(brqrv_top_brqrv_dma_ctrl_fifo_byteen[27]),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_byteen_dff_n_21 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g798178 (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_cmd_en[3]),
+	.B_N(brqrv_top_brqrv_dma_ctrl_fifo_byteen[25]),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_byteen_dff_n_19 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g798179 (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_cmd_en[4]),
+	.B_N(brqrv_top_brqrv_dma_ctrl_fifo_byteen[37]),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_byteen_dff_n_23 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g798180 (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_cmd_en[4]),
+	.B_N(brqrv_top_brqrv_dma_ctrl_fifo_byteen[36]),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_byteen_dff_n_22 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g798181 (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_cmd_en[4]),
+	.B_N(brqrv_top_brqrv_dma_ctrl_fifo_byteen[35]),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_byteen_dff_n_21 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g798182 (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_cmd_en[4]),
+	.B_N(brqrv_top_brqrv_dma_ctrl_fifo_byteen[33]),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_byteen_dff_n_19 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g798183 (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_cmd_en[4]),
+	.B_N(brqrv_top_brqrv_dma_ctrl_fifo_byteen[32]),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_byteen_dff_n_18 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g798185 (
+	.A(n_4692),
+	.B(n_3788),
+	.X(brqrv_top_brqrv_dec_tlu_wr_mtdata2_t3_r), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g798186 (
+	.A(n_4692),
+	.B(n_3158),
+	.Y(n_5001), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g798187 (
+	.A(n_4692),
+	.B(n_3787),
+	.X(brqrv_top_brqrv_dec_tlu_wr_mtdata2_t1_r), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g798188 (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_cmd_en[3]),
+	.B_N(brqrv_top_brqrv_dma_ctrl_fifo_byteen[24]),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_byteen_dff_n_18 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g798189 (
+	.A(n_4692),
+	.B(n_3791),
+	.X(brqrv_top_brqrv_dec_tlu_wr_mtdata2_t0_r), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g798190 (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_cmd_en[4]),
+	.B_N(brqrv_top_brqrv_dma_ctrl_fifo_byteen[34]),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_byteen_dff_n_20 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g798191 (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_cmd_en[3]),
+	.B_N(brqrv_top_brqrv_dma_ctrl_fifo_byteen[26]),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_byteen_dff_n_20 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g798193 (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_cmd_en[3]),
+	.B_N(brqrv_top_brqrv_dma_ctrl_fifo_byteen[30]),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_byteen_dff_n_24 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g798194 (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_cmd_en[3]),
+	.B_N(brqrv_top_brqrv_dma_ctrl_fifo_byteen[28]),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_byteen_dff_n_22 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g798195 (
+	.A(n_1412),
+	.B(brqrv_top_dmi_reg_wdata[10]),
+	.Y(n_5000), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g798196 (
+	.A(n_1412),
+	.B(brqrv_top_dmi_reg_wdata[9]),
+	.Y(n_4999), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g798197 (
+	.A(n_1412),
+	.B(brqrv_top_dmi_reg_wdata[8]),
+	.Y(n_4998), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g798198 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[8]),
+	.B(n_4612),
+	.Y(n_4997), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g798199 (
+	.A(n_4611),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_m[10]),
+	.Y(n_4996), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g798200 (
+	.A(n_4599),
+	.B(n_34335),
+	.Y(n_4995), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g798201 (
+	.A(n_4599),
+	.B(n_35281),
+	.Y(n_4994), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g798202 (
+	.A(n_4599),
+	.B(n_34333),
+	.Y(n_4993), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g798203 (
+	.A(n_4375),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_sz[12]),
+	.Y(n_4992), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g798204 (
+	.A(n_4599),
+	.B(n_35279),
+	.Y(n_4991), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g798205 (
+	.A(n_4599),
+	.B(n_35278),
+	.Y(n_4990), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g798206 (
+	.A(n_4599),
+	.B(n_34331),
+	.Y(n_4989), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g798207 (
+	.A(n_4599),
+	.B(n_34330),
+	.Y(n_4988), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g798208 (
+	.A(n_4599),
+	.B(n_34329),
+	.Y(n_4987), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g798209 (
+	.A(n_4599),
+	.B(n_35277),
+	.Y(n_4986), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g798210 (
+	.A(n_4599),
+	.B(n_35276),
+	.Y(n_4985), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g798211 (
+	.A(n_4599),
+	.B(n_35275),
+	.Y(n_4984), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g798212 (
+	.A(n_4599),
+	.B(n_35274),
+	.Y(n_4983), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g798213 (
+	.A(n_4599),
+	.B(n_34328),
+	.Y(n_4982), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g798214 (
+	.A(n_4616),
+	.B(brqrv_top_brqrv_lsu_end_addr_m[6]),
+	.Y(n_4981), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g798215 (
+	.A(n_4698),
+	.B(brqrv_top_brqrv_dec_tlu_mpc_run_state_ns),
+	.Y(n_4980), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g798216 (
+	.A(n_4461),
+	.B_N(brqrv_top_brqrv_lsu_trigger_lsu_trigger_data_match[3]),
+	.Y(brqrv_top_brqrv_lsu_trigger_match_m[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g798217 (
+	.A(n_4702),
+	.B(n_4705),
+	.Y(n_4979), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g798219 (
+	.A(n_4463),
+	.B_N(brqrv_top_brqrv_lsu_trigger_lsu_trigger_data_match[2]),
+	.Y(brqrv_top_brqrv_lsu_trigger_match_m[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g798220 (
+	.A(n_4597),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_sz[6]),
+	.Y(n_4978), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g798221 (
+	.A(n_4598),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_write[0]),
+	.Y(n_4977), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g798222 (
+	.A(n_4375),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_sz[13]),
+	.Y(n_4976), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g798223 (
+	.A(n_4597),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_write[2]),
+	.Y(n_4975), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g798224 (
+	.A(n_1335),
+	.B(n_4245),
+	.Y(n_4974), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g798225 (
+	.A_N(brqrv_top_brqrv_dec_tlu_mcyclel_cout_f),
+	.B(n_4581),
+	.Y(brqrv_top_brqrv_dec_tlu_n_3325), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g798226 (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_cmd_en[4]),
+	.B_N(brqrv_top_brqrv_dma_ctrl_fifo_byteen[38]),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_byteen_dff_n_24 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g798227 (
+	.A(n_4399),
+	.B(brqrv_top_brqrv_dec_decode_csr_rddata_x[31]),
+	.Y(n_4973), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g798228 (
+	.A(n_4400),
+	.B(brqrv_top_brqrv_dec_decode_csr_rddata_x[30]),
+	.Y(n_4972), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g798229 (
+	.A(n_4401),
+	.B(brqrv_top_brqrv_dec_decode_csr_rddata_x[29]),
+	.Y(n_4971), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g798230 (
+	.A(n_4402),
+	.B(brqrv_top_brqrv_dec_decode_csr_rddata_x[28]),
+	.Y(n_4970), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g798231 (
+	.A(n_4403),
+	.B(brqrv_top_brqrv_dec_decode_csr_rddata_x[27]),
+	.Y(n_4969), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g798232 (
+	.A(n_4404),
+	.B(brqrv_top_brqrv_dec_decode_csr_rddata_x[26]),
+	.Y(n_4968), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g798233 (
+	.A(n_4405),
+	.B(brqrv_top_brqrv_dec_decode_csr_rddata_x[25]),
+	.Y(n_4967), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g798234 (
+	.A(n_4406),
+	.B(brqrv_top_brqrv_dec_decode_csr_rddata_x[24]),
+	.Y(n_4966), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g798235 (
+	.A(n_4407),
+	.B(brqrv_top_brqrv_dec_decode_csr_rddata_x[23]),
+	.Y(n_4965), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g798236 (
+	.A(n_4408),
+	.B(brqrv_top_brqrv_dec_decode_csr_rddata_x[22]),
+	.Y(n_4964), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g798237 (
+	.A(n_4409),
+	.B(brqrv_top_brqrv_dec_decode_csr_rddata_x[21]),
+	.Y(n_4963), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g798238 (
+	.A(n_4410),
+	.B(brqrv_top_brqrv_dec_decode_csr_rddata_x[20]),
+	.Y(n_4962), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g798239 (
+	.A(n_4411),
+	.B(brqrv_top_brqrv_dec_decode_csr_rddata_x[19]),
+	.Y(n_4961), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g798240 (
+	.A(n_4419),
+	.B(brqrv_top_brqrv_dec_decode_csr_rddata_x[18]),
+	.Y(n_4960), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g798241 (
+	.A(n_4420),
+	.B(brqrv_top_brqrv_dec_decode_csr_rddata_x[17]),
+	.Y(n_4959), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g798242 (
+	.A(n_4423),
+	.B(brqrv_top_brqrv_dec_decode_csr_rddata_x[16]),
+	.Y(n_4958), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g798243 (
+	.A(n_4426),
+	.B(brqrv_top_brqrv_dec_decode_csr_rddata_x[15]),
+	.Y(n_4957), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g798244 (
+	.A(n_4428),
+	.B(brqrv_top_brqrv_dec_decode_csr_rddata_x[14]),
+	.Y(n_4956), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g798245 (
+	.A(n_4433),
+	.B(brqrv_top_brqrv_dec_decode_csr_rddata_x[13]),
+	.Y(n_4955), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g798246 (
+	.A(n_4434),
+	.B(brqrv_top_brqrv_dec_decode_csr_rddata_x[12]),
+	.Y(n_4954), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g798247 (
+	.A(n_4436),
+	.B(brqrv_top_brqrv_dec_decode_csr_rddata_x[11]),
+	.Y(n_4953), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111oi_0 g798248 (
+	.A1(n_34534),
+	.A2(n_35511),
+	.B1(n_4049),
+	.C1(n_4048),
+	.D1(n_4050),
+	.Y(n_4952), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g798249 (
+	.A(n_4437),
+	.B(brqrv_top_brqrv_dec_decode_csr_rddata_x[10]),
+	.Y(n_4951), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g798250 (
+	.A(n_4438),
+	.B(brqrv_top_brqrv_dec_decode_csr_rddata_x[9]),
+	.Y(n_4950), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g798251 (
+	.A(n_4441),
+	.B(brqrv_top_brqrv_dec_decode_csr_rddata_x[8]),
+	.Y(n_4949), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g798252 (
+	.A(n_4443),
+	.B(brqrv_top_brqrv_dec_decode_csr_rddata_x[7]),
+	.Y(n_4948), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g798253 (
+	.A(n_4446),
+	.B(brqrv_top_brqrv_dec_decode_csr_rddata_x[6]),
+	.Y(n_4947), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g798254 (
+	.A(n_4447),
+	.B(brqrv_top_brqrv_dec_decode_csr_rddata_x[5]),
+	.Y(n_4946), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g798255 (
+	.A(n_35886),
+	.B(n_4594),
+	.Y(n_4945), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g798256 (
+	.A(n_35885),
+	.B(n_4594),
+	.Y(n_4944), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g798257 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_done[4]),
+	.A2(n_3698),
+	.B1(n_3701),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_done[0]),
+	.C1(n_3706),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_done[1]),
+	.Y(n_4943), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g798258 (
+	.A(n_1348),
+	.B(n_4009),
+	.C(n_1587),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_pic_data_c1_clken), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g798259 (
+	.A1(n_1540),
+	.A2(n_4081),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_write),
+	.Y(n_4942), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g798261 (
+	.A(n_1934),
+	.B(n_4383),
+	.Y(n_4940), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g798262 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_addr[32]),
+	.A2(n_3706),
+	.B1(n_3699),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[96]),
+	.C1(n_3701),
+	.C2(brqrv_top_brqrv_dma_ctrl_n_578),
+	.Y(n_4939), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g798263 (
+	.A(n_3831),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_wr_en),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_timer_in[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g798264 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_valid[4]),
+	.A2(n_3698),
+	.B1(n_3703),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_valid[2]),
+	.C1(n_3699),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_valid[3]),
+	.Y(n_4938), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g798266 (
+	.A(n_36271),
+	.B(n_4616),
+	.Y(n_4937), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g798267 (
+	.A(n_4615),
+	.B(n_36272),
+	.Y(n_4936), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g798268 (
+	.A(n_43991),
+	.B(n_4585),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc5_wr_en), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g798269 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_dbg[4]),
+	.A2(n_3698),
+	.B1(n_3699),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_dbg[3]),
+	.C1(n_3701),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_dbg[0]),
+	.Y(n_4935), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g798270 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_addr[129]),
+	.A2(n_3698),
+	.B1(n_3706),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[33]),
+	.C1(n_3703),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_addr[65]),
+	.Y(n_4934), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g798271 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_sz[13]),
+	.A2(n_3698),
+	.B1(n_3703),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_sz[7]),
+	.C1(n_3699),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_sz[10]),
+	.Y(n_4933), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g798272 (
+	.A1(n_3541),
+	.A2(n_3888),
+	.B1(n_3736),
+	.Y(n_4932), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g798273 (
+	.A1(n_2684),
+	.A2(n_3921),
+	.B1(n_4735),
+	.Y(n_4931), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g798274 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_sz[12]),
+	.A2(n_3698),
+	.B1(n_3701),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_742),
+	.C1(n_3706),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_sz[3]),
+	.Y(n_4930), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g798275 (
+	.A(n_43991),
+	.B(n_4587),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc5h_wr_en), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g798276 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[0]),
+	.A2(n_2647),
+	.B1(n_3709),
+	.B2(n_36091),
+	.C1(n_36090),
+	.C2(n_11604),
+	.Y(n_4929), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g798277 (
+	.A1(n_34846),
+	.A2(n_3313),
+	.B1(brqrv_top_brqrv_dec_dec_i0_instr_d[22]),
+	.B2(n_1337),
+	.C1(n_33859),
+	.Y(n_4928), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g798278 (
+	.A(n_4612),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_r[8]),
+	.Y(n_4927), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g798279 (
+	.A1(n_2649),
+	.A2(n_1339),
+	.B1(n_34647),
+	.B2(n_34421),
+	.C1(n_34598),
+	.Y(n_4926), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g798280 (
+	.A1(n_2648),
+	.A2(n_1339),
+	.B1(n_34647),
+	.B2(n_34422),
+	.C1(n_34607),
+	.Y(n_4925), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g798281 (
+	.A1(n_2964),
+	.A2(n_1339),
+	.B1(n_34647),
+	.B2(n_34641),
+	.C1(n_34634),
+	.Y(n_4924), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g798282 (
+	.A1(n_2962),
+	.A2(n_1339),
+	.B1(n_34647),
+	.B2(n_34420),
+	.C1(n_34419),
+	.Y(n_4923), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g798283 (
+	.A(n_4337),
+	.B(n_4039),
+	.C(n_3395),
+	.D(n_3381),
+	.Y(n_4922), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g798284 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs2_ext_in[31]),
+	.B(n_31450),
+	.C(n_3922),
+	.X(brqrv_top_brqrv_exu_i_mul_rs2_ext_in[32]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g798285 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_r[3]),
+	.B(n_4603),
+	.Y(n_4921), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g798286 (
+	.A(n_3719),
+	.B_N(n_4691),
+	.Y(n_4920), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g798287 (
+	.A(n_37275),
+	.B(n_4106),
+	.C(n_4214),
+	.Y(n_4919), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g798288 (
+	.A(n_37273),
+	.B(n_4104),
+	.C(n_4214),
+	.Y(n_4918), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g798289 (
+	.A1(n_2955),
+	.A2(n_3722),
+	.B1(n_4214),
+	.C1(n_37274),
+	.Y(n_4917), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g798290 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[1]),
+	.A2(n_2647),
+	.B1(n_3709),
+	.B2(n_36093),
+	.C1(n_36092),
+	.C2(n_11604),
+	.Y(n_4916), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g798291 (
+	.A(n_3695),
+	.B_N(n_4386),
+	.Y(n_4915), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g798292 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[2]),
+	.A2(n_2647),
+	.B1(n_3709),
+	.B2(n_36095),
+	.C1(n_36094),
+	.C2(n_11604),
+	.Y(n_4914), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g798293 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[3]),
+	.A2(n_2647),
+	.B1(n_3709),
+	.B2(n_36097),
+	.C1(n_36096),
+	.C2(n_11604),
+	.Y(n_4913), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g798295 (
+	.A(n_43405),
+	.B(n_4625),
+	.Y(n_4911), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g798296 (
+	.A(n_4002),
+	.B(n_4394),
+	.Y(n_4910), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g798297 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[4]),
+	.A2(n_2647),
+	.B1(n_3709),
+	.B2(n_36099),
+	.C1(n_36098),
+	.C2(n_11604),
+	.Y(n_4909), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g798298 (
+	.A(n_41757),
+	.B(n_1335),
+	.Y(n_4908), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g798299 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[5]),
+	.A2(n_2647),
+	.B1(n_3709),
+	.B2(n_36101),
+	.C1(n_36100),
+	.C2(n_11604),
+	.Y(n_4907), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g798300 (
+	.A(n_41757),
+	.B(n_3908),
+	.Y(n_4906), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g798301 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[6]),
+	.A2(n_2647),
+	.B1(n_3709),
+	.B2(n_36103),
+	.C1(n_36102),
+	.C2(n_11604),
+	.Y(n_4905), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g798302 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[7]),
+	.A2(n_2647),
+	.B1(n_3709),
+	.B2(n_36105),
+	.C1(n_36104),
+	.C2(n_11604),
+	.Y(n_4904), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g798303 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[8]),
+	.A2(n_2646),
+	.B1(n_3712),
+	.B2(n_36074),
+	.C1(n_36073),
+	.C2(n_11604),
+	.Y(n_4903), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g798304 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[9]),
+	.A2(n_2646),
+	.B1(n_3712),
+	.B2(n_36076),
+	.C1(n_36075),
+	.C2(n_11604),
+	.Y(n_4902), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g798305 (
+	.A(n_4690),
+	.B(n_3515),
+	.Y(n_4901), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g798306 (
+	.A1(n_34705),
+	.A2(n_34703),
+	.B1_N(n_4690),
+	.Y(n_4900), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g798307 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[10]),
+	.A2(n_2646),
+	.B1(n_3712),
+	.B2(n_36078),
+	.C1(n_36077),
+	.C2(n_11604),
+	.Y(n_4899), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g798308 (
+	.A1(n_43591),
+	.A2(n_3893),
+	.B1(n_4588),
+	.Y(brqrv_top_brqrv_dbg_command_regno_wren), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31o_1 g798309 (
+	.A1(n_1971),
+	.A2(brqrv_top_brqrv_dbg_dmstatus_resumeack),
+	.A3(brqrv_top_brqrv_dbg_dmstatus_halted),
+	.B1(brqrv_top_brqrv_dbg_dmstatus_resumeack_din),
+	.X(brqrv_top_brqrv_dbg_dmstatus_resumeack_wren), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g798310 (
+	.A1(n_3877),
+	.A2(n_33328),
+	.B1(n_1846),
+	.Y(n_4898), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g798311 (
+	.A1(n_3016),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [3]),
+	.B1(n_3028),
+	.C1(n_4120),
+	.Y(n_4897), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g798312 (
+	.A1(n_4214),
+	.A2(n_4232),
+	.B1(n_37280),
+	.Y(n_4896), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g798313 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[11]),
+	.A2(n_2646),
+	.B1(n_3712),
+	.B2(n_36080),
+	.C1(n_36079),
+	.C2(n_11604),
+	.Y(n_4895), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g798314 (
+	.A1(n_2996),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_timer[1]),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_timer[2]),
+	.Y(n_4894), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g798315 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[12]),
+	.A2(n_2646),
+	.B1(n_3712),
+	.B2(n_36082),
+	.C1(n_36081),
+	.C2(n_11604),
+	.Y(n_4893), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g798316 (
+	.A1(n_4242),
+	.A2(brqrv_top_brqrv_dec_tlu_mcyclela_cout),
+	.B1(n_4582),
+	.X(brqrv_top_brqrv_dec_tlu_n_3315), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o31ai_1 g798317 (
+	.A1(n_2849),
+	.A2(n_3532),
+	.A3(n_35414),
+	.B1(n_31601),
+	.Y(n_4892), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32o_1 g798318 (
+	.A1(n_4082),
+	.A2(n_3147),
+	.A3(brqrv_top_brqrv_dma_ctrl_fifo_valid[0]),
+	.B1(n_4067),
+	.B2(brqrv_top_brqrv_dma_ctrl_bus_cmd_sent),
+	.X(brqrv_top_brqrv_dma_ctrl_fifo_full_spec), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g798319 (
+	.A1(brqrv_top_brqrv_dbg_n_18),
+	.A2(n_3089),
+	.B1(n_4718),
+	.Y(n_4891), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g798320 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[13]),
+	.A2(n_2646),
+	.B1(n_3712),
+	.B2(n_36084),
+	.C1(n_36083),
+	.C2(n_11604),
+	.Y(n_4890), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g798321 (
+	.A(n_4429),
+	.B(n_4358),
+	.Y(n_4889), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g798322 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[14]),
+	.A2(n_2646),
+	.B1(n_3712),
+	.B2(n_36086),
+	.C1(n_36085),
+	.C2(n_11604),
+	.Y(n_4888), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g798323 (
+	.A1(n_34743),
+	.A2(n_35706),
+	.B1(n_4711),
+	.Y(n_4887), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g798324 (
+	.A1(n_3695),
+	.A2(n_3734),
+	.B1_N(n_4382),
+	.Y(n_4886), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g798325 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[15]),
+	.A2(n_2646),
+	.B1(n_3712),
+	.B2(n_36088),
+	.C1(n_36087),
+	.C2(n_11604),
+	.Y(n_4885), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g798326 (
+	.A(n_34162),
+	.B(n_1550),
+	.C(n_4226),
+	.Y(brqrv_top_brqrv_dec_tlu_wr_meivt_r), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g798327 (
+	.A1(n_4263),
+	.A2(brqrv_top_brqrv_dec_tlu_mip[3]),
+	.B1(n_3902),
+	.Y(n_4884), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g798328 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[16]),
+	.A2(n_2953),
+	.B1(n_3451),
+	.B2(n_36057),
+	.C1(n_36056),
+	.C2(n_11604),
+	.Y(n_4883), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g798329 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[17]),
+	.A2(n_2953),
+	.B1(n_3451),
+	.B2(n_36059),
+	.C1(n_36058),
+	.C2(n_11604),
+	.Y(n_4882), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g798330 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[18]),
+	.A2(n_2953),
+	.B1(n_3451),
+	.B2(n_36061),
+	.C1(n_36060),
+	.C2(n_11604),
+	.Y(n_4881), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g798331 (
+	.A(n_37270),
+	.B(n_3997),
+	.C(n_4214),
+	.Y(n_4880), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g798332 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[19]),
+	.A2(n_2953),
+	.B1(n_3451),
+	.B2(n_36063),
+	.C1(n_36062),
+	.C2(n_11604),
+	.Y(n_4879), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g798333 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[20]),
+	.A2(n_2953),
+	.B1(n_3451),
+	.B2(n_36065),
+	.C1(n_36064),
+	.C2(n_11604),
+	.Y(n_4878), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g798334 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[21]),
+	.A2(n_2953),
+	.B1(n_3451),
+	.B2(n_36067),
+	.C1(n_36066),
+	.C2(n_11604),
+	.Y(n_4877), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g798335 (
+	.A(n_37272),
+	.B(n_3722),
+	.C(n_4214),
+	.Y(n_4876), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111oi_0 g798336 (
+	.A1(brqrv_top_brqrv_dec_tlu_pc_r[27]),
+	.A2(n_2026),
+	.B1(n_2887),
+	.C1(n_3592),
+	.D1(n_4142),
+	.Y(n_4875), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g798337 (
+	.A1(brqrv_top_brqrv_dec_tlu_npc_r_d1[30]),
+	.A2(n_1995),
+	.B1(n_3597),
+	.C1(n_3215),
+	.D1(n_4155),
+	.Y(n_4874), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g798338 (
+	.A(n_43418),
+	.B(n_4309),
+	.Y(n_4873), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g798339 (
+	.A(n_4297),
+	.B(n_4298),
+	.Y(n_4872), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g798341 (
+	.A1(n_1835),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[34]),
+	.B1(brqrv_top_brqrv_lsu_lsu_addr_r[10]),
+	.B2(n_1596),
+	.C1(n_4353),
+	.Y(n_4870), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g798342 (
+	.A(n_4107),
+	.B(n_3296),
+	.C(n_3295),
+	.D(n_3294),
+	.Y(n_4869), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g798343 (
+	.A(n_37268),
+	.B(n_4214),
+	.C(n_2952),
+	.Y(n_4868), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g798344 (
+	.A(n_37266),
+	.B(n_4000),
+	.C(n_4214),
+	.Y(n_4867), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g798345 (
+	.A(n_3277),
+	.B(n_3278),
+	.C(n_3279),
+	.D(n_33871),
+	.Y(n_4866), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g798346 (
+	.A(n_4690),
+	.B(n_3727),
+	.C(brqrv_top_brqrv_ifu_aln_f2val[1]),
+	.Y(n_4865), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g798347 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[22]),
+	.A2(n_2953),
+	.B1(n_3451),
+	.B2(n_36069),
+	.C1(n_36068),
+	.C2(n_11604),
+	.Y(n_4864), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4b_1 g798348 (
+	.A(brqrv_top_brqrv_dbg_sbcs_reg[14]),
+	.B(brqrv_top_brqrv_dbg_sbcs_reg[13]),
+	.C(n_3758),
+	.D_N(brqrv_top_brqrv_dbg_sbcs_reg_int[16]),
+	.Y(n_4863), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g798349 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[23]),
+	.A2(n_2953),
+	.B1(n_3451),
+	.B2(n_36071),
+	.C1(n_36070),
+	.C2(n_11604),
+	.Y(n_4862), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g798350 (
+	.A(n_3738),
+	.B(n_3711),
+	.C(n_34565),
+	.D(n_35527),
+	.Y(n_4861), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g798351 (
+	.A1(n_3716),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_rspageQ[6]),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_rspageQ[7]),
+	.B2(n_3710),
+	.C1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_rspageQ[4]),
+	.C2(n_3718),
+	.Y(n_4860), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g798352 (
+	.A(n_3947),
+	.B(n_4037),
+	.C(n_3244),
+	.D(n_3946),
+	.Y(n_4859), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g798353 (
+	.A(n_4327),
+	.B(n_4313),
+	.Y(n_4858), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g798354 (
+	.A(n_4339),
+	.B(n_3226),
+	.C(n_3228),
+	.D(n_3443),
+	.Y(n_4857), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g798355 (
+	.A(n_3943),
+	.B(n_3942),
+	.C(n_3245),
+	.Y(n_4856), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g798357 (
+	.A(n_43398),
+	.B(n_4283),
+	.Y(n_4854), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g798358 (
+	.A(n_4296),
+	.B(n_43400),
+	.Y(n_4853), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g798359 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_done_bus[4]),
+	.A2(n_3698),
+	.B1(n_3703),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_done_bus[2]),
+	.C1(n_3706),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_done_bus[1]),
+	.Y(n_4852), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4b_1 g798360 (
+	.A_N(n_3730),
+	.B(n_3715),
+	.C(n_34859),
+	.D(n_34566),
+	.Y(n_4851), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4b_1 g798361 (
+	.A_N(n_3736),
+	.B(n_3713),
+	.C(n_34889),
+	.D(n_34567),
+	.Y(n_4850), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g798362 (
+	.A1(n_4116),
+	.A2(n_2906),
+	.A3(n_2864),
+	.B1(brqrv_top_brqrv_dec_tlu_mfdc_int[6]),
+	.Y(n_5067), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111oi_0 g798363 (
+	.A1(n_1675),
+	.A2(brqrv_top_brqrv_dec_tlu_int_timers_mitctl1[3]),
+	.B1(n_4250),
+	.C1(brqrv_top_brqrv_dec_tlu_int_timers_mitctl1_0_b),
+	.D1(n_3642),
+	.Y(n_5066), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g798364 (
+	.A1(brqrv_top_brqrv_dec_dec_csr_wrdata_r[27]),
+	.A2(n_3970),
+	.B1_N(n_4700),
+	.Y(n_5065), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g798365 (
+	.A(brqrv_top_brqrv_n_51),
+	.B(n_4222),
+	.C(n_1556),
+	.Y(n_5064), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g798366 (
+	.A(brqrv_top_brqrv_dma_ctrl_WrPtr[2]),
+	.B(n_4713),
+	.Y(n_5063), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g798367 (
+	.A(n_4707),
+	.B_N(n_4709),
+	.Y(brqrv_top_brqrv_lsu_stbuf_reqvld_flushed_any), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g798368 (
+	.A(n_3715),
+	.B(n_3717),
+	.C(n_3711),
+	.D(n_3713),
+	.Y(n_5061), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g798369 (
+	.A(n_43405),
+	.B(n_4600),
+	.Y(n_5060), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g798370 (
+	.A(n_43405),
+	.B(n_4602),
+	.Y(n_5059), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g798371 (
+	.A1(n_1618),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_valid),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_3460),
+	.C1(n_3795),
+	.Y(n_5058), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g798372 (
+	.A1(n_1662),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_valid),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_3467),
+	.C1(n_3802),
+	.Y(n_5057), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g798373 (
+	.A(brqrv_top_brqrv_dec_tlu_mcyclel_cout),
+	.B(n_4242),
+	.C(n_4581),
+	.X(brqrv_top_brqrv_dec_tlu_n_3397), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g798374 (
+	.A1(n_3544),
+	.A2(brqrv_top_brqrv_dbg_n_4432),
+	.B1(n_2729),
+	.B2(brqrv_top_brqrv_dbg_n_4428),
+	.C1(n_2730),
+	.Y(n_5056), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g798375 (
+	.A(n_2932),
+	.B(n_35374),
+	.C(n_4220),
+	.Y(brqrv_top_brqrv_dbg_sbcs_wren), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g798376 (
+	.A1(n_4102),
+	.A2(n_36248),
+	.B1(n_35400),
+	.Y(n_5055), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g798377 (
+	.A1(brqrv_top_brqrv_dec_tlu_take_nmi_r_d1),
+	.A2(FE_DBTN26_brqrv_top_brqrv_dec_tlu_nmi_lsu_load_type_f),
+	.B1(n_4398),
+	.Y(brqrv_top_brqrv_dec_tlu_nmi_lsu_load_type), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g798379 (
+	.A1(n_1616),
+	.A2(n_34696),
+	.B1(n_4343),
+	.Y(brqrv_top_brqrv_ifu_ifc_fb_write_ns[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g798380 (
+	.A(n_1387),
+	.B(n_4348),
+	.Y(brqrv_top_brqrv_ifu_ifc_fb_write_ns[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g798381 (
+	.A1(n_4024),
+	.A2(brqrv_top_brqrv_ifu_ifc_miss_f),
+	.B1(n_3549),
+	.X(brqrv_top_brqrv_ifu_ifc_next_state[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g798382 (
+	.A1(n_42163),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[27]),
+	.B1(n_1726),
+	.B2(n_3530),
+	.C1(brqrv_top_brqrv_dec_dec_csr_wrdata_r[11]),
+	.Y(n_5052), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g798383 (
+	.A(n_1846),
+	.B(n_4724),
+	.Y(n_5051), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g798384 (
+	.A(n_4724),
+	.B(brqrv_top_brqrv_dec_tlu_exc_or_int_valid_r),
+	.Y(n_5050), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g798385 (
+	.A1(n_1637),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_valid),
+	.B1(n_34869),
+	.C1(n_3894),
+	.Y(n_5049), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g798386 (
+	.A(brqrv_top_brqrv_dec_dec_csr_wraddr_r[7]),
+	.B(n_34667),
+	.C(n_4238),
+	.X(n_5048), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g798387 (
+	.A(n_4734),
+	.B(n_4224),
+	.Y(n_5046), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g798388 (
+	.A(brqrv_top_brqrv_dec_dec_csr_wraddr_r[7]),
+	.B(n_3786),
+	.C(n_4238),
+	.X(n_5045), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g798389 (
+	.A(n_1335),
+	.B(n_35784),
+	.Y(n_5044), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_2 g798390 (
+	.A(n_4736),
+	.B(n_3100),
+	.Y(n_5042), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g798391 (
+	.A(n_34659),
+	.B(n_4623),
+	.X(n_5041), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g798392 (
+	.A1(n_34662),
+	.A2(n_4226),
+	.B1(n_33839),
+	.Y(brqrv_top_brqrv_dec_tlu_wr_meicpct_r), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g798393 (
+	.A(n_4624),
+	.B(n_3746),
+	.Y(n_5040), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 g798394 (
+	.A(n_4708),
+	.B(n_3511),
+	.X(n_5039), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g798395 (
+	.A1(brqrv_top_brqrv_dec_tlu_dbg_tlu_halted),
+	.A2(n_3963),
+	.B1_N(brqrv_top_brqrv_dec_tlu_enter_debug_halt_req),
+	.Y(n_5037), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g798396 (
+	.A(n_4700),
+	.B(n_3791),
+	.Y(n_5035), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_0 g798397 (
+	.A(n_2957),
+	.B(n_43069),
+	.X(n_5034), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g798398 (
+	.A(n_34662),
+	.B_N(n_4624),
+	.Y(n_5033), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g798399 (
+	.A(n_4591),
+	.B(n_3774),
+	.Y(n_5031), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g798400 (
+	.A(n_3773),
+	.B(n_4590),
+	.X(n_5030), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_2 g798401 (
+	.A(brqrv_top_brqrv_dec_dec_csr_wraddr_r[3]),
+	.B(n_35115),
+	.C(n_34660),
+	.D(n_3735),
+	.X(n_5029), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g798403 (
+	.A(n_4848),
+	.Y(\brqrv_top_mem_iccm.iccm_addr_bank [26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g798404 (
+	.A(n_4847),
+	.Y(\brqrv_top_mem_iccm.iccm_addr_bank [25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g798405 (
+	.A(n_4846),
+	.Y(\brqrv_top_mem_iccm.iccm_addr_bank [27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g798406 (
+	.A(n_4845),
+	.Y(\brqrv_top_mem_iccm.iccm_addr_bank [24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g798407 (
+	.A(brqrv_top_n_974),
+	.Y(n_4843), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798408 (
+	.A1_N(n_37842),
+	.A2_N(n_42995),
+	.B1(n_1805),
+	.B2(n_42995),
+	.Y(brqrv_top_brqrv_dec_tlu_minstreth_ns[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g798409 (
+	.A1(n_4228),
+	.A2(n_34686),
+	.B1(n_2011),
+	.B2(n_34688),
+	.C1(n_3910),
+	.Y(n_4841), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g798410 (
+	.A1(n_1962),
+	.A2(n_3757),
+	.B1(n_1407),
+	.Y(brqrv_top_brqrv_dma_ctrl_nack_count_dff_n_9), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g798411 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [0]),
+	.A2(n_2998),
+	.B1(n_34259),
+	.B2(n_3739),
+	.C1(n_3769),
+	.Y(n_4840), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g798412 (
+	.A1(n_3695),
+	.A2(n_3719),
+	.B1_N(n_4382),
+	.Y(n_4839), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g798413 (
+	.A1(n_1539),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[40]),
+	.B1(n_3292),
+	.C1(n_3301),
+	.D1(n_3885),
+	.Y(n_4838), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798414 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mfdht[5]),
+	.A2_N(n_3982),
+	.B1(n_1805),
+	.B2(n_3982),
+	.Y(brqrv_top_brqrv_dec_tlu_mfdht_ff_n_19), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798415 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mfdht[4]),
+	.A2_N(n_3982),
+	.B1(n_1508),
+	.B2(n_3982),
+	.Y(brqrv_top_brqrv_dec_tlu_mfdht_ff_n_18), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g798416 (
+	.A1(n_4232),
+	.A2(n_2955),
+	.B1(n_4214),
+	.X(n_4837), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798417 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mfdht[3]),
+	.A2_N(n_3982),
+	.B1(n_1802),
+	.B2(n_3982),
+	.Y(brqrv_top_brqrv_dec_tlu_mfdht_ff_n_17), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798418 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mfdht[0]),
+	.A2_N(n_3982),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_298),
+	.B2(n_3982),
+	.Y(brqrv_top_brqrv_dec_tlu_mfdht_ff_n_14), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798419 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mfdht[2]),
+	.A2_N(n_3982),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_300),
+	.B2(n_3982),
+	.Y(brqrv_top_brqrv_dec_tlu_mfdht_ff_n_16), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798420 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mfdht[1]),
+	.A2_N(n_3982),
+	.B1(n_1790),
+	.B2(n_3982),
+	.Y(brqrv_top_brqrv_dec_tlu_mfdht_ff_n_15), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g798421 (
+	.A1(n_4000),
+	.A2(n_3696),
+	.B1(n_4214),
+	.X(n_4836), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g798422 (
+	.A(brqrv_top_brqrv_ifu_ifc_state[0]),
+	.B(brqrv_top_brqrv_ifu_ifc_next_state[0]),
+	.Y(n_4835), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g798423 (
+	.A1(n_2955),
+	.A2(n_3696),
+	.B1(n_4382),
+	.X(n_4834), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g798424 (
+	.A1(n_3997),
+	.A2(n_3696),
+	.B1(n_4214),
+	.X(n_4833), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g798425 (
+	.A(brqrv_top_brqrv_dec_tlu_ext_int_freeze_d1),
+	.B(brqrv_top_brqrv_dec_tlu_ext_int_freeze),
+	.Y(n_4832), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g798426 (
+	.A1(n_1809),
+	.A2(n_4216),
+	.B1(n_4549),
+	.Y(brqrv_top_brqrv_dec_tlu_mcgc_ns[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798427 (
+	.A1_N(n_4203),
+	.A2_N(n_37608),
+	.B1(n_1808),
+	.B2(n_4203),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc3h_ns[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798428 (
+	.A1_N(n_4203),
+	.A2_N(n_37607),
+	.B1(n_1513),
+	.B2(n_4203),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc3h_ns[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g798429 (
+	.A1(n_4202),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[29]),
+	.B1(n_37606),
+	.B2(n_4203),
+	.X(brqrv_top_brqrv_dec_tlu_mhpmc3h_ns[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g798430 (
+	.A1(n_4202),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[28]),
+	.B1(n_37605),
+	.B2(n_4203),
+	.X(brqrv_top_brqrv_dec_tlu_mhpmc3h_ns[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798431 (
+	.A1_N(n_4203),
+	.A2_N(n_37604),
+	.B1(brqrv_top_brqrv_dec_tlu_n_6062),
+	.B2(n_4203),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc3h_ns[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798432 (
+	.A1_N(n_4203),
+	.A2_N(n_37603),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_324),
+	.B2(n_4203),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc3h_ns[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798433 (
+	.A1_N(n_4203),
+	.A2_N(n_37602),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_323),
+	.B2(n_4203),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc3h_ns[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798434 (
+	.A1_N(n_4203),
+	.A2_N(n_37601),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_322),
+	.B2(n_4203),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc3h_ns[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798435 (
+	.A1_N(n_4203),
+	.A2_N(n_37600),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_321),
+	.B2(n_4203),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc3h_ns[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798436 (
+	.A1_N(n_4203),
+	.A2_N(n_37599),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_320),
+	.B2(n_4203),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc3h_ns[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798437 (
+	.A1_N(n_4203),
+	.A2_N(n_37598),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_319),
+	.B2(n_4203),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc3h_ns[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798438 (
+	.A1_N(n_4203),
+	.A2_N(n_37597),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_318),
+	.B2(n_4203),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc3h_ns[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798439 (
+	.A1_N(n_4203),
+	.A2_N(n_37596),
+	.B1(brqrv_top_brqrv_dec_tlu_n_6058),
+	.B2(n_4203),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc3h_ns[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798440 (
+	.A1_N(n_4203),
+	.A2_N(n_37595),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_316),
+	.B2(n_4203),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc3h_ns[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798441 (
+	.A1_N(n_4203),
+	.A2_N(n_37594),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_315),
+	.B2(n_4203),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc3h_ns[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798442 (
+	.A1_N(n_4203),
+	.A2_N(n_37593),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_314),
+	.B2(n_4203),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc3h_ns[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798443 (
+	.A1_N(n_4203),
+	.A2_N(n_37592),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_313),
+	.B2(n_4203),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc3h_ns[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798444 (
+	.A1_N(n_4203),
+	.A2_N(n_37591),
+	.B1(n_1518),
+	.B2(n_4203),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc3h_ns[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798445 (
+	.A1_N(n_4203),
+	.A2_N(n_37590),
+	.B1(n_1519),
+	.B2(n_4203),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc3h_ns[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798446 (
+	.A1_N(n_4203),
+	.A2_N(n_37589),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_310),
+	.B2(n_4203),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc3h_ns[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798447 (
+	.A1_N(n_4203),
+	.A2_N(n_37588),
+	.B1(n_1511),
+	.B2(n_4203),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc3h_ns[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798448 (
+	.A1_N(n_4203),
+	.A2_N(n_37587),
+	.B1(n_1514),
+	.B2(n_4203),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc3h_ns[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798449 (
+	.A1_N(n_4203),
+	.A2_N(n_37586),
+	.B1(brqrv_top_brqrv_dec_tlu_n_3024),
+	.B2(n_4203),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc3h_ns[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798450 (
+	.A1_N(n_4203),
+	.A2_N(n_37585),
+	.B1(n_1809),
+	.B2(n_4203),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc3h_ns[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798451 (
+	.A1_N(n_4203),
+	.A2_N(n_37584),
+	.B1(n_1507),
+	.B2(n_4203),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc3h_ns[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798452 (
+	.A1_N(n_4203),
+	.A2_N(n_37583),
+	.B1(n_1506),
+	.B2(n_4203),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc3h_ns[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798453 (
+	.A1_N(n_4203),
+	.A2_N(n_37582),
+	.B1(n_1805),
+	.B2(n_4203),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc3h_ns[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798454 (
+	.A1_N(n_4203),
+	.A2_N(n_37581),
+	.B1(n_1508),
+	.B2(n_4203),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc3h_ns[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798455 (
+	.A1_N(n_4203),
+	.A2_N(n_37580),
+	.B1(n_1802),
+	.B2(n_4203),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc3h_ns[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798456 (
+	.A1_N(n_4203),
+	.A2_N(n_37579),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_300),
+	.B2(n_4203),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc3h_ns[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798457 (
+	.A1_N(n_4203),
+	.A2_N(n_37578),
+	.B1(n_1790),
+	.B2(n_4203),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc3h_ns[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798458 (
+	.A1_N(n_4203),
+	.A2_N(n_37577),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_298),
+	.B2(n_4203),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc3h_ns[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g798459 (
+	.A1(n_1805),
+	.A2(n_4216),
+	.B1(n_4546),
+	.Y(brqrv_top_brqrv_dec_tlu_mcgc_ns[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g798460 (
+	.A1(n_11528),
+	.A2(n_3957),
+	.B1(FE_DBTN14_n_11528),
+	.B2(n_4005),
+	.Y(n_4831), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g798461 (
+	.A1(n_11528),
+	.A2(n_3909),
+	.B1(FE_DBTN14_n_11528),
+	.B2(n_4004),
+	.Y(n_4830), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g798462 (
+	.A1(n_1507),
+	.A2(n_4216),
+	.B1(n_4548),
+	.Y(brqrv_top_brqrv_dec_tlu_mcgc_ns[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g798463 (
+	.A1(n_1506),
+	.A2(n_4216),
+	.B1(n_4547),
+	.Y(brqrv_top_brqrv_dec_tlu_mcgc_ns[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798464 (
+	.A1_N(n_3975),
+	.A2_N(n_37450),
+	.B1(n_1808),
+	.B2(n_3975),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc6_ns[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798465 (
+	.A1_N(n_3975),
+	.A2_N(n_37449),
+	.B1(n_1513),
+	.B2(n_3975),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc6_ns[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g798466 (
+	.A1(n_3974),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[29]),
+	.B1(n_37448),
+	.B2(n_3975),
+	.X(brqrv_top_brqrv_dec_tlu_mhpmc6_ns[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g798467 (
+	.A1(n_3974),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[28]),
+	.B1(n_37447),
+	.B2(n_3975),
+	.X(brqrv_top_brqrv_dec_tlu_mhpmc6_ns[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798468 (
+	.A1_N(n_3975),
+	.A2_N(n_37446),
+	.B1(brqrv_top_brqrv_dec_tlu_n_6062),
+	.B2(n_3975),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc6_ns[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798469 (
+	.A1_N(n_3975),
+	.A2_N(n_37445),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_324),
+	.B2(n_3975),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc6_ns[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798470 (
+	.A1_N(n_3975),
+	.A2_N(n_37444),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_323),
+	.B2(n_3975),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc6_ns[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798471 (
+	.A1_N(n_37443),
+	.A2_N(n_3975),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_322),
+	.B2(n_3975),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc6_ns[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798472 (
+	.A1_N(n_3975),
+	.A2_N(n_37442),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_321),
+	.B2(n_3975),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc6_ns[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798473 (
+	.A1_N(n_3975),
+	.A2_N(n_37441),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_320),
+	.B2(n_3975),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc6_ns[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798474 (
+	.A1_N(n_3975),
+	.A2_N(n_37440),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_319),
+	.B2(n_3975),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc6_ns[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798475 (
+	.A1_N(n_37439),
+	.A2_N(n_3975),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_318),
+	.B2(n_3975),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc6_ns[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798476 (
+	.A1_N(n_3975),
+	.A2_N(n_37438),
+	.B1(brqrv_top_brqrv_dec_tlu_n_6058),
+	.B2(n_3975),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc6_ns[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798477 (
+	.A1_N(n_3975),
+	.A2_N(n_37437),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_316),
+	.B2(n_3975),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc6_ns[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798478 (
+	.A1_N(n_37436),
+	.A2_N(n_3975),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_315),
+	.B2(n_3975),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc6_ns[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798479 (
+	.A1_N(n_37435),
+	.A2_N(n_3975),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_314),
+	.B2(n_3975),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc6_ns[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798480 (
+	.A1_N(n_3975),
+	.A2_N(n_37434),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_313),
+	.B2(n_3975),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc6_ns[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798481 (
+	.A1_N(n_3975),
+	.A2_N(n_37433),
+	.B1(n_1518),
+	.B2(n_3975),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc6_ns[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798482 (
+	.A1_N(n_37432),
+	.A2_N(n_3975),
+	.B1(n_1519),
+	.B2(n_3975),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc6_ns[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798483 (
+	.A1_N(n_37431),
+	.A2_N(n_3975),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_310),
+	.B2(n_3975),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc6_ns[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798484 (
+	.A1_N(n_3975),
+	.A2_N(n_37430),
+	.B1(n_1511),
+	.B2(n_3975),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc6_ns[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798485 (
+	.A1_N(n_37429),
+	.A2_N(n_3975),
+	.B1(n_1514),
+	.B2(n_3975),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc6_ns[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798486 (
+	.A1_N(n_37428),
+	.A2_N(n_3975),
+	.B1(brqrv_top_brqrv_dec_tlu_n_3024),
+	.B2(n_3975),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc6_ns[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798487 (
+	.A1_N(n_37427),
+	.A2_N(n_3975),
+	.B1(n_1809),
+	.B2(n_3975),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc6_ns[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798488 (
+	.A1_N(n_37426),
+	.A2_N(n_3975),
+	.B1(n_1507),
+	.B2(n_3975),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc6_ns[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798489 (
+	.A1_N(n_37425),
+	.A2_N(n_3975),
+	.B1(n_1506),
+	.B2(n_3975),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc6_ns[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798490 (
+	.A1_N(n_37424),
+	.A2_N(n_3975),
+	.B1(n_1805),
+	.B2(n_3975),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc6_ns[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798491 (
+	.A1_N(n_37423),
+	.A2_N(n_3975),
+	.B1(n_1508),
+	.B2(n_3975),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc6_ns[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798492 (
+	.A1_N(n_37422),
+	.A2_N(n_3975),
+	.B1(n_1802),
+	.B2(n_3975),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc6_ns[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798493 (
+	.A1_N(n_37421),
+	.A2_N(n_3975),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_300),
+	.B2(n_3975),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc6_ns[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798494 (
+	.A1_N(n_37420),
+	.A2_N(n_3975),
+	.B1(n_1790),
+	.B2(n_3975),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc6_ns[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798495 (
+	.A1_N(n_4205),
+	.A2_N(n_37545),
+	.B1(n_1808),
+	.B2(n_4205),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc4h_ns[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798496 (
+	.A1_N(n_4205),
+	.A2_N(n_37544),
+	.B1(n_1513),
+	.B2(n_4205),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc4h_ns[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g798497 (
+	.A1(n_4204),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[29]),
+	.B1(n_37543),
+	.B2(n_4205),
+	.X(brqrv_top_brqrv_dec_tlu_mhpmc4h_ns[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g798498 (
+	.A1(n_4204),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[28]),
+	.B1(n_37542),
+	.B2(n_4205),
+	.X(brqrv_top_brqrv_dec_tlu_mhpmc4h_ns[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798499 (
+	.A1_N(n_4205),
+	.A2_N(n_37541),
+	.B1(brqrv_top_brqrv_dec_tlu_n_6062),
+	.B2(n_4205),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc4h_ns[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798500 (
+	.A1_N(n_4205),
+	.A2_N(n_37540),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_324),
+	.B2(n_4205),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc4h_ns[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798501 (
+	.A1_N(n_4205),
+	.A2_N(n_37539),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_323),
+	.B2(n_4205),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc4h_ns[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798502 (
+	.A1_N(n_4205),
+	.A2_N(n_37538),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_322),
+	.B2(n_4205),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc4h_ns[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798503 (
+	.A1_N(n_4205),
+	.A2_N(n_37537),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_321),
+	.B2(n_4205),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc4h_ns[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798504 (
+	.A1_N(n_4205),
+	.A2_N(n_37536),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_320),
+	.B2(n_4205),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc4h_ns[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798505 (
+	.A1_N(n_4205),
+	.A2_N(n_37535),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_319),
+	.B2(n_4205),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc4h_ns[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798506 (
+	.A1_N(n_4205),
+	.A2_N(n_37534),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_318),
+	.B2(n_4205),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc4h_ns[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798507 (
+	.A1_N(n_4205),
+	.A2_N(n_37533),
+	.B1(brqrv_top_brqrv_dec_tlu_n_6058),
+	.B2(n_4205),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc4h_ns[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798508 (
+	.A1_N(n_4205),
+	.A2_N(n_37532),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_316),
+	.B2(n_4205),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc4h_ns[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798509 (
+	.A1_N(n_4205),
+	.A2_N(n_37531),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_315),
+	.B2(n_4205),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc4h_ns[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798510 (
+	.A1_N(n_4205),
+	.A2_N(n_37530),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_314),
+	.B2(n_4205),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc4h_ns[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798511 (
+	.A1_N(n_4205),
+	.A2_N(n_37529),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_313),
+	.B2(n_4205),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc4h_ns[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798512 (
+	.A1_N(n_4205),
+	.A2_N(n_37528),
+	.B1(n_1518),
+	.B2(n_4205),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc4h_ns[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798513 (
+	.A1_N(n_4205),
+	.A2_N(n_37527),
+	.B1(n_1519),
+	.B2(n_4205),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc4h_ns[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798514 (
+	.A1_N(n_4205),
+	.A2_N(n_37526),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_310),
+	.B2(n_4205),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc4h_ns[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798515 (
+	.A1_N(n_4205),
+	.A2_N(n_37524),
+	.B1(n_1514),
+	.B2(n_4205),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc4h_ns[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798516 (
+	.A1_N(n_4205),
+	.A2_N(n_37523),
+	.B1(brqrv_top_brqrv_dec_tlu_n_3024),
+	.B2(n_4205),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc4h_ns[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798517 (
+	.A1_N(n_4205),
+	.A2_N(n_37522),
+	.B1(n_1809),
+	.B2(n_4205),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc4h_ns[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798518 (
+	.A1_N(n_4205),
+	.A2_N(n_37521),
+	.B1(n_1507),
+	.B2(n_4205),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc4h_ns[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798519 (
+	.A1_N(n_4205),
+	.A2_N(n_37520),
+	.B1(n_1506),
+	.B2(n_4205),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc4h_ns[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798520 (
+	.A1_N(n_4205),
+	.A2_N(n_37519),
+	.B1(n_1805),
+	.B2(n_4205),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc4h_ns[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798521 (
+	.A1_N(n_4205),
+	.A2_N(n_37518),
+	.B1(n_1508),
+	.B2(n_4205),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc4h_ns[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798522 (
+	.A1_N(n_4205),
+	.A2_N(n_37516),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_300),
+	.B2(n_4205),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc4h_ns[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798523 (
+	.A1_N(n_4205),
+	.A2_N(n_37515),
+	.B1(n_1790),
+	.B2(n_4205),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc4h_ns[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798524 (
+	.A1_N(n_4205),
+	.A2_N(n_37514),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_298),
+	.B2(n_4205),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc4h_ns[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798525 (
+	.A1_N(n_4205),
+	.A2_N(n_37517),
+	.B1(n_1802),
+	.B2(n_4205),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc4h_ns[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798526 (
+	.A1_N(n_4205),
+	.A2_N(n_37525),
+	.B1(n_1511),
+	.B2(n_4205),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc4h_ns[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798527 (
+	.A1_N(n_42995),
+	.A2_N(n_37876),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_320),
+	.B2(n_42995),
+	.Y(brqrv_top_brqrv_dec_tlu_minstreth_ns[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798528 (
+	.A1_N(n_37834),
+	.A2_N(n_42995),
+	.B1(n_1790),
+	.B2(n_42995),
+	.Y(brqrv_top_brqrv_dec_tlu_minstreth_ns[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798529 (
+	.A1_N(n_42995),
+	.A2_N(n_37866),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_315),
+	.B2(n_42995),
+	.Y(brqrv_top_brqrv_dec_tlu_minstreth_ns[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798530 (
+	.A1_N(n_42995),
+	.A2_N(n_37858),
+	.B1(n_1519),
+	.B2(n_42995),
+	.Y(brqrv_top_brqrv_dec_tlu_minstreth_ns[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798531 (
+	.A1_N(n_42995),
+	.A2_N(n_37894),
+	.B1(n_1808),
+	.B2(n_42995),
+	.Y(brqrv_top_brqrv_dec_tlu_minstreth_ns[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798532 (
+	.A1_N(n_42995),
+	.A2_N(n_37892),
+	.B1(n_1513),
+	.B2(n_42995),
+	.Y(brqrv_top_brqrv_dec_tlu_minstreth_ns[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g798533 (
+	.A1(n_4199),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[29]),
+	.B1(n_37890),
+	.B2(n_42995),
+	.X(brqrv_top_brqrv_dec_tlu_minstreth_ns[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g798534 (
+	.A1(n_4199),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[28]),
+	.B1(n_37888),
+	.B2(n_42995),
+	.X(brqrv_top_brqrv_dec_tlu_minstreth_ns[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798535 (
+	.A1_N(n_42995),
+	.A2_N(n_37886),
+	.B1(brqrv_top_brqrv_dec_tlu_n_6062),
+	.B2(n_42995),
+	.Y(brqrv_top_brqrv_dec_tlu_minstreth_ns[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798536 (
+	.A1_N(n_42995),
+	.A2_N(n_37884),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_324),
+	.B2(n_42995),
+	.Y(brqrv_top_brqrv_dec_tlu_minstreth_ns[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798537 (
+	.A1_N(n_42995),
+	.A2_N(n_37882),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_323),
+	.B2(n_42995),
+	.Y(brqrv_top_brqrv_dec_tlu_minstreth_ns[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798538 (
+	.A1_N(n_42995),
+	.A2_N(n_37880),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_322),
+	.B2(n_42995),
+	.Y(brqrv_top_brqrv_dec_tlu_minstreth_ns[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798539 (
+	.A1_N(n_42995),
+	.A2_N(n_37878),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_321),
+	.B2(n_42995),
+	.Y(brqrv_top_brqrv_dec_tlu_minstreth_ns[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798540 (
+	.A1_N(n_42995),
+	.A2_N(n_37874),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_319),
+	.B2(n_42995),
+	.Y(brqrv_top_brqrv_dec_tlu_minstreth_ns[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798541 (
+	.A1_N(n_42995),
+	.A2_N(n_37872),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_318),
+	.B2(n_42995),
+	.Y(brqrv_top_brqrv_dec_tlu_minstreth_ns[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798542 (
+	.A1_N(n_42995),
+	.A2_N(n_37870),
+	.B1(brqrv_top_brqrv_dec_tlu_n_6058),
+	.B2(n_42995),
+	.Y(brqrv_top_brqrv_dec_tlu_minstreth_ns[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798543 (
+	.A1_N(n_42995),
+	.A2_N(n_37868),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_316),
+	.B2(n_42995),
+	.Y(brqrv_top_brqrv_dec_tlu_minstreth_ns[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798544 (
+	.A1_N(n_42995),
+	.A2_N(n_37864),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_314),
+	.B2(n_42995),
+	.Y(brqrv_top_brqrv_dec_tlu_minstreth_ns[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798545 (
+	.A1_N(n_42995),
+	.A2_N(n_37862),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_313),
+	.B2(n_42995),
+	.Y(brqrv_top_brqrv_dec_tlu_minstreth_ns[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798546 (
+	.A1_N(n_42995),
+	.A2_N(n_37860),
+	.B1(n_1518),
+	.B2(n_42995),
+	.Y(brqrv_top_brqrv_dec_tlu_minstreth_ns[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798547 (
+	.A1_N(n_42995),
+	.A2_N(n_37856),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_310),
+	.B2(n_42995),
+	.Y(brqrv_top_brqrv_dec_tlu_minstreth_ns[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798548 (
+	.A1_N(n_42995),
+	.A2_N(n_37854),
+	.B1(n_1511),
+	.B2(n_42995),
+	.Y(brqrv_top_brqrv_dec_tlu_minstreth_ns[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798549 (
+	.A1_N(n_42995),
+	.A2_N(n_37852),
+	.B1(n_1514),
+	.B2(n_42995),
+	.Y(brqrv_top_brqrv_dec_tlu_minstreth_ns[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798550 (
+	.A1_N(n_42995),
+	.A2_N(n_37850),
+	.B1(brqrv_top_brqrv_dec_tlu_n_3024),
+	.B2(n_42995),
+	.Y(brqrv_top_brqrv_dec_tlu_minstreth_ns[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798551 (
+	.A1_N(n_37848),
+	.A2_N(n_42995),
+	.B1(n_1809),
+	.B2(n_42995),
+	.Y(brqrv_top_brqrv_dec_tlu_minstreth_ns[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798552 (
+	.A1_N(n_42995),
+	.A2_N(n_37846),
+	.B1(n_1507),
+	.B2(n_42995),
+	.Y(brqrv_top_brqrv_dec_tlu_minstreth_ns[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798553 (
+	.A1_N(n_42995),
+	.A2_N(n_37844),
+	.B1(n_1506),
+	.B2(n_42995),
+	.Y(brqrv_top_brqrv_dec_tlu_minstreth_ns[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g798554 (
+	.A1(n_4229),
+	.A2(n_34686),
+	.B1(n_2000),
+	.B2(n_34688),
+	.C1(n_3924),
+	.Y(n_4829), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798555 (
+	.A1_N(n_37840),
+	.A2_N(n_42995),
+	.B1(n_1508),
+	.B2(n_42995),
+	.Y(brqrv_top_brqrv_dec_tlu_minstreth_ns[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798556 (
+	.A1_N(n_37838),
+	.A2_N(n_42995),
+	.B1(n_1802),
+	.B2(n_42995),
+	.Y(brqrv_top_brqrv_dec_tlu_minstreth_ns[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798557 (
+	.A1_N(n_37836),
+	.A2_N(n_42995),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_300),
+	.B2(n_42995),
+	.Y(brqrv_top_brqrv_dec_tlu_minstreth_ns[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798558 (
+	.A1_N(n_37831),
+	.A2_N(n_42995),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_298),
+	.B2(n_42995),
+	.Y(brqrv_top_brqrv_dec_tlu_minstreth_ns[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g798559 (
+	.A1(n_1802),
+	.A2(n_4216),
+	.B1(n_4545),
+	.Y(brqrv_top_brqrv_dec_tlu_mcgc_ns[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798560 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_micect[31]),
+	.A2_N(n_4201),
+	.B1(n_1808),
+	.B2(n_4201),
+	.Y(brqrv_top_brqrv_dec_tlu_micect_ns[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798561 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_micect[30]),
+	.A2_N(n_4201),
+	.B1(n_1513),
+	.B2(n_4201),
+	.Y(brqrv_top_brqrv_dec_tlu_micect_ns[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798562 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_micect[26]),
+	.A2_N(n_4201),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_324),
+	.B2(n_4201),
+	.Y(brqrv_top_brqrv_dec_tlu_micect_ns[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798563 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_micect[25]),
+	.A2_N(n_4201),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_323),
+	.B2(n_4201),
+	.Y(brqrv_top_brqrv_dec_tlu_micect_ns[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798564 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_micect[24]),
+	.A2_N(n_4201),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_322),
+	.B2(n_4201),
+	.Y(brqrv_top_brqrv_dec_tlu_micect_ns[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798565 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_micect[23]),
+	.A2_N(n_4201),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_321),
+	.B2(n_4201),
+	.Y(brqrv_top_brqrv_dec_tlu_micect_ns[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798566 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_micect[22]),
+	.A2_N(n_4201),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_320),
+	.B2(n_4201),
+	.Y(brqrv_top_brqrv_dec_tlu_micect_ns[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798567 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_micect[21]),
+	.A2_N(n_4201),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_319),
+	.B2(n_4201),
+	.Y(brqrv_top_brqrv_dec_tlu_micect_ns[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798568 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_micect[20]),
+	.A2_N(n_4201),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_318),
+	.B2(n_4201),
+	.Y(brqrv_top_brqrv_dec_tlu_micect_ns[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798569 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_micect[19]),
+	.A2_N(n_4201),
+	.B1(brqrv_top_brqrv_dec_tlu_n_6058),
+	.B2(n_4201),
+	.Y(brqrv_top_brqrv_dec_tlu_micect_ns[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798570 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_micect[18]),
+	.A2_N(n_4201),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_316),
+	.B2(n_4201),
+	.Y(brqrv_top_brqrv_dec_tlu_micect_ns[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798571 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_micect[17]),
+	.A2_N(n_4201),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_315),
+	.B2(n_4201),
+	.Y(brqrv_top_brqrv_dec_tlu_micect_ns[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798572 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_micect[16]),
+	.A2_N(n_4201),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_314),
+	.B2(n_4201),
+	.Y(brqrv_top_brqrv_dec_tlu_micect_ns[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798573 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_micect[15]),
+	.A2_N(n_4201),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_313),
+	.B2(n_4201),
+	.Y(brqrv_top_brqrv_dec_tlu_micect_ns[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798574 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_micect[14]),
+	.A2_N(n_4201),
+	.B1(n_1518),
+	.B2(n_4201),
+	.Y(brqrv_top_brqrv_dec_tlu_micect_ns[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798575 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_micect[13]),
+	.A2_N(n_4201),
+	.B1(n_1519),
+	.B2(n_4201),
+	.Y(brqrv_top_brqrv_dec_tlu_micect_ns[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798576 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_micect[10]),
+	.A2_N(n_4201),
+	.B1(n_1514),
+	.B2(n_4201),
+	.Y(brqrv_top_brqrv_dec_tlu_micect_ns[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798577 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_micect[9]),
+	.A2_N(n_4201),
+	.B1(brqrv_top_brqrv_dec_tlu_n_3024),
+	.B2(n_4201),
+	.Y(brqrv_top_brqrv_dec_tlu_micect_ns[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798578 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_micect[8]),
+	.A2_N(n_4201),
+	.B1(n_1809),
+	.B2(n_4201),
+	.Y(brqrv_top_brqrv_dec_tlu_micect_ns[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798579 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_micect[7]),
+	.A2_N(n_4201),
+	.B1(n_1507),
+	.B2(n_4201),
+	.Y(brqrv_top_brqrv_dec_tlu_micect_ns[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798580 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_micect[6]),
+	.A2_N(n_4201),
+	.B1(n_1506),
+	.B2(n_4201),
+	.Y(brqrv_top_brqrv_dec_tlu_micect_ns[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798581 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_micect[5]),
+	.A2_N(n_4201),
+	.B1(n_1805),
+	.B2(n_4201),
+	.Y(brqrv_top_brqrv_dec_tlu_micect_ns[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798582 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_micect[4]),
+	.A2_N(n_4201),
+	.B1(n_1508),
+	.B2(n_4201),
+	.Y(brqrv_top_brqrv_dec_tlu_micect_ns[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798583 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_micect[3]),
+	.A2_N(n_4201),
+	.B1(n_1802),
+	.B2(n_4201),
+	.Y(brqrv_top_brqrv_dec_tlu_micect_ns[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798584 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_micect[2]),
+	.A2_N(n_4201),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_300),
+	.B2(n_4201),
+	.Y(brqrv_top_brqrv_dec_tlu_micect_ns[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798585 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_micect[1]),
+	.A2_N(n_4201),
+	.B1(n_1790),
+	.B2(n_4201),
+	.Y(brqrv_top_brqrv_dec_tlu_micect_ns[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798586 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_micect[12]),
+	.A2_N(n_4201),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_310),
+	.B2(n_4201),
+	.Y(brqrv_top_brqrv_dec_tlu_micect_ns[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798587 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_micect[0]),
+	.A2_N(n_4201),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_298),
+	.B2(n_4201),
+	.Y(brqrv_top_brqrv_dec_tlu_micect_ns[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798588 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_micect[11]),
+	.A2_N(n_4201),
+	.B1(n_1511),
+	.B2(n_4201),
+	.Y(brqrv_top_brqrv_dec_tlu_micect_ns[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g798589 (
+	.A1(n_1790),
+	.A2(n_4216),
+	.B1(n_1621),
+	.B2(brqrv_top_brqrv_dec_tlu_wr_mcgc_r),
+	.Y(brqrv_top_brqrv_dec_tlu_mcgc_ns[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g798590 (
+	.A(n_1341),
+	.B(n_4540),
+	.Y(n_4828), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g798591 (
+	.A(n_3638),
+	.B(n_3798),
+	.C(n_3886),
+	.Y(n_4827), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g798592 (
+	.A(n_4091),
+	.B(n_4035),
+	.C(n_3255),
+	.Y(n_4826), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g798593 (
+	.A(n_4424),
+	.B(n_4430),
+	.Y(n_4825), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111oi_0 g798594 (
+	.A1(brqrv_top_brqrv_exu_i0_flush_path_d[19]),
+	.A2(n_2016),
+	.B1(n_3206),
+	.C1(n_3949),
+	.D1(n_3205),
+	.Y(n_4824), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g798595 (
+	.A(n_4167),
+	.B(n_3214),
+	.C(n_3211),
+	.D(n_3229),
+	.Y(n_4823), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g798596 (
+	.A1(n_1718),
+	.A2(brqrv_top_brqrv_ifu_i0_pc[23]),
+	.B1(n_3417),
+	.C1(n_2745),
+	.D1(n_3425),
+	.Y(n_4822), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g798597 (
+	.A1(n_35560),
+	.A2(n_4143),
+	.B1(n_35556),
+	.B2(n_4117),
+	.Y(n_4821), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g798598 (
+	.A1(n_34548),
+	.A2(n_34906),
+	.B1(n_4061),
+	.C1(n_4060),
+	.Y(n_4820), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g798599 (
+	.A1_N(n_4119),
+	.A2_N(n_34578),
+	.B1(n_34882),
+	.B2(n_34549),
+	.Y(n_4819), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g798600 (
+	.A1(n_34552),
+	.A2(n_34883),
+	.B1(n_4056),
+	.C1(n_4057),
+	.Y(n_4818), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g798601 (
+	.A1_N(n_4122),
+	.A2_N(n_34578),
+	.B1(n_34884),
+	.B2(n_34554),
+	.Y(n_4817), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g798602 (
+	.A1(n_34200),
+	.A2(n_34886),
+	.B1(n_4054),
+	.C1(n_4041),
+	.Y(n_4816), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g798603 (
+	.A1(n_34536),
+	.A2(n_34878),
+	.B1(n_4052),
+	.C1(n_4051),
+	.Y(n_4815), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g798604 (
+	.A1(n_34540),
+	.A2(n_35516),
+	.B1(n_4047),
+	.C1(n_4046),
+	.Y(n_4814), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g798605 (
+	.A(brqrv_top_brqrv_exu_i0_branch_x),
+	.B(brqrv_top_brqrv_dec_i0_branch_d),
+	.X(n_4813), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g798606 (
+	.A1(brqrv_top_brqrv_dbg_command_reg[19]),
+	.A2(n_3976),
+	.B1(brqrv_top_brqrv_dbg_sbaddress0_reg[19]),
+	.B2(n_2928),
+	.Y(n_4812), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g798607 (
+	.A1(brqrv_top_brqrv_dbg_command_reg[14]),
+	.A2(n_3976),
+	.B1(n_1794),
+	.B2(brqrv_top_brqrv_dbg_sbdata1_reg[14]),
+	.Y(n_4811), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g798608 (
+	.A1(brqrv_top_brqrv_dbg_command_reg[11]),
+	.A2(n_3976),
+	.B1(n_1792),
+	.B2(brqrv_top_brqrv_dbg_sbdata0_reg[11]),
+	.Y(n_4810), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g798609 (
+	.A1(brqrv_top_brqrv_dbg_command_reg[3]),
+	.A2(n_3976),
+	.B1(brqrv_top_brqrv_dbg_sbaddress0_reg[3]),
+	.B2(n_2928),
+	.Y(n_4809), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g798610 (
+	.A1(brqrv_top_brqrv_dbg_command_reg[1]),
+	.A2(n_3976),
+	.B1(brqrv_top_brqrv_dbg_sbaddress0_reg[1]),
+	.B2(n_2928),
+	.Y(n_4808), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g798611 (
+	.A1(n_3996),
+	.A2(brqrv_top_brqrv_exu_ghr_d[3]),
+	.B1(n_3995),
+	.B2(brqrv_top_brqrv_exu_ghr_d[6]),
+	.Y(n_4807), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g798612 (
+	.A1(brqrv_top_brqrv_dbg_n_4554),
+	.A2(n_34656),
+	.B1(n_1381),
+	.B2(brqrv_top_brqrv_dbg_sb_state[0]),
+	.Y(n_4806), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g798613 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[3]),
+	.A2_N(n_3051),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[3]),
+	.B2(n_3051),
+	.Y(n_4805), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g798614 (
+	.A1(brqrv_top_brqrv_exu_ghr_d[3]),
+	.A2(n_3996),
+	.B1(brqrv_top_brqrv_exu_ghr_d[6]),
+	.B2(n_3995),
+	.Y(n_4804), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798615 (
+	.A1_N(brqrv_top_brqrv_exu_ghr_d[1]),
+	.A2_N(n_3993),
+	.B1(brqrv_top_brqrv_exu_ghr_d[1]),
+	.B2(n_3993),
+	.Y(n_4803), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g798616 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[5]),
+	.A2_N(n_3074),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[5]),
+	.B2(n_3074),
+	.Y(n_4802), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g798617 (
+	.A1(brqrv_top_brqrv_dec_tlu_request_debug_mode_r),
+	.A2(brqrv_top_brqrv_dec_tlu_pc_r[6]),
+	.B1(n_4554),
+	.Y(n_4801), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g798618 (
+	.A1_N(n_4230),
+	.A2_N(n_34438),
+	.B1(brqrv_top_brqrv_dec_tlu_pc_r[5]),
+	.B2(brqrv_top_brqrv_dec_tlu_request_debug_mode_r),
+	.Y(n_4800), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g798619 (
+	.A1(brqrv_top_brqrv_dec_tlu_request_debug_mode_r),
+	.A2(brqrv_top_brqrv_dec_tlu_pc_r[4]),
+	.B1(n_4553),
+	.Y(n_4799), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g798620 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[5]),
+	.A2_N(n_3154),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[5]),
+	.B2(n_3154),
+	.Y(n_4798), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g798621 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[3]),
+	.A2_N(n_3149),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[3]),
+	.B2(n_3149),
+	.Y(n_4797), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798622 (
+	.A1_N(brqrv_top_brqrv_dec_dec_csr_wrdata_r[1]),
+	.A2_N(n_4231),
+	.B1(n_4231),
+	.B2(n_3370),
+	.Y(brqrv_top_brqrv_dec_tlu_mfdhs_ff_n_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798623 (
+	.A1_N(brqrv_top_brqrv_dec_dec_csr_wrdata_r[0]),
+	.A2_N(n_4231),
+	.B1(n_4231),
+	.B2(n_3369),
+	.Y(brqrv_top_brqrv_dec_tlu_mfdhs_ff_n_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g798624 (
+	.A1(n_3749),
+	.A2(brqrv_top_brqrv_ifu_aln_q0off),
+	.B1(n_4248),
+	.B2(FE_DBTN9_n_11562),
+	.C1(n_2824),
+	.Y(n_4796), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g798625 (
+	.A1(n_3011),
+	.A2(brqrv_top_brqrv_dec_decode_x_d[21]),
+	.B1(n_2934),
+	.B2(brqrv_top_brqrv_dec_decode_x_d[22]),
+	.C1(n_3956),
+	.Y(n_4795), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g798626 (
+	.A1(n_35560),
+	.A2(n_3952),
+	.B1(n_35556),
+	.B2(n_3911),
+	.Y(n_4794), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g798627 (
+	.A1(n_3749),
+	.A2(brqrv_top_brqrv_ifu_aln_q1off),
+	.B1(n_4248),
+	.B2(n_11517),
+	.C1(n_2836),
+	.Y(n_4793), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g798628 (
+	.A1(brqrv_top_brqrv_dec_tlu_int_timers_n_298),
+	.A2(n_3975),
+	.B1(brqrv_top_brqrv_dec_tlu_mhpmc6[0]),
+	.B2(n_3974),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc6_ns[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g798629 (
+	.A1(brqrv_top_brqrv_dec_dec_csr_wrdata_r[9]),
+	.A2(n_4216),
+	.B1(n_4544),
+	.Y(brqrv_top_brqrv_dec_tlu_mcgc_ns[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g798630 (
+	.A1(n_3749),
+	.A2(brqrv_top_brqrv_ifu_aln_q2off),
+	.B1(n_4248),
+	.B2(FE_DBTN8_n_11566),
+	.C1(n_2733),
+	.Y(n_4792), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g798631 (
+	.A1(n_3361),
+	.A2(n_1786),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_300),
+	.B2(n_34621),
+	.Y(\brqrv_top_brqrv_dec_tlu_minstretl_ns[2]_3329 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g798632 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mcyclel[3]),
+	.A2_N(n_3039),
+	.B1(brqrv_top_brqrv_dec_tlu_mcyclel[3]),
+	.B2(n_3039),
+	.Y(n_4791), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g798633 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_minstretl[3]),
+	.A2_N(n_3063),
+	.B1(brqrv_top_brqrv_dec_tlu_minstretl[3]),
+	.B2(n_3063),
+	.Y(n_4790), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g798634 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mcyclel[5]),
+	.A2_N(n_3062),
+	.B1(brqrv_top_brqrv_dec_tlu_mcyclel[5]),
+	.B2(n_3062),
+	.Y(n_4789), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798635 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_byteen[3]),
+	.A2_N(n_34637),
+	.B1(n_1865),
+	.B2(n_1333),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_byteenff_n_13), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g798636 (
+	.A1(n_4218),
+	.A2(n_34335),
+	.B1(n_4217),
+	.B2(n_34331),
+	.X(n_4788), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g798637 (
+	.A1(n_4218),
+	.A2(n_34334),
+	.B1(n_4217),
+	.B2(n_34330),
+	.X(n_4787), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g798638 (
+	.A1(n_4218),
+	.A2(n_35281),
+	.B1(n_4217),
+	.B2(n_34329),
+	.X(n_4786), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g798639 (
+	.A1(n_4218),
+	.A2(n_35280),
+	.B1(n_4217),
+	.B2(n_35277),
+	.X(n_4785), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g798640 (
+	.A1(n_4218),
+	.A2(n_34333),
+	.B1(n_4217),
+	.B2(n_35276),
+	.X(n_4784), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g798641 (
+	.A1(n_4218),
+	.A2(n_34332),
+	.B1(n_4217),
+	.B2(n_35275),
+	.X(n_4783), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g798642 (
+	.A1(n_4218),
+	.A2(n_35279),
+	.B1(n_4217),
+	.B2(n_35274),
+	.X(n_4782), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g798643 (
+	.A1(n_4218),
+	.A2(n_35278),
+	.B1(n_4217),
+	.B2(n_34328),
+	.X(n_4781), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g798644 (
+	.A1(n_4211),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[319]),
+	.B1(n_4207),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[191]),
+	.Y(n_4780), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g798645 (
+	.A1(n_4207),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[190]),
+	.B1(n_4209),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[254]),
+	.Y(n_4779), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g798646 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_minstretl[5]),
+	.A2_N(n_3192),
+	.B1(brqrv_top_brqrv_dec_tlu_minstretl[5]),
+	.B2(n_3192),
+	.Y(n_4778), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g798647 (
+	.A1(n_4212),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[125]),
+	.B1(n_4209),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[253]),
+	.Y(n_4777), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g798648 (
+	.A1(n_4212),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[124]),
+	.B1(n_4209),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[252]),
+	.Y(n_4776), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g798649 (
+	.A1(n_4211),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[315]),
+	.B1(n_4207),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[187]),
+	.Y(n_4775), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g798650 (
+	.A1(n_4211),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[314]),
+	.B1(n_4207),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[186]),
+	.Y(n_4774), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g798651 (
+	.A1(n_4212),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[121]),
+	.B1(n_4211),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[313]),
+	.Y(n_4773), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g798652 (
+	.A1(n_4212),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[120]),
+	.B1(n_4211),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[312]),
+	.Y(n_4772), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g798653 (
+	.A1(n_4212),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[119]),
+	.B1(n_4211),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[311]),
+	.Y(n_4771), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g798654 (
+	.A1(n_4211),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[310]),
+	.B1(n_4207),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[182]),
+	.Y(n_4770), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g798655 (
+	.A1(n_4212),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[117]),
+	.B1(n_4211),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[309]),
+	.Y(n_4769), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g798656 (
+	.A1(n_4211),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[308]),
+	.B1(n_4207),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[180]),
+	.Y(n_4768), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g798657 (
+	.A1(n_4211),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[307]),
+	.B1(n_4207),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[179]),
+	.Y(n_4767), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g798658 (
+	.A1(n_4210),
+	.A2(brqrv_top_brqrv_dma_ctrl_n_1249),
+	.B1(n_4212),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[114]),
+	.Y(n_4766), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g798659 (
+	.A1(n_4212),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[113]),
+	.B1(n_4209),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[241]),
+	.Y(n_4765), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g798660 (
+	.A1(n_4212),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[112]),
+	.B1(n_4211),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[304]),
+	.Y(n_4764), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g798661 (
+	.A1(n_4211),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[303]),
+	.B1(n_4207),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[175]),
+	.Y(n_4763), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g798662 (
+	.A1(n_4212),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[110]),
+	.B1(n_4211),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[302]),
+	.Y(n_4762), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g798663 (
+	.A1(n_4210),
+	.A2(brqrv_top_brqrv_dma_ctrl_n_1224),
+	.B1(n_4212),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[109]),
+	.Y(n_4761), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g798664 (
+	.A1(n_4210),
+	.A2(brqrv_top_brqrv_dma_ctrl_n_1219),
+	.B1(n_4212),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[108]),
+	.Y(n_4760), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g798665 (
+	.A1(n_4210),
+	.A2(brqrv_top_brqrv_dma_ctrl_n_1214),
+	.B1(n_4212),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[107]),
+	.Y(n_4759), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g798666 (
+	.A1(n_4207),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[170]),
+	.B1(n_4209),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[234]),
+	.Y(n_4758), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g798667 (
+	.A1(n_4210),
+	.A2(brqrv_top_brqrv_dma_ctrl_n_1204),
+	.B1(n_4207),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[169]),
+	.Y(n_4757), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g798668 (
+	.A1(n_4212),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[104]),
+	.B1(n_4209),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[232]),
+	.Y(n_4756), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g798669 (
+	.A1(n_4211),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[295]),
+	.B1(n_4209),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[231]),
+	.Y(n_4755), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g798670 (
+	.A1(n_4212),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[103]),
+	.B1(n_4207),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[167]),
+	.Y(n_4754), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g798671 (
+	.A1(n_4212),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[102]),
+	.B1(n_4207),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[166]),
+	.Y(n_4753), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g798672 (
+	.A1(n_4211),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[294]),
+	.B1(n_4209),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[230]),
+	.Y(n_4752), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g798673 (
+	.A1(n_3338),
+	.A2(n_1786),
+	.B1(n_1508),
+	.B2(n_34621),
+	.Y(\brqrv_top_brqrv_dec_tlu_minstretl_ns[4]_3331 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g798674 (
+	.A1(n_4211),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[293]),
+	.B1(n_4209),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[229]),
+	.Y(n_4751), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g798675 (
+	.A1(n_4210),
+	.A2(brqrv_top_brqrv_dma_ctrl_n_1184),
+	.B1(n_4212),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[101]),
+	.Y(n_4750), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g798676 (
+	.A1(n_4212),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[100]),
+	.B1(n_4207),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[164]),
+	.Y(n_4749), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g798677 (
+	.A1(n_4211),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[292]),
+	.B1(n_4209),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[228]),
+	.Y(n_4748), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g798678 (
+	.A1(n_4212),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[99]),
+	.B1(n_4207),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[163]),
+	.X(n_4747), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g798679 (
+	.A1(n_4212),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[98]),
+	.B1(n_4207),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[162]),
+	.X(n_4746), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g798680 (
+	.A1(n_4212),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[97]),
+	.B1(n_4207),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[161]),
+	.X(n_4745), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g798681 (
+	.A1(n_4212),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[96]),
+	.B1(n_4207),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[160]),
+	.X(n_4744), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o32a_1 g798682 (
+	.A1(brqrv_top_brqrv_dec_tlu_debug_mode),
+	.A2(n_3568),
+	.A3(brqrv_top_brqrv_dec_tlu_interrupt_valid_r),
+	.B1(n_35477),
+	.B2(n_43402),
+	.X(n_4743), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g798683 (
+	.A1(n_34258),
+	.A2(n_4018),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [1]),
+	.B2(n_34257),
+	.Y(n_4742), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g798684 (
+	.A(n_1687),
+	.B(n_2083),
+	.Y(n_4741), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g798685 (
+	.A1(brqrv_top_brqrv_dec_tlu_int_timers_n_298),
+	.A2(n_4216),
+	.B1(n_1886),
+	.B2(brqrv_top_brqrv_dec_tlu_wr_mcgc_r),
+	.Y(brqrv_top_brqrv_dec_tlu_mcgc_ns[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g798686 (
+	.A1(brqrv_top_brqrv_dec_tlu_int_timers_n_300),
+	.A2(n_4216),
+	.B1(n_1587),
+	.B2(brqrv_top_brqrv_dec_tlu_wr_mcgc_r),
+	.Y(brqrv_top_brqrv_dec_tlu_mcgc_ns[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g798687 (
+	.A1(n_1508),
+	.A2(n_4216),
+	.B1(n_1571),
+	.B2(brqrv_top_brqrv_dec_tlu_wr_mcgc_r),
+	.Y(brqrv_top_brqrv_dec_tlu_mcgc_ns[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798688 (
+	.A1_N(n_35846),
+	.A2_N(n_4254),
+	.B1(n_35846),
+	.B2(n_4254),
+	.Y(n_4740), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g798689 (
+	.A(n_4108),
+	.B(n_3567),
+	.C(n_3175),
+	.Y(n_4849), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g798690 (
+	.A1(n_2645),
+	.A2(n_35792),
+	.B1(n_4464),
+	.Y(n_4848), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g798691 (
+	.A1(n_2645),
+	.A2(n_35791),
+	.B1(n_4465),
+	.Y(n_4847), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g798692 (
+	.A1(n_2645),
+	.A2(n_35793),
+	.B1(n_4421),
+	.Y(n_4846), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798693 (
+	.A1_N(n_35845),
+	.A2_N(n_4006),
+	.B1(n_35845),
+	.B2(n_4006),
+	.Y(n_4739), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g798694 (
+	.A1(n_2645),
+	.A2(n_35790),
+	.B1(n_4466),
+	.Y(n_4845), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798695 (
+	.A1_N(n_35848),
+	.A2_N(n_4007),
+	.B1(n_35848),
+	.B2(n_4007),
+	.Y(n_4738), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798696 (
+	.A1_N(n_4003),
+	.A2_N(n_35844),
+	.B1(n_4003),
+	.B2(n_35844),
+	.Y(n_4737), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g798697 (
+	.A1_N(n_1338),
+	.A2_N(n_4008),
+	.B1(n_1338),
+	.B2(n_4008),
+	.Y(n_4844), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_2 g798698 (
+	.A(n_4115),
+	.B(n_3563),
+	.C(n_3125),
+	.Y(brqrv_top_n_974), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211a_2 g798699 (
+	.A1(n_43023),
+	.A2(n_3479),
+	.B1(n_2905),
+	.C1(n_2833),
+	.X(n_4842), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g798700 (
+	.A(n_4563),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_tagff_n_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g798701 (
+	.A(n_4560),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_tagff_n_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g798705 (
+	.A(n_4733),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_done_en[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g798706 (
+	.A(n_4729),
+	.Y(n_4730), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g798707 (
+	.A(n_4727),
+	.Y(n_4728), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g798708 (
+	.A(n_4725),
+	.Y(n_4726), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g798709 (
+	.A(n_4721),
+	.Y(n_4722), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g798710 (
+	.A(n_4720),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_done_en[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g798711 (
+	.A(n_4719),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_done_en[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g798712 (
+	.A(n_4716),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_done_en[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g798714 (
+	.A(n_4712),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_done_en[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g798718 (
+	.A(n_4703),
+	.Y(brqrv_top_brqrv_dec_tlu_minstretl_cout_ns), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g798719 (
+	.A(n_4699),
+	.Y(brqrv_top_brqrv_exu_ghr_d_ns[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g798720 (
+	.A(n_4698),
+	.Y(brqrv_top_brqrv_dec_tlu_dbg_halt_state_ns), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g798721 (
+	.A(n_4688),
+	.Y(n_4689), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g798722 (
+	.A(n_4686),
+	.Y(n_4687), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g798723 (
+	.A(n_4684),
+	.Y(n_4685), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g798724 (
+	.A(n_4681),
+	.Y(n_4682), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g798725 (
+	.A(n_4679),
+	.Y(n_4680), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g798726 (
+	.A(n_4677),
+	.Y(n_4678), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g798727 (
+	.A(n_4675),
+	.Y(n_4676), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g798728 (
+	.A(n_4673),
+	.Y(n_4674), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g798729 (
+	.A(n_4671),
+	.Y(n_4672), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g798730 (
+	.A(n_4669),
+	.Y(n_4670), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g798731 (
+	.A(n_4667),
+	.Y(n_4668), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g798732 (
+	.A(n_4665),
+	.Y(n_4666), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g798733 (
+	.A(n_4663),
+	.Y(n_4664), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g798734 (
+	.A(n_4661),
+	.Y(n_4662), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g798735 (
+	.A(n_4659),
+	.Y(n_4660), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g798736 (
+	.A(n_4657),
+	.Y(n_4658), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g798737 (
+	.A(n_4655),
+	.Y(n_4656), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g798738 (
+	.A(n_4653),
+	.Y(n_4654), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g798739 (
+	.A(n_4651),
+	.Y(n_4652), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g798740 (
+	.A(n_4649),
+	.Y(n_4650), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g798741 (
+	.A(n_4647),
+	.Y(n_4648), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g798742 (
+	.A(n_4645),
+	.Y(n_4646), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g798743 (
+	.A(n_4643),
+	.Y(n_4644), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g798744 (
+	.A(n_4641),
+	.Y(n_4642), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g798745 (
+	.A(n_4639),
+	.Y(n_4640), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g798746 (
+	.A(n_4637),
+	.Y(n_4638), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g798747 (
+	.A(n_4635),
+	.Y(n_4636), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g798748 (
+	.A(n_4633),
+	.Y(n_4634), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g798749 (
+	.A(n_4631),
+	.Y(n_4632), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g798750 (
+	.A(n_4629),
+	.Y(n_4630), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g798751 (
+	.A(n_4627),
+	.Y(n_4628), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g798752 (
+	.A(n_4626),
+	.Y(n_4625), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g798753 (
+	.A(n_4624),
+	.Y(n_4623), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g798754 (
+	.A(n_4615),
+	.Y(n_4614), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g798755 (
+	.A(n_4611),
+	.Y(n_4610), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g798756 (
+	.A(n_4609),
+	.Y(n_4608), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g798757 (
+	.A(n_4607),
+	.Y(n_4606), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g798758 (
+	.A(n_4605),
+	.Y(n_4604), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g798759 (
+	.A(n_4603),
+	.Y(n_4602), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g798760 (
+	.A(n_4601),
+	.Y(n_4600), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g798761 (
+	.A(n_4598),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_cmd_en[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g798762 (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_cmd_en[2]),
+	.Y(n_4597), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g798763 (
+	.A(n_4596),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_cmd_en[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g798764 (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_cmd_en[3]),
+	.Y(n_4595), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g798766 (
+	.A(n_4592),
+	.Y(n_4591), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g798767 (
+	.A(n_4590),
+	.Y(n_4589), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g798768 (
+	.A(brqrv_top_brqrv_dbg_command_wren),
+	.Y(n_4588), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g798769 (
+	.A(n_4587),
+	.Y(n_4586), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g798770 (
+	.A(n_4585),
+	.Y(n_4584), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g798771 (
+	.A(n_4583),
+	.Y(n_4582), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g798772 (
+	.A(n_4581),
+	.Y(n_4580), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 g798773 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[6]),
+	.B(n_42908),
+	.COUT(n_4578),
+	.SUM(n_4579), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 g798774 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[6]),
+	.B(n_42909),
+	.COUT(n_4576),
+	.SUM(n_4577), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g798775 (
+	.A1(n_2861),
+	.A2(n_31667),
+	.A3(n_1665),
+	.B1(n_3143),
+	.Y(n_4575), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g798776 (
+	.A1(n_35542),
+	.A2(n_1663),
+	.B1(brqrv_top_brqrv_dbg_dbg_state[1]),
+	.B2(brqrv_top_brqrv_dbg_dbg_state[2]),
+	.C1(n_4002),
+	.Y(n_4574), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g798777 (
+	.A(n_11528),
+	.B(n_4004),
+	.Y(n_4573), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32o_1 g798778 (
+	.A1(brqrv_top_brqrv_dec_tlu_illegal_r),
+	.A2(n_11523),
+	.A3(brqrv_top_brqrv_dec_tlu_dbg_halted),
+	.B1(n_3698),
+	.B2(n_3168),
+	.X(n_4572), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g798779 (
+	.A(n_4144),
+	.B(n_34578),
+	.Y(n_4571), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g798780 (
+	.A(brqrv_top_brqrv_dbg_command_reg[31]),
+	.B(n_3976),
+	.Y(n_4570), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g798781 (
+	.A(brqrv_top_brqrv_dbg_command_reg[30]),
+	.B(n_3976),
+	.Y(n_4569), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g798782 (
+	.A(brqrv_top_brqrv_dbg_command_reg[29]),
+	.B(n_3976),
+	.Y(n_4568), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g798783 (
+	.A(brqrv_top_brqrv_dbg_command_reg[28]),
+	.B(n_3976),
+	.Y(n_4567), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g798784 (
+	.A(brqrv_top_brqrv_dbg_command_reg[27]),
+	.B(n_3976),
+	.Y(n_4566), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g798785 (
+	.A(brqrv_top_brqrv_dbg_command_reg[26]),
+	.B(n_3976),
+	.Y(n_4565), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g798786 (
+	.A(brqrv_top_brqrv_dbg_command_reg[25]),
+	.B(n_3976),
+	.Y(n_4564), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g798787 (
+	.A1(brqrv_top_brqrv_lsu_nonblock_load_inv_tag_r[0]),
+	.A2(n_3748),
+	.B1(n_2968),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_WrPtr1_r[0]),
+	.C1(n_34637),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_tag[0]),
+	.Y(n_4563), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g798788 (
+	.A(brqrv_top_brqrv_dbg_command_reg[24]),
+	.B(n_3976),
+	.Y(n_4562), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g798789 (
+	.A(brqrv_top_brqrv_dbg_cmd_size[1]),
+	.B(n_3976),
+	.Y(n_4561), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g798790 (
+	.A1(brqrv_top_brqrv_lsu_nonblock_load_inv_tag_r[1]),
+	.A2(n_3748),
+	.B1(n_2968),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_WrPtr1_r[1]),
+	.C1(n_34637),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_tag[1]),
+	.Y(n_4560), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g798791 (
+	.A1(n_2003),
+	.A2(brqrv_top_brqrv_exu_i0_flush_path_x[13]),
+	.B1(n_1234),
+	.B2(brqrv_top_brqrv_exu_i0_flush_path_x[14]),
+	.C1(n_3950),
+	.Y(n_4559), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g798792 (
+	.A(brqrv_top_brqrv_dbg_command_reg[5]),
+	.B(n_3976),
+	.Y(n_4558), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g798793 (
+	.A(brqrv_top_brqrv_dbg_command_reg[4]),
+	.B(n_3976),
+	.Y(n_4557), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g798794 (
+	.A1(n_2643),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [28]),
+	.B1(n_36998),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable_ff ),
+	.C1(n_3775),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_in [31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g798795 (
+	.A(n_3998),
+	.B(brqrv_top_brqrv_exu_ghr_d[4]),
+	.Y(n_4556), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g798796 (
+	.A(n_3999),
+	.B(brqrv_top_brqrv_exu_ghr_d[5]),
+	.Y(n_4555), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g798797 (
+	.A1(n_34623),
+	.A2(FE_DBTN15_n_36150),
+	.B1(n_2851),
+	.C1(n_2913),
+	.D1(n_34939),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[0]_1468 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g798798 (
+	.A(n_4229),
+	.B(n_34438),
+	.Y(n_4554), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g798799 (
+	.A(n_4228),
+	.B(n_34438),
+	.Y(n_4553), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g798800 (
+	.A1(n_34623),
+	.A2(n_1983),
+	.B1(n_2761),
+	.C1(n_2852),
+	.D1(n_34941),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[16]_1484 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g798801 (
+	.A(brqrv_top_brqrv_dec_decode_i0_dec_n_72),
+	.B(n_4161),
+	.C_N(n_35586),
+	.Y(n_4552), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g798803 (
+	.A(n_11528),
+	.B(n_4005),
+	.Y(n_4550), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g798804 (
+	.A(n_4216),
+	.B(brqrv_top_brqrv_dec_tlu_misc_clk_override),
+	.Y(n_4549), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g798805 (
+	.A(n_4216),
+	.B(brqrv_top_brqrv_dec_dec_tlu_dec_clk_override),
+	.Y(n_4548), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g798806 (
+	.A(n_4216),
+	.B(brqrv_top_brqrv_dec_tlu_mcgc_int[6]),
+	.Y(n_4547), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g798807 (
+	.A(n_4216),
+	.B(brqrv_top_brqrv_dec_tlu_mcgc_int[5]),
+	.Y(n_4546), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g798808 (
+	.A(n_4216),
+	.B(brqrv_top_brqrv_dec_tlu_mcgc_int[3]),
+	.Y(n_4545), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g798809 (
+	.A(n_4216),
+	.B(brqrv_top_brqrv_dec_tlu_mcgc_int[9]),
+	.Y(n_4544), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g798810 (
+	.A(n_4201),
+	.B(brqrv_top_brqrv_dec_tlu_micect[27]),
+	.Y(n_4543), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g798811 (
+	.A_N(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_error_pkt_m[0] ),
+	.B(n_1571),
+	.Y(brqrv_top_brqrv_lsu_lsu_lsc_ctl_n_425), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g798812 (
+	.A(n_4201),
+	.B(brqrv_top_brqrv_dec_tlu_micect[29]),
+	.Y(n_4542), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g798814 (
+	.A1(n_3539),
+	.A2(brqrv_top_brqrv_dec_decode_csr_read_x),
+	.B1(n_34327),
+	.X(brqrv_top_brqrv_dec_decode_csr_data_wen), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g798815 (
+	.A(n_4063),
+	.B(n_4094),
+	.Y(n_4540), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g798816 (
+	.A(brqrv_top_brqrv_dbg_command_reg[6]),
+	.B(n_3976),
+	.Y(n_4539), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g798817 (
+	.A(n_4012),
+	.B(n_43401),
+	.Y(n_4538), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g798818 (
+	.A1(n_3790),
+	.A2(n_1856),
+	.B1(n_2885),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_r[0]),
+	.X(n_4537), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g798819 (
+	.A1(n_2661),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [3]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [0]),
+	.B2(n_1806),
+	.C1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_by_zero_case ),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_in [3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g798820 (
+	.A(n_3981),
+	.B(n_34331),
+	.Y(n_4536), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g798821 (
+	.A(n_3981),
+	.B(n_34329),
+	.Y(n_4535), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g798822 (
+	.A(n_3981),
+	.B(n_35276),
+	.Y(n_4534), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g798823 (
+	.A(n_3981),
+	.B(n_35274),
+	.Y(n_4533), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g798824 (
+	.A(n_3981),
+	.B(n_34328),
+	.Y(n_4532), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 g798825 (
+	.A(n_4219),
+	.B(n_34430),
+	.X(n_4531), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 g798826 (
+	.A(n_4219),
+	.B(n_34429),
+	.X(n_4530), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 g798827 (
+	.A(n_4219),
+	.B(n_34428),
+	.X(n_4529), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 g798828 (
+	.A(n_4219),
+	.B(n_34427),
+	.X(n_4528), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 g798829 (
+	.A(n_4219),
+	.B(n_34426),
+	.X(n_4527), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 g798830 (
+	.A(n_4219),
+	.B(n_34425),
+	.X(n_4526), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 g798831 (
+	.A(n_4219),
+	.B(n_35318),
+	.X(n_4525), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 g798832 (
+	.A(n_4219),
+	.B(n_35317),
+	.X(n_4524), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 g798833 (
+	.A(n_4217),
+	.B(n_34335),
+	.X(n_4523), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 g798834 (
+	.A(n_4217),
+	.B(n_34334),
+	.X(n_4522), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 g798835 (
+	.A(n_4217),
+	.B(n_35281),
+	.X(n_4521), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 g798836 (
+	.A(n_4217),
+	.B(n_35280),
+	.X(n_4520), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 g798837 (
+	.A(n_4217),
+	.B(n_34333),
+	.X(n_4519), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 g798838 (
+	.A(n_4217),
+	.B(n_34332),
+	.X(n_4518), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 g798839 (
+	.A(n_4217),
+	.B(n_35279),
+	.X(n_4517), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 g798840 (
+	.A(n_4217),
+	.B(n_35278),
+	.X(n_4516), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g798841 (
+	.A(n_4218),
+	.B(n_34331),
+	.Y(n_4515), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g798842 (
+	.A(n_3981),
+	.B(n_34334),
+	.Y(n_4514), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g798843 (
+	.A(n_4218),
+	.B(n_34329),
+	.Y(n_4513), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g798844 (
+	.A(n_4218),
+	.B(n_35277),
+	.Y(n_4512), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g798845 (
+	.A(n_4218),
+	.B(n_35276),
+	.Y(n_4511), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g798846 (
+	.A(n_4218),
+	.B(n_35275),
+	.Y(n_4510), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g798847 (
+	.A(n_3981),
+	.B(n_35279),
+	.Y(n_4509), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g798848 (
+	.A(n_4218),
+	.B(n_34328),
+	.Y(n_4508), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g798849 (
+	.A(n_3972),
+	.B(n_35153),
+	.Y(n_4507), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g798850 (
+	.A(n_3972),
+	.B(n_35154),
+	.Y(n_4506), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g798851 (
+	.A(n_3972),
+	.B(n_35155),
+	.Y(n_4505), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g798852 (
+	.A(n_3972),
+	.B(n_35156),
+	.Y(n_4504), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g798853 (
+	.A(n_3972),
+	.B(n_35157),
+	.Y(n_4503), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g798854 (
+	.A(n_3972),
+	.B(n_35158),
+	.Y(n_4502), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g798855 (
+	.A(n_3972),
+	.B(n_35159),
+	.Y(n_4501), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g798856 (
+	.A(n_3972),
+	.B(n_34240),
+	.Y(n_4500), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g798857 (
+	.A(n_3972),
+	.B(n_35199),
+	.Y(n_4499), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g798858 (
+	.A(n_3972),
+	.B(n_35200),
+	.Y(n_4498), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g798859 (
+	.A(n_3972),
+	.B(n_35201),
+	.Y(n_4497), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g798860 (
+	.A(n_3972),
+	.B(n_35202),
+	.Y(n_4496), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g798861 (
+	.A(n_3972),
+	.B(n_35203),
+	.Y(n_4495), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g798862 (
+	.A(n_3972),
+	.B(n_35204),
+	.Y(n_4494), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g798863 (
+	.A(n_3972),
+	.B(n_35205),
+	.Y(n_4493), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g798864 (
+	.A(n_3972),
+	.B(n_35206),
+	.Y(n_4492), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g798865 (
+	.A(n_3972),
+	.B(n_34308),
+	.Y(n_4491), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g798866 (
+	.A(n_3972),
+	.B(n_34299),
+	.Y(n_4490), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g798867 (
+	.A(n_3972),
+	.B(n_35261),
+	.Y(n_4489), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g798868 (
+	.A(n_3972),
+	.B(n_35257),
+	.Y(n_4488), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g798869 (
+	.A(n_3972),
+	.B(n_34293),
+	.Y(n_4487), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g798870 (
+	.A(n_3972),
+	.B(n_35242),
+	.Y(n_4486), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g798871 (
+	.A(n_3972),
+	.B(n_35228),
+	.Y(n_4485), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g798872 (
+	.A(n_3972),
+	.B(n_34286),
+	.Y(n_4484), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g798873 (
+	.A(n_3972),
+	.B(n_34282),
+	.Y(n_4483), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g798874 (
+	.A(n_3972),
+	.B(n_34278),
+	.Y(n_4482), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g798875 (
+	.A(n_3972),
+	.B(n_34274),
+	.Y(n_4481), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g798876 (
+	.A(n_3972),
+	.B(n_34270),
+	.Y(n_4480), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g798877 (
+	.A(n_3972),
+	.B(n_34266),
+	.Y(n_4479), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g798878 (
+	.A(n_3972),
+	.B(n_34261),
+	.Y(n_4478), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g798879 (
+	.A(n_3972),
+	.B(n_34262),
+	.Y(n_4477), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g798880 (
+	.A(n_35432),
+	.B(n_4113),
+	.Y(n_4476), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g798881 (
+	.A(n_4211),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_data[290]),
+	.Y(n_4475), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g798882 (
+	.A1(n_35371),
+	.A2(n_1946),
+	.B1(n_3966),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[7]_1541 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g798884 (
+	.A(brqrv_top_brqrv_lsu_lsu_pkt_d[0]),
+	.B(n_4095),
+	.Y(n_4736), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g798885 (
+	.A1(n_34623),
+	.A2(n_1946),
+	.B1(n_1625),
+	.B2(n_35210),
+	.C1(n_3521),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[7]_1475 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g798886 (
+	.A1(n_34627),
+	.A2(n_1946),
+	.B1(n_3863),
+	.Y(brqrv_top_brqrv_dma_ctrl_n_1407), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g798887 (
+	.A1(n_34625),
+	.A2(n_1946),
+	.B1(n_4168),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_data_in[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g798888 (
+	.A(n_1858),
+	.B(n_3506),
+	.C(n_3739),
+	.Y(n_4474), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g798889 (
+	.A(n_3730),
+	.B(n_3716),
+	.C(n_3169),
+	.Y(n_4473), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g798890 (
+	.A(n_3736),
+	.B(n_3714),
+	.C(n_3080),
+	.Y(n_4472), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g798891 (
+	.A(n_3737),
+	.B(n_3710),
+	.C(n_3048),
+	.Y(n_4471), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g798892 (
+	.A(brqrv_top_dmi_reg_wdata[14]),
+	.B(n_4220),
+	.Y(n_4470), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g798893 (
+	.A(brqrv_top_dmi_reg_wdata[13]),
+	.B(n_4220),
+	.Y(n_4469), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g798894 (
+	.A(brqrv_top_dmi_reg_wdata[12]),
+	.B(n_4220),
+	.Y(n_4468), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g798896 (
+	.A_N(n_1337),
+	.B(n_31450),
+	.C(brqrv_top_brqrv_dec_i0_predict_p_d[46]),
+	.Y(n_4467), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g798897 (
+	.A(n_4109),
+	.B(n_3055),
+	.Y(n_4466), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g798898 (
+	.A(n_4110),
+	.B(n_3085),
+	.Y(n_4465), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g798899 (
+	.A(n_4112),
+	.B(n_3070),
+	.Y(n_4464), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g798900 (
+	.A1(n_2985),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[111]),
+	.B1(n_4118),
+	.Y(n_4463), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o32a_1 g798901 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [2]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [1]),
+	.A3(n_2998),
+	.B1(n_34528),
+	.B2(n_3507),
+	.X(n_4462), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g798902 (
+	.A1(n_2985),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[149]),
+	.B1(n_3919),
+	.Y(n_4461), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g798903 (
+	.A1(n_2985),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[35]),
+	.B1(n_3969),
+	.Y(n_4460), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g798904 (
+	.A(brqrv_top_brqrv_ifu_aln_f2val[0]),
+	.B(n_3413),
+	.Y(n_4459), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g798905 (
+	.A1(n_2985),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[73]),
+	.B1(n_4141),
+	.Y(n_4458), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g798906 (
+	.A(n_4265),
+	.B(n_3687),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc4_wr_en), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g798907 (
+	.A1(n_2926),
+	.A2(n_3468),
+	.B1(n_2963),
+	.X(n_4457), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g798908 (
+	.A(n_34600),
+	.B(n_1333),
+	.Y(n_4456), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g798909 (
+	.A(n_4265),
+	.B(n_4205),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc4h_wr_en), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g798910 (
+	.A(n_43420),
+	.B(n_3975),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc6_wr_en), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g798911 (
+	.A(n_4249),
+	.B(n_3689),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc3_wr_en), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g798912 (
+	.A(n_3778),
+	.B(n_4224),
+	.Y(n_4455), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g798913 (
+	.A(n_3734),
+	.B_N(n_4232),
+	.Y(n_4454), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g798914 (
+	.A1(n_2926),
+	.A2(n_3473),
+	.B1(n_2963),
+	.Y(n_4453), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g798915 (
+	.A(n_3170),
+	.B(n_1475),
+	.Y(n_4452), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_0 g798917 (
+	.A(brqrv_top_brqrv_dec_data_en[1]),
+	.B(brqrv_top_brqrv_dec_i0_branch_d),
+	.X(brqrv_top_brqrv_exu_x_data_en_q2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g798918 (
+	.A1(n_2926),
+	.A2(n_3467),
+	.B1(n_2963),
+	.Y(n_4450), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g798919 (
+	.A1(n_2926),
+	.A2(n_3466),
+	.B1(n_2963),
+	.Y(n_4449), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g798920 (
+	.A1(n_2926),
+	.A2(n_3472),
+	.B1(n_2963),
+	.Y(n_4448), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g798921 (
+	.A(n_4249),
+	.B(n_4203),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc3h_wr_en), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g798922 (
+	.A1(brqrv_top_brqrv_exu_csr_rs1_x[5]),
+	.A2(n_2927),
+	.B1(n_3980),
+	.Y(n_4447), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g798923 (
+	.A1(brqrv_top_brqrv_exu_csr_rs1_x[6]),
+	.A2(n_2927),
+	.B1(n_3980),
+	.Y(n_4446), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g798924 (
+	.A1(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[30]),
+	.A2(n_33912),
+	.B1(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[28]),
+	.B2(n_33913),
+	.C1(n_3944),
+	.Y(n_4445), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g798925 (
+	.A1(n_2010),
+	.A2(n_35284),
+	.B1(n_3915),
+	.Y(n_4444), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g798926 (
+	.A1(brqrv_top_brqrv_exu_csr_rs1_x[7]),
+	.A2(n_2927),
+	.B1(n_3980),
+	.Y(n_4443), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g798927 (
+	.A1(n_2069),
+	.A2(n_35284),
+	.B1(n_3938),
+	.Y(n_4442), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g798928 (
+	.A1(brqrv_top_brqrv_exu_csr_rs1_x[8]),
+	.A2(n_2927),
+	.B1(n_3980),
+	.Y(n_4441), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g798929 (
+	.A(n_3719),
+	.B_N(n_4232),
+	.Y(n_4440), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g798930 (
+	.A1(n_2707),
+	.A2(brqrv_top_brqrv_dbg_dbg_state[0]),
+	.A3(brqrv_top_brqrv_dbg_dbg_state[1]),
+	.B1(n_3904),
+	.Y(n_4439), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g798931 (
+	.A1(brqrv_top_brqrv_exu_csr_rs1_x[9]),
+	.A2(n_2927),
+	.B1(n_3980),
+	.Y(n_4438), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g798932 (
+	.A1(brqrv_top_brqrv_exu_csr_rs1_x[10]),
+	.A2(n_2927),
+	.B1(n_3980),
+	.Y(n_4437), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g798933 (
+	.A1(brqrv_top_brqrv_exu_csr_rs1_x[11]),
+	.A2(n_2927),
+	.B1(n_3980),
+	.Y(n_4436), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g798934 (
+	.A1(n_3503),
+	.A2(n_1393),
+	.B1(brqrv_top_brqrv_dbg_sbcs_reg[21]),
+	.Y(n_4435), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g798935 (
+	.A1(brqrv_top_brqrv_exu_csr_rs1_x[12]),
+	.A2(n_2927),
+	.B1(n_3980),
+	.Y(n_4434), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g798936 (
+	.A1(brqrv_top_brqrv_exu_csr_rs1_x[13]),
+	.A2(n_2927),
+	.B1(n_3980),
+	.Y(n_4433), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g798938 (
+	.A1(n_2667),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dualtag[6]),
+	.B1(n_1653),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dualtag[7]),
+	.C1(n_3531),
+	.X(n_4431), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g798939 (
+	.A(n_3350),
+	.B(n_43419),
+	.C(n_3256),
+	.D(n_43407),
+	.Y(n_4430), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g798941 (
+	.A(n_3397),
+	.B(n_3325),
+	.C(n_3253),
+	.Y(n_4429), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g798942 (
+	.A1(brqrv_top_brqrv_exu_csr_rs1_x[14]),
+	.A2(n_2927),
+	.B1(n_3980),
+	.Y(n_4428), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g798943 (
+	.A(n_4206),
+	.B(n_4208),
+	.Y(n_4427), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g798944 (
+	.A1(brqrv_top_brqrv_exu_csr_rs1_x[15]),
+	.A2(n_2927),
+	.B1(n_3980),
+	.Y(n_4426), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g798945 (
+	.A1(n_3529),
+	.A2(n_3526),
+	.B1(n_3790),
+	.X(n_4425), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g798946 (
+	.A(n_3352),
+	.B(n_3257),
+	.C(n_3368),
+	.Y(n_4424), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g798947 (
+	.A1(brqrv_top_brqrv_exu_csr_rs1_x[16]),
+	.A2(n_2927),
+	.B1(n_3980),
+	.Y(n_4423), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g798948 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_by_zero_case ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [31]),
+	.B1(n_1406),
+	.X(n_4422), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g798949 (
+	.A(n_4129),
+	.B(n_3086),
+	.Y(n_4421), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g798950 (
+	.A1(brqrv_top_brqrv_exu_csr_rs1_x[17]),
+	.A2(n_2927),
+	.B1(n_3980),
+	.Y(n_4420), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g798951 (
+	.A1(brqrv_top_brqrv_exu_csr_rs1_x[18]),
+	.A2(n_2927),
+	.B1(n_3980),
+	.Y(n_4419), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g798952 (
+	.A1(n_2057),
+	.A2(n_34688),
+	.B1(n_4111),
+	.Y(n_4418), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g798953 (
+	.A1(n_34550),
+	.A2(n_34881),
+	.B1(n_4059),
+	.Y(n_4417), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g798954 (
+	.A1(n_34553),
+	.A2(n_35523),
+	.B1(n_4055),
+	.Y(n_4416), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g798955 (
+	.A1(n_34556),
+	.A2(n_34885),
+	.B1(n_4053),
+	.Y(n_4415), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g798956 (
+	.A1(n_34537),
+	.A2(n_34912),
+	.B1(n_4040),
+	.Y(n_4414), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g798957 (
+	.A1(brqrv_top_brqrv_dec_tlu_core_empty),
+	.A2(brqrv_top_brqrv_dec_dec_pause_state),
+	.A3(n_2674),
+	.B1(brqrv_top_brqrv_n_578),
+	.Y(n_4413), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g798958 (
+	.A1(n_34541),
+	.A2(n_35517),
+	.B1(n_4045),
+	.Y(n_4412), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g798959 (
+	.A1(brqrv_top_brqrv_exu_csr_rs1_x[19]),
+	.A2(n_2927),
+	.B1(n_3980),
+	.Y(n_4411), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g798960 (
+	.A1(brqrv_top_brqrv_exu_csr_rs1_x[20]),
+	.A2(n_2927),
+	.B1(n_3980),
+	.Y(n_4410), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g798961 (
+	.A1(brqrv_top_brqrv_exu_csr_rs1_x[21]),
+	.A2(n_2927),
+	.B1(n_3980),
+	.Y(n_4409), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g798962 (
+	.A1(brqrv_top_brqrv_exu_csr_rs1_x[22]),
+	.A2(n_2927),
+	.B1(n_3980),
+	.Y(n_4408), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g798963 (
+	.A1(brqrv_top_brqrv_exu_csr_rs1_x[23]),
+	.A2(n_2927),
+	.B1(n_3980),
+	.Y(n_4407), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g798964 (
+	.A1(brqrv_top_brqrv_exu_csr_rs1_x[24]),
+	.A2(n_2927),
+	.B1(n_3980),
+	.Y(n_4406), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g798965 (
+	.A1(brqrv_top_brqrv_exu_csr_rs1_x[25]),
+	.A2(n_2927),
+	.B1(n_3980),
+	.Y(n_4405), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g798966 (
+	.A1(brqrv_top_brqrv_exu_csr_rs1_x[26]),
+	.A2(n_2927),
+	.B1(n_3980),
+	.Y(n_4404), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g798967 (
+	.A1(brqrv_top_brqrv_exu_csr_rs1_x[27]),
+	.A2(n_2927),
+	.B1(n_3980),
+	.Y(n_4403), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g798968 (
+	.A1(brqrv_top_brqrv_exu_csr_rs1_x[28]),
+	.A2(n_2927),
+	.B1(n_3980),
+	.Y(n_4402), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g798969 (
+	.A1(brqrv_top_brqrv_exu_csr_rs1_x[29]),
+	.A2(n_2927),
+	.B1(n_3980),
+	.Y(n_4401), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g798970 (
+	.A1(brqrv_top_brqrv_exu_csr_rs1_x[30]),
+	.A2(n_2927),
+	.B1(n_3980),
+	.Y(n_4400), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g798971 (
+	.A1(brqrv_top_brqrv_exu_csr_rs1_x[31]),
+	.A2(n_2927),
+	.B1(n_3980),
+	.Y(n_4399), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g798972 (
+	.A(n_3183),
+	.B(n_33331),
+	.C(n_35118),
+	.D(brqrv_top_brqrv_lsu_nonblock_load_data_error),
+	.Y(n_4398), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g798973 (
+	.A1(n_2063),
+	.A2(n_34688),
+	.B1(n_3927),
+	.Y(n_4397), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g798974 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dualtag[1]),
+	.A2(n_3730),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dualtag[0]),
+	.Y(n_4396), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g798975 (
+	.A1(brqrv_top_brqrv_dbg_command_reg[18]),
+	.A2(n_3517),
+	.B1_N(brqrv_top_brqrv_dbg_n_4261),
+	.Y(n_4395), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g798976 (
+	.A1(n_3448),
+	.A2(brqrv_top_brqrv_dbg_n_18),
+	.B1(n_2973),
+	.Y(n_4394), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g798977 (
+	.A1(brqrv_top_brqrv_lsu_lsu_pkt_d[0]),
+	.A2(n_3475),
+	.B1(brqrv_top_brqrv_dec_tlu_lsu_clk_override),
+	.X(brqrv_top_brqrv_lsu_lsu_lsc_ctl_n_430), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g798978 (
+	.A1(brqrv_top_brqrv_dec_tlu_minstretl_cout_f),
+	.A2(brqrv_top_brqrv_dec_tlu_minstret_enable_f),
+	.B1(n_4199),
+	.X(brqrv_top_brqrv_dec_tlu_n_3335), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g798979 (
+	.A1(n_42999),
+	.A2(n_3715),
+	.A3(n_34859),
+	.B1(brqrv_top_brqrv_dec_tlu_force_halt),
+	.Y(n_4393), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g798980 (
+	.A1(n_42998),
+	.A2(n_3713),
+	.A3(n_34889),
+	.B1(brqrv_top_brqrv_dec_tlu_force_halt),
+	.Y(n_4392), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g798981 (
+	.A1(n_43000),
+	.A2(n_3711),
+	.A3(n_35527),
+	.B1(brqrv_top_brqrv_dec_tlu_force_halt),
+	.Y(n_4391), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g798983 (
+	.A1(n_2994),
+	.A2(brqrv_top_brqrv_ifu_ifc_miss_a),
+	.B1(n_3955),
+	.Y(n_4389), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211o_1 g798984 (
+	.A1(brqrv_top_brqrv_dec_dec_i0_instr_d[2]),
+	.A2(brqrv_top_brqrv_dec_dec_i0_instr_d[5]),
+	.B1(brqrv_top_brqrv_dec_dec_i0_instr_d[4]),
+	.C1(n_3161),
+	.X(n_4388), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g798985 (
+	.A1(n_2035),
+	.A2(n_35284),
+	.B1(n_3918),
+	.Y(n_4735), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g798986 (
+	.A(brqrv_top_brqrv_dec_tlu_n_397),
+	.B(n_4238),
+	.Y(n_4734), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g798987 (
+	.A(n_34624),
+	.B(n_4043),
+	.Y(n_4733), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g798988 (
+	.A(brqrv_top_brqrv_dbg_dmstatus_resumeack),
+	.B(n_4222),
+	.Y(n_4732), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g798989 (
+	.A(n_4221),
+	.B(brqrv_top_brqrv_n_51),
+	.X(n_4731), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g798990 (
+	.A(n_36267),
+	.B(n_3308),
+	.C(n_3305),
+	.Y(n_4729), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g798991 (
+	.A(n_36278),
+	.B(n_3311),
+	.C(n_3306),
+	.Y(n_4727), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g798992 (
+	.A(n_36266),
+	.B(n_3307),
+	.C(n_3312),
+	.Y(n_4725), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3b_1 g798993 (
+	.A_N(n_42114),
+	.B(n_1334),
+	.C(n_3746),
+	.X(n_4724), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g798994 (
+	.A(n_4221),
+	.B(brqrv_top_brqrv_dbg_dmstatus_haveresetn),
+	.X(n_4723), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g798995 (
+	.A(n_36265),
+	.B(n_3309),
+	.C(n_3310),
+	.Y(n_4721), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g798996 (
+	.A(n_35372),
+	.B(n_4038),
+	.Y(n_4720), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g798997 (
+	.A(n_35370),
+	.B(n_4036),
+	.Y(n_4719), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g798998 (
+	.A(n_4240),
+	.B(n_3752),
+	.Y(n_4718), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g798999 (
+	.A(n_34620),
+	.B(n_4226),
+	.Y(n_4717), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g799000 (
+	.A(n_35368),
+	.B(n_4031),
+	.Y(n_4716), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g799001 (
+	.A(n_4250),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_mitctl0_0_b),
+	.C(n_3680),
+	.Y(n_4715), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g799002 (
+	.A1(n_2951),
+	.A2(n_3719),
+	.B1(n_3732),
+	.Y(n_4714), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g799003 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[31]),
+	.A2(brqrv_top_brqrv_dbg_cmd_write),
+	.B1(brqrv_top_brqrv_dma_ctrl_wrbuf_cmd_sent),
+	.Y(n_4713), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g799004 (
+	.A(n_34626),
+	.B(n_4025),
+	.Y(n_4712), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g799005 (
+	.A(n_3161),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[14]),
+	.Y(n_4711), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g799006 (
+	.A(n_35541),
+	.B(n_4065),
+	.Y(brqrv_top_brqrv_dec_tlu_mpc_run_state_ns), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g799007 (
+	.A(n_4222),
+	.B(brqrv_top_brqrv_dbg_dmstatus_halted),
+	.Y(n_4710), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g799010 (
+	.A1(n_2925),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_dma_kill[2]),
+	.B1(n_2920),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_dma_kill[3]),
+	.C1(n_3914),
+	.X(n_4709), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g799011 (
+	.A1(brqrv_top_brqrv_exu_flush_final),
+	.A2(n_3518),
+	.B1_N(n_35080),
+	.Y(n_4708), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g799012 (
+	.A(n_1348),
+	.B(n_4009),
+	.Y(brqrv_top_brqrv_picm_wren), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g799013 (
+	.A1(n_2922),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_vld[1]),
+	.B1(n_2920),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_vld[3]),
+	.C1(n_3913),
+	.Y(n_4707), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g799014 (
+	.A1(n_33299),
+	.A2(n_2975),
+	.B1(n_3997),
+	.Y(n_4706), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g799015 (
+	.A(brqrv_top_brqrv_dbg_dbg_state[2]),
+	.B(n_3954),
+	.Y(brqrv_top_brqrv_dbg_dmstatus_resumeack_din), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g799016 (
+	.A1(brqrv_top_brqrv_dbg_sb_state[3]),
+	.A2(n_3512),
+	.B1(n_4261),
+	.Y(n_4705), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g799017 (
+	.A1(n_33299),
+	.A2(n_2952),
+	.B1(n_4000),
+	.Y(n_4704), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g799018 (
+	.A(brqrv_top_brqrv_dec_tlu_minstretl_cout),
+	.B(n_42995),
+	.C(n_1857),
+	.D(brqrv_top_brqrv_dec_tlu_i0_valid_no_ebreak_ecall_r),
+	.Y(n_4703), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111oi_0 g799019 (
+	.A1(brqrv_top_brqrv_dbg_sb_state[2]),
+	.A2(brqrv_top_brqrv_dbg_sb_state[1]),
+	.B1(brqrv_top_brqrv_dbg_sb_state[3]),
+	.C1(n_2901),
+	.D1(n_3046),
+	.Y(n_4702), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g799020 (
+	.A(n_4246),
+	.B(brqrv_top_brqrv_dec_dec_csr_wrdata_r[29]),
+	.Y(n_4701), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g799021 (
+	.A1(n_3789),
+	.A2(brqrv_top_brqrv_exu_flush_final),
+	.B1(n_4241),
+	.Y(brqrv_top_brqrv_ifu_aln_f0val_in[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g799022 (
+	.A(n_4237),
+	.B(n_34650),
+	.Y(n_4700), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g799023 (
+	.A1(brqrv_top_brqrv_exu_ghr_d[0]),
+	.A2(n_2961),
+	.B1(n_32502),
+	.B2(brqrv_top_brqrv_exu_ghr_x[0]),
+	.C1(brqrv_top_brqrv_dec_i0_predict_p_d[37]),
+	.C2(brqrv_top_brqrv_exu_i0_taken_d),
+	.Y(n_4699), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o41ai_1 g799024 (
+	.A1(brqrv_top_brqrv_dec_tlu_dcsr_single_step_done_f),
+	.A2(brqrv_top_brqrv_dec_tlu_debug_brkpt_valid),
+	.A3(n_1771),
+	.A4(brqrv_top_brqrv_dec_tlu_dbg_halt_state_f),
+	.B1(n_35538),
+	.Y(n_4698), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g799025 (
+	.A(n_4246),
+	.B(brqrv_top_brqrv_dec_dec_csr_wrdata_r[27]),
+	.Y(n_4697), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g799026 (
+	.A(n_4246),
+	.B(n_1527),
+	.Y(n_4696), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g799028 (
+	.A1(n_1677),
+	.A2(brqrv_top_brqrv_dma_ctrl_n_1034),
+	.B1(n_1887),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[263]),
+	.C1(n_3937),
+	.Y(n_4694), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g799030 (
+	.A(n_3725),
+	.B(n_4236),
+	.Y(n_4693), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g799031 (
+	.A(n_4237),
+	.B(n_35365),
+	.Y(n_4692), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g799032 (
+	.A(n_4215),
+	.B(n_3732),
+	.Y(n_4691), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g799033 (
+	.A(n_1475),
+	.B(brqrv_top_brqrv_ifu_aln_f2val[0]),
+	.Y(n_4690), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g799034 (
+	.A1(n_2925),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[93]),
+	.B1(n_2920),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[125]),
+	.C1(n_3855),
+	.Y(n_4688), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g799035 (
+	.A1(n_2925),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[75]),
+	.B1(n_2920),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[107]),
+	.C1(n_3852),
+	.Y(n_4686), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g799036 (
+	.A1(n_2925),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[69]),
+	.B1(n_2920),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[101]),
+	.C1(n_3832),
+	.Y(n_4684), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g799037 (
+	.A(n_3989),
+	.B_N(brqrv_top_brqrv_dec_decode_x_d[3]),
+	.Y(n_4683), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g799038 (
+	.A1(n_2925),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[68]),
+	.B1(n_2920),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[100]),
+	.C1(n_3903),
+	.Y(n_4681), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g799039 (
+	.A1(n_2925),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[95]),
+	.B1(n_2920),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[127]),
+	.C1(n_3861),
+	.Y(n_4679), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g799040 (
+	.A1(n_2925),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[76]),
+	.B1(n_2920),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[108]),
+	.C1(n_3836),
+	.Y(n_4677), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g799041 (
+	.A1(n_2925),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[70]),
+	.B1(n_2920),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[102]),
+	.C1(n_3801),
+	.Y(n_4675), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g799042 (
+	.A1(n_2925),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[94]),
+	.B1(n_2920),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[126]),
+	.C1(n_3857),
+	.Y(n_4673), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g799043 (
+	.A1(n_2925),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[89]),
+	.B1(n_2920),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[121]),
+	.C1(n_3850),
+	.Y(n_4671), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g799044 (
+	.A1(n_2925),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[92]),
+	.B1(n_2920),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[124]),
+	.C1(n_3854),
+	.Y(n_4669), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g799045 (
+	.A1(n_2925),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[67]),
+	.B1(n_2920),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[99]),
+	.C1(n_3900),
+	.Y(n_4667), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g799046 (
+	.A1(n_2925),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[80]),
+	.B1(n_2920),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[112]),
+	.C1(n_3840),
+	.Y(n_4665), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g799047 (
+	.A1(n_2925),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[91]),
+	.B1(n_2920),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[123]),
+	.C1(n_3853),
+	.Y(n_4663), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g799048 (
+	.A1(n_2925),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[66]),
+	.B1(n_2920),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[98]),
+	.C1(n_3830),
+	.Y(n_4661), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g799049 (
+	.A1(n_2925),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[78]),
+	.B1(n_2920),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[110]),
+	.C1(n_3838),
+	.Y(n_4659), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g799050 (
+	.A1(n_2925),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[65]),
+	.B1(n_2920),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[97]),
+	.C1(n_3829),
+	.Y(n_4657), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g799051 (
+	.A1(n_2925),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[72]),
+	.B1(n_2920),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[104]),
+	.C1(n_3834),
+	.Y(n_4655), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g799052 (
+	.A1(n_2925),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[81]),
+	.B1(n_2920),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[113]),
+	.C1(n_3841),
+	.Y(n_4653), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g799053 (
+	.A1(n_2925),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[90]),
+	.B1(n_2920),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[122]),
+	.C1(n_3851),
+	.Y(n_4651), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g799054 (
+	.A1(n_2925),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[73]),
+	.B1(n_2920),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[105]),
+	.C1(n_3835),
+	.Y(n_4649), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g799055 (
+	.A1(n_2925),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[64]),
+	.B1(n_2920),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[96]),
+	.C1(n_3897),
+	.Y(n_4647), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g799056 (
+	.A1(n_2925),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[74]),
+	.B1(n_2920),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[106]),
+	.C1(n_3797),
+	.Y(n_4645), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g799057 (
+	.A1(n_2925),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[79]),
+	.B1(n_2920),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[111]),
+	.C1(n_3839),
+	.Y(n_4643), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g799058 (
+	.A1(n_2925),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[88]),
+	.B1(n_2920),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[120]),
+	.C1(n_3849),
+	.Y(n_4641), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g799059 (
+	.A1(n_2925),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[87]),
+	.B1(n_2920),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[119]),
+	.C1(n_3848),
+	.Y(n_4639), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g799060 (
+	.A1(n_2925),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[86]),
+	.B1(n_2920),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[118]),
+	.C1(n_3847),
+	.Y(n_4637), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g799061 (
+	.A1(n_2925),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[85]),
+	.B1(n_2920),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[117]),
+	.C1(n_3845),
+	.Y(n_4635), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g799062 (
+	.A1(n_2925),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[82]),
+	.B1(n_2920),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[114]),
+	.C1(n_3842),
+	.Y(n_4633), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g799063 (
+	.A1(n_2925),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[83]),
+	.B1(n_2920),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[115]),
+	.C1(n_3843),
+	.Y(n_4631), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g799064 (
+	.A1(n_2925),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[77]),
+	.B1(n_2920),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[109]),
+	.C1(n_3837),
+	.Y(n_4629), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g799065 (
+	.A1(n_2925),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[84]),
+	.B1(n_2920),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[116]),
+	.C1(n_3844),
+	.Y(n_4627), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g799066 (
+	.A1(n_2925),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[71]),
+	.B1(n_2920),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[103]),
+	.C1(n_3833),
+	.Y(n_4626), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g799067 (
+	.A(n_33312),
+	.B(brqrv_top_brqrv_dec_dec_csr_wraddr_r[3]),
+	.C(n_2900),
+	.D(n_42114),
+	.Y(n_4624), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g799068 (
+	.A(n_4233),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_m[0]),
+	.Y(n_4622), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g799069 (
+	.A(n_4264),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_m[0]),
+	.Y(n_4621), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g799070 (
+	.A(n_4243),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_m[0]),
+	.Y(n_4620), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g799071 (
+	.A(n_4233),
+	.B(n_1536),
+	.Y(n_4619), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g799072 (
+	.A(n_34659),
+	.B(n_4226),
+	.X(n_4618), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g799073 (
+	.A(n_34664),
+	.B(n_4226),
+	.X(n_4617), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g799074 (
+	.A1(n_2925),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[30]),
+	.B1(n_2920),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[42]),
+	.C1(n_3971),
+	.Y(n_4616), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g799075 (
+	.A1(n_2925),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[31]),
+	.B1(n_2920),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[43]),
+	.C1(n_3866),
+	.Y(n_4615), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g799076 (
+	.A1(n_2925),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[33]),
+	.B1(n_2920),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[45]),
+	.C1(n_3867),
+	.Y(n_4613), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g799077 (
+	.A1(n_2925),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[32]),
+	.B1(n_2920),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[44]),
+	.C1(n_3868),
+	.Y(n_4612), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g799078 (
+	.A1(n_2925),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[34]),
+	.B1(n_2920),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[46]),
+	.C1(n_3869),
+	.Y(n_4611), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g799079 (
+	.A1(n_2925),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[29]),
+	.B1(n_2920),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[41]),
+	.C1(n_3870),
+	.Y(n_4609), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g799080 (
+	.A1(n_2925),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[35]),
+	.B1(n_2920),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[47]),
+	.C1(n_3864),
+	.Y(n_4607), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g799081 (
+	.A1(n_2925),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[28]),
+	.B1(n_2920),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[40]),
+	.C1(n_3872),
+	.Y(n_4605), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221a_2 g799082 (
+	.A1(n_1896),
+	.A2(n_2923),
+	.B1(n_1935),
+	.B2(n_2921),
+	.C1(n_3935),
+	.X(n_4603), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g799083 (
+	.A1(n_2925),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[26]),
+	.B1(n_2920),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[38]),
+	.C1(n_3799),
+	.Y(n_4601), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g799084 (
+	.A(n_4243),
+	.B(n_1536),
+	.Y(n_4599), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g799085 (
+	.A(n_4251),
+	.B(n_1552),
+	.Y(n_4598), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g799086 (
+	.A(brqrv_top_brqrv_dma_ctrl_WrPtr[0]),
+	.B(n_4259),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_cmd_en[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g799087 (
+	.A(n_4251),
+	.B(brqrv_top_brqrv_dma_ctrl_WrPtr[0]),
+	.Y(n_4596), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g799088 (
+	.A(n_1552),
+	.B(n_4259),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_cmd_en[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g799089 (
+	.A(n_3905),
+	.B(n_36248),
+	.Y(n_4594), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4b_1 g799090 (
+	.A_N(n_36371),
+	.B(brqrv_top_brqrv_dbg_command_reg[19]),
+	.C(n_1378),
+	.D(n_1877),
+	.X(n_4593), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g799091 (
+	.A(n_2989),
+	.B(n_3934),
+	.Y(n_4592), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3b_1 g799092 (
+	.A_N(brqrv_top_dmi_reg_addr[5]),
+	.B(n_3543),
+	.C(n_2990),
+	.X(n_4590), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g799094 (
+	.A(n_4235),
+	.B(n_3735),
+	.X(n_4587), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g799095 (
+	.A(n_4235),
+	.B(n_34652),
+	.X(n_4585), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g799096 (
+	.A(n_4225),
+	.B(n_34652),
+	.X(n_4583), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3b_1 g799097 (
+	.A(n_35116),
+	.B(n_35126),
+	.C_N(n_3772),
+	.X(n_4581), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g799100 (
+	.A(n_42162),
+	.Y(brqrv_top_brqrv_dec_tlu_fw_halted_ns), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g799101 (
+	.A(brqrv_top_dccm_rd_addr_lo[11]),
+	.Y(n_4380), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g799102 (
+	.A(brqrv_top_dccm_rd_addr_lo[7]),
+	.Y(n_4379), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g799103 (
+	.A(brqrv_top_dccm_rd_addr_lo[5]),
+	.Y(n_4378), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g799104 (
+	.A(brqrv_top_dccm_rd_addr_lo[9]),
+	.Y(n_4377), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g799105 (
+	.A(brqrv_top_dccm_rd_addr_lo[3]),
+	.Y(n_4376), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g799106 (
+	.A(n_4375),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_cmd_en[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g799107 (
+	.A(n_3588),
+	.B(n_3238),
+	.C(n_3240),
+	.D(n_3589),
+	.X(n_4360), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g799109 (
+	.A(n_3263),
+	.B(n_1436),
+	.C(n_3252),
+	.D(n_3324),
+	.Y(n_4358), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g799110 (
+	.A(n_35515),
+	.B(n_43048),
+	.X(n_4357), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o32ai_1 g799111 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_write[3]),
+	.A2(n_34868),
+	.A3(n_2986),
+	.B1(n_1658),
+	.B2(n_3542),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_ldfwdff_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o32ai_1 g799112 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_write[2]),
+	.A2(n_34858),
+	.A3(n_2986),
+	.B1(n_1653),
+	.B2(n_3547),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_ldfwdff_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o32ai_1 g799113 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_write[1]),
+	.A2(n_34866),
+	.A3(n_2986),
+	.B1(n_1664),
+	.B2(n_3538),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_ldfwdff_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o32ai_1 g799114 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_write[0]),
+	.A2(n_34863),
+	.A3(n_2986),
+	.B1(n_3546),
+	.B2(n_1654),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_ldfwdff_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g799115 (
+	.A1(brqrv_top_brqrv_dma_ctrl_dma_nack_count[0]),
+	.A2(n_3745),
+	.B1(n_1929),
+	.B2(n_3757),
+	.Y(brqrv_top_brqrv_dma_ctrl_nack_count_dff_n_8), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g799116 (
+	.A1(n_2973),
+	.A2(n_1377),
+	.B1(brqrv_top_brqrv_dbg_dmstatus_halted),
+	.B2(n_35612),
+	.Y(n_4356), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g799117 (
+	.A(n_34876),
+	.B(n_43052),
+	.Y(n_4355), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g799118 (
+	.A(n_1867),
+	.B(brqrv_top_brqrv_dec_i0_decode_d),
+	.C(n_1475),
+	.D(n_3728),
+	.Y(n_4354), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111oi_0 g799119 (
+	.A1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[33]),
+	.A2(n_1834),
+	.B1(n_2821),
+	.C1(n_3303),
+	.D1(n_3964),
+	.Y(n_4353), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g799120 (
+	.A1(n_1841),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[14]),
+	.B1(n_2847),
+	.C1(n_3297),
+	.D1(n_4103),
+	.Y(n_4352), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g799121 (
+	.A1(n_1841),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[26]),
+	.B1(n_2773),
+	.C1(n_3304),
+	.D1(n_3906),
+	.Y(n_4351), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g799122 (
+	.A(n_34904),
+	.B(n_43055),
+	.X(n_4350), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g799124 (
+	.A1(n_3695),
+	.A2(n_2951),
+	.B1(n_4215),
+	.Y(n_4349), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g799125 (
+	.A1(n_34697),
+	.A2(brqrv_top_brqrv_ifu_ifc_fb_write_f[1]),
+	.B1(n_4084),
+	.Y(n_4348), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g799126 (
+	.A(n_35513),
+	.B(n_43058),
+	.X(n_4347), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g799127 (
+	.A(n_35524),
+	.B(n_43053),
+	.X(n_4346), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g799128 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[30]),
+	.A2(n_1998),
+	.B1(n_3569),
+	.C1(n_3474),
+	.Y(n_4345), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g799129 (
+	.A1_N(n_3689),
+	.A2_N(n_37622),
+	.B1(n_1518),
+	.B2(n_3689),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc3_ns[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g799130 (
+	.A1_N(n_37613),
+	.A2_N(n_3689),
+	.B1(n_1805),
+	.B2(n_3689),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc3_ns[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g799131 (
+	.A1_N(n_3689),
+	.A2_N(n_37639),
+	.B1(n_1808),
+	.B2(n_3689),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc3_ns[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g799132 (
+	.A1_N(n_3689),
+	.A2_N(n_37638),
+	.B1(n_1513),
+	.B2(n_3689),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc3_ns[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g799133 (
+	.A1(n_3688),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[29]),
+	.B1(n_37637),
+	.B2(n_3689),
+	.X(brqrv_top_brqrv_dec_tlu_mhpmc3_ns[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g799134 (
+	.A1_N(n_3689),
+	.A2_N(n_37636),
+	.B1(n_1527),
+	.B2(n_3689),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc3_ns[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g799135 (
+	.A1_N(n_3689),
+	.A2_N(n_37635),
+	.B1(brqrv_top_brqrv_dec_tlu_n_6062),
+	.B2(n_3689),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc3_ns[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g799136 (
+	.A1_N(n_3689),
+	.A2_N(n_37634),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_324),
+	.B2(n_3689),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc3_ns[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g799137 (
+	.A1_N(n_3689),
+	.A2_N(n_37633),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_323),
+	.B2(n_3689),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc3_ns[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g799138 (
+	.A1_N(n_3689),
+	.A2_N(n_37632),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_322),
+	.B2(n_3689),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc3_ns[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g799139 (
+	.A1_N(n_3689),
+	.A2_N(n_37631),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_321),
+	.B2(n_3689),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc3_ns[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g799140 (
+	.A1_N(n_3689),
+	.A2_N(n_37630),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_320),
+	.B2(n_3689),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc3_ns[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g799141 (
+	.A1_N(n_3689),
+	.A2_N(n_37629),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_319),
+	.B2(n_3689),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc3_ns[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g799142 (
+	.A1_N(n_3689),
+	.A2_N(n_37628),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_318),
+	.B2(n_3689),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc3_ns[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g799143 (
+	.A1_N(n_3689),
+	.A2_N(n_37626),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_316),
+	.B2(n_3689),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc3_ns[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g799144 (
+	.A1_N(n_3689),
+	.A2_N(n_37625),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_315),
+	.B2(n_3689),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc3_ns[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g799145 (
+	.A1_N(n_3689),
+	.A2_N(n_37624),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_314),
+	.B2(n_3689),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc3_ns[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g799146 (
+	.A1_N(n_3689),
+	.A2_N(n_37623),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_313),
+	.B2(n_3689),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc3_ns[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g799147 (
+	.A1_N(n_3689),
+	.A2_N(n_37621),
+	.B1(n_1519),
+	.B2(n_3689),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc3_ns[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g799148 (
+	.A1_N(n_3689),
+	.A2_N(n_37620),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_310),
+	.B2(n_3689),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc3_ns[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g799149 (
+	.A1_N(n_3689),
+	.A2_N(n_37619),
+	.B1(n_1511),
+	.B2(n_3689),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc3_ns[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g799150 (
+	.A1_N(n_37615),
+	.A2_N(n_3689),
+	.B1(n_1507),
+	.B2(n_3689),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc3_ns[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g799151 (
+	.A1_N(n_37610),
+	.A2_N(n_3689),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_300),
+	.B2(n_3689),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc3_ns[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g799152 (
+	.A1_N(n_37609),
+	.A2_N(n_3689),
+	.B1(n_1790),
+	.B2(n_3689),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc3_ns[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g799153 (
+	.A1_N(n_37614),
+	.A2_N(n_3689),
+	.B1(n_1506),
+	.B2(n_3689),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc3_ns[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g799154 (
+	.A1_N(n_37611),
+	.A2_N(n_3689),
+	.B1(n_1802),
+	.B2(n_3689),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc3_ns[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g799155 (
+	.A1_N(n_3689),
+	.A2_N(n_37627),
+	.B1(brqrv_top_brqrv_dec_tlu_n_6058),
+	.B2(n_3689),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc3_ns[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g799156 (
+	.A1_N(n_37612),
+	.A2_N(n_3689),
+	.B1(n_1508),
+	.B2(n_3689),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc3_ns[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g799157 (
+	.A1_N(n_37616),
+	.A2_N(n_3689),
+	.B1(n_1809),
+	.B2(n_3689),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc3_ns[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g799158 (
+	.A1_N(n_3689),
+	.A2_N(n_37617),
+	.B1(brqrv_top_brqrv_dec_tlu_n_3024),
+	.B2(n_3689),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc3_ns[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g799159 (
+	.A1_N(n_3689),
+	.A2_N(n_37618),
+	.B1(n_1514),
+	.B2(n_3689),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc3_ns[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g799160 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_miccmect[23]),
+	.A2_N(n_3685),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_321),
+	.B2(n_3685),
+	.Y(brqrv_top_brqrv_dec_tlu_miccmect_ns[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g799161 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mdccmect[6]),
+	.A2_N(n_3690),
+	.B1(n_1506),
+	.B2(n_3690),
+	.Y(brqrv_top_brqrv_dec_tlu_mdccmect_ns[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g799162 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mdccmect[7]),
+	.A2_N(n_3690),
+	.B1(n_1507),
+	.B2(n_3690),
+	.Y(brqrv_top_brqrv_dec_tlu_mdccmect_ns[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g799163 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mdccmect[8]),
+	.A2_N(n_3690),
+	.B1(n_1809),
+	.B2(n_3690),
+	.Y(brqrv_top_brqrv_dec_tlu_mdccmect_ns[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g799164 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mdccmect[10]),
+	.A2_N(n_3690),
+	.B1(n_1514),
+	.B2(n_3690),
+	.Y(brqrv_top_brqrv_dec_tlu_mdccmect_ns[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g799165 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mdccmect[25]),
+	.A2_N(n_3690),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_323),
+	.B2(n_3690),
+	.Y(brqrv_top_brqrv_dec_tlu_mdccmect_ns[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g799166 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mdccmect[11]),
+	.A2_N(n_3690),
+	.B1(n_1511),
+	.B2(n_3690),
+	.Y(brqrv_top_brqrv_dec_tlu_mdccmect_ns[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g799167 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mdccmect[12]),
+	.A2_N(n_3690),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_310),
+	.B2(n_3690),
+	.Y(brqrv_top_brqrv_dec_tlu_mdccmect_ns[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g799168 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mdccmect[13]),
+	.A2_N(n_3690),
+	.B1(n_1519),
+	.B2(n_3690),
+	.Y(brqrv_top_brqrv_dec_tlu_mdccmect_ns[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g799169 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mdccmect[24]),
+	.A2_N(n_3690),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_322),
+	.B2(n_3690),
+	.Y(brqrv_top_brqrv_dec_tlu_mdccmect_ns[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g799170 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mdccmect[22]),
+	.A2_N(n_3690),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_320),
+	.B2(n_3690),
+	.Y(brqrv_top_brqrv_dec_tlu_mdccmect_ns[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g799171 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mdccmect[21]),
+	.A2_N(n_3690),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_319),
+	.B2(n_3690),
+	.Y(brqrv_top_brqrv_dec_tlu_mdccmect_ns[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g799172 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mdccmect[20]),
+	.A2_N(n_3690),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_318),
+	.B2(n_3690),
+	.Y(brqrv_top_brqrv_dec_tlu_mdccmect_ns[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g799173 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mdccmect[19]),
+	.A2_N(n_3690),
+	.B1(brqrv_top_brqrv_dec_tlu_n_6058),
+	.B2(n_3690),
+	.Y(brqrv_top_brqrv_dec_tlu_mdccmect_ns[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g799174 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mdccmect[18]),
+	.A2_N(n_3690),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_316),
+	.B2(n_3690),
+	.Y(brqrv_top_brqrv_dec_tlu_mdccmect_ns[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g799175 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mdccmect[17]),
+	.A2_N(n_3690),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_315),
+	.B2(n_3690),
+	.Y(brqrv_top_brqrv_dec_tlu_mdccmect_ns[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g799176 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mdccmect[16]),
+	.A2_N(n_3690),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_314),
+	.B2(n_3690),
+	.Y(brqrv_top_brqrv_dec_tlu_mdccmect_ns[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g799177 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mdccmect[14]),
+	.A2_N(n_3690),
+	.B1(n_1518),
+	.B2(n_3690),
+	.Y(brqrv_top_brqrv_dec_tlu_mdccmect_ns[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g799178 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mdccmect[9]),
+	.A2_N(n_3690),
+	.B1(brqrv_top_brqrv_dec_tlu_n_3024),
+	.B2(n_3690),
+	.Y(brqrv_top_brqrv_dec_tlu_mdccmect_ns[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g799179 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mdccmect[5]),
+	.A2_N(n_3690),
+	.B1(n_1805),
+	.B2(n_3690),
+	.Y(brqrv_top_brqrv_dec_tlu_mdccmect_ns[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g799180 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mdccmect[4]),
+	.A2_N(n_3690),
+	.B1(n_1508),
+	.B2(n_3690),
+	.Y(brqrv_top_brqrv_dec_tlu_mdccmect_ns[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g799181 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mdccmect[3]),
+	.A2_N(n_3690),
+	.B1(n_1802),
+	.B2(n_3690),
+	.Y(brqrv_top_brqrv_dec_tlu_mdccmect_ns[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g799182 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mdccmect[2]),
+	.A2_N(n_3690),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_300),
+	.B2(n_3690),
+	.Y(brqrv_top_brqrv_dec_tlu_mdccmect_ns[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g799183 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mdccmect[1]),
+	.A2_N(n_3690),
+	.B1(n_1790),
+	.B2(n_3690),
+	.Y(brqrv_top_brqrv_dec_tlu_mdccmect_ns[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g799184 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mdccmect[0]),
+	.A2_N(n_3690),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_298),
+	.B2(n_3690),
+	.Y(brqrv_top_brqrv_dec_tlu_mdccmect_ns[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g799185 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mdccmect[15]),
+	.A2_N(n_3690),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_313),
+	.B2(n_3690),
+	.Y(brqrv_top_brqrv_dec_tlu_mdccmect_ns[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g799186 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_miccmect[31]),
+	.A2_N(n_3685),
+	.B1(n_1808),
+	.B2(n_3685),
+	.Y(brqrv_top_brqrv_dec_tlu_miccmect_ns[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g799187 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_miccmect[30]),
+	.A2_N(n_3685),
+	.B1(n_1513),
+	.B2(n_3685),
+	.Y(brqrv_top_brqrv_dec_tlu_miccmect_ns[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g799188 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_miccmect[26]),
+	.A2_N(n_3685),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_324),
+	.B2(n_3685),
+	.Y(brqrv_top_brqrv_dec_tlu_miccmect_ns[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g799189 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_miccmect[25]),
+	.A2_N(n_3685),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_323),
+	.B2(n_3685),
+	.Y(brqrv_top_brqrv_dec_tlu_miccmect_ns[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g799190 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_miccmect[24]),
+	.A2_N(n_3685),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_322),
+	.B2(n_3685),
+	.Y(brqrv_top_brqrv_dec_tlu_miccmect_ns[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g799191 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_miccmect[22]),
+	.A2_N(n_3685),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_320),
+	.B2(n_3685),
+	.Y(brqrv_top_brqrv_dec_tlu_miccmect_ns[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g799192 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_miccmect[21]),
+	.A2_N(n_3685),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_319),
+	.B2(n_3685),
+	.Y(brqrv_top_brqrv_dec_tlu_miccmect_ns[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g799193 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_miccmect[20]),
+	.A2_N(n_3685),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_318),
+	.B2(n_3685),
+	.Y(brqrv_top_brqrv_dec_tlu_miccmect_ns[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g799194 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_miccmect[19]),
+	.A2_N(n_3685),
+	.B1(brqrv_top_brqrv_dec_tlu_n_6058),
+	.B2(n_3685),
+	.Y(brqrv_top_brqrv_dec_tlu_miccmect_ns[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g799195 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_miccmect[18]),
+	.A2_N(n_3685),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_316),
+	.B2(n_3685),
+	.Y(brqrv_top_brqrv_dec_tlu_miccmect_ns[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g799196 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_miccmect[17]),
+	.A2_N(n_3685),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_315),
+	.B2(n_3685),
+	.Y(brqrv_top_brqrv_dec_tlu_miccmect_ns[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g799197 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_miccmect[16]),
+	.A2_N(n_3685),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_314),
+	.B2(n_3685),
+	.Y(brqrv_top_brqrv_dec_tlu_miccmect_ns[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g799198 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_miccmect[15]),
+	.A2_N(n_3685),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_313),
+	.B2(n_3685),
+	.Y(brqrv_top_brqrv_dec_tlu_miccmect_ns[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g799199 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_miccmect[14]),
+	.A2_N(n_3685),
+	.B1(n_1518),
+	.B2(n_3685),
+	.Y(brqrv_top_brqrv_dec_tlu_miccmect_ns[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g799200 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_miccmect[12]),
+	.A2_N(n_3685),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_310),
+	.B2(n_3685),
+	.Y(brqrv_top_brqrv_dec_tlu_miccmect_ns[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g799201 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_miccmect[11]),
+	.A2_N(n_3685),
+	.B1(n_1511),
+	.B2(n_3685),
+	.Y(brqrv_top_brqrv_dec_tlu_miccmect_ns[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g799202 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_miccmect[10]),
+	.A2_N(n_3685),
+	.B1(n_1514),
+	.B2(n_3685),
+	.Y(brqrv_top_brqrv_dec_tlu_miccmect_ns[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g799203 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_miccmect[9]),
+	.A2_N(n_3685),
+	.B1(brqrv_top_brqrv_dec_tlu_n_3024),
+	.B2(n_3685),
+	.Y(brqrv_top_brqrv_dec_tlu_miccmect_ns[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g799204 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_miccmect[8]),
+	.A2_N(n_3685),
+	.B1(n_1809),
+	.B2(n_3685),
+	.Y(brqrv_top_brqrv_dec_tlu_miccmect_ns[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g799205 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_miccmect[7]),
+	.A2_N(n_3685),
+	.B1(n_1507),
+	.B2(n_3685),
+	.Y(brqrv_top_brqrv_dec_tlu_miccmect_ns[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g799206 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_miccmect[6]),
+	.A2_N(n_3685),
+	.B1(n_1506),
+	.B2(n_3685),
+	.Y(brqrv_top_brqrv_dec_tlu_miccmect_ns[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g799207 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_miccmect[5]),
+	.A2_N(n_3685),
+	.B1(n_1805),
+	.B2(n_3685),
+	.Y(brqrv_top_brqrv_dec_tlu_miccmect_ns[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g799208 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_miccmect[4]),
+	.A2_N(n_3685),
+	.B1(n_1508),
+	.B2(n_3685),
+	.Y(brqrv_top_brqrv_dec_tlu_miccmect_ns[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g799209 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_miccmect[3]),
+	.A2_N(n_3685),
+	.B1(n_1802),
+	.B2(n_3685),
+	.Y(brqrv_top_brqrv_dec_tlu_miccmect_ns[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g799210 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_miccmect[2]),
+	.A2_N(n_3685),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_300),
+	.B2(n_3685),
+	.Y(brqrv_top_brqrv_dec_tlu_miccmect_ns[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g799211 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_miccmect[1]),
+	.A2_N(n_3685),
+	.B1(n_1790),
+	.B2(n_3685),
+	.Y(brqrv_top_brqrv_dec_tlu_miccmect_ns[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g799212 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_miccmect[0]),
+	.A2_N(n_3685),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_298),
+	.B2(n_3685),
+	.Y(brqrv_top_brqrv_dec_tlu_miccmect_ns[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g799213 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mdccmect[23]),
+	.A2_N(n_3690),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_321),
+	.B2(n_3690),
+	.Y(brqrv_top_brqrv_dec_tlu_mdccmect_ns[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g799214 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mdccmect[30]),
+	.A2_N(n_3690),
+	.B1(n_1513),
+	.B2(n_3690),
+	.Y(brqrv_top_brqrv_dec_tlu_mdccmect_ns[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g799215 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mdccmect[26]),
+	.A2_N(n_3690),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_324),
+	.B2(n_3690),
+	.Y(brqrv_top_brqrv_dec_tlu_mdccmect_ns[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g799216 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_miccmect[13]),
+	.A2_N(n_3685),
+	.B1(n_1519),
+	.B2(n_3685),
+	.Y(brqrv_top_brqrv_dec_tlu_miccmect_ns[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g799217 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mdccmect[31]),
+	.A2_N(n_3690),
+	.B1(n_1808),
+	.B2(n_3690),
+	.Y(brqrv_top_brqrv_dec_tlu_mdccmect_ns[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g799218 (
+	.A(n_35522),
+	.B(n_43057),
+	.Y(n_4344), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g799219 (
+	.A1_N(n_3687),
+	.A2_N(n_37576),
+	.B1(n_1808),
+	.B2(n_3687),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc4_ns[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g799220 (
+	.A1_N(n_3687),
+	.A2_N(n_37575),
+	.B1(n_1513),
+	.B2(n_3687),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc4_ns[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g799221 (
+	.A1(n_3686),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[29]),
+	.B1(n_37574),
+	.B2(n_3687),
+	.X(brqrv_top_brqrv_dec_tlu_mhpmc4_ns[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g799222 (
+	.A1_N(n_3687),
+	.A2_N(n_37573),
+	.B1(n_1527),
+	.B2(n_3687),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc4_ns[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g799223 (
+	.A1_N(n_3687),
+	.A2_N(n_37572),
+	.B1(brqrv_top_brqrv_dec_tlu_n_6062),
+	.B2(n_3687),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc4_ns[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g799224 (
+	.A1_N(n_3687),
+	.A2_N(n_37571),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_324),
+	.B2(n_3687),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc4_ns[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g799225 (
+	.A1_N(n_3687),
+	.A2_N(n_37570),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_323),
+	.B2(n_3687),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc4_ns[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g799226 (
+	.A1_N(n_3687),
+	.A2_N(n_37569),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_322),
+	.B2(n_3687),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc4_ns[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g799227 (
+	.A1_N(n_3687),
+	.A2_N(n_37568),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_321),
+	.B2(n_3687),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc4_ns[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g799228 (
+	.A1_N(n_3687),
+	.A2_N(n_37567),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_320),
+	.B2(n_3687),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc4_ns[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g799229 (
+	.A1_N(n_3687),
+	.A2_N(n_37566),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_319),
+	.B2(n_3687),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc4_ns[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g799230 (
+	.A1_N(n_3687),
+	.A2_N(n_37565),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_318),
+	.B2(n_3687),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc4_ns[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g799231 (
+	.A1_N(n_3687),
+	.A2_N(n_37564),
+	.B1(brqrv_top_brqrv_dec_tlu_n_6058),
+	.B2(n_3687),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc4_ns[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g799232 (
+	.A1_N(n_3687),
+	.A2_N(n_37563),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_316),
+	.B2(n_3687),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc4_ns[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g799233 (
+	.A1_N(n_3687),
+	.A2_N(n_37562),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_315),
+	.B2(n_3687),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc4_ns[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g799234 (
+	.A1_N(n_3687),
+	.A2_N(n_37561),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_314),
+	.B2(n_3687),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc4_ns[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g799235 (
+	.A1_N(n_3687),
+	.A2_N(n_37560),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_313),
+	.B2(n_3687),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc4_ns[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g799236 (
+	.A1_N(n_3687),
+	.A2_N(n_37559),
+	.B1(n_1518),
+	.B2(n_3687),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc4_ns[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g799237 (
+	.A1_N(n_3687),
+	.A2_N(n_37558),
+	.B1(n_1519),
+	.B2(n_3687),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc4_ns[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g799238 (
+	.A1_N(n_3687),
+	.A2_N(n_37555),
+	.B1(n_1514),
+	.B2(n_3687),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc4_ns[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g799239 (
+	.A1_N(n_3687),
+	.A2_N(n_37554),
+	.B1(brqrv_top_brqrv_dec_tlu_n_3024),
+	.B2(n_3687),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc4_ns[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g799240 (
+	.A1_N(n_37553),
+	.A2_N(n_3687),
+	.B1(n_1809),
+	.B2(n_3687),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc4_ns[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g799241 (
+	.A1_N(n_37552),
+	.A2_N(n_3687),
+	.B1(n_1507),
+	.B2(n_3687),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc4_ns[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g799242 (
+	.A1_N(n_37551),
+	.A2_N(n_3687),
+	.B1(n_1506),
+	.B2(n_3687),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc4_ns[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g799243 (
+	.A1_N(n_37550),
+	.A2_N(n_3687),
+	.B1(n_1805),
+	.B2(n_3687),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc4_ns[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g799244 (
+	.A1_N(n_37549),
+	.A2_N(n_3687),
+	.B1(n_1508),
+	.B2(n_3687),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc4_ns[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g799245 (
+	.A1_N(n_37548),
+	.A2_N(n_3687),
+	.B1(n_1802),
+	.B2(n_3687),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc4_ns[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g799246 (
+	.A1_N(n_37547),
+	.A2_N(n_3687),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_300),
+	.B2(n_3687),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc4_ns[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g799247 (
+	.A1_N(n_37546),
+	.A2_N(n_3687),
+	.B1(n_1790),
+	.B2(n_3687),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc4_ns[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g799248 (
+	.A1_N(n_3687),
+	.A2_N(n_37556),
+	.B1(n_1511),
+	.B2(n_3687),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc4_ns[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g799249 (
+	.A1_N(n_3687),
+	.A2_N(n_37557),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_310),
+	.B2(n_3687),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc4_ns[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g799250 (
+	.A1(n_3458),
+	.A2(n_34786),
+	.B1(n_37324),
+	.B2(FE_DBTN21_n_34786),
+	.X(brqrv_top_brqrv_exu_i0_flush_path_d[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g799251 (
+	.A1(n_36183),
+	.A2(n_1837),
+	.B1(n_1842),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[154]),
+	.C1(n_3558),
+	.X(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[26]_1626 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g799252 (
+	.A1(n_36179),
+	.A2(n_1837),
+	.B1(n_1842),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[153]),
+	.C1(n_3557),
+	.X(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[25]_1625 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g799253 (
+	.A1(n_36175),
+	.A2(n_1837),
+	.B1(n_1842),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[152]),
+	.C1(n_3556),
+	.X(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[24]_1624 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g799254 (
+	.A1(n_36170),
+	.A2(n_1837),
+	.B1(n_1542),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[22]),
+	.C1(n_3555),
+	.X(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[22]_1622 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g799255 (
+	.A1(n_31343),
+	.A2(n_1837),
+	.B1(n_1542),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[20]),
+	.C1(n_3554),
+	.X(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[20]_1620 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g799256 (
+	.A1(n_36166),
+	.A2(n_1837),
+	.B1(n_1542),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[18]),
+	.C1(n_3553),
+	.X(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[18]_1618 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g799257 (
+	.A1(n_36165),
+	.A2(n_1837),
+	.B1(n_1542),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[17]),
+	.C1(n_3552),
+	.X(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[17]_1617 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g799258 (
+	.A1(n_35369),
+	.A2(n_1983),
+	.B1(n_2874),
+	.C1(n_2695),
+	.D1(n_34944),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[16]_1616 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g799259 (
+	.A1(n_36160),
+	.A2(n_1837),
+	.B1(n_1842),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[139]),
+	.C1(n_3551),
+	.X(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[11]_1611 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g799260 (
+	.A1(n_36159),
+	.A2(n_1837),
+	.B1(n_1842),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[138]),
+	.C1(n_3550),
+	.X(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[10]_1610 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g799261 (
+	.A1(n_34697),
+	.A2(brqrv_top_brqrv_ifu_ifc_fb_write_f[2]),
+	.B1(n_4139),
+	.Y(n_4343), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o31ai_1 g799262 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_ldfwd[1]),
+	.A2(n_1876),
+	.A3(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dualtag[5]),
+	.B1(n_3896),
+	.Y(n_4342), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g799263 (
+	.A(n_34937),
+	.B(n_3178),
+	.C(n_2881),
+	.D(n_2910),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[31]_1631 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g799264 (
+	.A(n_34936),
+	.B(n_3164),
+	.C(n_2801),
+	.D(n_2880),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[30]_1630 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g799265 (
+	.A(n_34932),
+	.B(n_3178),
+	.C(n_2877),
+	.D(n_2878),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[29]_1629 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g799266 (
+	.A(n_34931),
+	.B(n_3164),
+	.C(n_2784),
+	.D(n_2746),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[28]_1628 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g799267 (
+	.A(n_3219),
+	.B(n_3609),
+	.C(n_3217),
+	.D(n_3640),
+	.Y(n_4341), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g799268 (
+	.A1(n_2643),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [29]),
+	.B1(n_36999),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable_ff ),
+	.C1(n_4163),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_in [32]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g799269 (
+	.A1(n_34632),
+	.A2(n_3142),
+	.B1(n_3828),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_in [30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g799270 (
+	.A1(n_34632),
+	.A2(n_3102),
+	.B1(n_3827),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_in [29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g799271 (
+	.A1(n_34632),
+	.A2(n_3052),
+	.B1(n_3826),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_in [28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g799272 (
+	.A1(n_34632),
+	.A2(n_3083),
+	.B1(n_3825),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_in [27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g799273 (
+	.A1(n_34632),
+	.A2(n_3123),
+	.B1(n_3824),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_in [26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g799274 (
+	.A1(n_34632),
+	.A2(n_3190),
+	.B1(n_3823),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_in [25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g799275 (
+	.A1(n_34632),
+	.A2(n_3151),
+	.B1(n_3822),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_in [24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g799276 (
+	.A1(n_34632),
+	.A2(n_43410),
+	.B1(n_3821),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_in [23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g799277 (
+	.A1(n_34632),
+	.A2(n_3162),
+	.B1(n_3820),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_in [22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g799278 (
+	.A1(n_34632),
+	.A2(n_3065),
+	.B1(n_3819),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_in [21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g799279 (
+	.A1(n_34632),
+	.A2(n_43045),
+	.B1(n_3818),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_in [20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g799280 (
+	.A1(n_34632),
+	.A2(n_43043),
+	.B1(n_3817),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_in [19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g799281 (
+	.A1(n_34632),
+	.A2(n_43046),
+	.B1(n_3816),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_in [18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g799282 (
+	.A1(n_34632),
+	.A2(n_3153),
+	.B1(n_3815),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_in [17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g799283 (
+	.A1(n_34632),
+	.A2(n_43047),
+	.B1(n_3814),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_in [16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g799284 (
+	.A1(n_34632),
+	.A2(n_3104),
+	.B1(n_3813),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_in [15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g799285 (
+	.A1(n_34632),
+	.A2(n_43040),
+	.B1(n_3812),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_in [14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g799286 (
+	.A1(n_34632),
+	.A2(n_43041),
+	.B1(n_3811),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_in [13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g799287 (
+	.A1(n_34632),
+	.A2(n_43044),
+	.B1(n_3810),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_in [12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g799288 (
+	.A1(n_34632),
+	.A2(n_3084),
+	.B1(n_3809),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_in [11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g799289 (
+	.A1(n_34632),
+	.A2(n_43411),
+	.B1(n_3808),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_in [10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g799290 (
+	.A1(n_34632),
+	.A2(n_3075),
+	.B1(n_3807),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_in [9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g799291 (
+	.A1(n_34632),
+	.A2(n_3068),
+	.B1(n_3806),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_in [8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g799292 (
+	.A1(n_34632),
+	.A2(n_3067),
+	.B1(n_3805),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_in [7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g799293 (
+	.A1(n_34632),
+	.A2(n_3064),
+	.B1(n_3804),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_in [6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g799294 (
+	.A1(n_34632),
+	.A2(n_3096),
+	.B1(n_3803),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_in [5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g799295 (
+	.A1(n_34632),
+	.A2(n_43412),
+	.B1(n_1855),
+	.B2(n_2642),
+	.C1(n_43409),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_in [4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g799296 (
+	.A1(n_34632),
+	.A2(n_3054),
+	.B1(n_1576),
+	.B2(n_2642),
+	.C1(n_43408),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_in [3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g799297 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dualtag[7]),
+	.A2(n_3003),
+	.B1(n_3926),
+	.Y(n_4340), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g799298 (
+	.A(n_3646),
+	.B(n_3431),
+	.C(n_3651),
+	.D(n_3421),
+	.Y(n_4339), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111oi_0 g799299 (
+	.A1(n_1997),
+	.A2(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[19]),
+	.B1(n_3242),
+	.C1(n_3241),
+	.D1(n_2822),
+	.Y(n_4338), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g799300 (
+	.A(n_3644),
+	.B(n_3246),
+	.C(n_3671),
+	.D(n_3243),
+	.Y(n_4337), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g799301 (
+	.A(n_3581),
+	.B(n_3426),
+	.C(n_3428),
+	.D(n_3577),
+	.Y(n_4336), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g799302 (
+	.A(n_3672),
+	.B(n_3414),
+	.C(n_3673),
+	.D(n_3411),
+	.Y(n_4335), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g799303 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dualtag[3]),
+	.A2(n_3005),
+	.B1(n_4010),
+	.Y(n_4334), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g799304 (
+	.A(n_3442),
+	.B(n_3436),
+	.C(n_3434),
+	.Y(n_4333), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a311oi_1 g799305 (
+	.A1(n_1658),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dualtag[0]),
+	.A3(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dualtag[1]),
+	.B1(n_2687),
+	.C1(n_3516),
+	.Y(n_4332), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o32a_1 g799306 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [1]),
+	.A2(n_1858),
+	.A3(n_3015),
+	.B1(n_1576),
+	.B2(n_3739),
+	.X(n_4331), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g799307 (
+	.A1(n_1547),
+	.A2(brqrv_top_brqrv_lsu_stbuf_n_612),
+	.B1(n_1544),
+	.B2(brqrv_top_brqrv_lsu_stbuf_n_608),
+	.C1(n_3286),
+	.Y(n_4330), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g799308 (
+	.A1(n_35369),
+	.A2(n_1946),
+	.B1(n_4145),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[7]_1607 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32oi_1 g799309 (
+	.A1(n_3155),
+	.A2(brqrv_top_brqrv_lsu_fir_error[1]),
+	.A3(brqrv_top_brqrv_lsu_fir_error[0]),
+	.B1(n_2957),
+	.B2(brqrv_top_brqrv_dec_tlu_exc_cause_r[1]),
+	.Y(n_4329), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g799310 (
+	.A(n_35519),
+	.B(n_43051),
+	.Y(n_4328), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g799311 (
+	.A1(n_3754),
+	.A2(brqrv_top_brqrv_dec_decode_x_d[13]),
+	.B1(n_3742),
+	.B2(brqrv_top_brqrv_dec_decode_x_d[14]),
+	.Y(n_4327), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g799312 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[3]),
+	.B(n_3200),
+	.Y(n_4326), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g799313 (
+	.A(brqrv_top_brqrv_dec_decode_x_d[16]),
+	.B(brqrv_top_brqrv_dec_decode_d_d[16]),
+	.Y(n_4325), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g799314 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[26]),
+	.B(n_3199),
+	.Y(n_4324), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g799315 (
+	.A(brqrv_top_brqrv_dec_decode_x_d[19]),
+	.B(brqrv_top_brqrv_dec_decode_d_d[19]),
+	.X(n_4323), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g799316 (
+	.A1(brqrv_top_brqrv_dec_tlu_int_timers_n_298),
+	.A2(n_3689),
+	.B1(brqrv_top_brqrv_dec_tlu_mhpmc3[0]),
+	.B2(n_3688),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc3_ns[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g799317 (
+	.A(n_3196),
+	.B(brqrv_top_brqrv_ifu_i0_pc[24]),
+	.Y(n_4322), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g799318 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[25]),
+	.B(n_3198),
+	.Y(n_4321), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g799319 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_rspageQ[14]),
+	.A2(n_3716),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_rspageQ[12]),
+	.B2(n_3718),
+	.X(n_4320), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g799320 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_rspageQ[8]),
+	.A2(n_3718),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_rspageQ[11]),
+	.B2(n_3710),
+	.X(n_4319), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g799321 (
+	.A1(brqrv_top_brqrv_dec_tlu_int_timers_n_298),
+	.A2(n_3687),
+	.B1(brqrv_top_brqrv_dec_tlu_mhpmc4[0]),
+	.B2(n_3686),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc4_ns[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g799322 (
+	.A(n_3197),
+	.B(n_35850),
+	.Y(n_4318), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g799323 (
+	.A(brqrv_top_brqrv_dec_decode_x_d[20]),
+	.B(brqrv_top_brqrv_dec_decode_d_d[20]),
+	.X(n_4317), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g799325 (
+	.A(brqrv_top_brqrv_dec_decode_x_d[9]),
+	.B(brqrv_top_brqrv_dec_decode_d_d[9]),
+	.X(n_4315), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g799326 (
+	.A1(brqrv_top_brqrv_dec_decode_x_d[11]),
+	.A2(n_3747),
+	.B1(brqrv_top_brqrv_dec_decode_x_d[10]),
+	.B2(n_3759),
+	.Y(n_4314), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g799327 (
+	.A(brqrv_top_brqrv_dec_decode_x_d[15]),
+	.B(brqrv_top_brqrv_dec_decode_d_d[15]),
+	.Y(n_4313), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g799328 (
+	.A(brqrv_top_brqrv_dec_decode_x_d[12]),
+	.B(brqrv_top_brqrv_dec_decode_d_d[12]),
+	.X(n_4312), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g799329 (
+	.A1(brqrv_top_brqrv_dec_decode_x_d[13]),
+	.A2(n_3754),
+	.B1(brqrv_top_brqrv_dec_decode_x_d[14]),
+	.B2(n_3742),
+	.Y(n_4311), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g799330 (
+	.A1(brqrv_top_brqrv_ifu_i0_pc[17]),
+	.A2(n_1758),
+	.B1(n_1676),
+	.B2(brqrv_top_brqrv_exu_i0_pc_x[17]),
+	.C1(n_3420),
+	.Y(n_4310), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g799331 (
+	.A1(n_3454),
+	.A2(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[13]),
+	.B1(n_4154),
+	.Y(n_4309), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g799332 (
+	.A1_N(n_1991),
+	.A2_N(brqrv_top_brqrv_ifu_mem_ctl_imb_in[26]),
+	.B1(n_1991),
+	.B2(brqrv_top_brqrv_ifu_mem_ctl_imb_in[26]),
+	.Y(n_4308), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g799334 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[25]),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_imb_in[25]),
+	.Y(n_4307), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g799336 (
+	.A1_N(n_1980),
+	.A2_N(brqrv_top_brqrv_ifu_mem_ctl_imb_in[16]),
+	.B1(n_1980),
+	.B2(brqrv_top_brqrv_ifu_mem_ctl_imb_in[16]),
+	.Y(n_4305), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g799337 (
+	.A1(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[21]),
+	.A2(n_42172),
+	.B1(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[23]),
+	.B2(n_42174),
+	.Y(n_4304), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g799340 (
+	.A1(brqrv_top_brqrv_ifu_mem_ctl_imb_in[28]),
+	.A2(n_1702),
+	.B1(n_4134),
+	.Y(n_4301), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g799342 (
+	.A1(brqrv_top_brqrv_ifu_mem_ctl_imb_in[29]),
+	.A2(n_1698),
+	.B1(n_4137),
+	.Y(n_4299), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g799343 (
+	.A1(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[19]),
+	.A2(n_42170),
+	.B1(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[31]),
+	.B2(n_3456),
+	.Y(n_4298), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g799344 (
+	.A1(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[30]),
+	.A2(n_3457),
+	.B1(n_4138),
+	.Y(n_4297), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g799345 (
+	.A1(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[17]),
+	.A2(n_3464),
+	.B1(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[18]),
+	.B2(n_3455),
+	.Y(n_4296), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g799347 (
+	.A1(n_3701),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_done_bus[0]),
+	.B1(n_3699),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_done_bus[3]),
+	.Y(n_4294), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g799348 (
+	.A1(n_3043),
+	.A2(n_3704),
+	.B1(n_3132),
+	.B2(n_3700),
+	.Y(n_4293), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g799349 (
+	.A1(n_3128),
+	.A2(n_3702),
+	.B1(n_3136),
+	.B2(n_3705),
+	.Y(n_4292), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32oi_1 g799350 (
+	.A1(brqrv_top_dmi_reg_en),
+	.A2(brqrv_top_brqrv_dbg_sbcs_reg_int[15]),
+	.A3(n_1792),
+	.B1(brqrv_top_brqrv_dbg_sbcs_reg[20]),
+	.B2(n_1393),
+	.Y(n_4291), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g799351 (
+	.A1(n_3452),
+	.A2(n_36140),
+	.B1(n_2954),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[31]),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data_in[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g799352 (
+	.A1(n_3452),
+	.A2(n_36135),
+	.B1(n_2954),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[30]),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data_in[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g799353 (
+	.A1(n_3452),
+	.A2(n_36130),
+	.B1(n_2954),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[29]),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data_in[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g799354 (
+	.A1(n_3452),
+	.A2(n_36125),
+	.B1(n_2954),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[28]),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data_in[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g799355 (
+	.A1(n_3452),
+	.A2(n_36120),
+	.B1(n_2954),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[27]),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data_in[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g799356 (
+	.A1(n_3452),
+	.A2(n_36115),
+	.B1(n_2954),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[26]),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data_in[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g799357 (
+	.A1(n_3452),
+	.A2(n_36111),
+	.B1(n_2954),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[25]),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data_in[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g799358 (
+	.A1(n_3452),
+	.A2(n_36106),
+	.B1(n_2954),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[24]),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data_in[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g799359 (
+	.A1(n_3703),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_sz[6]),
+	.B1(n_3699),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_sz[9]),
+	.Y(n_4290), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g799362 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[9]),
+	.B(n_3195),
+	.Y(n_4287), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g799363 (
+	.A1(n_3701),
+	.A2(brqrv_top_brqrv_dma_ctrl_n_583),
+	.B1(n_3699),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[97]),
+	.Y(n_4286), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g799364 (
+	.A(n_34916),
+	.B(n_43049),
+	.Y(n_4285), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g799365 (
+	.A1(n_3706),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_dbg[1]),
+	.B1(n_3703),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_dbg[2]),
+	.Y(n_4284), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g799366 (
+	.A1(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[20]),
+	.A2(n_42171),
+	.B1(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[22]),
+	.B2(n_42173),
+	.Y(n_4283), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g799367 (
+	.A1_N(n_35373),
+	.A2_N(n_35926),
+	.B1(n_35373),
+	.B2(n_3476),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_in [25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g799368 (
+	.A1(n_35373),
+	.A2(n_3477),
+	.B1(n_1494),
+	.B2(FE_DBTN16_n_10224),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_in [24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g799369 (
+	.A1_N(n_35373),
+	.A2_N(n_35921),
+	.B1(n_35373),
+	.B2(n_3478),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_in [22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g799370 (
+	.A1(n_35373),
+	.A2(n_3479),
+	.B1(n_1494),
+	.B2(FE_DBTN17_n_35916),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_in [18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g799371 (
+	.A1(n_35373),
+	.A2(n_3480),
+	.B1(n_1494),
+	.B2(n_1988),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_in [14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g799372 (
+	.A1(n_35373),
+	.A2(n_3481),
+	.B1(n_1494),
+	.B2(n_1987),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_in [13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g799373 (
+	.A1(n_35373),
+	.A2(n_3482),
+	.B1(n_1494),
+	.B2(n_1986),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_in [12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g799374 (
+	.A1(n_35373),
+	.A2(n_3483),
+	.B1(n_1494),
+	.B2(n_1703),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_in [10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g799375 (
+	.A1(n_35373),
+	.A2(n_3484),
+	.B1(n_1494),
+	.B2(FE_DBTN19_n_35903),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_in [9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g799376 (
+	.A1(n_35373),
+	.A2(n_3485),
+	.B1(n_1494),
+	.B2(FE_DBTN18_n_35901),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_in [8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g799377 (
+	.A1(n_35373),
+	.A2(n_3486),
+	.B1(n_1494),
+	.B2(n_541),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_in [7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g799378 (
+	.A1(n_35373),
+	.A2(n_3487),
+	.B1(n_1494),
+	.B2(n_1694),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_in [6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g799379 (
+	.A1(n_35373),
+	.A2(n_3488),
+	.B1(n_1494),
+	.B2(n_1705),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_in [5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g799380 (
+	.A1(n_3698),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_addr[128]),
+	.B1(n_3703),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[64]),
+	.Y(n_4282), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g799381 (
+	.A1(n_35373),
+	.A2(n_3489),
+	.B1(n_1494),
+	.B2(n_1680),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_in [4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g799382 (
+	.A1(n_35373),
+	.A2(n_3471),
+	.B1(n_1494),
+	.B2(n_140),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_in [1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g799383 (
+	.A1(n_3703),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_done[2]),
+	.B1(n_3699),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_done[3]),
+	.Y(n_4281), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g799385 (
+	.A(n_1405),
+	.B(n_4126),
+	.Y(n_4280), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g799386 (
+	.A1_N(n_35786),
+	.A2_N(n_3762),
+	.B1(n_35786),
+	.B2(n_3762),
+	.Y(n_4279), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g799387 (
+	.A(brqrv_top_brqrv_dma_mem_tag[1]),
+	.B(n_3744),
+	.Y(brqrv_top_brqrv_dma_ctrl_RdPtr_dff_n_9), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g799388 (
+	.A1_N(n_34259),
+	.A2_N(n_3028),
+	.B1(n_1567),
+	.B2(n_3739),
+	.Y(n_4278), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g799389 (
+	.A(n_35518),
+	.B(n_43050),
+	.Y(n_4277), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g799390 (
+	.A(n_34880),
+	.B(n_43056),
+	.Y(n_4276), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g799391 (
+	.A(n_35520),
+	.B(n_43054),
+	.X(n_4275), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g799392 (
+	.A(n_37095),
+	.B(n_37101),
+	.C(n_37099),
+	.D(n_3314),
+	.X(n_4274), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g799393 (
+	.A1(n_3209),
+	.A2(n_1786),
+	.B1(n_1790),
+	.B2(n_34621),
+	.Y(\brqrv_top_brqrv_dec_tlu_minstretl_ns[1]_3328 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111oi_0 g799394 (
+	.A1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[43]),
+	.A2(n_1538),
+	.B1(n_2706),
+	.C1(n_2772),
+	.D1(n_3299),
+	.Y(n_4273), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g799395 (
+	.A1(n_1835),
+	.A2(brqrv_top_brqrv_lsu_stbuf_n_612),
+	.B1(n_2655),
+	.C1(n_3291),
+	.D1(n_3901),
+	.Y(n_4272), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g799396 (
+	.A1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[45]),
+	.A2(n_1544),
+	.B1(n_3282),
+	.C1(n_3283),
+	.D1(n_3284),
+	.Y(n_4271), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111oi_0 g799397 (
+	.A1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[14]),
+	.A2(n_1543),
+	.B1(n_3280),
+	.C1(n_3281),
+	.D1(n_3276),
+	.Y(n_4270), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g799398 (
+	.A1(n_1641),
+	.A2(brqrv_top_brqrv_dec_dec_i0_waddr_r[1]),
+	.B1(n_3273),
+	.C1(n_3268),
+	.Y(n_4269), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g799399 (
+	.A1(n_1613),
+	.A2(brqrv_top_brqrv_dec_dec_i0_waddr_r[0]),
+	.B1(n_3272),
+	.C1(n_3271),
+	.Y(n_4268), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g799400 (
+	.A1(n_1660),
+	.A2(brqrv_top_brqrv_dec_dec_i0_waddr_r[1]),
+	.B1(n_3269),
+	.C1(n_3270),
+	.Y(n_4267), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g799401 (
+	.A1(n_1599),
+	.A2(brqrv_top_brqrv_dec_dec_i0_waddr_r[0]),
+	.B1(n_3265),
+	.C1(n_3267),
+	.Y(n_4266), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g799402 (
+	.A1(n_3768),
+	.A2(n_3185),
+	.B1_N(n_4247),
+	.Y(n_4387), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g799403 (
+	.A1(n_2976),
+	.A2(n_3719),
+	.B1(n_2951),
+	.Y(n_4386), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g799404 (
+	.A1(n_3598),
+	.A2(n_35118),
+	.B1(brqrv_top_brqrv_dec_tlu_nmi_lsu_store_type_f),
+	.B2(n_2039),
+	.X(brqrv_top_brqrv_dec_tlu_nmi_lsu_store_type), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g799405 (
+	.A1_N(n_3770),
+	.A2_N(n_35687),
+	.B1(n_3770),
+	.B2(n_35687),
+	.Y(n_4385), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g799407 (
+	.A(n_3502),
+	.B(n_1404),
+	.X(n_4383), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g799408 (
+	.A1(n_3695),
+	.A2(n_3721),
+	.B1(n_4215),
+	.Y(n_4382), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g799409 (
+	.A1(n_3755),
+	.A2(n_35632),
+	.B1(brqrv_top_brqrv_dbg_cmd_valid),
+	.B2(brqrv_top_brqrv_dbg_cmd_write),
+	.Y(n_4381), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g799410 (
+	.A1_N(n_3137),
+	.A2_N(n_3780),
+	.B1(n_3137),
+	.B2(n_3780),
+	.Y(brqrv_top_dccm_rd_addr_lo[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g799411 (
+	.A1_N(n_3120),
+	.A2_N(n_3782),
+	.B1(n_3120),
+	.B2(n_3782),
+	.Y(brqrv_top_dccm_rd_addr_lo[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g799412 (
+	.A1_N(n_3113),
+	.A2_N(n_3783),
+	.B1(n_3113),
+	.B2(n_3783),
+	.Y(brqrv_top_dccm_rd_addr_lo[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g799413 (
+	.A1_N(n_3129),
+	.A2_N(n_3781),
+	.B1(n_3129),
+	.B2(n_3781),
+	.Y(brqrv_top_dccm_rd_addr_lo[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g799414 (
+	.A1(n_43070),
+	.A2(n_3784),
+	.B1(n_4098),
+	.Y(brqrv_top_dccm_rd_addr_lo[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g799415 (
+	.A(n_1552),
+	.B(n_1575),
+	.C(n_3156),
+	.D(brqrv_top_brqrv_dma_ctrl_WrPtr[2]),
+	.Y(n_4375), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g799416 (
+	.A1(n_3489),
+	.A2(n_43023),
+	.B1(n_3332),
+	.X(n_4374), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g799417 (
+	.A1(n_3471),
+	.A2(n_43023),
+	.B1(n_3382),
+	.X(n_4373), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g799418 (
+	.A1(n_3481),
+	.A2(n_43023),
+	.B1(n_3389),
+	.X(n_4372), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g799419 (
+	.A1(n_43023),
+	.A2(n_3476),
+	.B1(n_3396),
+	.X(n_4371), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g799420 (
+	.A1(n_3485),
+	.A2(n_43023),
+	.B1(n_3388),
+	.X(n_4370), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g799421 (
+	.A1(n_3483),
+	.A2(n_43023),
+	.B1(n_3386),
+	.X(n_4369), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g799422 (
+	.A1(n_3487),
+	.A2(n_43023),
+	.B1(n_3364),
+	.X(n_4368), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g799423 (
+	.A1(n_3484),
+	.A2(n_43023),
+	.B1(n_3385),
+	.X(n_4367), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g799424 (
+	.A1(n_3486),
+	.A2(n_43023),
+	.B1(n_3384),
+	.X(n_4366), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g799425 (
+	.A1(n_43023),
+	.A2(n_3477),
+	.B1(n_3394),
+	.X(n_4365), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g799426 (
+	.A1(n_43023),
+	.A2(n_3478),
+	.B1(n_3393),
+	.X(n_4364), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g799427 (
+	.A1(n_3480),
+	.A2(n_43023),
+	.B1(n_3392),
+	.X(n_4363), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g799428 (
+	.A1(n_3482),
+	.A2(n_43023),
+	.B1(n_3372),
+	.X(n_4362), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g799429 (
+	.A1(n_3488),
+	.A2(n_43023),
+	.B1(n_3383),
+	.X(n_4361), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g799431 (
+	.A(n_43402),
+	.Y(n_4263), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g799432 (
+	.A(n_4244),
+	.Y(n_4245), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g799434 (
+	.A(n_4240),
+	.Y(n_4239), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g799435 (
+	.A(n_4236),
+	.Y(n_4237), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g799436 (
+	.A(n_4234),
+	.Y(n_4233), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g799437 (
+	.A(brqrv_top_brqrv_dec_tlu_npc_r[5]),
+	.Y(n_4230), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g799438 (
+	.A(brqrv_top_brqrv_dec_tlu_npc_r[6]),
+	.Y(n_4229), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g799439 (
+	.A(brqrv_top_brqrv_dec_tlu_npc_r[4]),
+	.Y(n_4228), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g799440 (
+	.A(n_4225),
+	.Y(n_4224), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g799441 (
+	.A(n_4222),
+	.Y(n_4221), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g799442 (
+	.A(n_4220),
+	.Y(brqrv_top_brqrv_dbg_n_4554), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g799443 (
+	.A(n_4216),
+	.Y(brqrv_top_brqrv_dec_tlu_wr_mcgc_r), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g799444 (
+	.A(n_4215),
+	.Y(n_4214), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g799445 (
+	.A(n_4208),
+	.Y(n_4209), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g799446 (
+	.A(n_4206),
+	.Y(n_4207), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g799447 (
+	.A(n_4205),
+	.Y(n_4204), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g799448 (
+	.A(n_4203),
+	.Y(n_4202), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g799449 (
+	.A(n_4201),
+	.Y(brqrv_top_brqrv_dec_tlu_wr_micect_r), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g799450 (
+	.A(n_42995),
+	.Y(n_4199), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g799451 (
+	.A(n_3540),
+	.B(brqrv_top_dmi_reg_addr[6]),
+	.Y(n_4198), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g799452 (
+	.A(n_3691),
+	.B(brqrv_top_brqrv_dec_dec_illegal_inst[31]),
+	.Y(n_4197), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g799453 (
+	.A(n_3691),
+	.B(brqrv_top_brqrv_dec_dec_illegal_inst[30]),
+	.Y(n_4196), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g799454 (
+	.A(n_3691),
+	.B(brqrv_top_brqrv_dec_dec_illegal_inst[29]),
+	.Y(n_4195), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g799455 (
+	.A(n_3691),
+	.B(brqrv_top_brqrv_dec_dec_illegal_inst[28]),
+	.Y(n_4194), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g799456 (
+	.A(n_3691),
+	.B(brqrv_top_brqrv_dec_dec_illegal_inst[27]),
+	.Y(n_4193), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g799457 (
+	.A(n_3691),
+	.B(brqrv_top_brqrv_dec_dec_illegal_inst[26]),
+	.Y(n_4192), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g799458 (
+	.A(n_3691),
+	.B(brqrv_top_brqrv_dec_dec_illegal_inst[25]),
+	.Y(n_4191), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g799459 (
+	.A(n_3691),
+	.B(brqrv_top_brqrv_dec_dec_illegal_inst[24]),
+	.Y(n_4190), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g799460 (
+	.A(n_3691),
+	.B(brqrv_top_brqrv_dec_dec_illegal_inst[23]),
+	.Y(n_4189), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g799461 (
+	.A(n_3691),
+	.B(brqrv_top_brqrv_dec_dec_illegal_inst[22]),
+	.Y(n_4188), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g799462 (
+	.A(n_3691),
+	.B(brqrv_top_brqrv_dec_dec_illegal_inst[20]),
+	.Y(n_4187), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g799463 (
+	.A(n_3691),
+	.B(brqrv_top_brqrv_dec_dec_illegal_inst[19]),
+	.Y(n_4186), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g799464 (
+	.A(n_3691),
+	.B(brqrv_top_brqrv_dec_dec_illegal_inst[18]),
+	.Y(n_4185), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g799465 (
+	.A(n_3691),
+	.B(brqrv_top_brqrv_dec_dec_illegal_inst[17]),
+	.Y(n_4184), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g799466 (
+	.A(n_3691),
+	.B(brqrv_top_brqrv_dec_dec_illegal_inst[16]),
+	.Y(n_4183), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g799467 (
+	.A(n_3691),
+	.B(brqrv_top_brqrv_dec_dec_illegal_inst[15]),
+	.Y(n_4182), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g799468 (
+	.A(n_3691),
+	.B(brqrv_top_brqrv_dec_dec_illegal_inst[14]),
+	.Y(n_4181), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g799469 (
+	.A(n_3691),
+	.B(brqrv_top_brqrv_dec_dec_illegal_inst[13]),
+	.Y(n_4180), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g799470 (
+	.A(n_3691),
+	.B(brqrv_top_brqrv_dec_dec_illegal_inst[12]),
+	.Y(n_4179), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g799471 (
+	.A(n_3691),
+	.B(brqrv_top_brqrv_dec_dec_illegal_inst[11]),
+	.Y(n_4178), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g799472 (
+	.A(n_3691),
+	.B(brqrv_top_brqrv_dec_dec_illegal_inst[10]),
+	.Y(n_4177), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g799473 (
+	.A(n_3691),
+	.B(brqrv_top_brqrv_dec_dec_illegal_inst[9]),
+	.Y(n_4176), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g799474 (
+	.A(n_3691),
+	.B(brqrv_top_brqrv_dec_dec_illegal_inst[8]),
+	.Y(n_4175), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g799475 (
+	.A(n_3691),
+	.B(brqrv_top_brqrv_dec_dec_illegal_inst[7]),
+	.Y(n_4174), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g799476 (
+	.A(n_3691),
+	.B(brqrv_top_brqrv_dec_dec_illegal_inst[6]),
+	.Y(n_4173), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g799477 (
+	.A(n_3691),
+	.B(brqrv_top_brqrv_dec_dec_illegal_inst[5]),
+	.Y(n_4172), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g799478 (
+	.A(n_3691),
+	.B(brqrv_top_brqrv_dec_dec_illegal_inst[4]),
+	.Y(n_4171), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g799479 (
+	.A(n_3691),
+	.B(brqrv_top_brqrv_dec_dec_illegal_inst[3]),
+	.Y(n_4170), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g799480 (
+	.A(n_3691),
+	.B(brqrv_top_brqrv_dec_dec_illegal_inst[2]),
+	.Y(n_4169), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221a_1 g799481 (
+	.A1(n_1625),
+	.A2(n_34353),
+	.B1(n_2065),
+	.B2(n_34351),
+	.C1(n_34951),
+	.X(n_4168), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g799482 (
+	.A(brqrv_top_brqrv_dec_tlu_external_ldfwd_disable),
+	.B(n_3223),
+	.Y(n_4167), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4b_1 g799484 (
+	.A_N(n_34821),
+	.B(n_35400),
+	.C(n_31450),
+	.D(brqrv_top_brqrv_dec_decode_i0_dec_n_168),
+	.Y(n_4165), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g799485 (
+	.A(n_3691),
+	.B(brqrv_top_brqrv_dec_dec_illegal_inst[21]),
+	.Y(n_4164), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g799486 (
+	.A(brqrv_top_brqrv_i0_ap[5]),
+	.B_N(n_3775),
+	.Y(n_4163), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g799487 (
+	.A(n_1378),
+	.B_N(brqrv_top_brqrv_dbg_sb_abmem_data_done),
+	.Y(brqrv_top_brqrv_dbg_sb_abmem_data_doneff_n_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g799488 (
+	.A(n_3685),
+	.B(brqrv_top_brqrv_dec_tlu_miccmect[27]),
+	.Y(n_4162), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g799489 (
+	.A(n_31667),
+	.B(n_127),
+	.C(n_34873),
+	.D(n_33295),
+	.Y(n_4161), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g799490 (
+	.A(n_3690),
+	.B(brqrv_top_brqrv_dec_tlu_mdccmect[29]),
+	.Y(n_4160), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g799491 (
+	.A(n_3685),
+	.B(brqrv_top_brqrv_dec_tlu_miccmect[29]),
+	.Y(n_4159), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g799492 (
+	.A(n_1378),
+	.B_N(brqrv_top_brqrv_dbg_sb_abmem_cmd_done),
+	.Y(brqrv_top_brqrv_dbg_sb_abmem_cmd_doneff_n_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g799493 (
+	.A(brqrv_top_brqrv_dec_tlu_n_373),
+	.B(n_3724),
+	.Y(brqrv_top_brqrv_dec_tlu_wr_mrac_r), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g799496 (
+	.A1(brqrv_top_brqrv_dbg_sbdata1_reg[10]),
+	.A2(n_1794),
+	.B1(n_1792),
+	.B2(brqrv_top_brqrv_dbg_sbdata0_reg[10]),
+	.C1(brqrv_top_brqrv_dbg_sbaddress0_reg[10]),
+	.C2(n_2928),
+	.Y(n_4156), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g799497 (
+	.A1(n_2046),
+	.A2(brqrv_top_brqrv_dec_tlu_npc_r[31]),
+	.B1(brqrv_top_brqrv_dec_tlu_npc_r[27]),
+	.B2(n_2044),
+	.C1(brqrv_top_brqrv_dec_tlu_npc_r[28]),
+	.C2(n_2002),
+	.Y(n_4155), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g799498 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[14]),
+	.B(n_3465),
+	.Y(n_4154), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g799501 (
+	.A(n_42174),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[23]),
+	.Y(n_4151), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g799506 (
+	.A(n_34650),
+	.B_N(n_1334),
+	.Y(brqrv_top_brqrv_dec_tlu_wr_mfdc_r), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g799507 (
+	.A(n_35633),
+	.B(n_3755),
+	.Y(brqrv_top_brqrv_dma_ctrl_rdbuf_cmd_sent), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g799508 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[6]),
+	.A2(n_35517),
+	.B1(n_35516),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[7]),
+	.C1(n_34914),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[8]),
+	.Y(n_4146), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g799509 (
+	.A1(n_1842),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_addr[135]),
+	.B1(n_3528),
+	.Y(n_4145), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g799510 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[15]),
+	.A2(n_35511),
+	.B1(n_35512),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[14]),
+	.C1(n_34910),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[17]),
+	.Y(n_4144), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g799511 (
+	.A1(n_11517),
+	.A2(brqrv_top_brqrv_ifu_aln_brdata2[4]),
+	.B1(brqrv_top_brqrv_ifu_aln_brdata1[4]),
+	.B2(FE_DBTN9_n_11562),
+	.C1(brqrv_top_brqrv_ifu_aln_brdata0[4]),
+	.C2(FE_DBTN8_n_11566),
+	.Y(n_4143), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g799512 (
+	.A1(n_2026),
+	.A2(brqrv_top_brqrv_dec_tlu_pc_r[27]),
+	.B1(n_1734),
+	.B2(brqrv_top_brqrv_dec_tlu_pc_r[28]),
+	.C1(n_3416),
+	.Y(n_4142), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g799513 (
+	.A(brqrv_top_brqrv_trigger_pkt_any[75]),
+	.B(n_3731),
+	.C_N(brqrv_top_brqrv_trigger_pkt_any[72]),
+	.Y(n_4141), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g799514 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[10]),
+	.A2(n_34878),
+	.B1(n_34912),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[13]),
+	.C1(n_34877),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[11]),
+	.Y(n_4140), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g799515 (
+	.A1(n_1960),
+	.A2(n_34698),
+	.B1(n_1383),
+	.Y(n_4139), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g799516 (
+	.A(n_42170),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[19]),
+	.Y(n_4138), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g799517 (
+	.A(n_1702),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_imb_in[28]),
+	.Y(n_4137), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g799520 (
+	.A(n_1977),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_imb_in[17]),
+	.Y(n_4134), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g799522 (
+	.A(n_42173),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[22]),
+	.Y(n_4132), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g799523 (
+	.A(n_3690),
+	.B(brqrv_top_brqrv_dec_tlu_mdccmect[27]),
+	.Y(n_4131), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g799525 (
+	.A(n_41696),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[11]),
+	.Y(n_4129), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g799527 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[18]),
+	.A2(n_34886),
+	.B1(n_34885),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[20]),
+	.C1(n_34908),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[21]),
+	.Y(n_4127), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g799528 (
+	.A(n_3465),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[14]),
+	.Y(n_4126), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g799529 (
+	.A(n_3509),
+	.B(brqrv_top_brqrv_dec_tlu_i_cpu_run_req_d1),
+	.Y(brqrv_top_brqrv_dec_tlu_cpu_halt_status), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g799533 (
+	.A_N(n_3765),
+	.B(brqrv_top_brqrv_i0_ap[7]),
+	.Y(n_4123), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g799534 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[23]),
+	.A2(n_34883),
+	.B1(n_34884),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[22]),
+	.C1(n_35523),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[24]),
+	.Y(n_4122), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g799535 (
+	.A(n_3736),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dualtag[1]),
+	.Y(n_4121), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g799536 (
+	.A1(n_2846),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [0]),
+	.B1(n_34257),
+	.Y(n_4120), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g799537 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[29]),
+	.A2(n_34906),
+	.B1(n_34881),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[27]),
+	.C1(n_34882),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[26]),
+	.Y(n_4119), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g799538 (
+	.A(brqrv_top_brqrv_trigger_pkt_any[113]),
+	.B(n_3731),
+	.C_N(brqrv_top_brqrv_trigger_pkt_any[110]),
+	.Y(n_4118), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g799539 (
+	.A1(n_11517),
+	.A2(brqrv_top_brqrv_ifu_aln_brdata2[12]),
+	.B1(brqrv_top_brqrv_ifu_aln_brdata1[12]),
+	.B2(FE_DBTN9_n_11562),
+	.C1(brqrv_top_brqrv_ifu_aln_brdata0[12]),
+	.C2(FE_DBTN8_n_11566),
+	.Y(n_4117), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g799540 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_valid),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_sideeffect),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_sideeffect[2]),
+	.B2(n_395),
+	.C1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_sideeffect[1]),
+	.C2(n_396),
+	.Y(n_4116), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3b_1 g799541 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_finish_ff ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_rq_enable ),
+	.C_N(n_34513),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_misc_enable ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g799542 (
+	.A(n_41696),
+	.B(n_43609),
+	.Y(n_4115), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g799543 (
+	.A(n_1934),
+	.B(n_3458),
+	.Y(n_4114), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g799544 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_n_6624 ),
+	.B(n_3437),
+	.Y(n_4113), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g799545 (
+	.A(n_41696),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[10]),
+	.Y(n_4112), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g799546 (
+	.A1(brqrv_top_brqrv_dec_tlu_dpc[1]),
+	.A2(n_1894),
+	.B1(brqrv_top_brqrv_lsu_fir_addr[1]),
+	.B2(n_42979),
+	.C1(n_42980),
+	.C2(brqrv_top_brqrv_dec_tlu_mepc[1]),
+	.Y(n_4111), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g799547 (
+	.A(n_41696),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[9]),
+	.Y(n_4110), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g799548 (
+	.A(n_41696),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[8]),
+	.Y(n_4109), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g799549 (
+	.A(n_41696),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[7]),
+	.Y(n_4108), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g799550 (
+	.A1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[20]),
+	.A2(n_1839),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[21]),
+	.B2(n_1834),
+	.C1(n_3406),
+	.Y(n_4107), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g799551 (
+	.A(n_3734),
+	.B(n_3721),
+	.Y(n_4106), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g799552 (
+	.A1(brqrv_top_brqrv_iccm_dma_rtag[2]),
+	.A2(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_misc_bits_n_10 ),
+	.A3(brqrv_top_brqrv_dma_mem_tag[2]),
+	.B1(n_3519),
+	.Y(n_4105), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g799553 (
+	.A(n_3719),
+	.B(n_3721),
+	.Y(n_4104), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g799554 (
+	.A1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[16]),
+	.A2(n_1539),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[17]),
+	.B2(n_1836),
+	.C1(n_3407),
+	.Y(n_4103), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g799555 (
+	.A(brqrv_top_brqrv_dec_decode_i0_dec_n_168),
+	.B(n_3572),
+	.Y(n_4102), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g799556 (
+	.A1(n_2765),
+	.A2(n_1787),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_7547),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_rst[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g799557 (
+	.A1(n_2764),
+	.A2(n_1787),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_7535),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_3220), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g799558 (
+	.A1(n_2710),
+	.A2(n_1787),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_7543),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_3388), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g799559 (
+	.A1(n_2763),
+	.A2(n_1787),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_7539),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_3304), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g799560 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [1]),
+	.B(n_34260),
+	.C(n_34259),
+	.D(n_34528),
+	.Y(n_4101), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g799561 (
+	.A1(n_33885),
+	.A2(FE_DBTN15_n_36150),
+	.B1(n_2697),
+	.C1(n_2896),
+	.D1(n_403150_BAR),
+	.Y(brqrv_top_brqrv_lsu_lsu_ld_data_m[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g799562 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_by_zero_case ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [0]),
+	.B1(n_36936),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable_ff ),
+	.C1(n_3692),
+	.Y(n_4100), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g799563 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_by_zero_case ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [1]),
+	.B1(n_36937),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable_ff ),
+	.C1(n_3692),
+	.X(n_4099), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g799564 (
+	.A(n_3784),
+	.B(n_43070),
+	.Y(n_4098), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g799565 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_by_zero_case ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [2]),
+	.B1(n_36938),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable_ff ),
+	.C1(n_3692),
+	.X(n_4097), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g799566 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_by_zero_case ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [3]),
+	.B1(n_36939),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable_ff ),
+	.C1(n_3692),
+	.X(n_4096), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g799567 (
+	.A1(n_2678),
+	.A2(brqrv_top_brqrv_lsu_lsu_pkt_d[6]),
+	.B1(brqrv_top_brqrv_lsu_lsu_pkt_d[7]),
+	.X(n_4095), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g799568 (
+	.A(n_3403),
+	.B(n_3405),
+	.Y(n_4094), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g799569 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_by_zero_case ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [4]),
+	.B1(n_36940),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable_ff ),
+	.C1(n_3692),
+	.X(n_4093), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g799570 (
+	.A1(brqrv_top_brqrv_dec_tlu_debug_halt_req),
+	.A2(n_2023),
+	.B1(brqrv_top_brqrv_dec_tlu_trigger_hit_dmode_r_d1),
+	.C1(n_3174),
+	.Y(n_4092), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g799571 (
+	.A(n_3346),
+	.B(n_3404),
+	.Y(n_4091), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31o_1 g799572 (
+	.A1(n_1742),
+	.A2(brqrv_top_brqrv_dec_dec_i0_instr_d[22]),
+	.A3(brqrv_top_brqrv_dec_i0_predict_p_d[45]),
+	.B1(n_43032),
+	.X(n_4090), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g799573 (
+	.A1(n_3166),
+	.A2(n_2009),
+	.B1(brqrv_top_brqrv_dec_decode_flush_final_r),
+	.Y(brqrv_top_brqrv_dec_decode_illegal_lockout_in), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g799574 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_by_zero_case ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [5]),
+	.B1(n_36941),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable_ff ),
+	.C1(n_3692),
+	.X(n_4089), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g799575 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_by_zero_case ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [7]),
+	.B1(n_36943),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable_ff ),
+	.C1(n_3692),
+	.X(n_4088), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g799576 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_by_zero_case ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [8]),
+	.B1(n_36944),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable_ff ),
+	.C1(n_3692),
+	.X(n_4087), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g799577 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_by_zero_case ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [9]),
+	.B1(n_36945),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable_ff ),
+	.C1(n_3692),
+	.X(n_4086), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g799578 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_by_zero_case ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [10]),
+	.B1(n_36946),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable_ff ),
+	.C1(n_3692),
+	.X(n_4085), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g799579 (
+	.A(n_3505),
+	.B(n_1036),
+	.Y(n_4084), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g799580 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_by_zero_case ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [11]),
+	.B1(n_36947),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable_ff ),
+	.C1(n_3692),
+	.X(n_4083), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g799581 (
+	.A1(n_2767),
+	.A2(n_35633),
+	.B1(n_3076),
+	.Y(n_4082), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g799582 (
+	.A1(n_2659),
+	.A2(n_34819),
+	.B1(brqrv_top_brqrv_lsu_lsu_pkt_r[11]),
+	.Y(n_4081), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g799583 (
+	.A1(n_3180),
+	.A2(n_35073),
+	.B1(n_1336),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_r[1]),
+	.Y(n_4080), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g799584 (
+	.A1(brqrv_top_brqrv_dbg_n_975),
+	.A2(n_2741),
+	.B1(n_35612),
+	.Y(n_4079), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g799585 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_by_zero_case ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [12]),
+	.B1(n_36948),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable_ff ),
+	.C1(n_3692),
+	.X(n_4078), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g799586 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_by_zero_case ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [13]),
+	.B1(n_36949),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable_ff ),
+	.C1(n_3692),
+	.X(n_4077), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g799587 (
+	.A(n_3101),
+	.B(n_3708),
+	.Y(n_4076), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g799588 (
+	.A1(n_3028),
+	.A2(n_3023),
+	.B1(n_3016),
+	.Y(n_4075), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_0 g799589 (
+	.A1(n_2998),
+	.A2(n_34258),
+	.B1_N(n_3034),
+	.Y(n_4074), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g799590 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_by_zero_case ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [14]),
+	.B1(n_36950),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable_ff ),
+	.C1(n_3692),
+	.X(n_4073), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g799592 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_by_zero_case ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [15]),
+	.B1(n_36951),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable_ff ),
+	.C1(n_3692),
+	.X(n_4071), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g799593 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_by_zero_case ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [16]),
+	.B1(n_36952),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable_ff ),
+	.C1(n_3692),
+	.X(n_4070), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g799594 (
+	.A(n_34633),
+	.B(n_3525),
+	.Y(brqrv_top_brqrv_dec_decode_div_active_in), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4b_1 g799595 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[31]),
+	.B(n_34872),
+	.C(n_34815),
+	.D_N(brqrv_top_brqrv_dec_i0_predict_p_d[45]),
+	.Y(n_4069), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g799597 (
+	.A1(n_42993),
+	.A2(n_3146),
+	.B1(n_3076),
+	.Y(n_4067), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g799598 (
+	.A(n_3274),
+	.B(n_3251),
+	.Y(n_4066), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g799599 (
+	.A1(n_2715),
+	.A2(brqrv_top_brqrv_dec_tlu_mpc_debug_run_req_sync),
+	.B1(brqrv_top_brqrv_dec_tlu_mpc_run_state_f),
+	.Y(n_4065), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g799600 (
+	.A(brqrv_top_brqrv_dec_tlu_dcsr_single_step_running_f),
+	.B(n_2713),
+	.C_N(brqrv_top_brqrv_dec_tlu_internal_dbg_halt_mode),
+	.Y(brqrv_top_brqrv_dec_tlu_debug_brkpt_status_ns), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g799602 (
+	.A(n_3400),
+	.B(n_3398),
+	.Y(n_4063), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g799603 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_by_zero_case ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [17]),
+	.B1(n_36953),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable_ff ),
+	.C1(n_3692),
+	.X(n_4062), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g799604 (
+	.A1(n_1789),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[27]),
+	.B1(n_34881),
+	.C1(n_34550),
+	.Y(n_4061), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g799605 (
+	.A1(n_1789),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[26]),
+	.B1(n_34882),
+	.C1(n_34549),
+	.Y(n_4060), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g799606 (
+	.A1(n_1789),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[29]),
+	.B1(n_34906),
+	.C1(n_34548),
+	.Y(n_4059), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g799607 (
+	.A1(n_1959),
+	.A2(brqrv_top_brqrv_dec_tlu_pc_r_d1[17]),
+	.B1(n_1970),
+	.B2(brqrv_top_brqrv_dec_tlu_pc_r_d1[19]),
+	.C1(n_3591),
+	.Y(n_4058), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g799608 (
+	.A1(n_1789),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[24]),
+	.B1(n_35523),
+	.C1(n_34553),
+	.Y(n_4057), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g799609 (
+	.A1(n_1789),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[22]),
+	.B1(n_34884),
+	.C1(n_34554),
+	.Y(n_4056), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g799610 (
+	.A1(n_1789),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[23]),
+	.B1(n_34883),
+	.C1(n_34552),
+	.Y(n_4055), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g799611 (
+	.A1(n_1789),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[21]),
+	.B1(n_34908),
+	.C1(n_34557),
+	.Y(n_4054), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g799612 (
+	.A1(n_1789),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[18]),
+	.B1(n_34886),
+	.C1(n_34200),
+	.Y(n_4053), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g799613 (
+	.A1(n_1670),
+	.A2(n_43066),
+	.B1(n_3756),
+	.Y(brqrv_top_brqrv_ifu_aln_wrptr_in[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g799614 (
+	.A1(n_11556),
+	.A2(n_34702),
+	.B1(n_11562),
+	.B2(n_34704),
+	.C1(n_2899),
+	.Y(brqrv_top_brqrv_ifu_aln_rdptr_in[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g799615 (
+	.A1(n_1789),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[13]),
+	.B1(n_34912),
+	.C1(n_34537),
+	.Y(n_4052), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g799616 (
+	.A1(n_11562),
+	.A2(n_34702),
+	.B1(n_11566),
+	.B2(n_34704),
+	.C1(n_2884),
+	.Y(brqrv_top_brqrv_ifu_aln_rdptr_in[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g799617 (
+	.A1(n_1789),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[11]),
+	.B1(n_34877),
+	.C1(n_34538),
+	.Y(n_4051), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g799618 (
+	.A1(n_1789),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[17]),
+	.B1(n_34910),
+	.C1(n_34532),
+	.Y(n_4050), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g799619 (
+	.A1(n_1789),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[15]),
+	.B1(n_35511),
+	.C1(n_34534),
+	.Y(n_4049), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g799620 (
+	.A1(n_1789),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[14]),
+	.B1(n_35512),
+	.C1(n_34533),
+	.Y(n_4048), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g799621 (
+	.A1(n_1789),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[8]),
+	.B1(n_34914),
+	.C1(n_34542),
+	.Y(n_4047), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g799622 (
+	.A1(n_1789),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[6]),
+	.B1(n_35517),
+	.C1(n_34541),
+	.Y(n_4046), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g799623 (
+	.A1(n_1789),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[7]),
+	.B1(n_35516),
+	.C1(n_34540),
+	.Y(n_4045), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g799624 (
+	.A1(n_1993),
+	.A2(brqrv_top_brqrv_dec_tlu_npc_r_d1[17]),
+	.B1(n_1992),
+	.B2(brqrv_top_brqrv_dec_tlu_npc_r_d1[19]),
+	.C1(n_3641),
+	.Y(n_4044), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g799625 (
+	.A1(n_1435),
+	.A2(n_3136),
+	.B1(n_34900),
+	.Y(n_4043), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g799626 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_by_zero_case ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [18]),
+	.B1(n_36954),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable_ff ),
+	.C1(n_3692),
+	.X(n_4042), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g799627 (
+	.A1(n_1789),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[20]),
+	.B1(n_34885),
+	.C1(n_34556),
+	.Y(n_4041), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g799628 (
+	.A1(n_1789),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[10]),
+	.B1(n_34878),
+	.C1(n_34536),
+	.Y(n_4040), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g799629 (
+	.A1(n_1748),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[21]),
+	.B1(n_2048),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[22]),
+	.C1(n_3601),
+	.Y(n_4039), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g799630 (
+	.A1(n_1435),
+	.A2(n_3043),
+	.B1(n_34899),
+	.Y(n_4038), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g799631 (
+	.A1(n_1241),
+	.A2(brqrv_top_brqrv_exu_i0_flush_path_x[20]),
+	.B1(n_1261),
+	.B2(brqrv_top_brqrv_exu_i0_flush_path_x[23]),
+	.C1(n_3645),
+	.Y(n_4037), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g799632 (
+	.A1(n_1435),
+	.A2(n_3132),
+	.B1(n_34898),
+	.Y(n_4036), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g799633 (
+	.A(n_3367),
+	.B(n_3345),
+	.Y(n_4035), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g799634 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_by_zero_case ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [19]),
+	.B1(n_36955),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable_ff ),
+	.C1(n_3692),
+	.X(n_4034), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g799635 (
+	.A1(n_1890),
+	.A2(n_3173),
+	.B1(n_1571),
+	.Y(brqrv_top_brqrv_lsu_dccm_ctl_n_1114), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g799636 (
+	.A(n_1399),
+	.B(n_1587),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_pic_raddr_c1_clken), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g799637 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_by_zero_case ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [20]),
+	.B1(n_36956),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable_ff ),
+	.C1(n_3692),
+	.X(n_4033), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g799638 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_by_zero_case ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [21]),
+	.B1(n_36957),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable_ff ),
+	.C1(n_3692),
+	.X(n_4032), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g799639 (
+	.A1(n_1435),
+	.A2(n_3167),
+	.B1(n_34897),
+	.Y(n_4031), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g799640 (
+	.A1(n_2068),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [93]),
+	.B1(n_1762),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [15]),
+	.C1(n_33984),
+	.X(n_4030), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g799641 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_by_zero_case ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [22]),
+	.B1(n_36958),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable_ff ),
+	.C1(n_3692),
+	.X(n_4029), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g799642 (
+	.A1(brqrv_top_brqrv_dec_tlu_inst_acc_r),
+	.A2(n_3193),
+	.B1(brqrv_top_brqrv_dec_tlu_lsu_i0_exc_r),
+	.B2(brqrv_top_brqrv_lsu_error_pkt_r[34]),
+	.C1(brqrv_top_brqrv_dec_tlu_i0_trigger_hit_raw_r),
+	.Y(n_4028), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g799643 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_by_zero_case ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [23]),
+	.B1(n_36959),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable_ff ),
+	.C1(n_3692),
+	.X(n_4027), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g799644 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_by_zero_case ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [6]),
+	.B1(n_36942),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable_ff ),
+	.C1(n_3692),
+	.X(n_4026), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g799645 (
+	.A1(n_1435),
+	.A2(n_3128),
+	.B1(n_34903),
+	.Y(n_4025), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g799646 (
+	.A(n_1605),
+	.B(n_3412),
+	.Y(n_4024), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g799647 (
+	.A1(n_2997),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dualtag[7]),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dualtag[6]),
+	.Y(n_4023), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g799648 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_by_zero_case ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [24]),
+	.B1(n_36960),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable_ff ),
+	.C1(n_3692),
+	.X(n_4022), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g799649 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_by_zero_case ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [25]),
+	.B1(n_36961),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable_ff ),
+	.C1(n_3692),
+	.X(n_4021), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g799650 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_by_zero_case ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [26]),
+	.B1(n_36962),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable_ff ),
+	.C1(n_3692),
+	.X(n_4020), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g799651 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_by_zero_case ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [27]),
+	.B1(n_36963),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable_ff ),
+	.C1(n_3692),
+	.X(n_4019), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g799652 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [1]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [2]),
+	.B1(n_3792),
+	.Y(n_4018), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g799653 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_by_zero_case ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [28]),
+	.B1(n_36964),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable_ff ),
+	.C1(n_3692),
+	.X(n_4017), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g799654 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_by_zero_case ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [29]),
+	.B1(n_36965),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable_ff ),
+	.C1(n_3692),
+	.X(n_4016), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g799655 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_by_zero_case ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [30]),
+	.B1(n_36966),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable_ff ),
+	.C1(n_3692),
+	.X(n_4015), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g799656 (
+	.A1(n_2967),
+	.A2(n_34420),
+	.B1(n_34892),
+	.B2(n_34418),
+	.C1(n_2747),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g799657 (
+	.A1(n_1860),
+	.A2(n_3179),
+	.B1(brqrv_top_brqrv_lsu_lsu_addr_r[1]),
+	.X(n_4014), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g799659 (
+	.A1(n_2967),
+	.A2(n_34422),
+	.B1(n_34892),
+	.B2(n_35316),
+	.C1(n_2753),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[33]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g799660 (
+	.A1(n_2977),
+	.A2(n_34420),
+	.B1(n_34819),
+	.B2(n_34418),
+	.C1(n_2690),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g799661 (
+	.A1(n_1674),
+	.A2(n_43066),
+	.B1(n_3753),
+	.Y(brqrv_top_brqrv_ifu_aln_wrptr_in[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g799662 (
+	.A1(n_2977),
+	.A2(n_34421),
+	.B1(n_34819),
+	.B2(n_35160),
+	.C1(n_2750),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[64]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g799663 (
+	.A1(n_2967),
+	.A2(n_34421),
+	.B1(n_34892),
+	.B2(n_35160),
+	.C1(n_2665),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[65]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g799664 (
+	.A(n_1355),
+	.B(n_2701),
+	.C(n_2679),
+	.D(n_1352),
+	.Y(n_4012), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g799665 (
+	.A(n_3349),
+	.B(n_3348),
+	.Y(n_4011), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g799666 (
+	.A1(n_1560),
+	.A2(n_2958),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dualtag[2]),
+	.Y(n_4010), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g799667 (
+	.A1(n_2977),
+	.A2(n_34422),
+	.B1(n_34819),
+	.B2(n_35316),
+	.C1(n_2709),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[32]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g799668 (
+	.A1(n_2967),
+	.A2(n_34641),
+	.B1(n_34892),
+	.B2(n_35161),
+	.C1(n_2752),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[97]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g799669 (
+	.A1(n_2977),
+	.A2(n_34641),
+	.B1(n_34819),
+	.B2(n_35161),
+	.C1(n_2732),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[96]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g799670 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc_inc_r[1]),
+	.B(n_3537),
+	.Y(n_4265), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g799671 (
+	.A1(n_33878),
+	.A2(n_33882),
+	.B1(n_3740),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_dma_kill_en[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g799672 (
+	.A1(n_33877),
+	.A2(n_33879),
+	.B1(n_3740),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_dma_kill_en[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g799673 (
+	.A1(n_33881),
+	.A2(n_33884),
+	.B1(n_3740),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_dma_kill_en[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g799674 (
+	.A1(n_33880),
+	.A2(n_33883),
+	.B1(n_3740),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_dma_kill_en[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g799675 (
+	.A(n_3024),
+	.B(n_42167),
+	.Y(n_4264), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g799677 (
+	.A_N(n_3758),
+	.B(n_1561),
+	.Y(n_4261), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g799678 (
+	.A1(n_1864),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[9]),
+	.B1(n_1789),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[1]),
+	.C1(n_3342),
+	.Y(n_4260), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g799679 (
+	.A_N(n_3743),
+	.B(brqrv_top_brqrv_dma_ctrl_WrPtr[1]),
+	.Y(n_4259), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g799680 (
+	.A(n_34615),
+	.B(n_3724),
+	.Y(n_4258), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g799681 (
+	.A1(n_1864),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[8]),
+	.B1(n_1789),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[0]),
+	.C1(n_3341),
+	.Y(n_4257), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g799682 (
+	.A1(n_1563),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[6]),
+	.B1(n_1789),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[2]),
+	.C1(n_3333),
+	.Y(n_4256), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g799683 (
+	.A1(n_1562),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[15]),
+	.B1(n_1789),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[3]),
+	.C1(n_3343),
+	.Y(n_4255), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g799684 (
+	.A(n_35633),
+	.B_N(n_3755),
+	.Y(brqrv_top_brqrv_dma_ctrl_wrbuf_cmd_sent), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g799685 (
+	.A1(n_1967),
+	.A2(brqrv_top_brqrv_ifu_aln_q0pc[25]),
+	.B1(n_38071),
+	.B2(brqrv_top_brqrv_ifu_aln_f0val[1]),
+	.C1(n_3681),
+	.Y(n_4254), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g799686 (
+	.A1(n_1864),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_nomerge[2]),
+	.B1(n_1789),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_nomerge[0]),
+	.C1(n_3391),
+	.Y(n_4253), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g799687 (
+	.A(n_33836),
+	.B(brqrv_top_brqrv_dec_tlu_mpmc_b_ns[1]),
+	.Y(n_4252), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g799688 (
+	.A(brqrv_top_brqrv_dma_ctrl_WrPtr[1]),
+	.B(n_3743),
+	.Y(n_4251), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g799689 (
+	.A(n_1566),
+	.B(brqrv_top_brqrv_dec_tlu_dcsr_single_step_running),
+	.Y(n_4250), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g799691 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc_inc_r[0]),
+	.B(n_3536),
+	.Y(n_4249), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g799694 (
+	.A(n_34706),
+	.B(n_3520),
+	.Y(n_4248), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g799695 (
+	.A(n_3185),
+	.B(n_3768),
+	.X(n_4247), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g799696 (
+	.A1(brqrv_top_brqrv_dec_dec_csr_wrdata_r[29]),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[28]),
+	.B1(brqrv_top_brqrv_dec_dec_csr_wrdata_r[30]),
+	.C1(brqrv_top_brqrv_dec_dec_csr_wrdata_r[31]),
+	.Y(n_4246), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g799697 (
+	.A(n_35788),
+	.B(n_35787),
+	.C(n_35790),
+	.D(n_35789),
+	.Y(n_4244), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g799698 (
+	.A(n_2981),
+	.B(n_42167),
+	.Y(n_4243), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g799699 (
+	.A1(brqrv_top_brqrv_dec_tlu_ebreak_to_debug_mode_r),
+	.A2(brqrv_top_brqrv_dec_tlu_dcsr[10]),
+	.B1(brqrv_top_brqrv_dec_tlu_mcountinhibit[0]),
+	.C1(brqrv_top_brqrv_dec_tlu_perfcnt_halted),
+	.Y(n_4242), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g799700 (
+	.A(n_1037),
+	.B(n_3789),
+	.Y(n_4241), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g799701 (
+	.A(brqrv_top_brqrv_dec_tlu_flush_noredir_r),
+	.B(n_3508),
+	.Y(brqrv_top_brqrv_ifu_ifc_next_state[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g799702 (
+	.A(n_3760),
+	.B(brqrv_top_brqrv_dbg_sb_state[0]),
+	.Y(n_4240), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g799703 (
+	.A_N(n_3785),
+	.B(n_33839),
+	.Y(brqrv_top_brqrv_dec_tlu_ext_int_freeze), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g799704 (
+	.A(brqrv_top_brqrv_dec_decode_r_d[19]),
+	.B(brqrv_top_brqrv_dec_decode_r_d[20]),
+	.C(brqrv_top_brqrv_dec_dec_csr_wraddr_r[8]),
+	.D(n_33325),
+	.X(n_4238), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g799705 (
+	.A(brqrv_top_brqrv_dec_dec_csr_wraddr_r[3]),
+	.B(n_3786),
+	.Y(n_4236), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g799707 (
+	.A(brqrv_top_brqrv_dec_dec_csr_wraddr_r[3]),
+	.B(n_1550),
+	.C(n_3019),
+	.X(n_4235), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g799708 (
+	.A(n_42168),
+	.B(n_2982),
+	.Y(n_4234), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g799709 (
+	.A(n_3695),
+	.B(n_3732),
+	.Y(n_4232), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g799710 (
+	.A(brqrv_top_brqrv_dec_dec_csr_wraddr_r[11]),
+	.B(n_34666),
+	.C_N(n_3746),
+	.Y(n_4231), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g799711 (
+	.A1(brqrv_top_brqrv_dec_tlu_n_4856),
+	.A2(n_1757),
+	.B1(n_33906),
+	.B2(n_1759),
+	.C1(n_3676),
+	.Y(brqrv_top_brqrv_dec_tlu_npc_r[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g799712 (
+	.A1(brqrv_top_brqrv_dec_tlu_n_4856),
+	.A2(n_2000),
+	.B1(n_33906),
+	.B2(n_1770),
+	.C1(n_3679),
+	.Y(brqrv_top_brqrv_dec_tlu_npc_r[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g799713 (
+	.A1(brqrv_top_brqrv_dec_tlu_n_4856),
+	.A2(n_2011),
+	.B1(n_33906),
+	.B2(n_1777),
+	.C1(n_3684),
+	.Y(brqrv_top_brqrv_dec_tlu_npc_r[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g799714 (
+	.A(n_3453),
+	.B_N(brqrv_top_brqrv_dec_decode_x_d[3]),
+	.Y(n_4227), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g799715 (
+	.A(n_3778),
+	.B(brqrv_top_brqrv_dec_dec_csr_wraddr_r[3]),
+	.Y(n_4226), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g799716 (
+	.A1(brqrv_top_brqrv_dec_dec_csr_wraddr_r[8]),
+	.A2(n_43003),
+	.B1(n_35115),
+	.Y(n_4225), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g799717 (
+	.A(n_3726),
+	.B(brqrv_top_brqrv_dec_decode_x_d[3]),
+	.X(n_4223), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g799718 (
+	.A(brqrv_top_dmi_reg_addr[2]),
+	.B(brqrv_top_dmi_reg_addr[3]),
+	.C(n_34655),
+	.D(n_34616),
+	.Y(n_4222), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g799719 (
+	.A(n_3760),
+	.B(n_1561),
+	.Y(n_4220), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g799720 (
+	.A(n_2982),
+	.B(brqrv_top_brqrv_lsu_bus_intf_ldst_byteen_m[0]),
+	.C(n_1536),
+	.Y(n_4219), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g799721 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[0]),
+	.B(n_3729),
+	.X(n_4218), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g799722 (
+	.A(n_1536),
+	.B(n_3729),
+	.X(n_4217), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g799723 (
+	.A(n_3725),
+	.B(n_1334),
+	.Y(n_4216), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g799724 (
+	.A1(n_33298),
+	.A2(n_1680),
+	.B1(n_3696),
+	.Y(n_4215), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g799725 (
+	.A(brqrv_top_brqrv_dec_decode_n_1234),
+	.B(n_3720),
+	.Y(n_4213), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_0 g799726 (
+	.A(n_3706),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_addr[34]),
+	.X(n_4212), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_0 g799727 (
+	.A(n_3698),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_addr[130]),
+	.X(n_4211), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_0 g799728 (
+	.A(n_3701),
+	.B(brqrv_top_brqrv_dma_ctrl_n_588),
+	.X(n_4210), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g799729 (
+	.A(n_3699),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_addr[98]),
+	.Y(n_4208), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g799730 (
+	.A(n_3703),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_addr[66]),
+	.Y(n_4206), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g799731 (
+	.A(n_3033),
+	.B(n_3735),
+	.X(n_4205), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2b_2 g799732 (
+	.A(n_3735),
+	.B_N(n_3001),
+	.X(n_4203), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g799733 (
+	.A(n_3725),
+	.B(n_3014),
+	.Y(n_4201), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g799735 (
+	.A(n_3968),
+	.Y(brqrv_top_brqrv_ifu_i0_bp_fghr[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g799736 (
+	.A(n_3965),
+	.Y(brqrv_top_brqrv_ifu_i0_bp_fghr[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g799737 (
+	.A(n_3960),
+	.Y(brqrv_top_brqrv_ifu_i0_bp_fghr[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g799738 (
+	.A(n_3958),
+	.Y(brqrv_top_brqrv_ifu_i0_bp_fghr[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g799739 (
+	.A(n_3925),
+	.Y(brqrv_top_brqrv_ifu_i0_bp_fghr[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g799740 (
+	.A(n_3920),
+	.Y(brqrv_top_brqrv_ifu_i0_bp_fghr[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g799741 (
+	.A(n_3912),
+	.Y(brqrv_top_brqrv_ifu_i0_bp_fghr[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g799742 (
+	.A(n_4001),
+	.Y(brqrv_top_brqrv_ifu_mem_ctl_fetch_bf_f_c1_clken), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g799743 (
+	.A(n_3999),
+	.Y(brqrv_top_brqrv_exu_ghr_d_ns[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g799744 (
+	.A(n_3998),
+	.Y(brqrv_top_brqrv_exu_ghr_d_ns[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g799746 (
+	.A(n_3996),
+	.Y(brqrv_top_brqrv_exu_ghr_d_ns[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g799747 (
+	.A(n_3995),
+	.Y(brqrv_top_brqrv_exu_ghr_d_ns[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g799748 (
+	.A(n_3994),
+	.Y(brqrv_top_brqrv_exu_ghr_d_ns[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g799749 (
+	.A(n_3993),
+	.Y(brqrv_top_brqrv_exu_ghr_d_ns[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g799752 (
+	.A(brqrv_top_dccm_rd_addr_lo[10]),
+	.Y(n_3987), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g799753 (
+	.A(brqrv_top_dccm_rd_addr_lo[6]),
+	.Y(n_3986), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g799754 (
+	.A(brqrv_top_dccm_rd_addr_lo[8]),
+	.Y(n_3985), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g799755 (
+	.A(brqrv_top_dccm_rd_addr_lo[4]),
+	.Y(n_3984), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g799758 (
+	.A(n_3978),
+	.Y(n_3977), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g799760 (
+	.A(n_3975),
+	.Y(n_3974), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g799761 (
+	.A(n_43405),
+	.Y(n_3972), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g799762 (
+	.A1(n_1659),
+	.A2(n_2923),
+	.B1(n_1951),
+	.B2(n_2921),
+	.Y(n_3971), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o32ai_1 g799763 (
+	.A1(n_1724),
+	.A2(brqrv_top_brqrv_dec_tlu_mtdata1_t0[9]),
+	.A3(brqrv_top_brqrv_dec_tlu_mtsel[1]),
+	.B1(brqrv_top_brqrv_dec_tlu_mtdata1_t2[9]),
+	.B2(n_2857),
+	.Y(n_3970), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g799764 (
+	.A(brqrv_top_brqrv_trigger_pkt_any[37]),
+	.B(n_3731),
+	.C_N(brqrv_top_brqrv_trigger_pkt_any[34]),
+	.Y(n_3969), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g799765 (
+	.A1(n_35423),
+	.A2(brqrv_top_brqrv_ifu_aln_misc1[5]),
+	.B1(brqrv_top_brqrv_ifu_aln_misc2[5]),
+	.B2(n_35421),
+	.C1(brqrv_top_brqrv_ifu_aln_misc0[5]),
+	.C2(n_35422),
+	.Y(n_3968), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4b_1 g799766 (
+	.A(n_35410),
+	.B(n_35416),
+	.C(n_33903),
+	.D_N(n_35656),
+	.Y(n_3967), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221a_1 g799767 (
+	.A1(n_1625),
+	.A2(n_34256),
+	.B1(n_1776),
+	.B2(n_34254),
+	.C1(n_34938),
+	.X(n_3966), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g799768 (
+	.A1(n_35423),
+	.A2(brqrv_top_brqrv_ifu_aln_misc1[6]),
+	.B1(brqrv_top_brqrv_ifu_aln_misc2[6]),
+	.B2(n_35421),
+	.C1(brqrv_top_brqrv_ifu_aln_misc0[6]),
+	.C2(n_35422),
+	.Y(n_3965), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g799769 (
+	.A1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[31]),
+	.A2(n_1538),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[32]),
+	.B2(n_1839),
+	.C1(n_3409),
+	.X(n_3964), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4b_1 g799770 (
+	.A_N(brqrv_top_brqrv_dec_tlu_dcsr[8]),
+	.B(brqrv_top_brqrv_dec_tlu_dcsr[6]),
+	.C(brqrv_top_brqrv_dec_tlu_dcsr[7]),
+	.D(brqrv_top_brqrv_dec_tlu_debug_mode),
+	.Y(n_3963), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g799771 (
+	.A(brqrv_top_brqrv_dec_tlu_trigger_hit_r_d1),
+	.B(brqrv_top_brqrv_dec_tlu_trigger_hit_dmode_r_d1),
+	.C_N(n_3135),
+	.Y(n_3962), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g799772 (
+	.A(brqrv_top_brqrv_dec_tlu_mcyclel[6]),
+	.B(n_3008),
+	.X(n_3961), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g799773 (
+	.A1(n_35423),
+	.A2(brqrv_top_brqrv_ifu_aln_misc1[3]),
+	.B1(brqrv_top_brqrv_ifu_aln_misc2[3]),
+	.B2(n_35421),
+	.C1(brqrv_top_brqrv_ifu_aln_misc0[3]),
+	.C2(n_35422),
+	.Y(n_3960), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g799774 (
+	.A(brqrv_top_brqrv_dec_tlu_minstretl[6]),
+	.B(n_3021),
+	.X(n_3959), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g799775 (
+	.A1(n_35423),
+	.A2(brqrv_top_brqrv_ifu_aln_misc1[0]),
+	.B1(brqrv_top_brqrv_ifu_aln_misc2[0]),
+	.B2(n_35421),
+	.C1(brqrv_top_brqrv_ifu_aln_misc0[0]),
+	.C2(n_35422),
+	.Y(n_3958), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g799776 (
+	.A1(FE_DBTN8_n_11566),
+	.A2(brqrv_top_brqrv_ifu_aln_brdata2[2]),
+	.B1(brqrv_top_brqrv_ifu_aln_brdata1[2]),
+	.B2(n_11517),
+	.C1(brqrv_top_brqrv_ifu_aln_brdata0[2]),
+	.C2(FE_DBTN9_n_11562),
+	.Y(n_3957), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g799777 (
+	.A1(brqrv_top_brqrv_dec_decode_x_d[21]),
+	.A2(n_3011),
+	.B1(brqrv_top_brqrv_dec_decode_x_d[23]),
+	.B2(n_34633),
+	.C1(n_2890),
+	.Y(n_3956), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g799778 (
+	.A1(brqrv_top_brqrv_ifu_ifc_miss_a),
+	.A2(n_2994),
+	.B1(n_3339),
+	.Y(n_3955), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g799779 (
+	.A(n_3002),
+	.B(brqrv_top_brqrv_dbg_dbg_state[3]),
+	.C(brqrv_top_brqrv_dec_tlu_resume_ack),
+	.Y(n_3954), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g799780 (
+	.A1_N(brqrv_top_brqrv_lsu_lsu_addr_r[2]),
+	.A2_N(n_1348),
+	.B1(n_1853),
+	.B2(n_1348),
+	.Y(brqrv_top_brqrv_picm_wraddr[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g799781 (
+	.A1_N(n_35787),
+	.A2_N(n_2919),
+	.B1(n_1836),
+	.B2(n_2919),
+	.Y(brqrv_top_brqrv_picm_wraddr[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g799782 (
+	.A1_N(n_35788),
+	.A2_N(n_2919),
+	.B1(n_1840),
+	.B2(n_2919),
+	.Y(brqrv_top_brqrv_picm_wraddr[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g799783 (
+	.A1_N(n_35789),
+	.A2_N(n_2919),
+	.B1(n_1538),
+	.B2(n_2919),
+	.Y(brqrv_top_brqrv_picm_wraddr[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g799784 (
+	.A1(n_1880),
+	.A2(n_3101),
+	.B1(n_35784),
+	.B2(n_1875),
+	.Y(n_3953), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g799785 (
+	.A1_N(n_35786),
+	.A2_N(n_2919),
+	.B1(n_1539),
+	.B2(n_2919),
+	.Y(brqrv_top_brqrv_picm_wraddr[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g799786 (
+	.A1_N(n_36801),
+	.A2_N(n_2919),
+	.B1(n_1541),
+	.B2(n_2919),
+	.Y(brqrv_top_brqrv_picm_wraddr[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g799787 (
+	.A1(FE_DBTN8_n_11566),
+	.A2(brqrv_top_brqrv_ifu_aln_brdata0[2]),
+	.B1(brqrv_top_brqrv_ifu_aln_brdata2[2]),
+	.B2(n_11517),
+	.C1(brqrv_top_brqrv_ifu_aln_brdata1[2]),
+	.C2(FE_DBTN9_n_11562),
+	.Y(n_3952), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g799788 (
+	.A1_N(n_35790),
+	.A2_N(n_2919),
+	.B1(n_1839),
+	.B2(n_2919),
+	.Y(brqrv_top_brqrv_picm_wraddr[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g799789 (
+	.A1(n_1894),
+	.A2(brqrv_top_brqrv_dec_tlu_dpc[5]),
+	.B1(n_42980),
+	.B2(brqrv_top_brqrv_dec_tlu_mepc[5]),
+	.C1(brqrv_top_brqrv_lsu_fir_addr[5]),
+	.C2(n_42979),
+	.Y(n_3951), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g799790 (
+	.A1(brqrv_top_brqrv_exu_i0_flush_path_x[13]),
+	.A2(n_2003),
+	.B1(brqrv_top_brqrv_exu_i0_flush_path_x[14]),
+	.B2(n_1234),
+	.C1(n_3207),
+	.Y(n_3950), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g799791 (
+	.A1(n_2016),
+	.A2(brqrv_top_brqrv_exu_i0_flush_path_d[19]),
+	.B1(n_3204),
+	.Y(n_3949), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g799792 (
+	.A1(brqrv_top_brqrv_exu_i0_flush_path_x[29]),
+	.A2(n_2004),
+	.B1(brqrv_top_brqrv_exu_i0_flush_path_x[30]),
+	.B2(n_2042),
+	.C1(n_3201),
+	.Y(n_3948), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g799793 (
+	.A1(n_2004),
+	.A2(brqrv_top_brqrv_exu_i0_flush_path_x[29]),
+	.B1(n_2042),
+	.B2(brqrv_top_brqrv_exu_i0_flush_path_x[30]),
+	.C1(n_3438),
+	.Y(n_3947), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221a_1 g799794 (
+	.A1(brqrv_top_brqrv_exu_i0_flush_path_x[22]),
+	.A2(n_1243),
+	.B1(brqrv_top_brqrv_exu_i0_flush_path_x[23]),
+	.B2(n_1261),
+	.C1(n_3212),
+	.X(n_3946), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g799796 (
+	.A1(n_33912),
+	.A2(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[30]),
+	.B1(n_33913),
+	.B2(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[28]),
+	.C1(n_3234),
+	.Y(n_3944), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g799797 (
+	.A1(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[27]),
+	.A2(n_2032),
+	.B1(brqrv_top_brqrv_dec_tlu_flush_path_r[25]),
+	.B2(n_2012),
+	.C1(brqrv_top_brqrv_dec_tlu_flush_path_r[26]),
+	.C2(n_1736),
+	.Y(n_3943), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g799798 (
+	.A1(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[25]),
+	.A2(n_1727),
+	.B1(brqrv_top_brqrv_dec_tlu_flush_path_r[27]),
+	.B2(n_2047),
+	.C1(n_2024),
+	.C2(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[26]),
+	.Y(n_3942), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g799799 (
+	.A1(brqrv_top_brqrv_exu_i0_pc_x[14]),
+	.A2(n_1963),
+	.B1(n_1953),
+	.B2(brqrv_top_brqrv_exu_i0_pc_x[15]),
+	.C1(brqrv_top_brqrv_ifu_i0_pc[27]),
+	.C2(n_1731),
+	.Y(n_3941), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g799800 (
+	.A1(brqrv_top_brqrv_ifu_i0_pc[14]),
+	.A2(n_2056),
+	.B1(brqrv_top_brqrv_ifu_i0_pc[15]),
+	.B2(n_1737),
+	.C1(n_3220),
+	.Y(n_3940), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g799801 (
+	.A(n_37072),
+	.B(n_37073),
+	.C(n_35224),
+	.D(n_37074),
+	.X(n_3939), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g799802 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[64]),
+	.A2(n_1864),
+	.B1(n_1563),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[32]),
+	.C1(n_1562),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[96]),
+	.Y(n_3938), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g799803 (
+	.A(n_2991),
+	.B(brqrv_top_brqrv_dbg_abstractcs_reg[12]),
+	.C(n_35374),
+	.Y(brqrv_top_brqrv_dbg_abstractauto_reg_wren), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g799805 (
+	.A1_N(brqrv_top_brqrv_lsu_lsu_addr_r[9]),
+	.A2_N(n_1348),
+	.B1(n_1872),
+	.B2(n_1348),
+	.Y(brqrv_top_brqrv_picm_wraddr[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g799806 (
+	.A1(n_1669),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[135]),
+	.B1(n_1671),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[71]),
+	.C1(n_2739),
+	.X(n_3937), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g799807 (
+	.A1(brqrv_top_brqrv_dbg_data1_reg[1]),
+	.A2(brqrv_top_brqrv_dbg_data1_reg[0]),
+	.B1(n_3571),
+	.Y(n_3936), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g799808 (
+	.A1(n_2925),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[27]),
+	.B1(n_2920),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[39]),
+	.Y(n_3935), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g799809 (
+	.A(brqrv_top_dmi_reg_addr[6]),
+	.B(brqrv_top_dmi_reg_addr[5]),
+	.C(brqrv_top_dmi_reg_addr[4]),
+	.D(n_35376),
+	.X(n_3934), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4b_1 g799810 (
+	.A_N(n_35706),
+	.B(brqrv_top_brqrv_dec_decode_i0_dec_n_314),
+	.C(n_31667),
+	.D(brqrv_top_brqrv_dec_dec_i0_instr_d[5]),
+	.Y(n_3933), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g799811 (
+	.A1(n_36371),
+	.A2(brqrv_top_brqrv_dbg_n_4261),
+	.B1(n_3570),
+	.Y(n_3932), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g799812 (
+	.A(brqrv_top_brqrv_dbg_command_reg[8]),
+	.B(brqrv_top_brqrv_dbg_command_reg[11]),
+	.C(brqrv_top_brqrv_dbg_command_reg[9]),
+	.D(brqrv_top_brqrv_dbg_command_reg[10]),
+	.X(n_3931), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g799813 (
+	.A(n_3334),
+	.B(n_3360),
+	.Y(n_3930), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g799814 (
+	.A1(n_2930),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[1]),
+	.B1(n_36820),
+	.B2(brqrv_top_brqrv_dec_dec_pause_state),
+	.Y(n_3929), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g799815 (
+	.A1(n_2930),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[2]),
+	.B1(n_36821),
+	.B2(brqrv_top_brqrv_dec_dec_pause_state),
+	.Y(n_3928), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g799816 (
+	.A1(brqrv_top_brqrv_dec_tlu_dpc[3]),
+	.A2(n_1894),
+	.B1(brqrv_top_brqrv_lsu_fir_addr[3]),
+	.B2(n_42979),
+	.C1(n_42980),
+	.C2(brqrv_top_brqrv_dec_tlu_mepc[3]),
+	.Y(n_3927), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g799817 (
+	.A(n_2959),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dualtag[6]),
+	.C(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dualtag[7]),
+	.Y(n_3926), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g799818 (
+	.A1(n_35423),
+	.A2(brqrv_top_brqrv_ifu_aln_misc1[4]),
+	.B1(brqrv_top_brqrv_ifu_aln_misc2[4]),
+	.B2(n_35421),
+	.C1(brqrv_top_brqrv_ifu_aln_misc0[4]),
+	.C2(n_35422),
+	.Y(n_3925), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g799819 (
+	.A1(n_1894),
+	.A2(brqrv_top_brqrv_dec_tlu_dpc[6]),
+	.B1(n_42980),
+	.B2(brqrv_top_brqrv_dec_tlu_mepc[6]),
+	.C1(brqrv_top_brqrv_lsu_fir_addr[6]),
+	.C2(n_42979),
+	.Y(n_3924), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g799820 (
+	.A1(n_35714),
+	.A2(n_2027),
+	.B1(n_35715),
+	.B2(n_1783),
+	.C1(n_403119_BAR),
+	.Y(brqrv_top_brqrv_lsu_lsu_ld_data_m[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g799821 (
+	.A1(n_2930),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[3]),
+	.B1(n_36822),
+	.B2(brqrv_top_brqrv_dec_dec_pause_state),
+	.Y(n_3923), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g799822 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[14]),
+	.B(n_34813),
+	.C_N(n_3143),
+	.Y(n_3922), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g799823 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[65]),
+	.A2(n_1864),
+	.B1(n_1562),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[97]),
+	.C1(n_1563),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[33]),
+	.Y(n_3921), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g799824 (
+	.A1(n_35423),
+	.A2(brqrv_top_brqrv_ifu_aln_misc1[1]),
+	.B1(brqrv_top_brqrv_ifu_aln_misc2[1]),
+	.B2(n_35421),
+	.C1(brqrv_top_brqrv_ifu_aln_misc0[1]),
+	.C2(n_35422),
+	.Y(n_3920), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g799825 (
+	.A(brqrv_top_brqrv_trigger_pkt_any[151]),
+	.B(n_3731),
+	.C_N(brqrv_top_brqrv_trigger_pkt_any[148]),
+	.Y(n_3919), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g799826 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_sz[4]),
+	.A2(n_1864),
+	.B1(n_1562),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_sz[6]),
+	.C1(n_1563),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_sz[2]),
+	.Y(n_3918), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g799827 (
+	.A1(n_2930),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[4]),
+	.B1(n_36823),
+	.B2(brqrv_top_brqrv_dec_dec_pause_state),
+	.Y(n_3917), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g799828 (
+	.A1(n_1855),
+	.A2(n_34527),
+	.B1(n_3573),
+	.Y(n_3916), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g799829 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_sz[5]),
+	.A2(n_1864),
+	.B1(n_1563),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_sz[3]),
+	.C1(n_1562),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_sz[7]),
+	.Y(n_3915), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g799830 (
+	.A1(n_2924),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_dma_kill[0]),
+	.B1(n_2922),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_dma_kill[1]),
+	.X(n_3914), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g799831 (
+	.A1(n_2924),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_vld[0]),
+	.B1(n_2925),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_vld[2]),
+	.X(n_3913), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g799832 (
+	.A1(n_35423),
+	.A2(brqrv_top_brqrv_ifu_aln_misc1[2]),
+	.B1(brqrv_top_brqrv_ifu_aln_misc2[2]),
+	.B2(n_35421),
+	.C1(brqrv_top_brqrv_ifu_aln_misc0[2]),
+	.C2(n_35422),
+	.Y(n_3912), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g799833 (
+	.A1(FE_DBTN8_n_11566),
+	.A2(brqrv_top_brqrv_ifu_aln_brdata0[10]),
+	.B1(brqrv_top_brqrv_ifu_aln_brdata2[10]),
+	.B2(n_11517),
+	.C1(brqrv_top_brqrv_ifu_aln_brdata1[10]),
+	.C2(FE_DBTN9_n_11562),
+	.Y(n_3911), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g799834 (
+	.A1(n_1894),
+	.A2(brqrv_top_brqrv_dec_tlu_dpc[4]),
+	.B1(brqrv_top_brqrv_lsu_fir_addr[4]),
+	.B2(n_42979),
+	.C1(n_42980),
+	.C2(brqrv_top_brqrv_dec_tlu_mepc[4]),
+	.Y(n_3910), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g799835 (
+	.A1(n_1340),
+	.A2(n_3000),
+	.B1(n_3579),
+	.Y(brqrv_top_brqrv_dma_ctrl_RdPtr_dff_n_8), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g799836 (
+	.A1(n_11517),
+	.A2(brqrv_top_brqrv_ifu_aln_brdata1[4]),
+	.B1(brqrv_top_brqrv_ifu_aln_brdata0[4]),
+	.B2(FE_DBTN9_n_11562),
+	.C1(brqrv_top_brqrv_ifu_aln_brdata2[4]),
+	.C2(FE_DBTN8_n_11566),
+	.Y(n_3909), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g799837 (
+	.A(n_35786),
+	.B(n_3004),
+	.Y(n_3908), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g799838 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[14]),
+	.B(n_34873),
+	.C(n_34824),
+	.D(n_35498),
+	.X(n_3907), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g799839 (
+	.A1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[27]),
+	.A2(n_1541),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[28]),
+	.B2(n_1539),
+	.C1(n_3323),
+	.Y(n_3906), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o32a_1 g799840 (
+	.A1(n_32406),
+	.A2(n_35499),
+	.A3(brqrv_top_brqrv_dec_decode_i0_dec_n_186_BAR),
+	.B1(n_34713),
+	.B2(n_3050),
+	.X(n_3905), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g799841 (
+	.A(n_2973),
+	.B(n_3564),
+	.Y(n_3904), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g799842 (
+	.A1(brqrv_top_brqrv_i0_ap[5]),
+	.A2(n_3097),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_control_ff [1]),
+	.B2(n_35373),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_in [32]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g799843 (
+	.A1(n_2924),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[4]),
+	.B1(n_2922),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[36]),
+	.X(n_3903), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4_1 g799844 (
+	.A(n_32507),
+	.B(n_33839),
+	.C(brqrv_top_brqrv_dec_tlu_int_timer1_int_hold_f),
+	.D(n_1566),
+	.X(n_3902), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g799845 (
+	.A1(brqrv_top_brqrv_lsu_stbuf_n_588),
+	.A2(n_1539),
+	.B1(brqrv_top_brqrv_lsu_stbuf_n_600),
+	.B2(n_1538),
+	.C1(n_2860),
+	.Y(n_3901), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g799846 (
+	.A1(n_2924),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[3]),
+	.B1(n_2922),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[35]),
+	.X(n_3900), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g799847 (
+	.A1(brqrv_top_brqrv_lsu_stbuf_n_592),
+	.A2(n_1844),
+	.B1(n_1543),
+	.B2(brqrv_top_brqrv_lsu_stbuf_n_580),
+	.C1(n_2792),
+	.Y(n_3899), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g799848 (
+	.A1(brqrv_top_brqrv_lsu_stbuf_n_596),
+	.A2(n_1851),
+	.B1(n_36274),
+	.B2(n_1610),
+	.C1(n_36271),
+	.C2(n_1659),
+	.Y(n_3898), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g799849 (
+	.A1(n_2924),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[0]),
+	.B1(n_2922),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[32]),
+	.X(n_3897), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g799850 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dualtag[5]),
+	.A2(n_1658),
+	.B1(n_3504),
+	.Y(n_3896), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4b_1 g799851 (
+	.A_N(n_35379),
+	.B(brqrv_top_brqrv_lsu_lsu_pkt_r[0]),
+	.C(brqrv_top_brqrv_lsu_lsu_pkt_r[6]),
+	.D(brqrv_top_brqrv_lsu_addr_in_pic_r),
+	.Y(n_4009), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g799853 (
+	.A1(n_1885),
+	.A2(n_2962),
+	.B1(n_34818),
+	.B2(n_34207),
+	.Y(n_3894), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g799854 (
+	.A_N(brqrv_top_brqrv_dbg_n_4261),
+	.B(brqrv_top_brqrv_dbg_command_reg[19]),
+	.C(n_1378),
+	.Y(n_3893), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g799855 (
+	.A1(n_1572),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[23]),
+	.B1(n_1893),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[23]),
+	.C1(n_2754),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[55]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g799856 (
+	.A1(n_1573),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[23]),
+	.B1(n_1882),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[23]),
+	.C1(n_2662),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[119]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g799857 (
+	.A1(n_1573),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[22]),
+	.B1(n_1882),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[22]),
+	.C1(n_2722),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[118]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g799858 (
+	.A1(n_1577),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[23]),
+	.B1(n_1582),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[23]),
+	.C1(n_2672),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[87]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g799859 (
+	.A1(n_1577),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[22]),
+	.B1(n_1582),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[22]),
+	.C1(n_2711),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[86]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g799860 (
+	.A1(n_1572),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[22]),
+	.B1(n_1893),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[22]),
+	.C1(n_2708),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[54]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g799861 (
+	.A1(n_1879),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[22]),
+	.B1(n_1884),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[22]),
+	.C1(n_2712),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g799862 (
+	.A1(n_1879),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[23]),
+	.B1(n_1884),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[23]),
+	.C1(n_2748),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g799863 (
+	.A1(brqrv_top_brqrv_dec_decode_cam_raw[31]),
+	.A2(n_1870),
+	.B1(brqrv_top_brqrv_dec_decode_cam_raw[34]),
+	.B2(n_1871),
+	.C1(n_2691),
+	.Y(n_3892), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g799864 (
+	.A1(n_1873),
+	.A2(brqrv_top_brqrv_dec_decode_cam_raw[20]),
+	.B1(brqrv_top_brqrv_dec_decode_cam_raw[21]),
+	.B2(n_1870),
+	.C1(n_1607),
+	.C2(brqrv_top_brqrv_dec_dec_i0_waddr_r[1]),
+	.Y(n_3891), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g799865 (
+	.A1(n_1870),
+	.A2(brqrv_top_brqrv_dec_decode_cam_raw[1]),
+	.B1(brqrv_top_brqrv_dec_decode_cam_raw[4]),
+	.B2(n_1871),
+	.C1(n_1646),
+	.C2(brqrv_top_brqrv_dec_dec_i0_waddr_r[4]),
+	.Y(n_3890), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g799866 (
+	.A1(n_1873),
+	.A2(brqrv_top_brqrv_dec_decode_cam_raw[10]),
+	.B1(brqrv_top_brqrv_dec_decode_cam_raw[12]),
+	.B2(n_1565),
+	.C1(n_1656),
+	.C2(brqrv_top_brqrv_dec_dec_i0_waddr_r[2]),
+	.Y(n_3889), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o32ai_1 g799867 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dualtag[2]),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_ldfwd[2]),
+	.A3(n_1560),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_ldfwd[0]),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dualtag[3]),
+	.Y(n_3888), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g799871 (
+	.A1_N(n_35793),
+	.A2_N(n_2919),
+	.B1(n_1838),
+	.B2(n_2919),
+	.Y(brqrv_top_brqrv_picm_wraddr[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g799872 (
+	.A1_N(n_35792),
+	.A2_N(n_2919),
+	.B1(n_1835),
+	.B2(n_2919),
+	.Y(brqrv_top_brqrv_picm_wraddr[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g799873 (
+	.A1_N(brqrv_top_brqrv_lsu_lsu_addr_r[1]),
+	.A2_N(n_1348),
+	.B1(n_1880),
+	.B2(n_1348),
+	.Y(brqrv_top_brqrv_picm_wraddr[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g799874 (
+	.A1_N(n_35783),
+	.A2_N(n_2919),
+	.B1(n_1860),
+	.B2(n_2919),
+	.Y(brqrv_top_brqrv_picm_wraddr[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g799875 (
+	.A1(n_35662),
+	.A2(n_2967),
+	.B1(n_11604),
+	.B2(n_34892),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g799876 (
+	.A1(n_35662),
+	.A2(n_2977),
+	.B1(n_11604),
+	.B2(n_34819),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4b_1 g799877 (
+	.A(brqrv_top_brqrv_dec_tlu_pause_expired_wb),
+	.B(brqrv_top_brqrv_dec_tlu_interrupt_valid_r_d1),
+	.C(brqrv_top_brqrv_dec_tlu_n_3271),
+	.D_N(n_33838),
+	.X(n_3887), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g799878 (
+	.A1(brqrv_top_brqrv_dec_decode_r_t[8]),
+	.A2(n_3032),
+	.B1(n_3637),
+	.Y(n_3886), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g799879 (
+	.A1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[40]),
+	.A2(n_1539),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[41]),
+	.B2(n_1836),
+	.C1(n_2781),
+	.Y(n_3885), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g799880 (
+	.A1(brqrv_top_brqrv_dec_tlu_int_timers_n_310),
+	.A2(n_34437),
+	.B1(n_1714),
+	.B2(n_34438),
+	.C1(n_2794),
+	.Y(brqrv_top_brqrv_dec_tlu_dpc_ns[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g799881 (
+	.A1(n_35450),
+	.A2(n_54),
+	.B1(n_43071),
+	.Y(brqrv_top_brqrv_exu_i0_pred_correct_upper_d), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g799882 (
+	.A1(n_2786),
+	.A2(n_35461),
+	.B1(brqrv_top_brqrv_exu_i0_flush_upper_x),
+	.C1(n_32502),
+	.Y(brqrv_top_brqrv_exu_i0_predict_p_d[55]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g799883 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [0]),
+	.A2(n_34528),
+	.B1(n_3561),
+	.Y(n_3884), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g799884 (
+	.A1_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [2]),
+	.A2_N(n_34528),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [2]),
+	.B2(n_3023),
+	.Y(n_3883), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g799885 (
+	.A1(brqrv_top_brqrv_dbg_sbaddress0_reg[31]),
+	.A2(n_2928),
+	.B1(n_1792),
+	.B2(brqrv_top_brqrv_dbg_sbdata0_reg[31]),
+	.Y(n_3882), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g799886 (
+	.A1(brqrv_top_brqrv_dbg_sbaddress0_reg[30]),
+	.A2(n_2928),
+	.B1(n_1792),
+	.B2(brqrv_top_brqrv_dbg_sbdata0_reg[30]),
+	.Y(n_3881), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g799887 (
+	.A1(brqrv_top_brqrv_dbg_sbaddress0_reg[29]),
+	.A2(n_2928),
+	.B1(n_1792),
+	.B2(brqrv_top_brqrv_dbg_sbdata0_reg[29]),
+	.Y(n_3880), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g799888 (
+	.A1(brqrv_top_brqrv_dbg_sbaddress0_reg[28]),
+	.A2(n_2928),
+	.B1(n_1792),
+	.B2(brqrv_top_brqrv_dbg_sbdata0_reg[28]),
+	.Y(n_3879), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g799889 (
+	.A1(brqrv_top_brqrv_dbg_sbaddress0_reg[27]),
+	.A2(n_2928),
+	.B1(n_1792),
+	.B2(brqrv_top_brqrv_dbg_sbdata0_reg[27]),
+	.Y(n_3878), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32oi_1 g799890 (
+	.A1(brqrv_top_brqrv_dec_tlu_inst_acc_r),
+	.A2(n_1557),
+	.A3(brqrv_top_brqrv_dec_decode_r_t[14]),
+	.B1(brqrv_top_brqrv_dec_tlu_lsu_i0_exc_r),
+	.B2(brqrv_top_brqrv_lsu_error_pkt_r[35]),
+	.Y(n_3877), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g799891 (
+	.A1(brqrv_top_brqrv_dbg_sbaddress0_reg[26]),
+	.A2(n_2928),
+	.B1(n_1792),
+	.B2(brqrv_top_brqrv_dbg_sbdata0_reg[26]),
+	.Y(n_3876), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g799892 (
+	.A1(brqrv_top_brqrv_dbg_sbaddress0_reg[25]),
+	.A2(n_2928),
+	.B1(n_1792),
+	.B2(brqrv_top_brqrv_dbg_sbdata0_reg[25]),
+	.Y(n_3875), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g799893 (
+	.A1(brqrv_top_brqrv_dbg_sbaddress0_reg[24]),
+	.A2(n_2928),
+	.B1(n_1792),
+	.B2(brqrv_top_brqrv_dbg_sbdata0_reg[24]),
+	.Y(n_3874), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g799894 (
+	.A1(brqrv_top_brqrv_dbg_sbcs_reg[22]),
+	.A2(n_2933),
+	.B1(brqrv_top_brqrv_dbg_sbaddress0_reg[22]),
+	.B2(n_2928),
+	.Y(n_3873), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g799895 (
+	.A1(n_2924),
+	.A2(brqrv_top_brqrv_lsu_stbuf_n_588),
+	.B1(n_2922),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[16]),
+	.X(n_3872), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g799896 (
+	.A1(brqrv_top_brqrv_dbg_sbcs_reg[20]),
+	.A2(n_2933),
+	.B1(brqrv_top_brqrv_dbg_sbaddress0_reg[20]),
+	.B2(n_2928),
+	.Y(n_3871), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g799897 (
+	.A1(n_2924),
+	.A2(brqrv_top_brqrv_lsu_stbuf_n_592),
+	.B1(n_2922),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[17]),
+	.X(n_3870), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g799898 (
+	.A1(n_2924),
+	.A2(brqrv_top_brqrv_lsu_stbuf_n_612),
+	.B1(n_2922),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[22]),
+	.X(n_3869), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g799899 (
+	.A1(n_2924),
+	.A2(brqrv_top_brqrv_lsu_stbuf_n_604),
+	.B1(n_2922),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[20]),
+	.X(n_3868), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g799900 (
+	.A1(n_2924),
+	.A2(brqrv_top_brqrv_lsu_stbuf_n_608),
+	.B1(n_2922),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[21]),
+	.X(n_3867), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g799901 (
+	.A1(n_2924),
+	.A2(brqrv_top_brqrv_lsu_stbuf_n_600),
+	.B1(n_2922),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[19]),
+	.X(n_3866), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g799902 (
+	.A1(brqrv_top_brqrv_dbg_sbcs_reg_int[15]),
+	.A2(n_2933),
+	.B1(n_1794),
+	.B2(brqrv_top_brqrv_dbg_sbdata1_reg[15]),
+	.Y(n_3865), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g799903 (
+	.A1(n_1921),
+	.A2(n_2923),
+	.B1(n_1603),
+	.B2(n_2921),
+	.Y(n_3864), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221a_1 g799904 (
+	.A1(n_1625),
+	.A2(n_35213),
+	.B1(n_1775),
+	.B2(n_35211),
+	.C1(n_34942),
+	.X(n_3863), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g799905 (
+	.A1(brqrv_top_brqrv_dbg_sbcs_reg[13]),
+	.A2(n_2933),
+	.B1(brqrv_top_brqrv_dbg_sbaddress0_reg[13]),
+	.B2(n_2928),
+	.Y(n_3862), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g799906 (
+	.A1(n_2924),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[31]),
+	.B1(n_2922),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[63]),
+	.X(n_3861), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g799907 (
+	.A1(brqrv_top_brqrv_dbg_sbaddress0_reg[7]),
+	.A2(n_2928),
+	.B1(n_1792),
+	.B2(brqrv_top_brqrv_dbg_sbdata0_reg[7]),
+	.Y(n_3860), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g799908 (
+	.A1(brqrv_top_brqrv_dbg_sbaddress0_reg[5]),
+	.A2(n_2928),
+	.B1(n_1792),
+	.B2(brqrv_top_brqrv_dbg_sbdata0_reg[5]),
+	.Y(n_3859), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g799909 (
+	.A1(brqrv_top_brqrv_dbg_sbaddress0_reg[4]),
+	.A2(n_2928),
+	.B1(n_1792),
+	.B2(brqrv_top_brqrv_dbg_sbdata0_reg[4]),
+	.Y(n_3858), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g799910 (
+	.A1(n_2924),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[30]),
+	.B1(n_2922),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[62]),
+	.X(n_3857), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g799911 (
+	.A1(brqrv_top_brqrv_dbg_sbaddress0_reg[2]),
+	.A2(n_2928),
+	.B1(n_1792),
+	.B2(brqrv_top_brqrv_dbg_sbdata0_reg[2]),
+	.Y(n_3856), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g799912 (
+	.A1(n_2924),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[29]),
+	.B1(n_2922),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[61]),
+	.X(n_3855), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g799913 (
+	.A1(n_2924),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[28]),
+	.B1(n_2922),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[60]),
+	.X(n_3854), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g799914 (
+	.A1(n_2924),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[27]),
+	.B1(n_2922),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[59]),
+	.X(n_3853), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g799915 (
+	.A1(n_2924),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[11]),
+	.B1(n_2922),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[43]),
+	.X(n_3852), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g799916 (
+	.A1(n_2924),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[26]),
+	.B1(n_2922),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[58]),
+	.X(n_3851), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g799917 (
+	.A1(n_2924),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[25]),
+	.B1(n_2922),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[57]),
+	.X(n_3850), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g799918 (
+	.A1(n_2924),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[24]),
+	.B1(n_2922),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[56]),
+	.X(n_3849), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g799919 (
+	.A1(n_2924),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[23]),
+	.B1(n_2922),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[55]),
+	.X(n_3848), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g799920 (
+	.A1(n_2924),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[22]),
+	.B1(n_2922),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[54]),
+	.X(n_3847), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g799921 (
+	.A1(brqrv_top_brqrv_dbg_sbaddress0_reg[6]),
+	.A2(n_2928),
+	.B1(n_1792),
+	.B2(brqrv_top_brqrv_dbg_sbdata0_reg[6]),
+	.Y(n_3846), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g799922 (
+	.A1(n_2924),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[21]),
+	.B1(n_2922),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[53]),
+	.X(n_3845), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g799923 (
+	.A1(n_2924),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[20]),
+	.B1(n_2922),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[52]),
+	.X(n_3844), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g799924 (
+	.A1(n_2924),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[19]),
+	.B1(n_2922),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[51]),
+	.X(n_3843), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g799925 (
+	.A1(n_2924),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[18]),
+	.B1(n_2922),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[50]),
+	.X(n_3842), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g799926 (
+	.A1(n_2924),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[17]),
+	.B1(n_2922),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[49]),
+	.X(n_3841), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g799927 (
+	.A1(n_2924),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[16]),
+	.B1(n_2922),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[48]),
+	.X(n_3840), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g799928 (
+	.A1(n_2924),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[15]),
+	.B1(n_2922),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[47]),
+	.X(n_3839), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g799929 (
+	.A1(n_2924),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[14]),
+	.B1(n_2922),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[46]),
+	.X(n_3838), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g799930 (
+	.A1(n_2924),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[13]),
+	.B1(n_2922),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[45]),
+	.X(n_3837), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g799931 (
+	.A1(n_2924),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[12]),
+	.B1(n_2922),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[44]),
+	.X(n_3836), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g799932 (
+	.A1(n_2924),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[9]),
+	.B1(n_2922),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[41]),
+	.X(n_3835), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g799933 (
+	.A1(n_2924),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[8]),
+	.B1(n_2922),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[40]),
+	.X(n_3834), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g799934 (
+	.A1(n_2924),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[7]),
+	.B1(n_2922),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[39]),
+	.X(n_3833), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g799935 (
+	.A1(n_2924),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[5]),
+	.B1(n_2922),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[37]),
+	.X(n_3832), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g799936 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_timer[1]),
+	.B(n_2996),
+	.Y(n_3831), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g799937 (
+	.A1(n_2924),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[2]),
+	.B1(n_2922),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[34]),
+	.X(n_3830), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g799938 (
+	.A1(n_2924),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[1]),
+	.B1(n_2922),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[33]),
+	.X(n_3829), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g799939 (
+	.A1(n_2643),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [27]),
+	.B1(n_36997),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable_ff ),
+	.Y(n_3828), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g799940 (
+	.A1(n_2643),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [26]),
+	.B1(n_36996),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable_ff ),
+	.Y(n_3827), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g799941 (
+	.A1(n_2643),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [25]),
+	.B1(n_36995),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable_ff ),
+	.Y(n_3826), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g799942 (
+	.A1(n_2643),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [24]),
+	.B1(n_36994),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable_ff ),
+	.Y(n_3825), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g799943 (
+	.A1(n_2643),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [23]),
+	.B1(n_36993),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable_ff ),
+	.Y(n_3824), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g799944 (
+	.A1(n_2643),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [22]),
+	.B1(n_36992),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable_ff ),
+	.Y(n_3823), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g799945 (
+	.A1(n_2643),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [21]),
+	.B1(n_36991),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable_ff ),
+	.Y(n_3822), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g799946 (
+	.A1(n_2643),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [20]),
+	.B1(n_36990),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable_ff ),
+	.Y(n_3821), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g799947 (
+	.A1(n_2643),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [19]),
+	.B1(n_36989),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable_ff ),
+	.Y(n_3820), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g799948 (
+	.A1(n_2643),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [18]),
+	.B1(n_36988),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable_ff ),
+	.Y(n_3819), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g799949 (
+	.A1(n_2643),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [17]),
+	.B1(n_36987),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable_ff ),
+	.Y(n_3818), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g799950 (
+	.A1(n_2643),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [16]),
+	.B1(n_36986),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable_ff ),
+	.Y(n_3817), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g799951 (
+	.A1(n_2643),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [15]),
+	.B1(n_36985),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable_ff ),
+	.Y(n_3816), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g799952 (
+	.A1(n_2643),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [14]),
+	.B1(n_36984),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable_ff ),
+	.Y(n_3815), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g799953 (
+	.A1(n_2643),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [13]),
+	.B1(n_36983),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable_ff ),
+	.Y(n_3814), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g799954 (
+	.A1(n_2643),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [12]),
+	.B1(n_36982),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable_ff ),
+	.Y(n_3813), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g799955 (
+	.A1(n_2643),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [11]),
+	.B1(n_36981),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable_ff ),
+	.Y(n_3812), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g799956 (
+	.A1(n_2643),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [10]),
+	.B1(n_36980),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable_ff ),
+	.Y(n_3811), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g799957 (
+	.A1(n_2643),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [9]),
+	.B1(n_36979),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable_ff ),
+	.Y(n_3810), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g799958 (
+	.A1(n_2643),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [8]),
+	.B1(n_36978),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable_ff ),
+	.Y(n_3809), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g799959 (
+	.A1(n_2643),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [7]),
+	.B1(n_36977),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable_ff ),
+	.Y(n_3808), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g799960 (
+	.A1(n_2643),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [6]),
+	.B1(n_36976),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable_ff ),
+	.Y(n_3807), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g799961 (
+	.A1(n_2643),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [5]),
+	.B1(n_36975),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable_ff ),
+	.Y(n_3806), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g799962 (
+	.A1(n_2643),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [4]),
+	.B1(n_36974),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable_ff ),
+	.Y(n_3805), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g799963 (
+	.A1(n_2643),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [3]),
+	.B1(n_36973),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable_ff ),
+	.Y(n_3804), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g799964 (
+	.A1(n_2643),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [2]),
+	.B1(n_36972),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable_ff ),
+	.Y(n_3803), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g799965 (
+	.A1_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable_ff ),
+	.A2_N(n_36969),
+	.B1(n_34632),
+	.B2(n_3053),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_in [2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g799966 (
+	.A1_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable_ff ),
+	.A2_N(n_36968),
+	.B1(n_34632),
+	.B2(n_43413),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_in [1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g799967 (
+	.A1_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable_ff ),
+	.A2_N(n_36967),
+	.B1(n_34632),
+	.B2(n_43414),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_in [0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g799968 (
+	.A1(n_1885),
+	.A2(n_2649),
+	.B1(n_34818),
+	.B2(n_34952),
+	.Y(n_3802), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g799969 (
+	.A1(n_2924),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[6]),
+	.B1(n_2922),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[38]),
+	.X(n_3801), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g799970 (
+	.A1_N(n_3005),
+	.A2_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dualtag[5]),
+	.B1(n_2997),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dualtag[5]),
+	.Y(n_3800), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g799971 (
+	.A1(n_2924),
+	.A2(brqrv_top_brqrv_lsu_stbuf_n_580),
+	.B1(n_2922),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[14]),
+	.X(n_3799), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g799972 (
+	.A1(n_2931),
+	.A2(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[31]),
+	.B1(n_37670),
+	.B2(brqrv_top_brqrv_dec_dec_tlu_debug_stall),
+	.X(brqrv_top_brqrv_dec_tlu_force_halt_ctr[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g799973 (
+	.A1(n_2931),
+	.A2(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[30]),
+	.B1(n_37669),
+	.B2(brqrv_top_brqrv_dec_dec_tlu_debug_stall),
+	.X(brqrv_top_brqrv_dec_tlu_force_halt_ctr[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g799974 (
+	.A1(n_2931),
+	.A2(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[29]),
+	.B1(n_37668),
+	.B2(brqrv_top_brqrv_dec_dec_tlu_debug_stall),
+	.X(brqrv_top_brqrv_dec_tlu_force_halt_ctr[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g799975 (
+	.A1(n_2931),
+	.A2(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[28]),
+	.B1(n_37667),
+	.B2(brqrv_top_brqrv_dec_dec_tlu_debug_stall),
+	.X(brqrv_top_brqrv_dec_tlu_force_halt_ctr[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g799976 (
+	.A1(n_2931),
+	.A2(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[27]),
+	.B1(n_37666),
+	.B2(brqrv_top_brqrv_dec_dec_tlu_debug_stall),
+	.X(brqrv_top_brqrv_dec_tlu_force_halt_ctr[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g799977 (
+	.A1(n_2931),
+	.A2(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[26]),
+	.B1(n_37665),
+	.B2(brqrv_top_brqrv_dec_dec_tlu_debug_stall),
+	.X(brqrv_top_brqrv_dec_tlu_force_halt_ctr[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g799978 (
+	.A1(n_2931),
+	.A2(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[25]),
+	.B1(n_37664),
+	.B2(brqrv_top_brqrv_dec_dec_tlu_debug_stall),
+	.X(brqrv_top_brqrv_dec_tlu_force_halt_ctr[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g799979 (
+	.A1(n_2931),
+	.A2(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[24]),
+	.B1(n_37663),
+	.B2(brqrv_top_brqrv_dec_dec_tlu_debug_stall),
+	.X(brqrv_top_brqrv_dec_tlu_force_halt_ctr[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g799980 (
+	.A1(n_2931),
+	.A2(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[23]),
+	.B1(n_37662),
+	.B2(brqrv_top_brqrv_dec_dec_tlu_debug_stall),
+	.X(brqrv_top_brqrv_dec_tlu_force_halt_ctr[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g799981 (
+	.A1(n_2931),
+	.A2(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[22]),
+	.B1(n_37661),
+	.B2(brqrv_top_brqrv_dec_dec_tlu_debug_stall),
+	.X(brqrv_top_brqrv_dec_tlu_force_halt_ctr[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g799982 (
+	.A1(n_2931),
+	.A2(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[21]),
+	.B1(n_37660),
+	.B2(brqrv_top_brqrv_dec_dec_tlu_debug_stall),
+	.X(brqrv_top_brqrv_dec_tlu_force_halt_ctr[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g799983 (
+	.A1(n_2931),
+	.A2(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[20]),
+	.B1(n_37659),
+	.B2(brqrv_top_brqrv_dec_dec_tlu_debug_stall),
+	.X(brqrv_top_brqrv_dec_tlu_force_halt_ctr[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g799984 (
+	.A1(n_2931),
+	.A2(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[19]),
+	.B1(n_37658),
+	.B2(brqrv_top_brqrv_dec_dec_tlu_debug_stall),
+	.X(brqrv_top_brqrv_dec_tlu_force_halt_ctr[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g799985 (
+	.A1(n_2931),
+	.A2(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[18]),
+	.B1(n_37657),
+	.B2(brqrv_top_brqrv_dec_dec_tlu_debug_stall),
+	.X(brqrv_top_brqrv_dec_tlu_force_halt_ctr[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g799986 (
+	.A1(n_2931),
+	.A2(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[17]),
+	.B1(n_37656),
+	.B2(brqrv_top_brqrv_dec_dec_tlu_debug_stall),
+	.X(brqrv_top_brqrv_dec_tlu_force_halt_ctr[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g799987 (
+	.A1(n_2931),
+	.A2(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[16]),
+	.B1(n_37655),
+	.B2(brqrv_top_brqrv_dec_dec_tlu_debug_stall),
+	.X(brqrv_top_brqrv_dec_tlu_force_halt_ctr[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g799988 (
+	.A1(n_2931),
+	.A2(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[15]),
+	.B1(n_37654),
+	.B2(brqrv_top_brqrv_dec_dec_tlu_debug_stall),
+	.X(brqrv_top_brqrv_dec_tlu_force_halt_ctr[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g799989 (
+	.A1(n_2931),
+	.A2(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[14]),
+	.B1(n_37653),
+	.B2(brqrv_top_brqrv_dec_dec_tlu_debug_stall),
+	.X(brqrv_top_brqrv_dec_tlu_force_halt_ctr[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g799990 (
+	.A1(n_2931),
+	.A2(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[13]),
+	.B1(n_37652),
+	.B2(brqrv_top_brqrv_dec_dec_tlu_debug_stall),
+	.X(brqrv_top_brqrv_dec_tlu_force_halt_ctr[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g799991 (
+	.A1(n_2931),
+	.A2(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[12]),
+	.B1(n_37651),
+	.B2(brqrv_top_brqrv_dec_dec_tlu_debug_stall),
+	.X(brqrv_top_brqrv_dec_tlu_force_halt_ctr[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g799992 (
+	.A1(n_2931),
+	.A2(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[11]),
+	.B1(n_37650),
+	.B2(brqrv_top_brqrv_dec_dec_tlu_debug_stall),
+	.X(brqrv_top_brqrv_dec_tlu_force_halt_ctr[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g799993 (
+	.A1(n_2931),
+	.A2(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[10]),
+	.B1(n_37649),
+	.B2(brqrv_top_brqrv_dec_dec_tlu_debug_stall),
+	.X(brqrv_top_brqrv_dec_tlu_force_halt_ctr[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g799994 (
+	.A1(n_2931),
+	.A2(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[9]),
+	.B1(n_37648),
+	.B2(brqrv_top_brqrv_dec_dec_tlu_debug_stall),
+	.X(brqrv_top_brqrv_dec_tlu_force_halt_ctr[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g799995 (
+	.A1(n_2931),
+	.A2(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[8]),
+	.B1(n_37647),
+	.B2(brqrv_top_brqrv_dec_dec_tlu_debug_stall),
+	.X(brqrv_top_brqrv_dec_tlu_force_halt_ctr[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g799996 (
+	.A1(n_2931),
+	.A2(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[7]),
+	.B1(n_37646),
+	.B2(brqrv_top_brqrv_dec_dec_tlu_debug_stall),
+	.X(brqrv_top_brqrv_dec_tlu_force_halt_ctr[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g799997 (
+	.A1(n_2931),
+	.A2(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[6]),
+	.B1(n_37645),
+	.B2(brqrv_top_brqrv_dec_dec_tlu_debug_stall),
+	.X(brqrv_top_brqrv_dec_tlu_force_halt_ctr[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g799998 (
+	.A1(n_2931),
+	.A2(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[5]),
+	.B1(n_37644),
+	.B2(brqrv_top_brqrv_dec_dec_tlu_debug_stall),
+	.X(brqrv_top_brqrv_dec_tlu_force_halt_ctr[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g799999 (
+	.A1(n_2931),
+	.A2(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[4]),
+	.B1(n_37643),
+	.B2(brqrv_top_brqrv_dec_dec_tlu_debug_stall),
+	.X(brqrv_top_brqrv_dec_tlu_force_halt_ctr[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g800000 (
+	.A1(n_2931),
+	.A2(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[3]),
+	.B1(n_37642),
+	.B2(brqrv_top_brqrv_dec_dec_tlu_debug_stall),
+	.X(brqrv_top_brqrv_dec_tlu_force_halt_ctr[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g800001 (
+	.A1(n_2931),
+	.A2(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[2]),
+	.B1(brqrv_top_brqrv_dec_dec_tlu_debug_stall),
+	.B2(n_37641),
+	.X(brqrv_top_brqrv_dec_tlu_force_halt_ctr[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g800002 (
+	.A1(n_2931),
+	.A2(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[1]),
+	.B1(brqrv_top_brqrv_dec_dec_tlu_debug_stall),
+	.B2(n_37640),
+	.X(brqrv_top_brqrv_dec_tlu_force_halt_ctr[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g800004 (
+	.A1(brqrv_top_brqrv_dec_decode_r_t[9]),
+	.A2(n_3031),
+	.B1(brqrv_top_brqrv_dec_decode_r_t[10]),
+	.B2(n_3030),
+	.Y(n_3798), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g800005 (
+	.A1(n_2924),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[10]),
+	.B1(n_2922),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[42]),
+	.X(n_3797), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g800006 (
+	.A1(n_3030),
+	.A2(brqrv_top_brqrv_dec_decode_r_t[10]),
+	.B1(n_3029),
+	.B2(brqrv_top_brqrv_dec_decode_r_t[11]),
+	.Y(n_3796), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g800007 (
+	.A1(n_1885),
+	.A2(n_2648),
+	.B1(n_34818),
+	.B2(n_34953),
+	.Y(n_3795), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g800008 (
+	.A1(n_43415),
+	.A2(n_3093),
+	.B1(n_34633),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_control_ff [2]),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_control_in [2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g800009 (
+	.A1(n_10219),
+	.A2(n_3093),
+	.B1(n_34633),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_control_ff [1]),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_control_in [1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g800010 (
+	.A1_N(n_3163),
+	.A2_N(n_3144),
+	.B1(n_3163),
+	.B2(n_3144),
+	.Y(n_4008), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g800011 (
+	.A1(n_1967),
+	.A2(brqrv_top_brqrv_ifu_aln_q0pc[26]),
+	.B1(n_38072),
+	.B2(brqrv_top_brqrv_ifu_aln_f0val[1]),
+	.C1(n_3683),
+	.Y(n_4007), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g800012 (
+	.A1(n_1967),
+	.A2(brqrv_top_brqrv_ifu_aln_q0pc[24]),
+	.B1(n_38070),
+	.B2(brqrv_top_brqrv_ifu_aln_f0val[1]),
+	.C1(n_3578),
+	.Y(n_4006), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4b_1 g800013 (
+	.A(n_33310),
+	.B(brqrv_top_brqrv_lsu_lsu_pkt_m[13]),
+	.C(n_32502),
+	.D_N(brqrv_top_brqrv_lsu_lsu_exc_m),
+	.Y(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_error_pkt_m[0] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g800014 (
+	.A1(n_11517),
+	.A2(brqrv_top_brqrv_ifu_aln_brdata1[10]),
+	.B1(brqrv_top_brqrv_ifu_aln_brdata0[10]),
+	.B2(FE_DBTN9_n_11562),
+	.C1(brqrv_top_brqrv_ifu_aln_brdata2[10]),
+	.C2(FE_DBTN8_n_11566),
+	.Y(n_4005), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g800015 (
+	.A1(n_11517),
+	.A2(brqrv_top_brqrv_ifu_aln_brdata1[12]),
+	.B1(brqrv_top_brqrv_ifu_aln_brdata0[12]),
+	.B2(FE_DBTN9_n_11562),
+	.C1(brqrv_top_brqrv_ifu_aln_brdata2[12]),
+	.C2(FE_DBTN8_n_11566),
+	.Y(n_4004), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g800016 (
+	.A1(n_1967),
+	.A2(brqrv_top_brqrv_ifu_aln_q0pc[3]),
+	.B1(n_38049),
+	.B2(brqrv_top_brqrv_ifu_aln_f0val[1]),
+	.C1(n_3682),
+	.Y(n_4003), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g800017 (
+	.A1_N(n_3002),
+	.A2_N(n_3118),
+	.B1(n_43204),
+	.B2(n_36370),
+	.Y(n_4002), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g800018 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_miss_pending),
+	.B(n_34707),
+	.C(brqrv_top_brqrv_exu_flush_final),
+	.D(brqrv_top_brqrv_ifu_mem_ctl_ifc_fetch_req_f),
+	.Y(n_4001), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g800019 (
+	.A1(n_2956),
+	.A2(n_2951),
+	.B1(n_3732),
+	.Y(n_4000), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g800020 (
+	.A1(brqrv_top_brqrv_exu_ghr_d[4]),
+	.A2(brqrv_top_brqrv_dec_i0_predict_p_d[37]),
+	.B1(n_32502),
+	.B2(brqrv_top_brqrv_exu_ghr_x[5]),
+	.C1(n_2961),
+	.C2(brqrv_top_brqrv_exu_ghr_d[5]),
+	.Y(n_3999), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g800021 (
+	.A1(brqrv_top_brqrv_exu_ghr_d[3]),
+	.A2(brqrv_top_brqrv_dec_i0_predict_p_d[37]),
+	.B1(n_32502),
+	.B2(brqrv_top_brqrv_exu_ghr_x[4]),
+	.C1(n_2961),
+	.C2(brqrv_top_brqrv_exu_ghr_d[4]),
+	.Y(n_3998), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g800022 (
+	.A1(n_2956),
+	.A2(n_2976),
+	.B1(n_2951),
+	.Y(n_3997), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g800023 (
+	.A1(n_1931),
+	.A2(n_34696),
+	.B1(n_3374),
+	.Y(brqrv_top_brqrv_ifu_ifc_fb_write_ns[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g800024 (
+	.A1(brqrv_top_brqrv_exu_ghr_d[2]),
+	.A2(brqrv_top_brqrv_dec_i0_predict_p_d[37]),
+	.B1(n_32502),
+	.B2(brqrv_top_brqrv_exu_ghr_x[3]),
+	.C1(n_2961),
+	.C2(brqrv_top_brqrv_exu_ghr_d[3]),
+	.Y(n_3996), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g800025 (
+	.A1(brqrv_top_brqrv_exu_ghr_d[5]),
+	.A2(brqrv_top_brqrv_dec_i0_predict_p_d[37]),
+	.B1(n_32502),
+	.B2(brqrv_top_brqrv_exu_ghr_x[6]),
+	.C1(n_2961),
+	.C2(brqrv_top_brqrv_exu_ghr_d[6]),
+	.Y(n_3995), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g800026 (
+	.A1(brqrv_top_brqrv_exu_ghr_d[1]),
+	.A2(brqrv_top_brqrv_dec_i0_predict_p_d[37]),
+	.B1(n_32502),
+	.B2(brqrv_top_brqrv_exu_ghr_x[2]),
+	.C1(n_2961),
+	.C2(brqrv_top_brqrv_exu_ghr_d[2]),
+	.Y(n_3994), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g800027 (
+	.A1(brqrv_top_brqrv_exu_ghr_d[0]),
+	.A2(brqrv_top_brqrv_dec_i0_predict_p_d[37]),
+	.B1(n_32502),
+	.B2(brqrv_top_brqrv_exu_ghr_x[1]),
+	.C1(n_2961),
+	.C2(brqrv_top_brqrv_exu_ghr_d[1]),
+	.Y(n_3993), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4b_1 g800028 (
+	.A_N(n_35707),
+	.B(n_34786),
+	.C(n_34758),
+	.D(n_35392),
+	.Y(brqrv_top_brqrv_dec_i0_branch_d), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g800030 (
+	.A1(n_35237),
+	.A2(n_35784),
+	.B1(n_1774),
+	.B2(n_34317),
+	.C1(n_2699),
+	.X(n_3990), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4b_1 g800031 (
+	.A_N(n_36265),
+	.B(n_3035),
+	.C(n_36266),
+	.D(n_36267),
+	.Y(n_3989), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4b_1 g800032 (
+	.A(brqrv_top_dmi_reg_addr[0]),
+	.B(n_34616),
+	.C(n_2989),
+	.D_N(brqrv_top_dmi_reg_addr[1]),
+	.Y(n_3988), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g800033 (
+	.A1_N(n_3130),
+	.A2_N(n_1001),
+	.B1(n_3130),
+	.B2(n_1001),
+	.Y(brqrv_top_dccm_rd_addr_lo[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g800034 (
+	.A1_N(n_3114),
+	.A2_N(n_963),
+	.B1(n_3114),
+	.B2(n_963),
+	.Y(brqrv_top_dccm_rd_addr_lo[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g800035 (
+	.A1_N(n_3122),
+	.A2_N(n_35671),
+	.B1(n_3122),
+	.B2(n_35671),
+	.Y(brqrv_top_dccm_rd_addr_lo[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g800036 (
+	.A1_N(n_3110),
+	.A2_N(n_838),
+	.B1(n_3110),
+	.B2(n_838),
+	.Y(brqrv_top_dccm_rd_addr_lo[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g800037 (
+	.A_N(n_3024),
+	.B(brqrv_top_brqrv_lsu_bus_intf_ldst_byteen_m[0]),
+	.C(n_1536),
+	.Y(n_3983), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g800038 (
+	.A(brqrv_top_brqrv_dec_decode_r_d[9]),
+	.B(n_35117),
+	.C(n_35115),
+	.D(n_34666),
+	.X(n_3982), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g800039 (
+	.A(n_2982),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_m[0]),
+	.C(brqrv_top_brqrv_lsu_lsu_pkt_m[9]),
+	.Y(n_3981), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g800040 (
+	.A1(n_2926),
+	.A2(brqrv_top_brqrv_dec_decode_n_1234),
+	.B1(n_2963),
+	.Y(n_3980), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g800041 (
+	.A1(n_2870),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [0]),
+	.B1(n_353928_BAR),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [0]),
+	.Y(n_3979), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g800042 (
+	.A(n_2957),
+	.B(brqrv_top_brqrv_dec_tlu_inst_acc_r),
+	.C(n_3040),
+	.X(n_3978), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4b_1 g800043 (
+	.A_N(n_34616),
+	.B(n_2990),
+	.C(brqrv_top_dmi_reg_addr[1]),
+	.D(brqrv_top_dmi_reg_addr[0]),
+	.X(n_3976), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_4 g800044 (
+	.A(brqrv_top_brqrv_dec_dec_csr_wraddr_r[3]),
+	.B(n_35115),
+	.C(n_34660),
+	.D(n_34652),
+	.X(n_3975), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g800047 (
+	.A(n_3792),
+	.Y(n_3793), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g800048 (
+	.A(n_3773),
+	.Y(n_3774), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g800051 (
+	.A(n_3759),
+	.Y(brqrv_top_brqrv_dec_decode_d_d[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g800052 (
+	.A(n_3756),
+	.Y(brqrv_top_brqrv_ifu_aln_qwen[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g800053 (
+	.A(n_3754),
+	.Y(brqrv_top_brqrv_dec_decode_d_d[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g800054 (
+	.A(n_3753),
+	.Y(brqrv_top_brqrv_ifu_aln_qwen[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g800055 (
+	.A(n_3750),
+	.Y(n_3751), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g800056 (
+	.A(n_3747),
+	.Y(brqrv_top_brqrv_dec_decode_d_d[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g800058 (
+	.A(n_3742),
+	.Y(brqrv_top_brqrv_dec_decode_d_d[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g800059 (
+	.A(n_3738),
+	.Y(n_3737), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g800060 (
+	.A(n_3733),
+	.Y(brqrv_top_brqrv_dec_ctl_en[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g800061 (
+	.A(n_3728),
+	.Y(n_3727), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g800062 (
+	.A(n_3725),
+	.Y(n_3724), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g800063 (
+	.A(n_3722),
+	.Y(n_3721), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g800064 (
+	.A(n_3717),
+	.Y(n_3718), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g800065 (
+	.A(n_3716),
+	.Y(n_3715), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g800066 (
+	.A(n_3714),
+	.Y(n_3713), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g800067 (
+	.A(n_3711),
+	.Y(n_3710), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g800069 (
+	.A(n_3705),
+	.Y(n_3706), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g800070 (
+	.A(n_3704),
+	.Y(n_3703), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g800071 (
+	.A(n_3702),
+	.Y(n_3701), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g800072 (
+	.A(n_3700),
+	.Y(n_3699), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g800073 (
+	.A(n_3697),
+	.Y(n_3698), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g800074 (
+	.A(n_3696),
+	.Y(n_3695), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g800078 (
+	.A(n_3690),
+	.Y(brqrv_top_brqrv_dec_tlu_wr_mdccmect_r), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g800079 (
+	.A(n_3689),
+	.Y(n_3688), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g800080 (
+	.A(n_3687),
+	.Y(n_3686), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g800081 (
+	.A(n_3685),
+	.Y(brqrv_top_brqrv_dec_tlu_wr_miccmect_r), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g800082 (
+	.A1(n_1682),
+	.A2(brqrv_top_brqrv_exu_i0_flush_path_upper_r[4]),
+	.B1(n_42963),
+	.B2(brqrv_top_brqrv_exu_pred_correct_npc_r[4]),
+	.Y(n_3684), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g800083 (
+	.A1(n_2076),
+	.A2(n_35570),
+	.B1(n_1768),
+	.B2(n_35571),
+	.Y(n_3683), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g800085 (
+	.A1(n_1761),
+	.A2(n_35570),
+	.B1(n_2060),
+	.B2(n_35571),
+	.Y(n_3682), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g800086 (
+	.A1(n_2075),
+	.A2(n_35570),
+	.B1(n_2080),
+	.B2(n_35571),
+	.Y(n_3681), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g800087 (
+	.A1(n_1958),
+	.A2(brqrv_top_brqrv_dec_tlu_int_timers_mitctl0[1]),
+	.B1(n_1497),
+	.B2(brqrv_top_brqrv_dec_tlu_int_timers_mitctl0[2]),
+	.Y(n_3680), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g800088 (
+	.A1(n_1682),
+	.A2(brqrv_top_brqrv_exu_i0_flush_path_upper_r[6]),
+	.B1(n_42963),
+	.B2(brqrv_top_brqrv_exu_pred_correct_npc_r[6]),
+	.Y(n_3679), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800090 (
+	.A(n_2936),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[8]),
+	.Y(n_3677), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g800091 (
+	.A1(n_1682),
+	.A2(brqrv_top_brqrv_exu_i0_flush_path_upper_r[5]),
+	.B1(n_42963),
+	.B2(brqrv_top_brqrv_exu_pred_correct_npc_r[5]),
+	.Y(n_3676), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g800092 (
+	.A1(n_111),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[27]),
+	.B1(n_67),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[28]),
+	.Y(n_3675), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g800093 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[27]),
+	.A2(n_1717),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[28]),
+	.B2(n_1740),
+	.Y(n_3674), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g800094 (
+	.A1(n_112),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[15]),
+	.B1(n_69),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[17]),
+	.Y(n_3673), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g800095 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[15]),
+	.A2(n_1750),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[17]),
+	.B2(n_2008),
+	.Y(n_3672), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g800096 (
+	.A1(n_75),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[25]),
+	.B1(n_115),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[26]),
+	.Y(n_3671), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800097 (
+	.A(brqrv_top_brqrv_dbg_sbaddress0_reg[18]),
+	.B(n_2928),
+	.Y(n_3670), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800098 (
+	.A(n_2944),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[7]),
+	.Y(n_3669), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800099 (
+	.A(brqrv_top_brqrv_dbg_abstractauto_reg[0]),
+	.B(n_2992),
+	.Y(n_3668), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800100 (
+	.A(n_2940),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[6]),
+	.Y(n_3667), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800101 (
+	.A(n_2948),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[6]),
+	.Y(n_3666), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800102 (
+	.A(n_2938),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[5]),
+	.Y(n_3665), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800103 (
+	.A(n_2946),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[5]),
+	.Y(n_3664), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800104 (
+	.A(n_2944),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[15]),
+	.Y(n_3663), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g800105 (
+	.A(n_2996),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_wr_en),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_timer_in[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800106 (
+	.A(n_2936),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[4]),
+	.Y(n_3662), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800107 (
+	.A(n_2941),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[4]),
+	.Y(n_3661), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800108 (
+	.A(n_2950),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[11]),
+	.Y(n_3660), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800109 (
+	.A(n_2940),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[10]),
+	.Y(n_3659), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800110 (
+	.A(n_2948),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[10]),
+	.Y(n_3658), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800111 (
+	.A(n_2938),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[9]),
+	.Y(n_3657), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800112 (
+	.A(n_2946),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[9]),
+	.Y(n_3656), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800113 (
+	.A(n_2938),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[13]),
+	.Y(n_3655), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800114 (
+	.A(n_2946),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[13]),
+	.Y(n_3654), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800115 (
+	.A(n_2936),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[12]),
+	.Y(n_3653), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800116 (
+	.A(n_2941),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[12]),
+	.Y(n_3652), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g800117 (
+	.A1(n_65),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[4]),
+	.B1(n_124),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[5]),
+	.Y(n_3651), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800118 (
+	.A(n_2938),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[1]),
+	.Y(n_3650), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800119 (
+	.A(n_2936),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[0]),
+	.Y(n_3649), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g800120 (
+	.A1(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[19]),
+	.A2(n_1997),
+	.B1(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[22]),
+	.B2(n_1735),
+	.Y(n_3648), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800121 (
+	.A(brqrv_top_brqrv_dbg_sbcs_reg[14]),
+	.B(n_2933),
+	.Y(n_3647), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g800122 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[4]),
+	.A2(n_2015),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[5]),
+	.B2(n_2030),
+	.Y(n_3646), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g800123 (
+	.A1_N(brqrv_top_brqrv_exu_i0_flush_path_x[22]),
+	.A2_N(n_1243),
+	.B1(brqrv_top_brqrv_exu_i0_flush_path_x[20]),
+	.B2(n_1241),
+	.Y(n_3645), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g800124 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[25]),
+	.A2(n_2022),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[26]),
+	.B2(n_2014),
+	.Y(n_3644), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800125 (
+	.A(n_2946),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[1]),
+	.Y(n_3643), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g800126 (
+	.A1(n_1958),
+	.A2(brqrv_top_brqrv_dec_tlu_int_timers_mitctl1[1]),
+	.B1(n_1497),
+	.B2(brqrv_top_brqrv_dec_tlu_int_timers_mitctl1[2]),
+	.Y(n_3642), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g800127 (
+	.A1(brqrv_top_brqrv_dec_tlu_npc_r_d1[17]),
+	.A2(n_1993),
+	.B1(brqrv_top_brqrv_dec_tlu_npc_r_d1[19]),
+	.B2(n_1992),
+	.Y(n_3641), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g800128 (
+	.A1(n_1996),
+	.A2(brqrv_top_brqrv_dec_tlu_npc_r[21]),
+	.B1(n_1738),
+	.B2(brqrv_top_brqrv_dec_tlu_npc_r[23]),
+	.Y(n_3640), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800129 (
+	.A(n_2944),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[3]),
+	.Y(n_3639), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g800130 (
+	.A(brqrv_top_brqrv_dec_decode_illegal_lockout),
+	.B(n_3166),
+	.Y(brqrv_top_brqrv_dec_decode_illegal_inst_en), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_0 g800131 (
+	.A(brqrv_top_brqrv_dec_data_en[1]),
+	.B(brqrv_top_brqrv_dec_decode_any_csr_d),
+	.X(brqrv_top_brqrv_dec_decode_n_962), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g800132 (
+	.A(brqrv_top_brqrv_dec_decode_r_t[11]),
+	.B(n_3029),
+	.Y(n_3638), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800133 (
+	.A(n_3031),
+	.B(brqrv_top_brqrv_dec_decode_r_t[9]),
+	.Y(n_3637), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800134 (
+	.A(n_2950),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[15]),
+	.Y(n_3636), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800135 (
+	.A(n_34529),
+	.B(n_3044),
+	.Y(n_3635), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g800136 (
+	.A(n_3025),
+	.B_N(brqrv_top_brqrv_lsu_lsu_pkt_d[6]),
+	.Y(brqrv_top_brqrv_picm_mken), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g800137 (
+	.A(n_3025),
+	.B_N(brqrv_top_brqrv_lsu_lsu_pkt_d[7]),
+	.Y(brqrv_top_brqrv_picm_rden), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g800138 (
+	.A_N(n_3118),
+	.B(n_35638),
+	.Y(n_3634), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800139 (
+	.A(n_2919),
+	.B(n_34318),
+	.Y(n_3633), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800140 (
+	.A(n_2919),
+	.B(n_34303),
+	.Y(n_3632), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800141 (
+	.A(n_2919),
+	.B(n_35265),
+	.Y(n_3631), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800142 (
+	.A(n_2919),
+	.B(n_34295),
+	.Y(n_3630), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800143 (
+	.A(n_2919),
+	.B(n_35252),
+	.Y(n_3629), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800144 (
+	.A(n_2919),
+	.B(n_35246),
+	.Y(n_3628), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800145 (
+	.A(n_2919),
+	.B(n_35232),
+	.Y(n_3627), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800146 (
+	.A(n_2919),
+	.B(n_34290),
+	.Y(n_3626), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800147 (
+	.A(n_2919),
+	.B(n_34316),
+	.Y(n_3625), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800148 (
+	.A(n_2919),
+	.B(n_34301),
+	.Y(n_3624), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800149 (
+	.A(n_2919),
+	.B(n_35263),
+	.Y(n_3623), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800150 (
+	.A(n_2919),
+	.B(n_35259),
+	.Y(n_3622), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800151 (
+	.A(n_2919),
+	.B(n_35250),
+	.Y(n_3621), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800152 (
+	.A(n_2919),
+	.B(n_35244),
+	.Y(n_3620), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800153 (
+	.A(n_2919),
+	.B(n_35230),
+	.Y(n_3619), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800154 (
+	.A(n_2919),
+	.B(n_34288),
+	.Y(n_3618), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800155 (
+	.A(n_2919),
+	.B(n_34315),
+	.Y(n_3617), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800156 (
+	.A(n_2919),
+	.B(n_34300),
+	.Y(n_3616), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800157 (
+	.A(n_2919),
+	.B(n_35262),
+	.Y(n_3615), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800158 (
+	.A(n_2919),
+	.B(n_35258),
+	.Y(n_3614), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800159 (
+	.A(n_2919),
+	.B(n_35249),
+	.Y(n_3613), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800160 (
+	.A(n_2919),
+	.B(n_35243),
+	.Y(n_3612), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800161 (
+	.A(n_2919),
+	.B(n_35229),
+	.Y(n_3611), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800162 (
+	.A(n_2919),
+	.B(n_34287),
+	.Y(n_3610), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g800163 (
+	.A1(brqrv_top_brqrv_dec_tlu_npc_r_d1[21]),
+	.A2(n_1979),
+	.B1(brqrv_top_brqrv_dec_tlu_npc_r_d1[23]),
+	.B2(n_1701),
+	.Y(n_3609), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g800171 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[21]),
+	.A2(n_1748),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[22]),
+	.B2(n_2048),
+	.Y(n_3601), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800173 (
+	.A(n_2950),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[3]),
+	.Y(n_3600), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800174 (
+	.A(n_2950),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[7]),
+	.Y(n_3599), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g800175 (
+	.A(n_33331),
+	.B_N(n_3183),
+	.Y(n_3598), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g800176 (
+	.A1(n_2044),
+	.A2(brqrv_top_brqrv_dec_tlu_npc_r[27]),
+	.B1(n_2002),
+	.B2(brqrv_top_brqrv_dec_tlu_npc_r[28]),
+	.X(n_3597), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g800177 (
+	.A_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_write),
+	.B(n_3160),
+	.Y(n_3596), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800178 (
+	.A(n_2948),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[14]),
+	.Y(n_3595), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800179 (
+	.A(n_2941),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[8]),
+	.Y(n_3594), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g800180 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_npc_r_d1[30]),
+	.A2_N(n_1995),
+	.B1(n_2046),
+	.B2(brqrv_top_brqrv_dec_tlu_npc_r[31]),
+	.Y(n_3593), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g800181 (
+	.A1(brqrv_top_brqrv_dec_tlu_pc_r_d1[30]),
+	.A2(n_1954),
+	.B1(brqrv_top_brqrv_dec_tlu_pc_r_d1[31]),
+	.B2(n_1965),
+	.Y(n_3592), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g800182 (
+	.A1(brqrv_top_brqrv_dec_tlu_pc_r_d1[17]),
+	.A2(n_1959),
+	.B1(brqrv_top_brqrv_dec_tlu_pc_r_d1[19]),
+	.B2(n_1970),
+	.Y(n_3591), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800183 (
+	.A(n_2944),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[11]),
+	.Y(n_3590), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g800184 (
+	.A1(n_1753),
+	.A2(brqrv_top_brqrv_dec_tlu_pc_r[21]),
+	.B1(n_2025),
+	.B2(brqrv_top_brqrv_dec_tlu_pc_r[23]),
+	.Y(n_3589), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g800185 (
+	.A1(brqrv_top_brqrv_dec_tlu_pc_r_d1[21]),
+	.A2(n_1678),
+	.B1(brqrv_top_brqrv_dec_tlu_pc_r_d1[23]),
+	.B2(n_1673),
+	.Y(n_3588), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g800187 (
+	.A(n_35793),
+	.B(n_3148),
+	.Y(n_3586), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800188 (
+	.A(n_2941),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[0]),
+	.Y(n_3585), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800189 (
+	.A(n_2940),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[14]),
+	.Y(n_3584), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800190 (
+	.A(n_2948),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[2]),
+	.Y(n_3583), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800191 (
+	.A(n_2940),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[2]),
+	.Y(n_3582), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g800192 (
+	.A(n_3022),
+	.B(brqrv_top_brqrv_dec_decode_i0_dp_raw[18]),
+	.X(brqrv_top_brqrv_dec_decode_csr_clr_d), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g800193 (
+	.A(n_3022),
+	.B(brqrv_top_brqrv_dec_decode_i0_dp_raw[17]),
+	.X(brqrv_top_brqrv_dec_decode_csr_set_d), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g800194 (
+	.A1(brqrv_top_brqrv_dec_decode_x_t[10]),
+	.A2(n_1720),
+	.B1(brqrv_top_brqrv_dec_decode_x_t[11]),
+	.B2(n_1999),
+	.Y(n_3581), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800195 (
+	.A(n_3000),
+	.B(brqrv_top_brqrv_dma_mem_tag[2]),
+	.Y(n_3580), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800196 (
+	.A(n_3000),
+	.B(brqrv_top_brqrv_dma_mem_tag[0]),
+	.Y(n_3579), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g800197 (
+	.A1(n_1779),
+	.A2(n_35570),
+	.B1(n_1763),
+	.B2(n_35571),
+	.Y(n_3578), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g800198 (
+	.A1(n_1697),
+	.A2(brqrv_top_brqrv_dec_decode_d_t[10]),
+	.B1(n_1695),
+	.B2(brqrv_top_brqrv_dec_decode_d_t[11]),
+	.Y(n_3577), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g800199 (
+	.A(n_35341),
+	.B(n_35340),
+	.C(n_34441),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_wr_en[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800200 (
+	.A(n_1571),
+	.B(n_3173),
+	.Y(brqrv_top_brqrv_lsu_lsu_lsc_ctl_n_460), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g800201 (
+	.A(n_34444),
+	.B(n_34443),
+	.C(n_34442),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_wr_en[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g800202 (
+	.A(n_34447),
+	.B(n_34446),
+	.C(n_34445),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_wr_en[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g800203 (
+	.A(n_34450),
+	.B(n_34449),
+	.C(n_34448),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_wr_en[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g800204 (
+	.A(n_34453),
+	.B(n_34452),
+	.C(n_34451),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_wr_en[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g800205 (
+	.A(n_34456),
+	.B(n_34455),
+	.C(n_34454),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_wr_en[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g800206 (
+	.A(n_34459),
+	.B(n_34458),
+	.C(n_34457),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_wr_en[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g800207 (
+	.A(n_34462),
+	.B(n_34461),
+	.C(n_34460),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_wr_en[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g800208 (
+	.A(n_34468),
+	.B(n_34467),
+	.C(n_34466),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_wr_en[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g800210 (
+	.A(n_34471),
+	.B(n_34470),
+	.C(n_34469),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_wr_en[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g800211 (
+	.A(n_2958),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dualtag[4]),
+	.Y(n_3576), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g800212 (
+	.A(n_34474),
+	.B(n_34473),
+	.C(n_34472),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_wr_en[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g800213 (
+	.A(n_35420),
+	.B(n_2960),
+	.Y(brqrv_top_brqrv_exu_x_data_en_q1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g800214 (
+	.A(n_34477),
+	.B(n_34476),
+	.C(n_34475),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_wr_en[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g800215 (
+	.A(n_35343),
+	.B(n_35342),
+	.C(n_34478),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_wr_en[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800216 (
+	.A(n_2645),
+	.B(n_35786),
+	.Y(n_3575), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g800217 (
+	.A(n_34490),
+	.B(n_34489),
+	.C(n_34488),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_wr_en[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g800218 (
+	.A(n_34493),
+	.B(n_34492),
+	.C(n_34491),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_wr_en[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g800219 (
+	.A(n_34496),
+	.B(n_34495),
+	.C(n_34494),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_wr_en[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g800220 (
+	.A(n_34502),
+	.B(n_34501),
+	.C(n_34500),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_wr_en[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g800221 (
+	.A(n_34505),
+	.B(n_34504),
+	.C(n_34503),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_wr_en[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g800222 (
+	.A(n_34508),
+	.B(n_34507),
+	.C(n_34506),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_wr_en[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800223 (
+	.A(n_2645),
+	.B(n_3148),
+	.Y(n_3574), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g800224 (
+	.A_N(n_3015),
+	.B(n_3034),
+	.Y(n_3573), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g800225 (
+	.A1(n_1708),
+	.A2(brqrv_top_brqrv_dec_dec_i0_instr_d[22]),
+	.B1(n_34821),
+	.Y(n_3572), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g800226 (
+	.A(n_34511),
+	.B(n_34510),
+	.C(n_34509),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_wr_en[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g800227 (
+	.A1(n_1990),
+	.A2(brqrv_top_brqrv_dma_ctrl_n_3251),
+	.B1(brqrv_top_brqrv_dma_ctrl_n_3254),
+	.Y(n_3571), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g800228 (
+	.A1(brqrv_top_brqrv_dbg_n_4265),
+	.A2(n_1686),
+	.B1(n_36371),
+	.Y(n_3570), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g800229 (
+	.A(n_36411),
+	.B(n_34870),
+	.C_N(n_35402),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_3448), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g800230 (
+	.A1(n_34165),
+	.A2(n_2036),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_sideeffect),
+	.Y(n_3569), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g800231 (
+	.A(n_33839),
+	.B(brqrv_top_brqrv_dec_tlu_mie_ns[4]),
+	.C(brqrv_top_brqrv_dec_tlu_int_timer0_int_hold_f),
+	.Y(n_3568), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800232 (
+	.A(n_2645),
+	.B(n_35789),
+	.Y(n_3567), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800233 (
+	.A(n_2645),
+	.B(n_35788),
+	.Y(n_3566), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800234 (
+	.A(n_2645),
+	.B(n_35787),
+	.Y(n_3565), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g800235 (
+	.A(n_1556),
+	.B(n_3061),
+	.Y(n_3564), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800236 (
+	.A(n_2645),
+	.B(brqrv_top_brqrv_dma_mem_addr[2]),
+	.Y(n_3563), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g800238 (
+	.A(n_35324),
+	.B(n_35323),
+	.C(n_35322),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_wr_en[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g800239 (
+	.A(n_35327),
+	.B(n_35326),
+	.C(n_35325),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_wr_en[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g800240 (
+	.A(n_35330),
+	.B(n_35329),
+	.C(n_35328),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_wr_en[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g800241 (
+	.A_N(n_2998),
+	.B(n_3016),
+	.Y(n_3561), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g800242 (
+	.A(n_35394),
+	.B(n_43410),
+	.Y(brqrv_top_brqrv_exu_i_mul_rs1_ext_in[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g800243 (
+	.A(n_35333),
+	.B(n_35332),
+	.C(n_35331),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_wr_en[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g800244 (
+	.A(n_35394),
+	.B(n_3142),
+	.Y(brqrv_top_brqrv_exu_i_mul_rs1_ext_in[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g800245 (
+	.A(n_35394),
+	.B(n_3102),
+	.Y(brqrv_top_brqrv_exu_i_mul_rs1_ext_in[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g800246 (
+	.A(n_35394),
+	.B(n_3052),
+	.Y(brqrv_top_brqrv_exu_i_mul_rs1_ext_in[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g800247 (
+	.A(n_35394),
+	.B(n_3083),
+	.Y(brqrv_top_brqrv_exu_i_mul_rs1_ext_in[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g800248 (
+	.A(n_35394),
+	.B(n_3190),
+	.Y(brqrv_top_brqrv_exu_i_mul_rs1_ext_in[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g800249 (
+	.A(n_35394),
+	.B(n_43046),
+	.Y(brqrv_top_brqrv_exu_i_mul_rs1_ext_in[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g800250 (
+	.A(n_35394),
+	.B(n_3153),
+	.Y(brqrv_top_brqrv_exu_i_mul_rs1_ext_in[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g800251 (
+	.A(n_35394),
+	.B(n_43047),
+	.Y(brqrv_top_brqrv_exu_i_mul_rs1_ext_in[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g800252 (
+	.A(n_35394),
+	.B(n_3104),
+	.Y(brqrv_top_brqrv_exu_i_mul_rs1_ext_in[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g800253 (
+	.A(n_35394),
+	.B(n_43040),
+	.Y(brqrv_top_brqrv_exu_i_mul_rs1_ext_in[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g800254 (
+	.A(n_35394),
+	.B(n_43041),
+	.Y(brqrv_top_brqrv_exu_i_mul_rs1_ext_in[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g800255 (
+	.A(n_35394),
+	.B(n_43044),
+	.Y(brqrv_top_brqrv_exu_i_mul_rs1_ext_in[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g800256 (
+	.A(n_35394),
+	.B(n_3084),
+	.Y(brqrv_top_brqrv_exu_i_mul_rs1_ext_in[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g800257 (
+	.A(n_35394),
+	.B(n_43411),
+	.Y(brqrv_top_brqrv_exu_i_mul_rs1_ext_in[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g800258 (
+	.A(n_35394),
+	.B(n_3075),
+	.Y(brqrv_top_brqrv_exu_i_mul_rs1_ext_in[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g800259 (
+	.A(n_35394),
+	.B(n_3068),
+	.Y(brqrv_top_brqrv_exu_i_mul_rs1_ext_in[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g800260 (
+	.A(n_3067),
+	.B(n_35394),
+	.Y(brqrv_top_brqrv_exu_i_mul_rs1_ext_in[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g800261 (
+	.A(n_3064),
+	.B(n_35394),
+	.Y(brqrv_top_brqrv_exu_i_mul_rs1_ext_in[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g800262 (
+	.A(n_3096),
+	.B(n_35394),
+	.Y(brqrv_top_brqrv_exu_i_mul_rs1_ext_in[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g800263 (
+	.A(n_43412),
+	.B(n_35394),
+	.Y(brqrv_top_brqrv_exu_i_mul_rs1_ext_in[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g800264 (
+	.A(n_3054),
+	.B(n_35394),
+	.Y(brqrv_top_brqrv_exu_i_mul_rs1_ext_in[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g800265 (
+	.A(n_3053),
+	.B(n_35394),
+	.Y(brqrv_top_brqrv_exu_i_mul_rs1_ext_in[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g800266 (
+	.A(n_43413),
+	.B(n_35394),
+	.Y(brqrv_top_brqrv_exu_i_mul_rs1_ext_in[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g800267 (
+	.A(n_43414),
+	.B(n_35394),
+	.Y(brqrv_top_brqrv_exu_i_mul_rs1_ext_in[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g800268 (
+	.A(n_35394),
+	.B(n_3065),
+	.Y(brqrv_top_brqrv_exu_i_mul_rs1_ext_in[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g800269 (
+	.A(n_35394),
+	.B(n_3151),
+	.Y(brqrv_top_brqrv_exu_i_mul_rs1_ext_in[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g800270 (
+	.A(n_35394),
+	.B(n_43043),
+	.Y(brqrv_top_brqrv_exu_i_mul_rs1_ext_in[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g800271 (
+	.A(n_35394),
+	.B(n_3162),
+	.Y(brqrv_top_brqrv_exu_i_mul_rs1_ext_in[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g800272 (
+	.A(n_35394),
+	.B(n_3123),
+	.Y(brqrv_top_brqrv_exu_i_mul_rs1_ext_in[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g800273 (
+	.A(n_35394),
+	.B(n_43045),
+	.Y(brqrv_top_brqrv_exu_i_mul_rs1_ext_in[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g800274 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [3]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [2]),
+	.B1(n_1858),
+	.Y(n_3560), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g800275 (
+	.A(n_35336),
+	.B(n_35335),
+	.C(n_35334),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_wr_en[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g800276 (
+	.A(n_2903),
+	.B(n_34579),
+	.Y(n_3559), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g800277 (
+	.A(n_35339),
+	.B(n_35338),
+	.C(n_35337),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_wr_en[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800278 (
+	.A(n_34935),
+	.B(n_2863),
+	.Y(n_3558), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800279 (
+	.A(n_34934),
+	.B(n_2879),
+	.Y(n_3557), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800280 (
+	.A(n_34933),
+	.B(n_2758),
+	.Y(n_3556), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800281 (
+	.A(n_34948),
+	.B(n_2676),
+	.Y(n_3555), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800282 (
+	.A(n_34947),
+	.B(n_2876),
+	.Y(n_3554), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800283 (
+	.A(n_34946),
+	.B(n_2686),
+	.Y(n_3553), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800284 (
+	.A(n_34945),
+	.B(n_2689),
+	.Y(n_3552), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800285 (
+	.A(n_34950),
+	.B(n_2700),
+	.Y(n_3551), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800286 (
+	.A(n_34949),
+	.B(n_2873),
+	.Y(n_3550), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800287 (
+	.A(n_33844),
+	.B(n_2850),
+	.Y(brqrv_top_brqrv_dec_decode_leak1_i1_stall_in), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g800288 (
+	.A1(brqrv_top_brqrv_dec_tlu_minstret_enable),
+	.A2(brqrv_top_brqrv_dec_tlu_minstretl_couta),
+	.B1(n_1786),
+	.X(brqrv_top_brqrv_dec_tlu_n_3326), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g800289 (
+	.A(n_2834),
+	.B(brqrv_top_brqrv_dec_tlu_flush_noredir_r),
+	.Y(n_3549), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g800290 (
+	.A(n_34415),
+	.B(n_33869),
+	.C(n_1587),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_gw_config_c1_clken), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g800291 (
+	.A(n_33870),
+	.B(n_34414),
+	.C(n_1587),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clken), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g800292 (
+	.A(n_35703),
+	.B(n_34413),
+	.C(n_1587),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_pic_int_c1_clken), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g800294 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [9]),
+	.A2(n_1806),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_by_zero_case ),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_in [12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g800295 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [11]),
+	.A2(n_1806),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_by_zero_case ),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_in [14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800296 (
+	.A(n_2664),
+	.B(n_3073),
+	.Y(brqrv_top_n_1050), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800297 (
+	.A(n_2703),
+	.B(n_3127),
+	.Y(brqrv_top_n_1049), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800298 (
+	.A(n_2819),
+	.B(n_3121),
+	.Y(brqrv_top_n_1048), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800299 (
+	.A(n_2845),
+	.B(n_3157),
+	.Y(brqrv_top_n_1047), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800300 (
+	.A(n_2762),
+	.B(n_3172),
+	.Y(brqrv_top_n_1046), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800301 (
+	.A(n_2818),
+	.B(n_3106),
+	.Y(brqrv_top_n_1045), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800302 (
+	.A(n_2817),
+	.B(n_3098),
+	.Y(brqrv_top_n_1044), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800303 (
+	.A(n_2816),
+	.B(n_3038),
+	.Y(brqrv_top_n_1043), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800304 (
+	.A(n_2815),
+	.B(n_3091),
+	.Y(brqrv_top_n_1042), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800305 (
+	.A(n_2657),
+	.B(n_3090),
+	.Y(brqrv_top_n_1041), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800306 (
+	.A(n_2814),
+	.B(n_3078),
+	.Y(brqrv_top_n_1040), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800307 (
+	.A(n_2871),
+	.B(n_3117),
+	.Y(brqrv_top_n_1039), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800308 (
+	.A(n_2813),
+	.B(n_3059),
+	.Y(brqrv_top_n_1038), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800309 (
+	.A(n_2798),
+	.B(n_3134),
+	.Y(brqrv_top_n_1037), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800310 (
+	.A(n_2812),
+	.B(n_3072),
+	.Y(brqrv_top_n_1036), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800311 (
+	.A(n_2811),
+	.B(n_3049),
+	.Y(brqrv_top_n_1035), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800312 (
+	.A(n_2810),
+	.B(n_3159),
+	.Y(brqrv_top_n_1034), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800313 (
+	.A(n_2809),
+	.B(n_3077),
+	.Y(brqrv_top_n_1033), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800314 (
+	.A(n_2806),
+	.B(n_3191),
+	.Y(brqrv_top_n_1032), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800315 (
+	.A(n_2778),
+	.B(n_3189),
+	.Y(brqrv_top_n_1031), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800316 (
+	.A(n_2825),
+	.B(n_3188),
+	.Y(brqrv_top_n_1030), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800317 (
+	.A(n_2808),
+	.B(n_3186),
+	.Y(brqrv_top_n_1029), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800318 (
+	.A(n_2843),
+	.B(n_3037),
+	.Y(brqrv_top_n_1028), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800319 (
+	.A(n_2751),
+	.B(n_3184),
+	.Y(brqrv_top_n_1027), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800320 (
+	.A(n_2760),
+	.B(n_3141),
+	.Y(brqrv_top_n_1019), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800321 (
+	.A(n_2759),
+	.B(n_3140),
+	.Y(brqrv_top_n_1018), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800322 (
+	.A(n_2779),
+	.B(n_3139),
+	.Y(brqrv_top_n_1017), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800323 (
+	.A(n_2769),
+	.B(n_3138),
+	.Y(brqrv_top_n_1016), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800324 (
+	.A(n_2918),
+	.B(n_3060),
+	.Y(brqrv_top_n_1015), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800325 (
+	.A(n_2771),
+	.B(n_3105),
+	.Y(brqrv_top_n_1014), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800326 (
+	.A(n_2796),
+	.B(n_3131),
+	.Y(brqrv_top_n_1013), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800327 (
+	.A(n_2787),
+	.B(n_3069),
+	.Y(brqrv_top_n_1012), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800328 (
+	.A(n_2788),
+	.B(n_3073),
+	.Y(brqrv_top_n_1011), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800329 (
+	.A(n_2795),
+	.B(n_3127),
+	.Y(brqrv_top_n_1010), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800330 (
+	.A(n_2797),
+	.B(n_3121),
+	.Y(brqrv_top_n_1009), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800331 (
+	.A(n_2827),
+	.B(n_3157),
+	.Y(brqrv_top_n_1008), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800332 (
+	.A(n_2898),
+	.B(n_3172),
+	.Y(brqrv_top_n_1007), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800333 (
+	.A(n_2830),
+	.B(n_3106),
+	.Y(brqrv_top_n_1006), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800334 (
+	.A(n_2914),
+	.B(n_3098),
+	.Y(brqrv_top_n_1005), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800335 (
+	.A(n_2823),
+	.B(n_3038),
+	.Y(brqrv_top_n_1004), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g800336 (
+	.A1(n_1785),
+	.A2(n_1688),
+	.B1(n_3091),
+	.Y(brqrv_top_n_1003), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800337 (
+	.A(n_2892),
+	.B(n_3090),
+	.Y(brqrv_top_n_1002), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800338 (
+	.A(n_2886),
+	.B(n_3078),
+	.Y(brqrv_top_n_1001), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800339 (
+	.A(n_2807),
+	.B(n_3117),
+	.Y(brqrv_top_n_1000), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800340 (
+	.A(n_2883),
+	.B(n_3059),
+	.Y(brqrv_top_n_999), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800341 (
+	.A(n_2770),
+	.B(n_3134),
+	.Y(brqrv_top_n_998), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800342 (
+	.A(n_2889),
+	.B(n_3072),
+	.Y(brqrv_top_n_997), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800343 (
+	.A(n_2894),
+	.B(n_3049),
+	.Y(brqrv_top_n_996), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800344 (
+	.A(n_2805),
+	.B(n_3077),
+	.Y(brqrv_top_n_994), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800345 (
+	.A(n_2897),
+	.B(n_3191),
+	.Y(brqrv_top_n_993), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800346 (
+	.A(n_2804),
+	.B(n_3189),
+	.Y(brqrv_top_n_992), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800347 (
+	.A(n_2893),
+	.B(n_3188),
+	.Y(brqrv_top_n_991), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800348 (
+	.A(n_2658),
+	.B(n_3186),
+	.Y(brqrv_top_n_990), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800349 (
+	.A(n_2895),
+	.B(n_3037),
+	.Y(brqrv_top_n_989), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800350 (
+	.A(n_2663),
+	.B(n_3184),
+	.Y(brqrv_top_n_988), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g800351 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [21]),
+	.A2(n_1806),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_by_zero_case ),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_in [24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g800353 (
+	.A1(n_1787),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_7543),
+	.B1_N(n_34859),
+	.Y(n_3547), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g800354 (
+	.A1(n_1787),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_7535),
+	.B1_N(n_35526),
+	.Y(n_3546), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g800355 (
+	.A(n_34484),
+	.B(n_34483),
+	.C(n_34482),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_wr_en[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g800356 (
+	.A(brqrv_top_brqrv_lsu_lsu_pkt_m[6]),
+	.B(brqrv_top_brqrv_lsu_addr_external_m),
+	.C(brqrv_top_brqrv_lsu_lsu_pkt_m[0]),
+	.X(brqrv_top_brqrv_lsu_pmu_store_external_m), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g800357 (
+	.A1(n_1509),
+	.A2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_m_in[4]),
+	.B1(brqrv_top_brqrv_lsu_lsu_pkt_d[0]),
+	.X(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_m_in[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g800358 (
+	.A(n_35526),
+	.B(n_34568),
+	.C(n_2958),
+	.Y(n_3545), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g800359 (
+	.A(brqrv_top_brqrv_dbg_sbaddress0_reg[1]),
+	.B(brqrv_top_brqrv_dbg_sbaddress0_reg[2]),
+	.C(brqrv_top_brqrv_dbg_sbaddress0_reg[0]),
+	.Y(n_3544), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g800360 (
+	.A(brqrv_top_dmi_reg_addr[6]),
+	.B(brqrv_top_dmi_reg_addr[4]),
+	.C(n_34655),
+	.Y(n_3543), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g800361 (
+	.A1(n_1787),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_7547),
+	.B1_N(n_35527),
+	.Y(n_3542), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g800362 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dualtag[3]),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_ldfwd[3]),
+	.B1(n_1883),
+	.Y(n_3541), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g800364 (
+	.A(brqrv_top_dmi_reg_addr[5]),
+	.B(brqrv_top_dmi_reg_addr[4]),
+	.C(n_35375),
+	.Y(n_3540), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g800365 (
+	.A(n_34481),
+	.B(n_34480),
+	.C(n_34479),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_wr_en[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g800366 (
+	.A(brqrv_top_brqrv_dec_decode_n_1558),
+	.B(brqrv_top_brqrv_dec_decode_n_1461),
+	.C(brqrv_top_brqrv_dec_decode_n_1428),
+	.X(n_3539), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g800367 (
+	.A1(n_1787),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_7539),
+	.B1_N(n_34889),
+	.Y(n_3538), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g800368 (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_numvld_any[0]),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_numvld_any[1]),
+	.C_N(n_35702),
+	.Y(brqrv_top_brqrv_lsu_lsu_stbuf_empty_any), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800369 (
+	.A(brqrv_top_brqrv_dec_tlu_perfcnt_halted),
+	.B(n_2698),
+	.Y(n_3537), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800370 (
+	.A(brqrv_top_brqrv_dec_tlu_perfcnt_halted),
+	.B(n_2677),
+	.Y(n_3536), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800371 (
+	.A(n_2673),
+	.B(n_35048),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_in [0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g800374 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [28]),
+	.A2(n_1806),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_by_zero_case ),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_in [31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g800375 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [27]),
+	.A2(n_1806),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_by_zero_case ),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_in [30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g800376 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [26]),
+	.A2(n_1806),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_by_zero_case ),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_in [29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g800377 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [22]),
+	.A2(n_1806),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_by_zero_case ),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_in [25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g800378 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [19]),
+	.A2(n_1806),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_by_zero_case ),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_in [22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g800379 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [15]),
+	.A2(n_1806),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_by_zero_case ),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_in [18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g800380 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [10]),
+	.A2(n_1806),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_by_zero_case ),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_in [13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g800381 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [8]),
+	.A2(n_1806),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_by_zero_case ),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_in [11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g800382 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [7]),
+	.A2(n_1806),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_by_zero_case ),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_in [10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g800383 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [5]),
+	.A2(n_1806),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_by_zero_case ),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_in [8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g800384 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [6]),
+	.A2(n_1806),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_by_zero_case ),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_in [9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g800385 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [4]),
+	.A2(n_1806),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_by_zero_case ),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_in [7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g800386 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [3]),
+	.A2(n_1806),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_by_zero_case ),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_in [6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g800387 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [2]),
+	.A2(n_1806),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_by_zero_case ),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_in [5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g800388 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [1]),
+	.A2(n_1806),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_by_zero_case ),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_in [4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g800389 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[11]),
+	.B(n_32409),
+	.C(brqrv_top_brqrv_dec_dec_i0_instr_d[7]),
+	.Y(n_3533), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g800390 (
+	.A(n_35410),
+	.B(n_35413),
+	.C(n_35654),
+	.Y(n_3532), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g800391 (
+	.A(n_34487),
+	.B(n_34486),
+	.C(n_34485),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_wr_en[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g800392 (
+	.A(n_34465),
+	.B(n_34464),
+	.C(n_34463),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_wr_en[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g800393 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_ldfwd[0]),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dualtag[6]),
+	.C(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dualtag[7]),
+	.Y(n_3531), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g800394 (
+	.A(n_34499),
+	.B(n_34498),
+	.C(n_34497),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_wr_en[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g800395 (
+	.A1(brqrv_top_brqrv_dec_tlu_n_6062),
+	.A2(brqrv_top_brqrv_dec_tlu_mtdata1_t3[9]),
+	.B1(brqrv_top_brqrv_dec_tlu_n_12947),
+	.Y(n_3530), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g800397 (
+	.A(n_34423),
+	.B(n_2005),
+	.C_N(brqrv_top_dmi_reg_wdata[28]),
+	.Y(brqrv_top_brqrv_dbg_dmstatus_haveresetn_wren), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g800398 (
+	.A(n_1856),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_r[0]),
+	.C(brqrv_top_brqrv_lsu_lsu_pkt_r[9]),
+	.Y(n_3529), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g800399 (
+	.A1(n_1625),
+	.A2(n_35216),
+	.B1(n_34943),
+	.Y(n_3528), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g800401 (
+	.A1(brqrv_top_brqrv_lsu_stbuf_n_1476),
+	.A2(n_1860),
+	.B1(brqrv_top_brqrv_lsu_lsu_addr_r[1]),
+	.Y(n_3526), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g800402 (
+	.A(n_34512),
+	.B(n_34513),
+	.C(brqrv_top_brqrv_dec_dec_div_active),
+	.Y(n_3525), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g800404 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_r[1]),
+	.B(brqrv_top_brqrv_lsu_lsu_pkt_r[6]),
+	.C(brqrv_top_brqrv_lsu_lsu_pkt_r[9]),
+	.Y(n_3523), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g800405 (
+	.A1(n_34758),
+	.A2(FE_DBTN21_n_34786),
+	.B1(n_33985),
+	.Y(n_3522), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g800406 (
+	.A1(n_1903),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_addr[71]),
+	.B1_N(n_34940),
+	.Y(n_3521), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g800407 (
+	.A(brqrv_top_brqrv_dec_decode_leak1_mode),
+	.B(n_34842),
+	.C(n_33911),
+	.Y(brqrv_top_brqrv_dec_i0_predict_p_d[35]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g800408 (
+	.A(brqrv_top_brqrv_dec_i0_decode_d),
+	.B(brqrv_top_brqrv_ifu_aln_first2B),
+	.C(brqrv_top_brqrv_ifu_aln_f0val[0]),
+	.Y(n_3520), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g800409 (
+	.A(brqrv_top_brqrv_dma_mem_tag[2]),
+	.B(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_misc_bits_n_10 ),
+	.C(brqrv_top_brqrv_iccm_dma_rtag[2]),
+	.Y(n_3519), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g800410 (
+	.A1(brqrv_top_brqrv_lsu_lsu_pkt_m[4]),
+	.A2(n_1509),
+	.B1(brqrv_top_brqrv_lsu_lsu_pkt_m[0]),
+	.X(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_r_in[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g800411 (
+	.A(n_2766),
+	.B(n_34199),
+	.Y(n_3518), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g800412 (
+	.A1(brqrv_top_brqrv_dbg_command_reg[22]),
+	.A2(brqrv_top_brqrv_dma_ctrl_n_3254),
+	.B1(brqrv_top_brqrv_dbg_command_reg[17]),
+	.X(n_3517), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g800413 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_ldfwd[2]),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dualtag[1]),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dualtag[0]),
+	.Y(n_3516), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g800414 (
+	.A1(n_34189),
+	.A2(brqrv_top_brqrv_lsu_dccm_ctl_n_1137),
+	.B1(brqrv_top_brqrv_dec_tlu_lsu_clk_override),
+	.X(brqrv_top_brqrv_lsu_dccm_ctl_n_1110), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g800415 (
+	.A(n_34705),
+	.B(n_34703),
+	.C(n_1568),
+	.Y(n_3515), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g800416 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_nosend),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_valid),
+	.B1(n_3160),
+	.Y(n_3514), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g800417 (
+	.A(n_1509),
+	.B(brqrv_top_brqrv_dec_decode_x_d[0]),
+	.C(n_1557),
+	.X(brqrv_top_brqrv_dec_decode_x_d_in[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g800418 (
+	.A(n_1509),
+	.B(brqrv_top_brqrv_dec_decode_x_d[1]),
+	.C(n_1557),
+	.X(brqrv_top_brqrv_dec_decode_x_d_in[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g800419 (
+	.A1(n_1989),
+	.A2(brqrv_top_brqrv_dbg_dbg_state[0]),
+	.B1(n_35451),
+	.Y(n_3513), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g800420 (
+	.A1(brqrv_top_brqrv_dbg_sb_state[1]),
+	.A2(brqrv_top_brqrv_dbg_sb_state[0]),
+	.B1(brqrv_top_brqrv_dbg_sb_state[2]),
+	.Y(n_3512), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g800421 (
+	.A(n_1785),
+	.B(brqrv_top_brqrv_dma_mem_sz[0]),
+	.C(n_35079),
+	.Y(n_3511), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g800423 (
+	.A1(brqrv_top_brqrv_dbg_dmcontrol_reg[31]),
+	.A2(n_1874),
+	.B1(brqrv_top_brqrv_dbg_dmstatus_halted),
+	.Y(n_3510), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g800424 (
+	.A1(brqrv_top_brqrv_n_578),
+	.A2(n_1566),
+	.B1(brqrv_top_brqrv_dec_tlu_dec_tlu_pmu_fw_halted),
+	.Y(n_3509), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g800425 (
+	.A1(brqrv_top_brqrv_exu_flush_final),
+	.A2(n_1605),
+	.B1(brqrv_top_brqrv_ifu_ifc_state[0]),
+	.Y(n_3508), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g800426 (
+	.A1(n_1574),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [0]),
+	.B1(n_34258),
+	.X(n_3507), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g800427 (
+	.A1(n_1855),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [0]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [1]),
+	.Y(n_3506), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g800428 (
+	.A1(n_1785),
+	.A2(n_1902),
+	.B1(n_3141),
+	.Y(brqrv_top_n_1058), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g800429 (
+	.A1(n_1785),
+	.A2(n_1593),
+	.B1(n_3140),
+	.Y(brqrv_top_n_1057), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g800430 (
+	.A1(n_1785),
+	.A2(n_1622),
+	.B1(n_3139),
+	.Y(brqrv_top_n_1056), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g800431 (
+	.A1(n_1785),
+	.A2(n_1949),
+	.B1(n_3138),
+	.Y(brqrv_top_n_1055), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g800432 (
+	.A1(n_1785),
+	.A2(n_1649),
+	.B1(n_3060),
+	.Y(brqrv_top_n_1054), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g800433 (
+	.A1(n_1785),
+	.A2(n_1623),
+	.B1(n_3105),
+	.Y(brqrv_top_n_1053), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g800434 (
+	.A1(n_1785),
+	.A2(n_1906),
+	.B1(n_3131),
+	.Y(brqrv_top_n_1052), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g800435 (
+	.A1(n_1785),
+	.A2(n_1629),
+	.B1(n_3069),
+	.Y(brqrv_top_n_1051), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800436 (
+	.A(n_2800),
+	.B(n_1059),
+	.Y(n_3505), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g800437 (
+	.A(n_34162),
+	.B(n_1550),
+	.C(n_34665),
+	.Y(brqrv_top_brqrv_dec_tlu_wr_dicawics_r), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g800438 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_wr_en),
+	.A2(n_34635),
+	.B1(n_1787),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_rst), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g800439 (
+	.A1(n_1558),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_ldfwd[0]),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dualtag[4]),
+	.Y(n_3504), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g800440 (
+	.A1(n_34654),
+	.A2(n_34657),
+	.B1(n_1608),
+	.Y(n_3503), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g800441 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [1]),
+	.A2(n_1858),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [0]),
+	.Y(n_3792), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g800442 (
+	.A1(brqrv_top_brqrv_dec_tlu_mtdata1_t0[9]),
+	.A2(n_1857),
+	.B1(n_33905),
+	.Y(n_3791), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g800444 (
+	.A1(n_34727),
+	.A2(n_35497),
+	.B1(n_34752),
+	.Y(n_3502), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g800445 (
+	.A1(brqrv_top_brqrv_lsu_lsu_pkt_r[9]),
+	.A2(brqrv_top_brqrv_lsu_lsu_addr_r[0]),
+	.B1(brqrv_top_brqrv_lsu_lsu_pkt_r[6]),
+	.Y(n_3790), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g800446 (
+	.A(n_1037),
+	.B(n_1674),
+	.C(brqrv_top_brqrv_ifu_aln_wrptr[1]),
+	.X(brqrv_top_brqrv_ifu_aln_qwen[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g800449 (
+	.A(brqrv_top_brqrv_ifu_aln_f2val[0]),
+	.B(n_34703),
+	.C(n_34705),
+	.Y(n_3789), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g800450 (
+	.A1(brqrv_top_brqrv_dec_tlu_mtdata1_t3[9]),
+	.A2(n_1857),
+	.B1(brqrv_top_brqrv_dec_tlu_n_12944),
+	.Y(n_3788), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g800451 (
+	.A1(brqrv_top_brqrv_dec_tlu_mtdata1_t1[9]),
+	.A2(n_1857),
+	.B1(brqrv_top_brqrv_dec_tlu_n_12950),
+	.Y(n_3787), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g800452 (
+	.A_N(brqrv_top_brqrv_dec_dec_csr_wraddr_r[4]),
+	.B(n_33312),
+	.C(brqrv_top_brqrv_dec_dec_csr_wraddr_r[5]),
+	.Y(n_3786), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g800462 (
+	.A(brqrv_top_brqrv_dec_tlu_take_ext_int_start_d3),
+	.B(brqrv_top_brqrv_dec_tlu_take_ext_int_start_d1),
+	.C(brqrv_top_brqrv_dec_tlu_take_ext_int_start_d2),
+	.X(n_3785), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800463 (
+	.A(n_2680),
+	.B(n_35683),
+	.Y(n_3784), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800464 (
+	.A(n_2681),
+	.B(n_196),
+	.Y(n_3783), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800465 (
+	.A(n_2774),
+	.B(n_35679),
+	.Y(n_3782), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800466 (
+	.A(n_2799),
+	.B(n_35677),
+	.Y(n_3781), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800467 (
+	.A(n_2693),
+	.B(n_35675),
+	.Y(n_3780), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g800468 (
+	.A(brqrv_top_brqrv_dbg_execute_command),
+	.B(brqrv_top_brqrv_dec_tlu_misc_clk_override),
+	.C(brqrv_top_dmi_reg_en),
+	.Y(n_3779), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g800469 (
+	.A(n_34667),
+	.B(n_34653),
+	.C_N(brqrv_top_brqrv_dec_dec_csr_wraddr_r[7]),
+	.Y(n_3778), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g800470 (
+	.A(brqrv_top_brqrv_dma_ctrl_bus_cmd_valid),
+	.B(brqrv_top_brqrv_dbg_cmd_valid),
+	.C(brqrv_top_brqrv_dec_tlu_misc_clk_override),
+	.X(brqrv_top_brqrv_dma_ctrl_dma_buffer_c1_clken), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800471 (
+	.A(brqrv_top_brqrv_dec_tlu_inst_acc_r),
+	.B(n_3193),
+	.Y(n_3490), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800472 (
+	.A(n_3021),
+	.B(brqrv_top_brqrv_dec_tlu_minstretl[6]),
+	.Y(n_3777), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800473 (
+	.A(n_3008),
+	.B(brqrv_top_brqrv_dec_tlu_mcyclel[6]),
+	.Y(n_3776), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g800474 (
+	.A(n_11523),
+	.B(brqrv_top_brqrv_dec_tlu_e5_valid),
+	.C(brqrv_top_brqrv_dec_dec_tlu_dec_clk_override),
+	.X(brqrv_top_brqrv_dec_tlu_n_3271), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g800475 (
+	.A(n_35394),
+	.B_N(n_43415),
+	.Y(brqrv_top_brqrv_exu_i_mul_rs1_ext_in[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g800476 (
+	.A(n_34632),
+	.B_N(n_43415),
+	.Y(n_3775), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g800477 (
+	.A(brqrv_top_brqrv_dbg_n_975),
+	.B(brqrv_top_brqrv_dbg_abstractcs_reg[12]),
+	.C(n_35374),
+	.Y(n_3773), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g800478 (
+	.A(brqrv_top_brqrv_dec_decode_r_d[15]),
+	.B(brqrv_top_brqrv_dec_decode_r_d[11]),
+	.C(n_35288),
+	.Y(n_3772), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800479 (
+	.A(n_3177),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_timer[2]),
+	.Y(n_3771), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800480 (
+	.A(n_3181),
+	.B(n_34753),
+	.Y(n_3770), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800481 (
+	.A(n_3023),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [1]),
+	.Y(n_3769), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g800482 (
+	.A1(n_43027),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [29]),
+	.B1(n_1494),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [29]),
+	.Y(n_3768), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g800483 (
+	.A1(n_1994),
+	.A2(n_35610),
+	.B1(n_35476),
+	.Y(brqrv_top_brqrv_dec_tlu_dcsr_single_step_running), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g800484 (
+	.A1(n_43027),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [30]),
+	.B1(n_1494),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [30]),
+	.Y(n_3767), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g800485 (
+	.A1(n_43027),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [31]),
+	.B1(n_1494),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [31]),
+	.Y(n_3766), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g800486 (
+	.A(n_36248),
+	.B_N(n_3094),
+	.Y(n_3765), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g800487 (
+	.A(n_1560),
+	.B(n_3087),
+	.Y(n_3764), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g800488 (
+	.A_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_enable ),
+	.B(n_1806),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_rq_enable ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800489 (
+	.A(n_2645),
+	.B(n_36801),
+	.Y(n_3763), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g800490 (
+	.A_N(n_3004),
+	.B(n_35784),
+	.Y(n_3762), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g800491 (
+	.A(n_1663),
+	.B(n_2995),
+	.Y(n_3761), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g800492 (
+	.A(brqrv_top_brqrv_dbg_sb_state[3]),
+	.B_N(n_3056),
+	.Y(n_3760), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800493 (
+	.A(brqrv_top_brqrv_dec_decode_d_d[22]),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[21]),
+	.Y(n_3759), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g800494 (
+	.A(n_2934),
+	.B_N(brqrv_top_brqrv_dec_dec_i0_instr_d[23]),
+	.Y(brqrv_top_brqrv_dec_decode_d_d[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g800495 (
+	.A(n_2934),
+	.B_N(brqrv_top_brqrv_dec_dec_i0_instr_d[31]),
+	.Y(brqrv_top_brqrv_dec_decode_d_d[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800496 (
+	.A(n_3056),
+	.B(brqrv_top_brqrv_dbg_sb_state[3]),
+	.Y(n_3758), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g800498 (
+	.A(brqrv_top_brqrv_dec_decode_d_d[22]),
+	.B(brqrv_top_brqrv_dec_i0_predict_p_d[43]),
+	.X(brqrv_top_brqrv_dec_decode_d_d[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g800499 (
+	.A(n_35403),
+	.B(n_1389),
+	.Y(n_3757), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g800500 (
+	.A(n_1037),
+	.B(n_1670),
+	.C(brqrv_top_brqrv_ifu_aln_wrptr[0]),
+	.Y(n_3756), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g800501 (
+	.A1(n_2064),
+	.A2(brqrv_top_brqrv_dma_ctrl_rdbuf_vld),
+	.B1(n_35634),
+	.Y(n_3755), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800502 (
+	.A(brqrv_top_brqrv_dec_decode_d_d[22]),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[24]),
+	.Y(n_3754), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g800503 (
+	.A(n_1037),
+	.B(n_1670),
+	.C(n_1674),
+	.Y(n_3753), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g800504 (
+	.A(brqrv_top_brqrv_dec_tlu_nmi_int_detected_f),
+	.B(brqrv_top_brqrv_dec_tlu_mdseac_locked_f),
+	.C_N(brqrv_top_brqrv_lsu_pmu_bus_error),
+	.Y(brqrv_top_brqrv_dec_tlu_mdseac_en), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800505 (
+	.A(n_3046),
+	.B(n_1381),
+	.Y(n_3752), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g800506 (
+	.A(n_2980),
+	.B(n_3007),
+	.Y(n_3750), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g800507 (
+	.A(brqrv_top_brqrv_dec_decode_d_d[22]),
+	.B(brqrv_top_brqrv_dec_i0_predict_p_d[47]),
+	.X(brqrv_top_brqrv_dec_decode_d_d[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g800508 (
+	.A(n_11566),
+	.B(n_11562),
+	.C(n_11556),
+	.Y(n_3749), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g800509 (
+	.A(n_2971),
+	.B(n_34645),
+	.Y(n_3748), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800510 (
+	.A(brqrv_top_brqrv_dec_decode_d_d[22]),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[22]),
+	.Y(n_3747), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g800511 (
+	.A(brqrv_top_brqrv_dec_decode_d_d[22]),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[20]),
+	.X(brqrv_top_brqrv_dec_decode_d_d[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g800512 (
+	.A(n_35115),
+	.B(n_3019),
+	.Y(n_3746), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800513 (
+	.A(n_1704),
+	.B(n_2828),
+	.Y(n_3745), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800514 (
+	.A(n_2999),
+	.B(brqrv_top_brqrv_dma_mem_tag[0]),
+	.Y(n_3744), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800515 (
+	.A(n_1598),
+	.B(n_3156),
+	.Y(n_3743), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g800516 (
+	.A(brqrv_top_brqrv_dec_decode_d_d[22]),
+	.B(brqrv_top_brqrv_dec_i0_predict_p_d[44]),
+	.X(brqrv_top_brqrv_dec_decode_d_d[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800517 (
+	.A(brqrv_top_brqrv_dec_decode_d_d[22]),
+	.B(brqrv_top_brqrv_dec_i0_predict_p_d[42]),
+	.Y(n_3742), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800518 (
+	.A(brqrv_top_brqrv_dec_dec_csr_wrdata_r[12]),
+	.B(n_2965),
+	.Y(n_3741), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g800519 (
+	.A(brqrv_top_brqrv_lsu_lsu_pkt_m[6]),
+	.B(brqrv_top_brqrv_lsu_lsu_pkt_m[4]),
+	.C(brqrv_top_brqrv_lsu_lsu_pkt_m[0]),
+	.Y(n_3740), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g800520 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [2]),
+	.B(n_3015),
+	.X(n_3739), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g800521 (
+	.A_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[9]),
+	.B(n_3005),
+	.Y(n_3738), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g800522 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[3]),
+	.B(n_2997),
+	.Y(n_3736), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g800523 (
+	.A_N(n_34653),
+	.B(n_42997),
+	.C(brqrv_top_brqrv_dec_dec_csr_wraddr_r[7]),
+	.Y(n_3735), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g800524 (
+	.A(n_2955),
+	.B(n_33299),
+	.Y(n_3734), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g800525 (
+	.A(brqrv_top_brqrv_dec_decode_i0_pipe_en[2]),
+	.B(brqrv_top_brqrv_dec_data_en[1]),
+	.Y(n_3733), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800526 (
+	.A(n_2952),
+	.B(n_2975),
+	.Y(n_3732), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g800527 (
+	.A_N(n_33310),
+	.B(brqrv_top_brqrv_lsu_lsu_pkt_m[7]),
+	.C(n_35297),
+	.Y(n_3731), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g800528 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[6]),
+	.B(n_3003),
+	.Y(n_3730), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g800529 (
+	.A_N(n_3024),
+	.B(brqrv_top_brqrv_lsu_lsu_pkt_m[9]),
+	.Y(n_3729), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g800530 (
+	.A(n_1568),
+	.B(n_34703),
+	.C(n_34705),
+	.Y(n_3728), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g800531 (
+	.A(n_36267),
+	.B_N(n_3035),
+	.Y(n_3726), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g800532 (
+	.A(n_34162),
+	.B(n_1550),
+	.C(n_42114),
+	.Y(n_3725), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g800533 (
+	.A(n_2972),
+	.B(brqrv_top_brqrv_dec_decode_x_d[3]),
+	.X(n_3723), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800534 (
+	.A(n_2976),
+	.B(n_2951),
+	.Y(n_3722), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g800535 (
+	.A1(n_1966),
+	.A2(brqrv_top_brqrv_dec_decode_n_1558),
+	.B1(n_2963),
+	.Y(n_3720), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800536 (
+	.A(n_33299),
+	.B(n_2955),
+	.Y(n_3719), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800537 (
+	.A(n_2959),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[0]),
+	.Y(n_3717), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g800540 (
+	.A(n_3003),
+	.B_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[6]),
+	.Y(n_3716), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g800541 (
+	.A(n_2997),
+	.B_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[3]),
+	.Y(n_3714), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g800542 (
+	.A1(n_35361),
+	.A2(n_34638),
+	.B1(n_2971),
+	.Y(n_3712), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800543 (
+	.A(n_3005),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[9]),
+	.Y(n_3711), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g800544 (
+	.A1(n_34600),
+	.A2(n_34638),
+	.B1(n_2971),
+	.Y(n_3709), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_2 g800545 (
+	.A(brqrv_top_brqrv_dma_iccm_req),
+	.B(brqrv_top_brqrv_dma_mem_sz[0]),
+	.C(brqrv_top_core_rst),
+	.Y(n_3708), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800546 (
+	.A(n_3182),
+	.B(brqrv_top_brqrv_dma_ctrl_RspPtr[0]),
+	.Y(n_3705), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800547 (
+	.A(n_3099),
+	.B(n_1564),
+	.Y(n_3704), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800548 (
+	.A(n_3182),
+	.B(n_1564),
+	.Y(n_3702), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800549 (
+	.A(n_3099),
+	.B(brqrv_top_brqrv_dma_ctrl_RspPtr[0]),
+	.Y(n_3700), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g800550 (
+	.A(n_1564),
+	.B(n_1611),
+	.C(brqrv_top_brqrv_dma_ctrl_RspPtr[2]),
+	.Y(n_3697), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g800551 (
+	.A(n_34715),
+	.B(n_34714),
+	.C(n_33298),
+	.Y(n_3696), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_2 g800552 (
+	.A(n_32967),
+	.B(n_34094),
+	.C(brqrv_top_core_rst),
+	.Y(n_3694), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g800553 (
+	.A(n_34515),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_control_ff [2]),
+	.C(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_valid_ff ),
+	.X(n_3692), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g800554 (
+	.A(n_2957),
+	.B(brqrv_top_brqrv_dec_tlu_illegal_r),
+	.X(n_3691), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2b_2 g800556 (
+	.A(n_35365),
+	.B_N(n_3014),
+	.X(n_3690), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2b_2 g800557 (
+	.A(n_34652),
+	.B_N(n_3001),
+	.X(n_3689), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g800558 (
+	.A(n_3033),
+	.B(n_34652),
+	.X(n_3687), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2b_1 g800559 (
+	.A(n_34650),
+	.B_N(n_3014),
+	.X(n_3685), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g800564 (
+	.A(n_42169),
+	.Y(n_3465), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g800565 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_imb_in[17]),
+	.Y(n_3464), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g800566 (
+	.A(n_42170),
+	.Y(brqrv_top_brqrv_ifu_mem_ctl_imb_in[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g800567 (
+	.A(n_42171),
+	.Y(brqrv_top_brqrv_ifu_mem_ctl_imb_in[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g800568 (
+	.A(n_42172),
+	.Y(brqrv_top_brqrv_ifu_mem_ctl_imb_in[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g800569 (
+	.A(n_42173),
+	.Y(brqrv_top_brqrv_ifu_mem_ctl_imb_in[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g800570 (
+	.A(n_42174),
+	.Y(brqrv_top_brqrv_ifu_mem_ctl_imb_in[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g800571 (
+	.A(n_42175),
+	.Y(n_3457), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g800572 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_imb_in[31]),
+	.Y(n_3456), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g800574 (
+	.A(n_42176),
+	.Y(n_3455), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g800575 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_imb_in[13]),
+	.Y(n_3454), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g800576 (
+	.A(brqrv_top_brqrv_iccm_dma_rtag[1]),
+	.B(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_misc_bits_n_9 ),
+	.X(n_3450), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g800577 (
+	.A1(n_1848),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[28]),
+	.B1(n_3150),
+	.X(n_3449), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g800578 (
+	.A(n_1556),
+	.B(n_35636),
+	.C(n_1971),
+	.X(n_3448), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g800579 (
+	.A(brqrv_top_brqrv_exu_i0_pc_x[18]),
+	.B(brqrv_top_brqrv_ifu_i0_pc[18]),
+	.Y(n_3447), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 g800580 (
+	.A0(brqrv_top_brqrv_dec_i0_predict_p_d[38]),
+	.A1(brqrv_top_brqrv_ifu_aln_first2B),
+	.S(brqrv_top_brqrv_i0_ap[2]),
+	.X(brqrv_top_brqrv_dec_decode_last_br_immed_d[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g800581 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[1]),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[0]),
+	.Y(n_3446), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g800582 (
+	.A(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[24]),
+	.B(brqrv_top_brqrv_dec_tlu_flush_path_r[24]),
+	.X(n_3445), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g800583 (
+	.A(brqrv_top_brqrv_exu_i0_flush_path_x[27]),
+	.B(brqrv_top_brqrv_exu_i0_flush_path_d[27]),
+	.Y(n_3444), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g800584 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[9]),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[9]),
+	.Y(n_3443), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g800585 (
+	.A(brqrv_top_brqrv_mexintpend),
+	.B(brqrv_top_brqrv_dec_tlu_mip[2]),
+	.X(n_3442), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g800586 (
+	.A1(n_1540),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_WrPtr1_r[1]),
+	.B1(n_34561),
+	.B2(n_34531),
+	.Y(n_3441), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g800587 (
+	.A1(n_1540),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_is_sideeffects_r),
+	.B1(n_34561),
+	.B2(n_34574),
+	.Y(n_3440), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g800588 (
+	.A1(n_1540),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_WrPtr1_r[0]),
+	.B1(n_34561),
+	.B2(n_34373),
+	.Y(n_3439), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g800589 (
+	.A(brqrv_top_brqrv_exu_i0_flush_path_x[31]),
+	.B(brqrv_top_brqrv_exu_i0_flush_path_d[31]),
+	.X(n_3438), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g800590 (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[6]),
+	.B(n_42476),
+	.Y(n_3437), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g800591 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mip[4]),
+	.A2_N(n_1675),
+	.B1(brqrv_top_brqrv_dec_tlu_mip[4]),
+	.B2(n_1675),
+	.Y(n_3436), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g800593 (
+	.A(brqrv_top_brqrv_dec_tlu_mip[3]),
+	.B(brqrv_top_brqrv_dec_tlu_dec_timer_t1_pulse),
+	.X(n_3434), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g800594 (
+	.A1(n_35984),
+	.A2(n_1788),
+	.B1(n_36021),
+	.B2(n_34622),
+	.X(brqrv_top_brqrv_dec_decode_i0_result_x[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g800595 (
+	.A1(n_9819),
+	.A2(n_1788),
+	.B1(n_36020),
+	.B2(n_34622),
+	.X(brqrv_top_brqrv_dec_decode_i0_result_x[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g800596 (
+	.A1(n_8138),
+	.A2(n_1788),
+	.B1(n_36019),
+	.B2(n_34622),
+	.X(brqrv_top_brqrv_dec_decode_i0_result_x[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g800597 (
+	.A1(n_9815),
+	.A2(n_1788),
+	.B1(n_36018),
+	.B2(n_34622),
+	.X(brqrv_top_brqrv_dec_decode_i0_result_x[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g800598 (
+	.A1(n_9816),
+	.A2(n_1788),
+	.B1(n_36017),
+	.B2(n_34622),
+	.X(brqrv_top_brqrv_dec_decode_i0_result_x[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g800599 (
+	.A1(n_9818),
+	.A2(n_1788),
+	.B1(n_36016),
+	.B2(n_34622),
+	.X(brqrv_top_brqrv_dec_decode_i0_result_x[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g800600 (
+	.A1(n_9260),
+	.A2(n_1788),
+	.B1(n_36015),
+	.B2(n_34622),
+	.X(brqrv_top_brqrv_dec_decode_i0_result_x[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g800601 (
+	.A1(n_35977),
+	.A2(n_1788),
+	.B1(n_36014),
+	.B2(n_34622),
+	.X(brqrv_top_brqrv_dec_decode_i0_result_x[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g800602 (
+	.A1(n_9257),
+	.A2(n_1788),
+	.B1(n_36011),
+	.B2(n_34622),
+	.X(brqrv_top_brqrv_dec_decode_i0_result_x[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g800603 (
+	.A1(n_35973),
+	.A2(n_1788),
+	.B1(n_36010),
+	.B2(n_34622),
+	.X(brqrv_top_brqrv_dec_decode_i0_result_x[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g800604 (
+	.A1(n_9258),
+	.A2(n_1788),
+	.B1(n_36009),
+	.B2(n_34622),
+	.X(brqrv_top_brqrv_dec_decode_i0_result_x[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g800605 (
+	.A1(n_9153),
+	.A2(n_1788),
+	.B1(n_36007),
+	.B2(n_34622),
+	.X(brqrv_top_brqrv_dec_decode_i0_result_x[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g800606 (
+	.A1(n_9544),
+	.A2(n_1788),
+	.B1(n_36006),
+	.B2(n_34622),
+	.X(brqrv_top_brqrv_dec_decode_i0_result_x[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g800607 (
+	.A1(n_8135),
+	.A2(n_1788),
+	.B1(n_36002),
+	.B2(n_34622),
+	.X(brqrv_top_brqrv_dec_decode_i0_result_x[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g800608 (
+	.A1(n_35961),
+	.A2(n_1788),
+	.B1(n_35997),
+	.B2(n_34622),
+	.X(brqrv_top_brqrv_dec_decode_i0_result_x[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g800609 (
+	.A1(n_35959),
+	.A2(n_1788),
+	.B1(n_35995),
+	.B2(n_34622),
+	.X(brqrv_top_brqrv_dec_decode_i0_result_x[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g800610 (
+	.A1(n_35958),
+	.A2(n_1788),
+	.B1(n_35994),
+	.B2(n_34622),
+	.X(brqrv_top_brqrv_dec_decode_i0_result_x[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g800611 (
+	.A1(n_35957),
+	.A2(n_1788),
+	.B1(n_35993),
+	.B2(n_34622),
+	.X(brqrv_top_brqrv_dec_decode_i0_result_x[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g800612 (
+	.A1(n_36023),
+	.A2(n_1788),
+	.B1(n_35992),
+	.B2(n_34622),
+	.X(brqrv_top_brqrv_dec_decode_i0_result_x[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g800613 (
+	.A1(n_35989),
+	.A2(n_1788),
+	.B1(n_35991),
+	.B2(n_34622),
+	.X(brqrv_top_brqrv_dec_decode_i0_result_x[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g800614 (
+	.A1(n_37334),
+	.A2(FE_DBTN21_n_34786),
+	.B1(n_34618),
+	.B2(n_34786),
+	.X(brqrv_top_brqrv_exu_i0_flush_path_d[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g800615 (
+	.A1(n_43433),
+	.A2(FE_DBTN21_n_34786),
+	.B1(n_35385),
+	.B2(n_34786),
+	.X(brqrv_top_brqrv_exu_i0_flush_path_d[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g800616 (
+	.A1(n_37332),
+	.A2(FE_DBTN21_n_34786),
+	.B1(n_35386),
+	.B2(n_34786),
+	.X(brqrv_top_brqrv_exu_i0_flush_path_d[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g800617 (
+	.A1(n_37331),
+	.A2(FE_DBTN21_n_34786),
+	.B1(n_34682),
+	.B2(n_34786),
+	.X(brqrv_top_brqrv_exu_i0_flush_path_d[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g800618 (
+	.A1(n_37330),
+	.A2(FE_DBTN21_n_34786),
+	.B1(n_34617),
+	.B2(n_34786),
+	.X(brqrv_top_brqrv_exu_i0_flush_path_d[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g800619 (
+	.A1(n_37325),
+	.A2(FE_DBTN21_n_34786),
+	.B1(n_35367),
+	.B2(n_34786),
+	.X(brqrv_top_brqrv_exu_i0_flush_path_d[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g800620 (
+	.A(brqrv_top_brqrv_dec_tlu_mip[5]),
+	.B(brqrv_top_brqrv_dec_tlu_ce_int),
+	.Y(n_3433), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g800622 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[3]),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[3]),
+	.X(n_3431), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g800623 (
+	.A(brqrv_top_brqrv_iccm_dma_rtag[0]),
+	.B(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_misc_bits_n_8 ),
+	.X(n_3430), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g800625 (
+	.A(brqrv_top_brqrv_dec_decode_x_t[8]),
+	.B(brqrv_top_brqrv_dec_decode_d_t[8]),
+	.X(n_3428), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g800626 (
+	.A(brqrv_top_brqrv_dec_decode_x_t[12]),
+	.B(brqrv_top_brqrv_dec_decode_r_t[12]),
+	.Y(n_3427), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g800627 (
+	.A(brqrv_top_brqrv_dec_decode_x_t[9]),
+	.B(brqrv_top_brqrv_dec_decode_d_t[9]),
+	.X(n_3426), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g800628 (
+	.A(brqrv_top_brqrv_exu_i0_pc_x[20]),
+	.B(brqrv_top_brqrv_ifu_i0_pc[20]),
+	.Y(n_3425), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g800629 (
+	.A(brqrv_top_brqrv_exu_i0_pc_x[22]),
+	.B(brqrv_top_brqrv_ifu_i0_pc[22]),
+	.X(n_3424), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g800630 (
+	.A(brqrv_top_brqrv_exu_i0_pc_x[29]),
+	.B(brqrv_top_brqrv_ifu_i0_pc[29]),
+	.X(n_3423), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g800631 (
+	.A(brqrv_top_brqrv_exu_i0_pc_x[30]),
+	.B(brqrv_top_brqrv_ifu_i0_pc[30]),
+	.X(n_3422), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g800632 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[6]),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[6]),
+	.X(n_3421), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g800633 (
+	.A(brqrv_top_brqrv_exu_i0_pc_x[16]),
+	.B(brqrv_top_brqrv_ifu_i0_pc[16]),
+	.X(n_3420), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g800634 (
+	.A(brqrv_top_brqrv_exu_i0_pc_x[19]),
+	.B(brqrv_top_brqrv_ifu_i0_pc[19]),
+	.Y(n_3419), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g800635 (
+	.A(brqrv_top_brqrv_exu_i0_pc_x[25]),
+	.B(brqrv_top_brqrv_ifu_i0_pc[25]),
+	.Y(n_3418), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g800636 (
+	.A(brqrv_top_brqrv_exu_i0_pc_x[21]),
+	.B(brqrv_top_brqrv_ifu_i0_pc[21]),
+	.Y(n_3417), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g800637 (
+	.A(brqrv_top_brqrv_dec_tlu_pc_r_d1[26]),
+	.B(brqrv_top_brqrv_dec_tlu_pc_r[26]),
+	.Y(n_3416), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g800639 (
+	.A1_N(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[11]),
+	.A2_N(n_35364),
+	.B1(n_35364),
+	.B2(n_1957),
+	.Y(brqrv_top_brqrv_ifu_mem_ctl_imb_in[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g800641 (
+	.A1_N(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[9]),
+	.A2_N(n_35364),
+	.B1(n_35364),
+	.B2(n_1889),
+	.Y(brqrv_top_brqrv_ifu_mem_ctl_imb_in[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g800642 (
+	.A1_N(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[8]),
+	.A2_N(n_35364),
+	.B1(n_35364),
+	.B2(n_1891),
+	.Y(brqrv_top_brqrv_ifu_mem_ctl_imb_in[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g800643 (
+	.A1_N(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[7]),
+	.A2_N(n_35364),
+	.B1(n_35364),
+	.B2(n_1584),
+	.Y(brqrv_top_brqrv_ifu_mem_ctl_imb_in[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g800645 (
+	.A(brqrv_top_brqrv_exu_i0_pc_x[28]),
+	.B(brqrv_top_brqrv_ifu_i0_pc[28]),
+	.X(n_3415), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g800646 (
+	.A1_N(n_34621),
+	.A2_N(n_37688),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_323),
+	.B2(n_34621),
+	.Y(brqrv_top_brqrv_dec_tlu_minstretl_ns[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g800647 (
+	.A1_N(n_34621),
+	.A2_N(n_37690),
+	.B1(brqrv_top_brqrv_dec_tlu_n_6062),
+	.B2(n_34621),
+	.Y(brqrv_top_brqrv_dec_tlu_minstretl_ns[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g800648 (
+	.A1_N(n_34621),
+	.A2_N(n_37693),
+	.B1(n_1513),
+	.B2(n_34621),
+	.Y(brqrv_top_brqrv_dec_tlu_minstretl_ns[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g800649 (
+	.A1(n_1786),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[29]),
+	.B1(n_37692),
+	.B2(n_34621),
+	.X(brqrv_top_brqrv_dec_tlu_minstretl_ns[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g800650 (
+	.A1_N(n_34621),
+	.A2_N(n_37691),
+	.B1(n_1527),
+	.B2(n_34621),
+	.Y(brqrv_top_brqrv_dec_tlu_minstretl_ns[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g800651 (
+	.A1_N(n_34621),
+	.A2_N(n_37689),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_324),
+	.B2(n_34621),
+	.Y(brqrv_top_brqrv_dec_tlu_minstretl_ns[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g800652 (
+	.A1_N(n_34621),
+	.A2_N(n_37685),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_320),
+	.B2(n_34621),
+	.Y(brqrv_top_brqrv_dec_tlu_minstretl_ns[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g800653 (
+	.A1_N(n_34621),
+	.A2_N(n_37684),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_319),
+	.B2(n_34621),
+	.Y(brqrv_top_brqrv_dec_tlu_minstretl_ns[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g800654 (
+	.A1_N(n_34621),
+	.A2_N(n_37683),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_318),
+	.B2(n_34621),
+	.Y(brqrv_top_brqrv_dec_tlu_minstretl_ns[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g800655 (
+	.A1_N(n_34621),
+	.A2_N(n_37682),
+	.B1(brqrv_top_brqrv_dec_tlu_n_6058),
+	.B2(n_34621),
+	.Y(brqrv_top_brqrv_dec_tlu_minstretl_ns[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g800656 (
+	.A1_N(n_34621),
+	.A2_N(n_37681),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_316),
+	.B2(n_34621),
+	.Y(brqrv_top_brqrv_dec_tlu_minstretl_ns[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g800657 (
+	.A1_N(n_34621),
+	.A2_N(n_37680),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_315),
+	.B2(n_34621),
+	.Y(brqrv_top_brqrv_dec_tlu_minstretl_ns[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g800658 (
+	.A1_N(n_34621),
+	.A2_N(n_37679),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_314),
+	.B2(n_34621),
+	.Y(brqrv_top_brqrv_dec_tlu_minstretl_ns[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g800659 (
+	.A1_N(n_34621),
+	.A2_N(n_37678),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_313),
+	.B2(n_34621),
+	.Y(brqrv_top_brqrv_dec_tlu_minstretl_ns[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g800660 (
+	.A1_N(n_34621),
+	.A2_N(n_37677),
+	.B1(n_1518),
+	.B2(n_34621),
+	.Y(brqrv_top_brqrv_dec_tlu_minstretl_ns[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g800661 (
+	.A1_N(n_34621),
+	.A2_N(n_37675),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_310),
+	.B2(n_34621),
+	.Y(brqrv_top_brqrv_dec_tlu_minstretl_ns[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g800662 (
+	.A1_N(n_34621),
+	.A2_N(n_37674),
+	.B1(n_1511),
+	.B2(n_34621),
+	.Y(brqrv_top_brqrv_dec_tlu_minstretl_ns[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g800663 (
+	.A1_N(n_37673),
+	.A2_N(n_34621),
+	.B1(n_1514),
+	.B2(n_34621),
+	.Y(brqrv_top_brqrv_dec_tlu_minstretl_ns[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g800664 (
+	.A1_N(n_37672),
+	.A2_N(n_34621),
+	.B1(brqrv_top_brqrv_dec_tlu_n_3024),
+	.B2(n_34621),
+	.Y(brqrv_top_brqrv_dec_tlu_minstretl_ns[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g800665 (
+	.A1_N(n_34621),
+	.A2_N(n_37687),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_322),
+	.B2(n_34621),
+	.Y(brqrv_top_brqrv_dec_tlu_minstretl_ns[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g800666 (
+	.A1_N(n_34621),
+	.A2_N(n_37686),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_321),
+	.B2(n_34621),
+	.Y(brqrv_top_brqrv_dec_tlu_minstretl_ns[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g800667 (
+	.A1_N(n_34621),
+	.A2_N(n_37676),
+	.B1(n_1519),
+	.B2(n_34621),
+	.Y(brqrv_top_brqrv_dec_tlu_minstretl_ns[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g800668 (
+	.A1_N(n_37671),
+	.A2_N(n_34621),
+	.B1(n_1809),
+	.B2(n_34621),
+	.Y(brqrv_top_brqrv_dec_tlu_minstretl_ns[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g800669 (
+	.A1_N(n_34621),
+	.A2_N(n_37694),
+	.B1(n_1808),
+	.B2(n_34621),
+	.Y(brqrv_top_brqrv_dec_tlu_minstretl_ns[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g800670 (
+	.A1(n_34355),
+	.A2(n_1494),
+	.B1(n_35929),
+	.B2(n_35373),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_in [27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g800671 (
+	.A1(n_34377),
+	.A2(n_1494),
+	.B1(n_35928),
+	.B2(n_35373),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_in [26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g800672 (
+	.A1(n_34354),
+	.A2(n_1494),
+	.B1(n_35930),
+	.B2(n_35373),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_in [28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g800673 (
+	.A1(n_34356),
+	.A2(n_1494),
+	.B1(n_10221),
+	.B2(n_35373),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_in [23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g800674 (
+	.A1(n_35306),
+	.A2(n_1494),
+	.B1(n_35920),
+	.B2(n_35373),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_in [21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g800675 (
+	.A1(n_34357),
+	.A2(n_1494),
+	.B1(n_35919),
+	.B2(n_35373),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_in [20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g800677 (
+	.A1(n_34392),
+	.A2(n_1494),
+	.B1(n_35915),
+	.B2(n_35373),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_in [17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g800678 (
+	.A1_N(n_1494),
+	.A2_N(n_34359),
+	.B1(n_1494),
+	.B2(n_1709),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_in [16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g800679 (
+	.A1(n_34376),
+	.A2(n_1494),
+	.B1(n_10251),
+	.B2(n_35373),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_in [15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g800681 (
+	.A1(n_34350),
+	.A2(n_1494),
+	.B1(brqrv_top_brqrv_exu_i0_rs2_d[3]),
+	.B2(n_35373),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_in [3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g800682 (
+	.A1_N(n_1494),
+	.A2_N(n_35295),
+	.B1(n_1494),
+	.B2(n_1952),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_in [2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g800683 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[16]),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[16]),
+	.X(n_3414), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g800684 (
+	.A1(n_1585),
+	.A2(n_34703),
+	.B1(n_1475),
+	.Y(n_3413), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g800685 (
+	.A(brqrv_top_brqrv_ifu_ifc_miss_a),
+	.B(brqrv_top_brqrv_ifu_ifc_dma_iccm_stall_any_f),
+	.C(n_2835),
+	.Y(n_3412), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g800686 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[18]),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[18]),
+	.X(n_3411), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g800687 (
+	.A(brqrv_top_brqrv_exu_i0_pc_x[13]),
+	.B(brqrv_top_brqrv_ifu_i0_pc[13]),
+	.Y(n_3410), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g800688 (
+	.A1(n_1538),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[31]),
+	.B1(n_1839),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[32]),
+	.Y(n_3409), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g800690 (
+	.A1(n_1832),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [31]),
+	.B1(n_353928_BAR),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [31]),
+	.Y(n_3408), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g800691 (
+	.A1(n_1539),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[16]),
+	.B1(n_1836),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[17]),
+	.Y(n_3407), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g800692 (
+	.A1(n_1839),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[20]),
+	.B1(n_1834),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[21]),
+	.Y(n_3406), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g800693 (
+	.A1(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[23]),
+	.A2(n_1652),
+	.B1(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[29]),
+	.B2(n_1945),
+	.Y(n_3405), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g800694 (
+	.A1(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[16]),
+	.A2(n_1628),
+	.B1(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[29]),
+	.B2(n_1945),
+	.Y(n_3404), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g800695 (
+	.A1(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[20]),
+	.A2(n_1907),
+	.B1(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[22]),
+	.B2(n_1938),
+	.Y(n_3403), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g800696 (
+	.A1(n_1832),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [30]),
+	.B1(n_353928_BAR),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [30]),
+	.Y(n_3402), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g800697 (
+	.A1(brqrv_top_brqrv_dec_tlu_n_4284),
+	.A2(brqrv_top_brqrv_dec_tlu_pc_r[2]),
+	.B1(brqrv_top_brqrv_dec_tlu_interrupt_valid_r),
+	.B2(brqrv_top_brqrv_dec_tlu_npc_r[2]),
+	.Y(n_3401), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g800698 (
+	.A1(n_1728),
+	.A2(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[22]),
+	.B1(n_2038),
+	.B2(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[23]),
+	.Y(n_3400), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g800699 (
+	.A1(n_1832),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [29]),
+	.B1(n_353928_BAR),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [29]),
+	.Y(n_3399), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g800700 (
+	.A1(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_29 ),
+	.A2(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[20]),
+	.B1(n_1715),
+	.B2(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[29]),
+	.Y(n_3398), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g800701 (
+	.A1(n_1943),
+	.A2(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[26]),
+	.B1(n_2775),
+	.Y(n_3397), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g800702 (
+	.A1(n_1832),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [25]),
+	.B1(n_353928_BAR),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [25]),
+	.Y(n_3396), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g800703 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[19]),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[19]),
+	.Y(n_3395), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g800704 (
+	.A1(n_1832),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [24]),
+	.B1(n_353928_BAR),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [24]),
+	.Y(n_3394), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g800705 (
+	.A1(n_1832),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [22]),
+	.B1(n_353928_BAR),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [22]),
+	.Y(n_3393), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g800706 (
+	.A1(n_1832),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [14]),
+	.B1(n_353928_BAR),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [14]),
+	.Y(n_3392), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g800707 (
+	.A1(n_1562),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_nomerge[3]),
+	.B1(n_1563),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_nomerge[1]),
+	.X(n_3391), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g800709 (
+	.A1(n_1832),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [13]),
+	.B1(n_353928_BAR),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [13]),
+	.Y(n_3389), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g800710 (
+	.A1(n_1832),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [8]),
+	.B1(n_353928_BAR),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [8]),
+	.Y(n_3388), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g800711 (
+	.A1_N(n_34879),
+	.A2_N(n_34546),
+	.B1(n_34879),
+	.B2(n_34546),
+	.Y(n_3387), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g800712 (
+	.A1(n_1832),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [10]),
+	.B1(n_353928_BAR),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [10]),
+	.Y(n_3386), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g800713 (
+	.A1(n_1832),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [9]),
+	.B1(n_353928_BAR),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [9]),
+	.Y(n_3385), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g800714 (
+	.A1(n_1832),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [7]),
+	.B1(n_353928_BAR),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [7]),
+	.Y(n_3384), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g800715 (
+	.A1(n_1832),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [5]),
+	.B1(n_353928_BAR),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [5]),
+	.Y(n_3383), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g800716 (
+	.A1(n_1832),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [1]),
+	.B1(n_353928_BAR),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [1]),
+	.Y(n_3382), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g800717 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[20]),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[20]),
+	.Y(n_3381), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g800718 (
+	.A1_N(brqrv_top_brqrv_i0_brp[37]),
+	.A2_N(n_54),
+	.B1(brqrv_top_brqrv_i0_brp[37]),
+	.B2(n_54),
+	.Y(brqrv_top_brqrv_exu_i0_predict_p_d[50]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g800719 (
+	.A1(n_1794),
+	.A2(brqrv_top_brqrv_dbg_sbdata1_reg[1]),
+	.B1(n_1792),
+	.B2(brqrv_top_brqrv_dbg_sbdata0_reg[1]),
+	.Y(n_3380), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g800720 (
+	.A1(n_1509),
+	.A2(brqrv_top_brqrv_dec_decode_leak1_i0_stall),
+	.B1(brqrv_top_brqrv_dec_i0_decode_d),
+	.B2(brqrv_top_brqrv_dec_decode_leak1_mode),
+	.X(brqrv_top_brqrv_dec_decode_leak1_i0_stall_in), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g800721 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[2]),
+	.A2_N(n_34710),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[2]),
+	.B2(n_34710),
+	.Y(n_3379), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g800722 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[4]),
+	.A2_N(n_35669),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[4]),
+	.B2(n_35669),
+	.Y(n_3378), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g800723 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[2]),
+	.A2_N(n_34711),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[2]),
+	.B2(n_34711),
+	.Y(n_3377), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g800724 (
+	.A1(brqrv_top_brqrv_dec_tlu_n_4284),
+	.A2(brqrv_top_brqrv_dec_tlu_pc_r[22]),
+	.B1(brqrv_top_brqrv_dec_tlu_interrupt_valid_r),
+	.B2(brqrv_top_brqrv_dec_tlu_npc_r[22]),
+	.Y(n_3376), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g800725 (
+	.A1(brqrv_top_brqrv_dec_tlu_n_4284),
+	.A2(brqrv_top_brqrv_dec_tlu_pc_r[21]),
+	.B1(brqrv_top_brqrv_dec_tlu_interrupt_valid_r),
+	.B2(brqrv_top_brqrv_dec_tlu_npc_r[21]),
+	.Y(n_3375), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g800726 (
+	.A1_N(n_1616),
+	.A2_N(n_34698),
+	.B1(brqrv_top_brqrv_ifu_ifc_fb_full_f),
+	.B2(n_34697),
+	.Y(n_3374), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g800727 (
+	.A1(brqrv_top_brqrv_dec_tlu_n_4284),
+	.A2(brqrv_top_brqrv_dec_tlu_pc_r[9]),
+	.B1(brqrv_top_brqrv_dec_tlu_interrupt_valid_r),
+	.B2(brqrv_top_brqrv_dec_tlu_npc_r[9]),
+	.Y(n_3373), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g800728 (
+	.A1(n_1832),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [12]),
+	.B1(n_353928_BAR),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [12]),
+	.Y(n_3372), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g800729 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[4]),
+	.A2_N(n_35667),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[4]),
+	.B2(n_35667),
+	.Y(n_3371), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g800730 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_lsu_idle_any_f),
+	.A2_N(n_34440),
+	.B1(brqrv_top_brqrv_dec_tlu_mfdhs[1]),
+	.B2(n_34440),
+	.Y(n_3370), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g800731 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_ifu_miss_state_idle_f),
+	.A2_N(n_34440),
+	.B1(brqrv_top_brqrv_dec_tlu_mfdhs[0]),
+	.B2(n_34440),
+	.Y(n_3369), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g800732 (
+	.A(n_1390),
+	.B(n_1354),
+	.Y(n_3368), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g800733 (
+	.A1(n_1739),
+	.A2(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[18]),
+	.B1(n_1746),
+	.B2(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[19]),
+	.Y(n_3367), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g800734 (
+	.A1_N(n_35412),
+	.A2_N(n_34351),
+	.B1(brqrv_top_brqrv_dma_ctrl_fifo_error[2]),
+	.B2(n_34352),
+	.Y(n_3366), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 g800735 (
+	.A0(brqrv_top_brqrv_ifu_ifc_fetch_req_bf),
+	.A1(n_34695),
+	.S(brqrv_top_brqrv_ifu_ifc_fetch_req_f),
+	.Y(n_3365), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g800736 (
+	.A1(n_1832),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [6]),
+	.B1(n_353928_BAR),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [6]),
+	.Y(n_3364), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g800737 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mcyclel[4]),
+	.A2_N(n_35663),
+	.B1(brqrv_top_brqrv_dec_tlu_mcyclel[4]),
+	.B2(n_35663),
+	.Y(n_3363), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g800738 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mcyclel[2]),
+	.A2_N(n_33303),
+	.B1(brqrv_top_brqrv_dec_tlu_mcyclel[2]),
+	.B2(n_33303),
+	.Y(n_3362), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g800739 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_minstretl[2]),
+	.A2_N(n_33302),
+	.B1(brqrv_top_brqrv_dec_tlu_minstretl[2]),
+	.B2(n_33302),
+	.Y(n_3361), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g800740 (
+	.A1(\brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_n_40 ),
+	.A2(n_43090),
+	.B1(n_2021),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpmc_inc_r[0]),
+	.Y(n_3360), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g800741 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [139]),
+	.B1(n_1578),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [203]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [171]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g800742 (
+	.A1(n_1913),
+	.A2(n_34604),
+	.B1(n_1640),
+	.B2(n_34603),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[25]_3295 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g800743 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [135]),
+	.B1(n_1578),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [199]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [167]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g800744 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [75]),
+	.B1(n_1578),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [139]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [107]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g800745 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [71]),
+	.B1(n_1578),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [135]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [103]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g800746 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [11]),
+	.B1(n_1578),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [75]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [43]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g800747 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [7]),
+	.B1(n_1578),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [71]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [39]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g800748 (
+	.A1(brqrv_top_brqrv_dec_dec_i0_waddr_r[2]),
+	.A2(brqrv_top_brqrv_dec_decode_cam_raw[2]),
+	.B1(n_1565),
+	.B2(n_1950),
+	.Y(n_3359), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 g800749 (
+	.A0(brqrv_top_brqrv_dec_tlu_mhpmc_inc_r[1]),
+	.A1(n_35137),
+	.S(\brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_n_39 ),
+	.Y(n_3358), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g800751 (
+	.A1(n_1913),
+	.A2(n_34596),
+	.B1(n_1640),
+	.B2(n_34595),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[25]_3379 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g800752 (
+	.A1(n_1913),
+	.A2(n_34343),
+	.B1(n_1640),
+	.B2(n_34342),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[121]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g800753 (
+	.A1(n_1913),
+	.A2(n_34349),
+	.B1(n_1640),
+	.B2(n_34348),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g800754 (
+	.A1_N(n_35412),
+	.A2_N(n_35214),
+	.B1(brqrv_top_brqrv_dma_ctrl_fifo_error[8]),
+	.B2(n_35215),
+	.Y(n_3357), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g800755 (
+	.A1_N(n_35412),
+	.A2_N(n_34254),
+	.B1(brqrv_top_brqrv_dma_ctrl_fifo_error[6]),
+	.B2(n_34255),
+	.Y(n_3356), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g800756 (
+	.A1_N(n_35412),
+	.A2_N(n_35211),
+	.B1(brqrv_top_brqrv_dma_ctrl_n_823),
+	.B2(n_35212),
+	.Y(n_3355), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g800757 (
+	.A1_N(n_35412),
+	.A2_N(n_35208),
+	.B1(brqrv_top_brqrv_dma_ctrl_fifo_error[4]),
+	.B2(n_35209),
+	.Y(n_3354), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g800759 (
+	.A1(n_1943),
+	.A2(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[26]),
+	.B1(n_2789),
+	.Y(n_3352), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g800761 (
+	.A1(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[23]),
+	.A2(n_1732),
+	.B1(n_2872),
+	.Y(n_3350), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g800763 (
+	.A1(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[28]),
+	.A2(FE_DBTN44_brqrv_top_brqrv_ifu_ifc_fetch_addr_bf_28),
+	.B1(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[31]),
+	.B2(n_1917),
+	.Y(n_3349), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g800764 (
+	.A1(n_1749),
+	.A2(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[31]),
+	.B1(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[30]),
+	.B2(FE_DBTN45_brqrv_top_brqrv_ifu_ifc_fetch_addr_bf_30),
+	.Y(n_3348), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g800765 (
+	.A1(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[26]),
+	.A2(n_1943),
+	.B1(n_2820),
+	.Y(n_3347), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g800766 (
+	.A1(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[18]),
+	.A2(n_1591),
+	.B1(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[19]),
+	.B2(n_1624),
+	.Y(n_3346), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g800767 (
+	.A1(n_1741),
+	.A2(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[16]),
+	.B1(n_1725),
+	.B2(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[29]),
+	.Y(n_3345), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g800768 (
+	.A1(n_1540),
+	.A2(brqrv_top_brqrv_lsu_nonblock_load_inv_tag_r[1]),
+	.B1(n_34561),
+	.B2(n_34579),
+	.Y(n_3344), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g800769 (
+	.A1(n_1864),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[11]),
+	.B1(n_1563),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[7]),
+	.X(n_3343), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g800770 (
+	.A1(n_1562),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[13]),
+	.B1(n_1563),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[5]),
+	.X(n_3342), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g800771 (
+	.A1(n_1562),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[12]),
+	.B1(n_1563),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[4]),
+	.X(n_3341), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g800773 (
+	.A1(n_1078),
+	.A2(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[3]),
+	.B1(n_2731),
+	.Y(n_3340), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g800774 (
+	.A1_N(brqrv_top_brqrv_ifu_ifc_dma_iccm_stall_any_f),
+	.A2_N(n_34694),
+	.B1(brqrv_top_brqrv_ifu_ifc_dma_iccm_stall_any_f),
+	.B2(n_34694),
+	.Y(n_3339), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g800775 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_minstretl[4]),
+	.A2_N(n_35665),
+	.B1(brqrv_top_brqrv_dec_tlu_minstretl[4]),
+	.B2(n_35665),
+	.Y(n_3338), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g800776 (
+	.A1(brqrv_top_brqrv_dec_dec_i0_waddr_r[2]),
+	.A2(brqrv_top_brqrv_dec_decode_cam_raw[32]),
+	.B1(n_1565),
+	.B2(n_1602),
+	.Y(n_3337), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g800777 (
+	.A1(n_1078),
+	.A2(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[6]),
+	.B1(n_1384),
+	.Y(n_3336), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g800778 (
+	.A1(n_1540),
+	.A2(brqrv_top_brqrv_lsu_nonblock_load_inv_tag_r[0]),
+	.B1(n_34561),
+	.B2(n_34583),
+	.Y(n_3335), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g800779 (
+	.A(n_2717),
+	.B(n_2743),
+	.Y(n_3334), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g800780 (
+	.A1(n_1562),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[14]),
+	.B1(n_1864),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[10]),
+	.X(n_3333), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g800781 (
+	.A1(n_1832),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [4]),
+	.B1(n_353928_BAR),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [4]),
+	.Y(n_3332), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g800782 (
+	.A1_N(brqrv_top_brqrv_dec_dec_i0_waddr_r[1]),
+	.A2_N(brqrv_top_brqrv_dec_decode_cam_raw[11]),
+	.B1(brqrv_top_brqrv_dec_dec_i0_waddr_r[1]),
+	.B2(brqrv_top_brqrv_dec_decode_cam_raw[11]),
+	.Y(n_3331), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g800783 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mie[1]),
+	.A2_N(n_33832),
+	.B1(n_33832),
+	.B2(n_1507),
+	.Y(brqrv_top_brqrv_dec_tlu_mie_ns[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g800784 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mie[0]),
+	.A2_N(n_33832),
+	.B1(n_33832),
+	.B2(n_1802),
+	.Y(brqrv_top_brqrv_dec_tlu_mie_ns[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g800785 (
+	.A1(brqrv_top_brqrv_dec_dec_i0_waddr_r[2]),
+	.A2(brqrv_top_brqrv_dec_decode_cam_raw[22]),
+	.B1(n_1565),
+	.B2(n_1627),
+	.Y(n_3330), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g800786 (
+	.A1(n_1794),
+	.A2(brqrv_top_brqrv_dbg_sbdata1_reg[12]),
+	.B1(n_1792),
+	.B2(brqrv_top_brqrv_dbg_sbdata0_reg[12]),
+	.Y(n_3329), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g800787 (
+	.A1(n_1841),
+	.A2(brqrv_top_brqrv_lsu_stbuf_n_580),
+	.B1(n_1538),
+	.B2(brqrv_top_brqrv_lsu_stbuf_n_600),
+	.Y(n_3328), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g800789 (
+	.A(n_2869),
+	.B(n_2656),
+	.Y(n_3326), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g800790 (
+	.A1(n_1612),
+	.A2(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[27]),
+	.B1(n_2704),
+	.Y(n_3325), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g800791 (
+	.A(n_1353),
+	.B(n_1349),
+	.Y(n_3324), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g800792 (
+	.A1(n_1541),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[27]),
+	.B1(n_1539),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[28]),
+	.Y(n_3323), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g800793 (
+	.A1(brqrv_top_brqrv_dec_tlu_int_timers_n_298),
+	.A2(n_34621),
+	.B1(brqrv_top_brqrv_dec_tlu_minstretl[0]),
+	.B2(n_1786),
+	.Y(\brqrv_top_brqrv_dec_tlu_minstretl_ns[0]_3327 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g800794 (
+	.A1(n_1541),
+	.A2(brqrv_top_brqrv_lsu_stbuf_n_584),
+	.B1(brqrv_top_brqrv_lsu_lsu_addr_r[6]),
+	.B2(n_1659),
+	.Y(n_3322), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g800795 (
+	.A1(n_1947),
+	.A2(n_36275),
+	.B1(n_1543),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[38]),
+	.Y(n_3321), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g800796 (
+	.A1(n_1630),
+	.A2(n_36272),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[16]),
+	.B2(n_1848),
+	.Y(n_3320), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g800797 (
+	.A1(n_1937),
+	.A2(n_36271),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[31]),
+	.B2(n_1849),
+	.Y(n_3319), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g800798 (
+	.A1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[44]),
+	.A2(n_1839),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[45]),
+	.B2(n_1834),
+	.Y(n_3318), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g800799 (
+	.A1(n_1544),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[45]),
+	.B1(n_1844),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[41]),
+	.X(n_3317), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g800800 (
+	.A(\brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_n_62 ),
+	.B(brqrv_top_brqrv_dec_tlu_lsu_i0_exc_r),
+	.X(n_3316), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g800801 (
+	.A1(n_1914),
+	.A2(n_34341),
+	.B1(n_1932),
+	.B2(n_34340),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[113]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g800802 (
+	.A1(n_1928),
+	.A2(n_35355),
+	.B1(n_1905),
+	.B2(n_35354),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[7]_3277 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g800803 (
+	.A1(n_1632),
+	.A2(n_35358),
+	.B1(n_1650),
+	.B2(n_35357),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[13]_3283 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g800804 (
+	.A1(n_1597),
+	.A2(n_35360),
+	.B1(n_1638),
+	.B2(n_34601),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[22]_3292 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g800805 (
+	.A1(n_1910),
+	.A2(n_34341),
+	.B1(n_1601),
+	.B2(n_34340),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[116]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g800806 (
+	.A1(n_1595),
+	.A2(n_35358),
+	.B1(n_1636),
+	.B2(n_35357),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[11]_3281 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g800807 (
+	.A1(n_1930),
+	.A2(n_35360),
+	.B1(n_1594),
+	.B2(n_34601),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[21]_3291 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4b_1 g800808 (
+	.A(brqrv_top_brqrv_dec_decode_i0_dp_raw[50]),
+	.B(brqrv_top_brqrv_dec_decode_i0_dp_raw[66]),
+	.C(brqrv_top_brqrv_dec_decode_i0_dp_raw[78]),
+	.D_N(n_34812),
+	.Y(n_3315), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g800809 (
+	.A(n_37093),
+	.B(n_37098),
+	.C(n_37100),
+	.D(n_37094),
+	.X(n_3314), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g800810 (
+	.A1(n_1617),
+	.A2(n_34346),
+	.B1(n_1904),
+	.B2(n_34347),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g800811 (
+	.A1(n_1901),
+	.A2(n_35294),
+	.B1(n_1925),
+	.B2(n_35293),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g800812 (
+	.A1(n_1898),
+	.A2(n_35290),
+	.B1(n_1927),
+	.B2(n_35289),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[99]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g800813 (
+	.A1(n_1601),
+	.A2(n_35360),
+	.B1(n_1910),
+	.B2(n_34601),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[20]_3290 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g800814 (
+	.A1(n_1617),
+	.A2(n_35360),
+	.B1(n_1904),
+	.B2(n_34601),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[19]_3289 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g800815 (
+	.A1(n_1908),
+	.A2(n_35360),
+	.B1(n_1633),
+	.B2(n_34601),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[18]_3288 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g800816 (
+	.A1(n_1932),
+	.A2(n_35360),
+	.B1(n_1914),
+	.B2(n_34601),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[17]_3287 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g800817 (
+	.A1(n_1915),
+	.A2(n_35360),
+	.B1(n_1909),
+	.B2(n_34601),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[16]_3286 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g800818 (
+	.A1(n_1901),
+	.A2(n_35358),
+	.B1(n_1925),
+	.B2(n_35357),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[14]_3284 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g800819 (
+	.A1(n_1651),
+	.A2(n_35358),
+	.B1(n_1926),
+	.B2(n_35357),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[12]_3282 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g800820 (
+	.A1(n_1897),
+	.A2(n_35358),
+	.B1(n_1606),
+	.B2(n_35357),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[10]_3280 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g800821 (
+	.A1(n_1661),
+	.A2(n_35355),
+	.B1(n_1916),
+	.B2(n_35354),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[6]_3276 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g800822 (
+	.A1(n_1643),
+	.A2(n_35355),
+	.B1(n_1635),
+	.B2(n_35354),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[5]_3275 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g800823 (
+	.A1(n_1632),
+	.A2(n_34592),
+	.B1(n_1650),
+	.B2(n_34591),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[13]_3367 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g800824 (
+	.A1(n_1941),
+	.A2(n_35355),
+	.B1(n_1923),
+	.B2(n_35354),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[4]_3274 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g800825 (
+	.A1(n_1898),
+	.A2(n_35355),
+	.B1(n_1927),
+	.B2(n_35354),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[3]_3273 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g800826 (
+	.A1(n_1600),
+	.A2(n_35355),
+	.B1(n_1922),
+	.B2(n_35354),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[1]_3271 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g800827 (
+	.A1(n_1948),
+	.A2(n_35355),
+	.B1(n_1667),
+	.B2(n_35354),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[0]_3270 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g800828 (
+	.A1(n_1595),
+	.A2(n_34592),
+	.B1(n_1636),
+	.B2(n_34591),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[11]_3365 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g800829 (
+	.A1(n_1651),
+	.A2(n_34592),
+	.B1(n_1926),
+	.B2(n_34591),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[12]_3366 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g800830 (
+	.A1(n_1597),
+	.A2(n_34593),
+	.B1(n_1638),
+	.B2(n_34594),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[22]_3376 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g800831 (
+	.A1(n_1930),
+	.A2(n_34593),
+	.B1(n_1594),
+	.B2(n_34594),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[21]_3375 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g800832 (
+	.A1(n_1601),
+	.A2(n_34593),
+	.B1(n_1910),
+	.B2(n_34594),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[20]_3374 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g800833 (
+	.A1(n_1617),
+	.A2(n_34593),
+	.B1(n_1904),
+	.B2(n_34594),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[19]_3373 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g800834 (
+	.A1(n_1908),
+	.A2(n_34593),
+	.B1(n_1633),
+	.B2(n_34594),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[18]_3372 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g800835 (
+	.A1(n_1932),
+	.A2(n_34593),
+	.B1(n_1914),
+	.B2(n_34594),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[17]_3371 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g800836 (
+	.A1(n_1901),
+	.A2(n_34592),
+	.B1(n_1925),
+	.B2(n_34591),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[14]_3368 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g800837 (
+	.A1(n_1915),
+	.A2(n_34593),
+	.B1(n_1909),
+	.B2(n_34594),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[16]_3370 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g800838 (
+	.A1(n_1928),
+	.A2(n_34590),
+	.B1(n_1905),
+	.B2(n_34589),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[7]_3361 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g800839 (
+	.A1(n_1661),
+	.A2(n_34590),
+	.B1(n_1916),
+	.B2(n_34589),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[6]_3360 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g800840 (
+	.A1(n_1643),
+	.A2(n_34590),
+	.B1(n_1635),
+	.B2(n_34589),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[5]_3359 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g800841 (
+	.A1(n_1941),
+	.A2(n_34590),
+	.B1(n_1923),
+	.B2(n_34589),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[4]_3358 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g800842 (
+	.A1(n_1898),
+	.A2(n_34590),
+	.B1(n_1927),
+	.B2(n_34589),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[3]_3357 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g800843 (
+	.A1(n_1600),
+	.A2(n_34590),
+	.B1(n_1922),
+	.B2(n_34589),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[1]_3355 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g800844 (
+	.A1(n_1638),
+	.A2(n_34341),
+	.B1(n_1597),
+	.B2(n_34340),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[118]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g800845 (
+	.A1(n_1594),
+	.A2(n_34341),
+	.B1(n_1930),
+	.B2(n_34340),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[117]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g800846 (
+	.A1(n_1904),
+	.A2(n_34341),
+	.B1(n_1617),
+	.B2(n_34340),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[115]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g800847 (
+	.A1(n_1633),
+	.A2(n_34341),
+	.B1(n_1908),
+	.B2(n_34340),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[114]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g800848 (
+	.A1(n_1909),
+	.A2(n_34341),
+	.B1(n_1915),
+	.B2(n_34340),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[112]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g800849 (
+	.A1(n_1632),
+	.A2(n_35292),
+	.B1(n_1650),
+	.B2(n_35291),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[109]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g800850 (
+	.A1(n_1651),
+	.A2(n_35292),
+	.B1(n_1926),
+	.B2(n_35291),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[108]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g800851 (
+	.A1(n_1595),
+	.A2(n_35292),
+	.B1(n_1636),
+	.B2(n_35291),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[107]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g800852 (
+	.A1(n_1897),
+	.A2(n_35292),
+	.B1(n_1606),
+	.B2(n_35291),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[106]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g800853 (
+	.A1(n_1928),
+	.A2(n_35290),
+	.B1(n_1905),
+	.B2(n_35289),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[103]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g800854 (
+	.A1(n_1661),
+	.A2(n_35290),
+	.B1(n_1916),
+	.B2(n_35289),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[102]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g800855 (
+	.A1(n_1643),
+	.A2(n_35290),
+	.B1(n_1635),
+	.B2(n_35289),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[101]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g800856 (
+	.A1(n_1600),
+	.A2(n_35290),
+	.B1(n_1922),
+	.B2(n_35289),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[97]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g800857 (
+	.A1(n_1948),
+	.A2(n_35290),
+	.B1(n_1667),
+	.B2(n_35289),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[96]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g800858 (
+	.A1(n_1901),
+	.A2(n_35292),
+	.B1(n_1925),
+	.B2(n_35291),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[110]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g800859 (
+	.A1(n_1897),
+	.A2(n_34592),
+	.B1(n_1606),
+	.B2(n_34591),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[10]_3364 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g800860 (
+	.A1(n_1597),
+	.A2(n_34346),
+	.B1(n_1638),
+	.B2(n_34347),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g800861 (
+	.A1(n_1930),
+	.A2(n_34346),
+	.B1(n_1594),
+	.B2(n_34347),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g800862 (
+	.A1(n_1908),
+	.A2(n_34346),
+	.B1(n_1633),
+	.B2(n_34347),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g800863 (
+	.A1(n_1915),
+	.A2(n_34346),
+	.B1(n_1909),
+	.B2(n_34347),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g800864 (
+	.A1(n_1932),
+	.A2(n_34346),
+	.B1(n_1914),
+	.B2(n_34347),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g800865 (
+	.A1(n_1632),
+	.A2(n_35294),
+	.B1(n_1650),
+	.B2(n_35293),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g800866 (
+	.A1(n_1595),
+	.A2(n_35294),
+	.B1(n_1636),
+	.B2(n_35293),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g800867 (
+	.A1(n_1897),
+	.A2(n_35294),
+	.B1(n_1606),
+	.B2(n_35293),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g800868 (
+	.A1(n_1928),
+	.A2(n_34345),
+	.B1(n_1905),
+	.B2(n_34344),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g800869 (
+	.A1(n_1661),
+	.A2(n_34345),
+	.B1(n_1916),
+	.B2(n_34344),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g800870 (
+	.A1(n_1643),
+	.A2(n_34345),
+	.B1(n_1635),
+	.B2(n_34344),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g800871 (
+	.A1(n_1941),
+	.A2(n_34345),
+	.B1(n_1923),
+	.B2(n_34344),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g800872 (
+	.A1(n_1898),
+	.A2(n_34345),
+	.B1(n_1927),
+	.B2(n_34344),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g800873 (
+	.A1(n_1600),
+	.A2(n_34345),
+	.B1(n_1922),
+	.B2(n_34344),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g800874 (
+	.A1(n_1948),
+	.A2(n_34345),
+	.B1(n_1667),
+	.B2(n_34344),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g800875 (
+	.A1(n_1948),
+	.A2(n_34590),
+	.B1(n_1667),
+	.B2(n_34589),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[0]_3354 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g800876 (
+	.A(n_32738),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[17]),
+	.C(brqrv_top_brqrv_dec_dec_i0_instr_d[16]),
+	.D(n_34845),
+	.Y(n_3313), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g800877 (
+	.A1(n_1601),
+	.A2(n_34346),
+	.B1(n_1910),
+	.B2(n_34347),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g800878 (
+	.A1(n_1651),
+	.A2(n_35294),
+	.B1(n_1926),
+	.B2(n_35293),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g800879 (
+	.A1(n_1941),
+	.A2(n_35290),
+	.B1(n_1923),
+	.B2(n_35289),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[100]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g800880 (
+	.A1(brqrv_top_brqrv_lsu_nonblock_load_inv_tag_r[0]),
+	.A2(n_1644),
+	.B1(n_1535),
+	.B2(brqrv_top_brqrv_dec_decode_cam_raw[25]),
+	.Y(n_3312), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g800881 (
+	.A1(brqrv_top_brqrv_lsu_nonblock_load_inv_tag_r[1]),
+	.A2(n_1645),
+	.B1(n_1833),
+	.B2(brqrv_top_brqrv_dec_decode_cam_raw[6]),
+	.Y(n_3311), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g800882 (
+	.A1(brqrv_top_brqrv_lsu_nonblock_load_inv_tag_r[0]),
+	.A2(n_1609),
+	.B1(n_1535),
+	.B2(brqrv_top_brqrv_dec_decode_cam_raw[35]),
+	.Y(n_3310), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g800883 (
+	.A1(brqrv_top_brqrv_lsu_nonblock_load_inv_tag_r[1]),
+	.A2(n_1900),
+	.B1(n_1833),
+	.B2(brqrv_top_brqrv_dec_decode_cam_raw[36]),
+	.Y(n_3309), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g800884 (
+	.A1(brqrv_top_brqrv_lsu_nonblock_load_inv_tag_r[1]),
+	.A2(n_1924),
+	.B1(n_1833),
+	.B2(brqrv_top_brqrv_dec_decode_cam_raw[16]),
+	.Y(n_3308), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g800885 (
+	.A1(brqrv_top_brqrv_lsu_nonblock_load_inv_tag_r[1]),
+	.A2(n_1631),
+	.B1(n_1833),
+	.B2(brqrv_top_brqrv_dec_decode_cam_raw[26]),
+	.Y(n_3307), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g800886 (
+	.A(brqrv_top_brqrv_dec_decode_cam_raw[5]),
+	.B(brqrv_top_brqrv_lsu_nonblock_load_inv_tag_r[0]),
+	.Y(n_3306), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g800887 (
+	.A1(brqrv_top_brqrv_lsu_nonblock_load_inv_tag_r[0]),
+	.A2(n_1647),
+	.B1(n_1535),
+	.B2(brqrv_top_brqrv_dec_decode_cam_raw[15]),
+	.Y(n_3305), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g800888 (
+	.A1(n_1920),
+	.A2(brqrv_top_brqrv_lsu_lsu_addr_r[5]),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[29]),
+	.B2(n_1836),
+	.Y(n_3304), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g800889 (
+	.A1_N(n_1840),
+	.A2_N(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[30]),
+	.B1(n_1840),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[30]),
+	.Y(n_3303), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g800890 (
+	.A1_N(n_1835),
+	.A2_N(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[46]),
+	.B1(n_1835),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[46]),
+	.Y(n_3302), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g800891 (
+	.A1(n_1604),
+	.A2(brqrv_top_brqrv_lsu_lsu_addr_r[2]),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[38]),
+	.B2(n_1841),
+	.Y(n_3301), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g800892 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_r[11]),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[47]),
+	.Y(n_3300), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g800893 (
+	.A1_N(n_1840),
+	.A2_N(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[42]),
+	.B1(n_1840),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[42]),
+	.Y(n_3299), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g800894 (
+	.A1(n_1838),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[23]),
+	.B1(brqrv_top_brqrv_lsu_lsu_addr_r[11]),
+	.B2(n_1603),
+	.Y(n_3298), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g800895 (
+	.A1(n_1935),
+	.A2(brqrv_top_brqrv_lsu_lsu_addr_r[3]),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[15]),
+	.B2(n_1541),
+	.Y(n_3297), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g800896 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_r[10]),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[22]),
+	.Y(n_3296), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g800897 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_r[7]),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[19]),
+	.Y(n_3295), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g800898 (
+	.A1(n_1951),
+	.A2(brqrv_top_brqrv_lsu_lsu_addr_r[6]),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[18]),
+	.B2(n_1840),
+	.Y(n_3294), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g800899 (
+	.A1(n_1834),
+	.A2(brqrv_top_brqrv_lsu_stbuf_n_608),
+	.B1(brqrv_top_brqrv_lsu_lsu_addr_r[9]),
+	.B2(n_1610),
+	.Y(n_3293), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g800900 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_r[3]),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[39]),
+	.Y(n_3292), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g800901 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_r[8]),
+	.B(brqrv_top_brqrv_lsu_stbuf_n_604),
+	.Y(n_3291), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g800902 (
+	.A1_N(n_1838),
+	.A2_N(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[35]),
+	.B1(n_1838),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[35]),
+	.Y(n_3290), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g800903 (
+	.A1_N(brqrv_top_brqrv_lsu_stbuf_n_600),
+	.A2_N(n_1849),
+	.B1(brqrv_top_brqrv_lsu_stbuf_n_600),
+	.B2(n_1849),
+	.Y(n_3289), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g800904 (
+	.A1_N(brqrv_top_brqrv_lsu_stbuf_n_588),
+	.A2_N(n_1848),
+	.B1(brqrv_top_brqrv_lsu_stbuf_n_588),
+	.B2(n_1848),
+	.Y(n_3288), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g800905 (
+	.A1_N(brqrv_top_brqrv_lsu_stbuf_n_604),
+	.A2_N(n_1845),
+	.B1(brqrv_top_brqrv_lsu_stbuf_n_604),
+	.B2(n_1845),
+	.Y(n_3287), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g800906 (
+	.A1(n_1921),
+	.A2(n_36276),
+	.B1(brqrv_top_brqrv_lsu_stbuf_n_616),
+	.B2(n_1843),
+	.Y(n_3286), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g800907 (
+	.A1_N(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[42]),
+	.A2_N(n_1851),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[42]),
+	.B2(n_1851),
+	.Y(n_3285), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g800908 (
+	.A1_N(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[39]),
+	.A2_N(n_1847),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[39]),
+	.B2(n_1847),
+	.Y(n_3284), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g800909 (
+	.A1_N(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[44]),
+	.A2_N(n_1845),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[44]),
+	.B2(n_1845),
+	.Y(n_3283), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g800910 (
+	.A1_N(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[47]),
+	.A2_N(n_1843),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[47]),
+	.B2(n_1843),
+	.Y(n_3282), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g800911 (
+	.A1(n_1935),
+	.A2(n_36268),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[15]),
+	.B2(n_1847),
+	.Y(n_3281), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g800912 (
+	.A1_N(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[22]),
+	.A2_N(n_1547),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[22]),
+	.B2(n_1547),
+	.Y(n_3280), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g800913 (
+	.A1_N(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[32]),
+	.A2_N(n_1845),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[32]),
+	.B2(n_1845),
+	.Y(n_3279), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g800914 (
+	.A1_N(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[27]),
+	.A2_N(n_1847),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[27]),
+	.B2(n_1847),
+	.Y(n_3278), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g800915 (
+	.A1_N(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[33]),
+	.A2_N(n_1544),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[33]),
+	.B2(n_1544),
+	.Y(n_3277), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g800916 (
+	.A1_N(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[20]),
+	.A2_N(n_1845),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[20]),
+	.B2(n_1845),
+	.Y(n_3276), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g800917 (
+	.A1_N(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_mem_addr_ff [2]),
+	.A2_N(n_1853),
+	.B1(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_mem_addr_ff [2]),
+	.B2(n_1853),
+	.Y(n_3275), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g800918 (
+	.A(brqrv_top_brqrv_dec_decode_x_t[14]),
+	.B(brqrv_top_brqrv_ifu_i0_icaf_type[1]),
+	.X(n_3274), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g800919 (
+	.A1(n_1873),
+	.A2(brqrv_top_brqrv_dec_decode_cam_raw[30]),
+	.B1(brqrv_top_brqrv_dec_dec_i0_waddr_r[0]),
+	.B2(n_1655),
+	.Y(n_3273), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g800920 (
+	.A1(n_1871),
+	.A2(brqrv_top_brqrv_dec_decode_cam_raw[24]),
+	.B1(brqrv_top_brqrv_dec_dec_i0_waddr_r[4]),
+	.B2(n_1648),
+	.Y(n_3272), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g800921 (
+	.A1(n_1570),
+	.A2(brqrv_top_brqrv_dec_decode_cam_raw[23]),
+	.B1(brqrv_top_brqrv_dec_dec_i0_waddr_r[3]),
+	.B2(n_1939),
+	.Y(n_3271), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g800922 (
+	.A(brqrv_top_brqrv_dec_dec_i0_waddr_r[3]),
+	.B(brqrv_top_brqrv_dec_decode_cam_raw[3]),
+	.X(n_3270), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g800923 (
+	.A1_N(n_1873),
+	.A2_N(brqrv_top_brqrv_dec_decode_cam_raw[0]),
+	.B1(n_1873),
+	.B2(brqrv_top_brqrv_dec_decode_cam_raw[0]),
+	.Y(n_3269), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g800924 (
+	.A1(n_1570),
+	.A2(brqrv_top_brqrv_dec_decode_cam_raw[33]),
+	.B1(brqrv_top_brqrv_dec_dec_i0_waddr_r[3]),
+	.B2(n_1912),
+	.Y(n_3268), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g800925 (
+	.A1(n_1570),
+	.A2(brqrv_top_brqrv_dec_decode_cam_raw[13]),
+	.B1(brqrv_top_brqrv_dec_dec_i0_waddr_r[3]),
+	.B2(n_1911),
+	.Y(n_3267), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g800926 (
+	.A1_N(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_misc_bits_n_19 ),
+	.A2_N(n_1875),
+	.B1(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_misc_bits_n_19 ),
+	.B2(n_1875),
+	.Y(n_3266), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g800927 (
+	.A1(n_1871),
+	.A2(brqrv_top_brqrv_dec_decode_cam_raw[14]),
+	.B1(brqrv_top_brqrv_dec_dec_i0_waddr_r[4]),
+	.B2(n_1626),
+	.Y(n_3265), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g800928 (
+	.A(\brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_n_37 ),
+	.B(brqrv_top_brqrv_dec_tlu_perfcnt_halted),
+	.X(n_3264), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 g800929 (
+	.A0(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[16]),
+	.A1(n_1628),
+	.S(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[16]),
+	.Y(n_3263), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g800930 (
+	.A(brqrv_top_brqrv_dma_mem_tag[1]),
+	.B(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_misc_bits_n_9 ),
+	.X(n_3262), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g800931 (
+	.A(brqrv_top_brqrv_dma_mem_tag[0]),
+	.B(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_misc_bits_n_8 ),
+	.X(n_3261), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g800932 (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[24]),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[24]),
+	.Y(n_3260), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g800934 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[14]),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[14]),
+	.Y(n_3259), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g800935 (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[13]),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[13]),
+	.Y(n_3258), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g800936 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[25]),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[25]),
+	.Y(n_3257), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g800937 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[20]),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[20]),
+	.Y(n_3256), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g800938 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[17]),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[17]),
+	.Y(n_3255), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g800940 (
+	.A1(brqrv_top_brqrv_dma_ctrl_dma_nack_count[0]),
+	.A2(n_1962),
+	.B1(brqrv_top_brqrv_dma_ctrl_dma_nack_count[1]),
+	.B2(n_1929),
+	.Y(n_3254), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 g800941 (
+	.A0(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[28]),
+	.A1(FE_DBTN44_brqrv_top_brqrv_ifu_ifc_fetch_addr_bf_28),
+	.S(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[28]),
+	.Y(n_3253), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g800942 (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[19]),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[19]),
+	.Y(n_3252), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g800943 (
+	.A(brqrv_top_brqrv_dec_decode_x_t[16]),
+	.B(brqrv_top_brqrv_dec_decode_d_t[16]),
+	.X(n_3251), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g800944 (
+	.A(brqrv_top_brqrv_dec_tlu_pc_r_d1[13]),
+	.B(brqrv_top_brqrv_dec_tlu_pc_r[13]),
+	.X(n_3250), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g800945 (
+	.A(brqrv_top_brqrv_dec_decode_x_t[15]),
+	.B(brqrv_top_brqrv_dec_decode_d_t[15]),
+	.Y(n_3249), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g800946 (
+	.A(brqrv_top_brqrv_dec_decode_x_t[12]),
+	.B(brqrv_top_brqrv_dec_decode_d_t[12]),
+	.Y(n_3248), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g800947 (
+	.A(brqrv_top_brqrv_dec_tlu_pc_r_d1[24]),
+	.B(brqrv_top_brqrv_dec_tlu_pc_r[24]),
+	.X(n_3247), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g800948 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[23]),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[23]),
+	.X(n_3246), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g800949 (
+	.A(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[18]),
+	.B(brqrv_top_brqrv_dec_tlu_flush_path_r[18]),
+	.Y(n_3245), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g800950 (
+	.A(brqrv_top_brqrv_exu_i0_flush_path_x[28]),
+	.B(brqrv_top_brqrv_exu_i0_flush_path_d[28]),
+	.Y(n_3244), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g800951 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[24]),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[24]),
+	.X(n_3243), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g800952 (
+	.A(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[17]),
+	.B(brqrv_top_brqrv_dec_tlu_flush_path_r[17]),
+	.X(n_3242), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g800953 (
+	.A(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[21]),
+	.B(brqrv_top_brqrv_dec_tlu_flush_path_r[21]),
+	.X(n_3241), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g800954 (
+	.A(brqrv_top_brqrv_dec_tlu_pc_r_d1[22]),
+	.B(brqrv_top_brqrv_dec_tlu_pc_r[22]),
+	.X(n_3240), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g800955 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[13]),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[13]),
+	.X(n_3239), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g800956 (
+	.A(brqrv_top_brqrv_dec_tlu_pc_r_d1[20]),
+	.B(brqrv_top_brqrv_dec_tlu_pc_r[20]),
+	.X(n_3238), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g800957 (
+	.A(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[23]),
+	.B(brqrv_top_brqrv_dec_tlu_flush_path_r[23]),
+	.Y(n_3237), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g800958 (
+	.A(brqrv_top_brqrv_dec_tlu_pc_r_d1[18]),
+	.B(brqrv_top_brqrv_dec_tlu_pc_r[18]),
+	.Y(n_3236), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g800959 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[29]),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[29]),
+	.X(n_3235), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g800960 (
+	.A(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[29]),
+	.B(brqrv_top_brqrv_dec_tlu_flush_path_r[29]),
+	.X(n_3234), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g800961 (
+	.A(brqrv_top_brqrv_dec_tlu_pc_r_d1[16]),
+	.B(brqrv_top_brqrv_dec_tlu_pc_r[16]),
+	.Y(n_3233), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g800962 (
+	.A(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[31]),
+	.B(brqrv_top_brqrv_dec_tlu_flush_path_r[31]),
+	.X(n_3232), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g800963 (
+	.A(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[13]),
+	.B(brqrv_top_brqrv_dec_tlu_flush_path_r[13]),
+	.Y(n_3231), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g800964 (
+	.A(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[15]),
+	.B(brqrv_top_brqrv_dec_tlu_flush_path_r[15]),
+	.Y(n_3230), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g800965 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[14]),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[14]),
+	.Y(n_3229), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g800966 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[7]),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[7]),
+	.Y(n_3228), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g800967 (
+	.A(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[16]),
+	.B(brqrv_top_brqrv_dec_tlu_flush_path_r[16]),
+	.X(n_3227), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g800968 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[8]),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[8]),
+	.Y(n_3226), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g800969 (
+	.A(brqrv_top_brqrv_dec_tlu_npc_r_d1[13]),
+	.B(brqrv_top_brqrv_dec_tlu_npc_r[13]),
+	.Y(n_3225), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g800970 (
+	.A(brqrv_top_brqrv_dec_tlu_npc_r_d1[24]),
+	.B(brqrv_top_brqrv_dec_tlu_npc_r[24]),
+	.X(n_3224), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g800971 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[11]),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[11]),
+	.X(n_3223), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g800972 (
+	.A(brqrv_top_brqrv_dec_tlu_npc_r_d1[18]),
+	.B(brqrv_top_brqrv_dec_tlu_npc_r[18]),
+	.Y(n_3222), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g800973 (
+	.A(brqrv_top_brqrv_dec_tlu_npc_r_d1[16]),
+	.B(brqrv_top_brqrv_dec_tlu_npc_r[16]),
+	.Y(n_3221), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g800974 (
+	.A(brqrv_top_brqrv_exu_i0_pc_x[24]),
+	.B(brqrv_top_brqrv_ifu_i0_pc[24]),
+	.X(n_3220), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g800975 (
+	.A(brqrv_top_brqrv_dec_tlu_npc_r_d1[22]),
+	.B(brqrv_top_brqrv_dec_tlu_npc_r[22]),
+	.X(n_3219), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g800976 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[1]),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[0]),
+	.Y(n_3218), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g800977 (
+	.A(brqrv_top_brqrv_dec_tlu_npc_r_d1[20]),
+	.B(brqrv_top_brqrv_dec_tlu_npc_r[20]),
+	.X(n_3217), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g800978 (
+	.A(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[14]),
+	.B(brqrv_top_brqrv_dec_tlu_flush_path_r[14]),
+	.Y(n_3216), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g800979 (
+	.A(brqrv_top_brqrv_dec_tlu_npc_r_d1[26]),
+	.B(brqrv_top_brqrv_dec_tlu_npc_r[26]),
+	.Y(n_3215), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g800980 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[31]),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[31]),
+	.Y(n_3214), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g800981 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[10]),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[10]),
+	.X(n_3213), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g800982 (
+	.A(brqrv_top_brqrv_exu_i0_flush_path_x[21]),
+	.B(brqrv_top_brqrv_exu_i0_flush_path_d[21]),
+	.Y(n_3212), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g800983 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[12]),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[12]),
+	.Y(n_3211), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g800984 (
+	.A(brqrv_top_brqrv_dec_tlu_mcyclel[1]),
+	.B(brqrv_top_brqrv_dec_tlu_mcyclel[0]),
+	.Y(n_3210), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g800985 (
+	.A(brqrv_top_brqrv_dec_tlu_minstretl[1]),
+	.B(brqrv_top_brqrv_dec_tlu_minstretl[0]),
+	.Y(n_3209), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g800986 (
+	.A(brqrv_top_brqrv_dec_tlu_ic_diag_pkt[1]),
+	.B(brqrv_top_brqrv_dec_tlu_icache_rd_valid),
+	.X(n_3208), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g800987 (
+	.A(brqrv_top_brqrv_exu_i0_flush_path_x[15]),
+	.B(brqrv_top_brqrv_exu_i0_flush_path_d[15]),
+	.Y(n_3207), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g800988 (
+	.A(brqrv_top_brqrv_exu_i0_flush_path_x[18]),
+	.B(brqrv_top_brqrv_exu_i0_flush_path_d[18]),
+	.X(n_3206), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g800989 (
+	.A(brqrv_top_brqrv_exu_i0_flush_path_x[17]),
+	.B(brqrv_top_brqrv_exu_i0_flush_path_d[17]),
+	.X(n_3205), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g800990 (
+	.A(brqrv_top_brqrv_exu_i0_flush_path_x[16]),
+	.B(brqrv_top_brqrv_exu_i0_flush_path_d[16]),
+	.Y(n_3204), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g800991 (
+	.A(brqrv_top_brqrv_exu_i0_flush_path_x[26]),
+	.B(brqrv_top_brqrv_exu_i0_flush_path_d[26]),
+	.X(n_3203), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g800992 (
+	.A(brqrv_top_brqrv_exu_i0_flush_path_x[25]),
+	.B(brqrv_top_brqrv_exu_i0_flush_path_d[25]),
+	.X(n_3202), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g800993 (
+	.A(brqrv_top_brqrv_exu_i0_flush_path_x[24]),
+	.B(brqrv_top_brqrv_exu_i0_flush_path_d[24]),
+	.Y(n_3201), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g800994 (
+	.A1_N(brqrv_top_brqrv_ifu_i0_pc[10]),
+	.A2_N(n_1676),
+	.B1(brqrv_top_brqrv_ifu_i0_pc[10]),
+	.B2(n_1676),
+	.Y(n_3200), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g800995 (
+	.A(n_35313),
+	.B(n_35314),
+	.Y(n_3489), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g800996 (
+	.A(n_35311),
+	.B(n_35312),
+	.Y(n_3488), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g800997 (
+	.A(n_35310),
+	.B(n_35309),
+	.Y(n_3487), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g800998 (
+	.A(n_34406),
+	.B(n_34405),
+	.Y(n_3486), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g800999 (
+	.A1_N(n_1963),
+	.A2_N(brqrv_top_brqrv_ifu_i0_pc[20]),
+	.B1(n_1963),
+	.B2(brqrv_top_brqrv_ifu_i0_pc[20]),
+	.Y(n_3199), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g801000 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[13]),
+	.B(brqrv_top_brqrv_ifu_i0_pc[19]),
+	.X(n_3198), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g801001 (
+	.A(n_34403),
+	.B(n_34404),
+	.Y(n_3485), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g801002 (
+	.A(n_34401),
+	.B(n_34402),
+	.Y(n_3484), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g801003 (
+	.A(n_34399),
+	.B(n_34400),
+	.Y(n_3483), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g801004 (
+	.A(n_34397),
+	.B(n_34398),
+	.Y(n_3482), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g801005 (
+	.A(n_34395),
+	.B(n_34396),
+	.Y(n_3481), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g801006 (
+	.A(n_34393),
+	.B(n_34394),
+	.Y(n_3480), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g801007 (
+	.A(n_34383),
+	.B(n_34384),
+	.Y(n_3479), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g801008 (
+	.A(n_35302),
+	.B(n_35303),
+	.Y(n_3478), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g801009 (
+	.A(n_34381),
+	.B(n_34382),
+	.Y(n_3477), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g801010 (
+	.A(n_35842),
+	.B(n_35852),
+	.X(n_3197), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g801011 (
+	.A(n_35304),
+	.B(n_35301),
+	.Y(n_3476), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g801012 (
+	.A1(n_683),
+	.A2(n_1861),
+	.B1(n_1862),
+	.B2(brqrv_top_dccm_rd_addr_hi[2]),
+	.Y(n_3475), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g801013 (
+	.A1(n_1540),
+	.A2(brqrv_top_brqrv_lsu_lsu_pkt_r[6]),
+	.B1(n_34561),
+	.B2(n_35346),
+	.Y(n_3474), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g801014 (
+	.A1(brqrv_top_brqrv_exu_csr_rs1_x[1]),
+	.A2(brqrv_top_brqrv_dec_decode_n_1234),
+	.B1(brqrv_top_brqrv_dec_decode_csrimm_x[1]),
+	.B2(n_1553),
+	.Y(n_3473), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g801015 (
+	.A1(brqrv_top_brqrv_exu_csr_rs1_x[4]),
+	.A2(brqrv_top_brqrv_dec_decode_n_1234),
+	.B1(brqrv_top_brqrv_dec_decode_csrimm_x[4]),
+	.B2(n_1553),
+	.Y(n_3472), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g801017 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[12]),
+	.B(brqrv_top_brqrv_ifu_i0_pc[18]),
+	.X(n_3196), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g801018 (
+	.A(n_34409),
+	.B(n_34408),
+	.Y(n_3471), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g801019 (
+	.A1_N(n_1953),
+	.A2_N(brqrv_top_brqrv_ifu_i0_pc[21]),
+	.B1(n_1953),
+	.B2(brqrv_top_brqrv_ifu_i0_pc[21]),
+	.Y(n_3195), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g801022 (
+	.A1(brqrv_top_brqrv_exu_csr_rs1_x[0]),
+	.A2(brqrv_top_brqrv_dec_decode_n_1234),
+	.B1(brqrv_top_brqrv_dec_decode_csrimm_x[0]),
+	.B2(n_1553),
+	.Y(n_3468), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g801023 (
+	.A1(brqrv_top_brqrv_exu_csr_rs1_x[2]),
+	.A2(brqrv_top_brqrv_dec_decode_n_1234),
+	.B1(brqrv_top_brqrv_dec_decode_csrimm_x[2]),
+	.B2(n_1553),
+	.Y(n_3467), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g801024 (
+	.A1(brqrv_top_brqrv_exu_csr_rs1_x[3]),
+	.A2(brqrv_top_brqrv_dec_decode_n_1234),
+	.B1(brqrv_top_brqrv_dec_decode_csrimm_x[3]),
+	.B2(n_1553),
+	.Y(n_3466), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g801026 (
+	.A1(n_1980),
+	.A2(n_33272),
+	.B1(n_2911),
+	.Y(brqrv_top_brqrv_ifu_mem_ctl_imb_in[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g801027 (
+	.A1(n_1977),
+	.A2(n_33272),
+	.B1(n_2904),
+	.Y(brqrv_top_brqrv_ifu_mem_ctl_imb_in[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g801032 (
+	.A(n_34587),
+	.B(n_34585),
+	.Y(n_3194), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g801034 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mpmc_b[1]),
+	.A2_N(n_33835),
+	.B1(brqrv_top_brqrv_dec_dec_csr_wrdata_r[1]),
+	.B2(n_33835),
+	.Y(brqrv_top_brqrv_dec_tlu_mpmc_b_ns[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g801035 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[1]),
+	.B(n_35854),
+	.X(n_3458), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g801036 (
+	.A1_N(n_33272),
+	.A2_N(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[24]),
+	.B1(n_1685),
+	.B2(n_33272),
+	.Y(brqrv_top_brqrv_ifu_mem_ctl_imb_in[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g801038 (
+	.A1_N(n_33272),
+	.A2_N(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[26]),
+	.B1(n_1991),
+	.B2(n_33272),
+	.Y(brqrv_top_brqrv_ifu_mem_ctl_imb_in[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g801039 (
+	.A1_N(n_33272),
+	.A2_N(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[27]),
+	.B1(n_1689),
+	.B2(n_33272),
+	.Y(brqrv_top_brqrv_ifu_mem_ctl_imb_in[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g801040 (
+	.A1_N(n_33272),
+	.A2_N(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[28]),
+	.B1(n_1702),
+	.B2(n_33272),
+	.Y(brqrv_top_brqrv_ifu_mem_ctl_imb_in[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g801044 (
+	.A1(n_1706),
+	.A2(n_33272),
+	.B1(n_2670),
+	.Y(brqrv_top_brqrv_ifu_mem_ctl_imb_in[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g801045 (
+	.A1(n_1698),
+	.A2(n_33272),
+	.B1(n_2915),
+	.Y(brqrv_top_brqrv_ifu_mem_ctl_imb_in[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g801047 (
+	.A1_N(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[15]),
+	.A2_N(n_33272),
+	.B1(n_1711),
+	.B2(n_33272),
+	.Y(brqrv_top_brqrv_ifu_mem_ctl_imb_in[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g801049 (
+	.A1_N(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[13]),
+	.A2_N(n_33272),
+	.B1(n_1700),
+	.B2(n_33272),
+	.Y(brqrv_top_brqrv_ifu_mem_ctl_imb_in[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g801051 (
+	.A_N(n_36266),
+	.B(n_3035),
+	.C(n_36267),
+	.Y(n_3453), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g801052 (
+	.A1(n_1865),
+	.A2(n_34638),
+	.B1(n_2971),
+	.Y(n_3452), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g801053 (
+	.A1(n_1868),
+	.A2(n_34638),
+	.B1(n_2971),
+	.Y(n_3451), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g801055 (
+	.A(n_3179),
+	.Y(n_3180), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g801056 (
+	.A(n_3170),
+	.Y(n_3171), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g801057 (
+	.A(n_3167),
+	.Y(n_3168), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g801058 (
+	.A(n_3146),
+	.Y(n_3147), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g801059 (
+	.A(n_3100),
+	.Y(brqrv_top_brqrv_lsu_addr_in_dccm_d), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g801064 (
+	.A(n_3032),
+	.Y(brqrv_top_brqrv_dec_decode_x_t_in[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g801065 (
+	.A(n_3031),
+	.Y(brqrv_top_brqrv_dec_decode_x_t_in[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g801066 (
+	.A(n_3030),
+	.Y(brqrv_top_brqrv_dec_decode_x_t_in[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g801067 (
+	.A(n_3029),
+	.Y(brqrv_top_brqrv_dec_decode_x_t_in[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g801068 (
+	.A(n_3027),
+	.Y(n_3026), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g801070 (
+	.A(n_3018),
+	.Y(n_3017), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g801072 (
+	.A(brqrv_top_brqrv_dec_decode_d_d[21]),
+	.Y(n_3011), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g801073 (
+	.A(n_3010),
+	.Y(n_3009), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g801074 (
+	.A(n_2999),
+	.Y(n_3000), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g801075 (
+	.A(brqrv_top_brqrv_ifu_ifc_miss_f),
+	.Y(n_2994), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g801076 (
+	.A(n_2991),
+	.Y(n_2992), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g801077 (
+	.A(n_2989),
+	.Y(n_2990), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g801078 (
+	.A(n_2981),
+	.Y(n_2982), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g801079 (
+	.A(n_2979),
+	.Y(n_2980), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g801080 (
+	.A(n_2976),
+	.Y(n_2975), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g801083 (
+	.A(n_2968),
+	.Y(n_2969), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g801084 (
+	.A(n_2965),
+	.Y(n_2966), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g801088 (
+	.A(n_2960),
+	.Y(brqrv_top_brqrv_dec_data_en[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g801089 (
+	.A(n_2959),
+	.Y(n_2958), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g801090 (
+	.A(n_2956),
+	.Y(n_2955), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g801091 (
+	.A(n_2952),
+	.Y(n_2951), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g801092 (
+	.A(n_2950),
+	.Y(n_2949), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g801093 (
+	.A(n_2948),
+	.Y(n_2947), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g801094 (
+	.A(n_2946),
+	.Y(n_2945), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g801095 (
+	.A(n_2944),
+	.Y(n_2943), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g801096 (
+	.A(n_2942),
+	.Y(n_2941), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g801097 (
+	.A(n_2940),
+	.Y(n_2939), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g801098 (
+	.A(n_2938),
+	.Y(n_2937), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g801099 (
+	.A(n_2936),
+	.Y(n_2935), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g801100 (
+	.A(n_2934),
+	.Y(brqrv_top_brqrv_dec_decode_d_d[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g801101 (
+	.A(n_2933),
+	.Y(n_2932), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g801102 (
+	.A(n_2930),
+	.Y(n_2929), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g801104 (
+	.A(n_2927),
+	.Y(n_2926), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g801105 (
+	.A(n_2924),
+	.Y(n_2923), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g801106 (
+	.A(n_2922),
+	.Y(n_2921), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_8 g801107 (
+	.A(n_1348),
+	.Y(n_2919), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801108 (
+	.A(n_35252),
+	.B(brqrv_top_core_rst),
+	.Y(n_2918), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g801111 (
+	.A(n_1680),
+	.B(n_35394),
+	.Y(brqrv_top_brqrv_exu_i_mul_rs2_ext_in[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801112 (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[29]),
+	.B(n_33272),
+	.Y(n_2915), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801113 (
+	.A(n_35230),
+	.B(brqrv_top_core_rst),
+	.Y(n_2914), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801114 (
+	.A(n_1903),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_addr[64]),
+	.Y(n_2913), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801115 (
+	.A(n_34646),
+	.B(n_35662),
+	.Y(n_2912), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801116 (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[16]),
+	.B(n_33272),
+	.Y(n_2911), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801117 (
+	.A(n_31451),
+	.B(n_1837),
+	.Y(n_2910), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801120 (
+	.A(n_35209),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_error[5]),
+	.Y(n_2907), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801121 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_sideeffect[0]),
+	.B(n_1679),
+	.Y(n_2906), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801122 (
+	.A(n_353928_BAR),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [18]),
+	.Y(n_2905), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801123 (
+	.A(n_33272),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[17]),
+	.Y(n_2904), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801124 (
+	.A(n_34571),
+	.B(n_34586),
+	.Y(n_2903), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g801125 (
+	.A(n_34530),
+	.B(n_34113),
+	.Y(n_2902), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g801126 (
+	.A(brqrv_top_brqrv_dbg_sb_state[1]),
+	.B(n_1561),
+	.Y(n_2901), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g801127 (
+	.A_N(brqrv_top_brqrv_dec_dec_csr_wraddr_r[5]),
+	.B(brqrv_top_brqrv_dec_dec_csr_wraddr_r[4]),
+	.Y(n_2900), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g801128 (
+	.A_N(n_1202),
+	.B(brqrv_top_brqrv_ifu_aln_rdptr[1]),
+	.Y(n_2899), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801129 (
+	.A(n_35250),
+	.B(brqrv_top_core_rst),
+	.Y(n_2898), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801130 (
+	.A(n_34279),
+	.B(brqrv_top_core_rst),
+	.Y(n_2897), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g801131 (
+	.A_N(n_35714),
+	.B(n_36164),
+	.Y(n_2896), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801132 (
+	.A(n_35225),
+	.B(brqrv_top_core_rst),
+	.Y(n_2895), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801133 (
+	.A(n_34287),
+	.B(brqrv_top_core_rst),
+	.Y(n_2894), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801134 (
+	.A(n_34271),
+	.B(brqrv_top_core_rst),
+	.Y(n_2893), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g801135 (
+	.A(n_33985),
+	.B(n_33911),
+	.Y(brqrv_top_brqrv_dec_decode_d_d[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801136 (
+	.A(n_34300),
+	.B(brqrv_top_core_rst),
+	.Y(n_2892), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g801137 (
+	.A(n_35394),
+	.B(n_1988),
+	.Y(brqrv_top_brqrv_exu_i_mul_rs2_ext_in[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801139 (
+	.A(n_34633),
+	.B(brqrv_top_brqrv_dec_decode_x_d[23]),
+	.Y(n_2890), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801140 (
+	.A(n_35229),
+	.B(brqrv_top_core_rst),
+	.Y(n_2889), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801141 (
+	.A(n_34557),
+	.B(n_34908),
+	.Y(n_2888), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g801142 (
+	.A(brqrv_top_brqrv_dec_tlu_pc_r_d1[28]),
+	.B_N(brqrv_top_brqrv_dec_tlu_pc_r[28]),
+	.Y(n_2887), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801143 (
+	.A(n_35262),
+	.B(brqrv_top_core_rst),
+	.Y(n_2886), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801144 (
+	.A(n_1856),
+	.B(brqrv_top_brqrv_lsu_stbuf_n_1476),
+	.Y(n_2885), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g801145 (
+	.A_N(n_1202),
+	.B(brqrv_top_brqrv_ifu_aln_rdptr[0]),
+	.Y(n_2884), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801146 (
+	.A(n_35249),
+	.B(brqrv_top_core_rst),
+	.Y(n_2883), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801148 (
+	.A(n_1542),
+	.B(brqrv_top_brqrv_iccm_dma_rdata[31]),
+	.Y(n_2881), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801149 (
+	.A(n_36199),
+	.B(n_1837),
+	.Y(n_2880), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801150 (
+	.A(n_1542),
+	.B(brqrv_top_brqrv_iccm_dma_rdata[25]),
+	.Y(n_2879), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801151 (
+	.A(n_36195),
+	.B(n_1837),
+	.Y(n_2878), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801152 (
+	.A(n_1542),
+	.B(brqrv_top_brqrv_iccm_dma_rdata[29]),
+	.Y(n_2877), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801153 (
+	.A(n_1842),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_addr[148]),
+	.Y(n_2876), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g801154 (
+	.A_N(brqrv_top_brqrv_dec_tlu_npc_r[15]),
+	.B(brqrv_top_brqrv_dec_tlu_npc_r_d1[15]),
+	.Y(n_2875), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801155 (
+	.A(n_1542),
+	.B(brqrv_top_brqrv_iccm_dma_rdata[16]),
+	.Y(n_2874), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801156 (
+	.A(n_1542),
+	.B(brqrv_top_brqrv_iccm_dma_rdata[10]),
+	.Y(n_2873), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g801157 (
+	.A(n_35397),
+	.B_N(brqrv_top_brqrv_i0_ap[5]),
+	.Y(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_m_in[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g801158 (
+	.A(n_2052),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[21]),
+	.Y(n_2872), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801159 (
+	.A(n_35260),
+	.B(brqrv_top_core_rst),
+	.Y(n_2871), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801160 (
+	.A(n_34407),
+	.B(n_43023),
+	.Y(n_2870), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g801161 (
+	.A(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_22 ),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[14]),
+	.Y(n_2869), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801163 (
+	.A(n_1794),
+	.B(brqrv_top_brqrv_dbg_sbdata1_reg[21]),
+	.Y(n_2867), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g801164 (
+	.A(n_35792),
+	.B(n_1872),
+	.Y(n_2866), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801165 (
+	.A(n_1792),
+	.B(brqrv_top_brqrv_dbg_sbdata0_reg[20]),
+	.Y(n_2865), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g801166 (
+	.A_N(n_34565),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_sideeffect[3]),
+	.Y(n_2864), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801168 (
+	.A(n_1542),
+	.B(brqrv_top_brqrv_iccm_dma_rdata[26]),
+	.Y(n_2863), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801169 (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[14]),
+	.B(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_22 ),
+	.Y(n_2862), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g801170 (
+	.A(n_34874),
+	.B(n_34901),
+	.Y(n_2861), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g801171 (
+	.A(n_1539),
+	.B(brqrv_top_brqrv_lsu_stbuf_n_588),
+	.Y(n_2860), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g801172 (
+	.A(n_35394),
+	.B(n_1709),
+	.Y(brqrv_top_brqrv_exu_i_mul_rs2_ext_in[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g801174 (
+	.A(brqrv_top_brqrv_i0_ap[2]),
+	.B_N(brqrv_top_brqrv_dec_i0_predict_p_d[41]),
+	.Y(brqrv_top_brqrv_dec_decode_last_br_immed_d[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g801175 (
+	.A(n_35408),
+	.B(n_35642),
+	.Y(n_2859), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g801176 (
+	.A_N(brqrv_top_brqrv_dec_decode_x_t[14]),
+	.B(brqrv_top_brqrv_dec_decode_r_t[14]),
+	.Y(n_2858), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801177 (
+	.A(brqrv_top_brqrv_dec_tlu_mtsel[1]),
+	.B(brqrv_top_brqrv_dec_tlu_mtdata1_t2[5]),
+	.Y(n_2857), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g801178 (
+	.A(brqrv_top_brqrv_dec_tlu_mtdata1_t1[8]),
+	.B_N(n_35574),
+	.Y(n_2856), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801179 (
+	.A(n_1557),
+	.B(brqrv_top_brqrv_dec_decode_r_t[14]),
+	.Y(n_3193), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801180 (
+	.A(n_1794),
+	.B(brqrv_top_brqrv_dbg_sbdata1_reg[9]),
+	.Y(n_2855), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801181 (
+	.A(n_34633),
+	.B(n_35373),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_enable ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801182 (
+	.A(n_1851),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[18]),
+	.Y(n_2854), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801183 (
+	.A(n_1792),
+	.B(brqrv_top_brqrv_dbg_sbdata0_reg[15]),
+	.Y(n_2853), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801184 (
+	.A(n_1903),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_addr[80]),
+	.Y(n_2852), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g801185 (
+	.A_N(n_35210),
+	.B(brqrv_top_brqrv_iccm_dma_rdata[0]),
+	.Y(n_2851), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g801186 (
+	.A(n_33867),
+	.B(n_33911),
+	.Y(brqrv_top_brqrv_dec_decode_i0_d_c[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801187 (
+	.A(n_1509),
+	.B(brqrv_top_brqrv_dec_decode_leak1_mode),
+	.Y(n_2850), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801188 (
+	.A(n_35652),
+	.B(n_35417),
+	.Y(n_2849), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801189 (
+	.A(n_35212),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_error[1]),
+	.Y(n_2848), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801190 (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[14]),
+	.B(n_1841),
+	.Y(n_2847), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801191 (
+	.A(n_1567),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [3]),
+	.Y(n_2846), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g801192 (
+	.A(n_1705),
+	.B(n_35394),
+	.Y(brqrv_top_brqrv_exu_i_mul_rs2_ext_in[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g801193 (
+	.A(n_35394),
+	.B_N(n_35931),
+	.Y(brqrv_top_brqrv_exu_i_mul_rs2_ext_in[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801194 (
+	.A(n_34298),
+	.B(brqrv_top_core_rst),
+	.Y(n_2845), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801195 (
+	.A(brqrv_top_brqrv_lsu_stbuf_n_1475),
+	.B(brqrv_top_brqrv_lsu_lsu_pkt_r[6]),
+	.Y(n_2844), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801196 (
+	.A(n_35231),
+	.B(brqrv_top_core_rst),
+	.Y(n_2843), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g801197 (
+	.A(n_35394),
+	.B(n_541),
+	.Y(brqrv_top_brqrv_exu_i_mul_rs2_ext_in[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g801200 (
+	.A(brqrv_top_brqrv_dec_tlu_mtdata1_t0[8]),
+	.B_N(n_35575),
+	.Y(n_2841), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g801201 (
+	.A(brqrv_top_brqrv_dec_tlu_mtdata1_t2[8]),
+	.B_N(n_35579),
+	.Y(n_2840), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g801204 (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[43]),
+	.B(n_1849),
+	.Y(n_2838), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g801206 (
+	.A(n_11562),
+	.B(n_34706),
+	.Y(n_2836), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g801207 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_n_1839),
+	.B(brqrv_top_brqrv_exu_flush_final),
+	.Y(n_2835), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g801208 (
+	.A(brqrv_top_brqrv_ifu_ifc_state[1]),
+	.B(brqrv_top_brqrv_ifu_ifc_state[0]),
+	.Y(n_2834), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801209 (
+	.A(n_1832),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [18]),
+	.Y(n_2833), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g801211 (
+	.A(brqrv_top_brqrv_i0_ap[2]),
+	.B_N(brqrv_top_brqrv_dec_i0_predict_p_d[43]),
+	.Y(brqrv_top_brqrv_dec_decode_last_br_immed_d[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g801213 (
+	.A(n_35394),
+	.B_N(n_10251),
+	.Y(brqrv_top_brqrv_exu_i_mul_rs2_ext_in[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g801214 (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[40]),
+	.B(n_1848),
+	.Y(n_2832), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801216 (
+	.A(n_35244),
+	.B(brqrv_top_core_rst),
+	.Y(n_2830), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801217 (
+	.A(n_34352),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_error[3]),
+	.Y(n_2829), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g801218 (
+	.A(brqrv_top_brqrv_dma_ctrl_n_1319),
+	.B(n_35403),
+	.Y(n_2828), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801219 (
+	.A(n_35259),
+	.B(brqrv_top_core_rst),
+	.Y(n_2827), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801220 (
+	.A(n_34538),
+	.B(n_34877),
+	.Y(n_2826), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801221 (
+	.A(n_35251),
+	.B(brqrv_top_core_rst),
+	.Y(n_2825), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g801222 (
+	.A(n_11566),
+	.B(n_34706),
+	.Y(n_2824), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801223 (
+	.A(n_34288),
+	.B(brqrv_top_core_rst),
+	.Y(n_2823), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g801224 (
+	.A(brqrv_top_brqrv_dec_tlu_flush_path_r[22]),
+	.B_N(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[22]),
+	.Y(n_2822), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g801225 (
+	.A(n_1834),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[33]),
+	.Y(n_2821), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801226 (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[27]),
+	.B(n_2040),
+	.Y(n_2820), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801227 (
+	.A(n_35268),
+	.B(brqrv_top_core_rst),
+	.Y(n_2819), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801228 (
+	.A(n_34291),
+	.B(brqrv_top_core_rst),
+	.Y(n_2818), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801229 (
+	.A(n_35235),
+	.B(brqrv_top_core_rst),
+	.Y(n_2817), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801230 (
+	.A(n_35240),
+	.B(brqrv_top_core_rst),
+	.Y(n_2816), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801231 (
+	.A(n_34324),
+	.B(brqrv_top_core_rst),
+	.Y(n_2815), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801232 (
+	.A(n_35269),
+	.B(brqrv_top_core_rst),
+	.Y(n_2814), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801233 (
+	.A(n_35256),
+	.B(brqrv_top_core_rst),
+	.Y(n_2813), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801234 (
+	.A(n_35236),
+	.B(brqrv_top_core_rst),
+	.Y(n_2812), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801235 (
+	.A(n_35241),
+	.B(brqrv_top_core_rst),
+	.Y(n_2811), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801236 (
+	.A(n_34317),
+	.B(brqrv_top_core_rst),
+	.Y(n_2810), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801237 (
+	.A(n_34302),
+	.B(brqrv_top_core_rst),
+	.Y(n_2809), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801238 (
+	.A(n_35245),
+	.B(brqrv_top_core_rst),
+	.Y(n_2808), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801239 (
+	.A(n_35258),
+	.B(brqrv_top_core_rst),
+	.Y(n_2807), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g801240 (
+	.A(n_35394),
+	.B_N(n_35915),
+	.Y(brqrv_top_brqrv_exu_i_mul_rs2_ext_in[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801241 (
+	.A(n_35264),
+	.B(brqrv_top_core_rst),
+	.Y(n_2806), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801242 (
+	.A(n_34283),
+	.B(brqrv_top_core_rst),
+	.Y(n_2805), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801243 (
+	.A(n_34275),
+	.B(brqrv_top_core_rst),
+	.Y(n_2804), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g801244 (
+	.A(n_35394),
+	.B(n_1978),
+	.Y(brqrv_top_brqrv_exu_i_mul_rs2_ext_in[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g801245 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_control_ff [2]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_adder3_out [35]),
+	.Y(n_2803), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801247 (
+	.A(n_1542),
+	.B(brqrv_top_brqrv_iccm_dma_rdata[30]),
+	.Y(n_2801), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g801248 (
+	.A(n_1931),
+	.B(n_34704),
+	.Y(n_2800), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801249 (
+	.A(n_35671),
+	.B(n_33893),
+	.Y(n_2799), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801250 (
+	.A(n_34292),
+	.B(brqrv_top_core_rst),
+	.Y(n_2798), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g801251 (
+	.A(brqrv_top_brqrv_lsu_dccm_ctl_n_1137),
+	.B(brqrv_top_brqrv_lsu_addr_external_m),
+	.X(brqrv_top_brqrv_lsu_pmu_load_external_m), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801252 (
+	.A(n_35263),
+	.B(brqrv_top_core_rst),
+	.Y(n_2797), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801253 (
+	.A(n_35232),
+	.B(brqrv_top_core_rst),
+	.Y(n_2796), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g801254 (
+	.A(n_35547),
+	.B(n_34786),
+	.Y(brqrv_top_brqrv_dec_i0_predict_p_d[33]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801255 (
+	.A(n_34301),
+	.B(brqrv_top_core_rst),
+	.Y(n_2795), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g801256 (
+	.A(n_140),
+	.B(n_35394),
+	.Y(brqrv_top_brqrv_exu_i_mul_rs2_ext_in[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g801257 (
+	.A(n_35392),
+	.B(n_33911),
+	.Y(brqrv_top_brqrv_dec_i0_predict_p_d[36]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801258 (
+	.A(brqrv_top_brqrv_dec_tlu_request_debug_mode_r),
+	.B(brqrv_top_brqrv_dec_tlu_pc_r[12]),
+	.Y(n_2794), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g801259 (
+	.A(\brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_n_38 ),
+	.B(n_43085),
+	.Y(n_2793), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801260 (
+	.A(brqrv_top_brqrv_lsu_stbuf_n_580),
+	.B(n_1543),
+	.Y(n_2792), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801261 (
+	.A(n_1792),
+	.B(brqrv_top_brqrv_dbg_sbdata0_reg[22]),
+	.Y(n_2791), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g801262 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_done),
+	.B(n_34338),
+	.Y(lsu_axi_wvalid), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801263 (
+	.A(n_1847),
+	.B(brqrv_top_brqrv_lsu_stbuf_n_584),
+	.Y(n_2790), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g801264 (
+	.A(n_2040),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[27]),
+	.Y(n_2789), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801265 (
+	.A(n_34316),
+	.B(brqrv_top_core_rst),
+	.Y(n_2788), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801266 (
+	.A(n_34290),
+	.B(brqrv_top_core_rst),
+	.Y(n_2787), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801267 (
+	.A(n_35438),
+	.B(brqrv_top_brqrv_dec_i0_predict_p_d[31]),
+	.Y(n_2786), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g801268 (
+	.A_N(brqrv_top_brqrv_lsu_fir_error[0]),
+	.B(brqrv_top_brqrv_lsu_fir_error[1]),
+	.Y(n_2785), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801269 (
+	.A(n_1542),
+	.B(brqrv_top_brqrv_iccm_dma_rdata[28]),
+	.Y(n_2784), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g801275 (
+	.A(n_1836),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[41]),
+	.Y(n_2781), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801276 (
+	.A(n_34637),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_byteen[1]),
+	.Y(n_2780), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801277 (
+	.A(n_35265),
+	.B(brqrv_top_core_rst),
+	.Y(n_2779), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801278 (
+	.A(n_34294),
+	.B(brqrv_top_core_rst),
+	.Y(n_2778), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g801280 (
+	.A_N(n_34784),
+	.B(n_34785),
+	.Y(n_2776), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g801281 (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[25]),
+	.B_N(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[25]),
+	.Y(n_2775), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801282 (
+	.A(n_963),
+	.B(n_33895),
+	.Y(n_2774), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801283 (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[26]),
+	.B(n_1841),
+	.Y(n_2773), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g801284 (
+	.A(n_1538),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[43]),
+	.Y(n_2772), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801285 (
+	.A(n_35246),
+	.B(brqrv_top_core_rst),
+	.Y(n_2771), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801286 (
+	.A(n_35243),
+	.B(brqrv_top_core_rst),
+	.Y(n_2770), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801287 (
+	.A(n_34295),
+	.B(brqrv_top_core_rst),
+	.Y(n_2769), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801288 (
+	.A(n_34255),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_error[7]),
+	.Y(n_2768), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g801289 (
+	.A_N(brqrv_top_brqrv_lsu_addr_external_m),
+	.B(n_1571),
+	.Y(brqrv_top_brqrv_lsu_lsu_lsc_ctl_n_468), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g801290 (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_valid[1]),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_valid[2]),
+	.Y(n_2767), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g801291 (
+	.A(n_34169),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_req_bf),
+	.Y(n_2766), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g801292 (
+	.A(n_34194),
+	.B_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[11]),
+	.Y(n_2765), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g801293 (
+	.A(n_34197),
+	.B_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[2]),
+	.Y(n_2764), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g801294 (
+	.A(n_34191),
+	.B_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[5]),
+	.Y(n_2763), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g801295 (
+	.A(brqrv_top_brqrv_i0_ap[2]),
+	.B_N(brqrv_top_brqrv_dec_i0_predict_p_d[42]),
+	.Y(brqrv_top_brqrv_dec_decode_last_br_immed_d[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801296 (
+	.A(n_35255),
+	.B(brqrv_top_core_rst),
+	.Y(n_2762), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g801297 (
+	.A_N(n_35210),
+	.B(brqrv_top_brqrv_iccm_dma_rdata[16]),
+	.Y(n_2761), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g801298 (
+	.A(n_35546),
+	.B(n_34786),
+	.Y(brqrv_top_brqrv_dec_i0_predict_p_d[34]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801299 (
+	.A(n_34318),
+	.B(brqrv_top_core_rst),
+	.Y(n_2760), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801300 (
+	.A(n_34303),
+	.B(brqrv_top_core_rst),
+	.Y(n_2759), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g801301 (
+	.A(n_35394),
+	.B_N(n_35933),
+	.Y(brqrv_top_brqrv_exu_i_mul_rs2_ext_in[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g801302 (
+	.A(n_35394),
+	.B(FE_DBTN17_n_35916),
+	.Y(brqrv_top_brqrv_exu_i_mul_rs2_ext_in[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g801303 (
+	.A(n_35394),
+	.B(n_1986),
+	.Y(brqrv_top_brqrv_exu_i_mul_rs2_ext_in[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g801304 (
+	.A(n_35394),
+	.B(n_1703),
+	.Y(brqrv_top_brqrv_exu_i_mul_rs2_ext_in[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g801305 (
+	.A(n_1694),
+	.B(n_35394),
+	.Y(brqrv_top_brqrv_exu_i_mul_rs2_ext_in[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g801306 (
+	.A(n_35394),
+	.B_N(brqrv_top_brqrv_exu_i0_rs2_d[0]),
+	.Y(brqrv_top_brqrv_exu_i_mul_rs2_ext_in[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801308 (
+	.A(n_1542),
+	.B(brqrv_top_brqrv_iccm_dma_rdata[24]),
+	.Y(n_2758), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801309 (
+	.A(n_33304),
+	.B(brqrv_top_brqrv_dec_tlu_mhpme5[9]),
+	.Y(n_2757), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g801311 (
+	.A(n_35394),
+	.B(n_1987),
+	.Y(brqrv_top_brqrv_exu_i_mul_rs2_ext_in[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g801313 (
+	.A(n_34836),
+	.B(n_35316),
+	.Y(n_2754), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801314 (
+	.A(n_1893),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[1]),
+	.Y(n_2753), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801315 (
+	.A(n_1882),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[1]),
+	.Y(n_2752), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g801316 (
+	.A_N(brqrv_top_brqrv_ifu_ic_debug_rd_data_valid),
+	.B(n_34661),
+	.Y(brqrv_top_brqrv_dec_tlu_n_3392), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801317 (
+	.A(n_34289),
+	.B(brqrv_top_core_rst),
+	.Y(n_2751), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801318 (
+	.A(n_1582),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[0]),
+	.Y(n_2750), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g801319 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[8]),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[9]),
+	.Y(n_2749), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g801321 (
+	.A(n_34836),
+	.B(n_34418),
+	.Y(n_2748), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801322 (
+	.A(n_1884),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[1]),
+	.Y(n_2747), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801323 (
+	.A(n_31485),
+	.B(n_1837),
+	.Y(n_2746), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801324 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[23]),
+	.B(n_1718),
+	.Y(n_2745), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801325 (
+	.A(n_33304),
+	.B(brqrv_top_brqrv_dec_tlu_mhpme6[9]),
+	.Y(n_2744), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801326 (
+	.A(n_43089),
+	.B(\brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_n_41 ),
+	.Y(n_2743), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801328 (
+	.A(n_35543),
+	.B(n_35636),
+	.Y(n_2741), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g801330 (
+	.A(n_34898),
+	.B_N(brqrv_top_brqrv_dma_ctrl_fifo_data[199]),
+	.Y(n_2739), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g801331 (
+	.A(brqrv_top_brqrv_dec_tlu_ic_diag_pkt[0]),
+	.B(n_34222),
+	.Y(n_2738), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801335 (
+	.A(n_34633),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_control_ff [0]),
+	.Y(n_2735), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g801337 (
+	.A(n_11556),
+	.B(n_34706),
+	.Y(n_2733), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801338 (
+	.A(n_1882),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[0]),
+	.Y(n_2732), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g801339 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_n_6624 ),
+	.B(n_36920),
+	.X(n_2731), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g801340 (
+	.A_N(brqrv_top_brqrv_dbg_n_4412),
+	.B(brqrv_top_brqrv_dbg_sbaddress0_reg[0]),
+	.Y(n_2730), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g801341 (
+	.A(brqrv_top_brqrv_dbg_sbaddress0_reg[1]),
+	.B(brqrv_top_brqrv_dbg_sbaddress0_reg[0]),
+	.Y(n_2729), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801344 (
+	.A(FE_DBTN20_n_34692),
+	.B(n_43435),
+	.Y(n_2726), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g801345 (
+	.A_N(brqrv_top_brqrv_ifu_ic_debug_rd_data_valid),
+	.B(n_34663),
+	.Y(brqrv_top_brqrv_dec_tlu_n_3390), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801346 (
+	.A(n_34637),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_byteen[2]),
+	.Y(n_2725), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g801348 (
+	.A_N(brqrv_top_brqrv_ifu_ic_debug_rd_data_valid),
+	.B(n_34658),
+	.Y(brqrv_top_brqrv_dec_tlu_n_3391), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801349 (
+	.A(n_1794),
+	.B(brqrv_top_brqrv_dbg_sbdata1_reg[17]),
+	.Y(n_2723), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g801350 (
+	.A(brqrv_top_brqrv_dbg_cmd_addr[7]),
+	.B(brqrv_top_brqrv_dbg_cmd_valid),
+	.X(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g801351 (
+	.A(n_34838),
+	.B(n_35161),
+	.Y(n_2722), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g801353 (
+	.A(n_1860),
+	.B(n_1856),
+	.Y(n_2720), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g801354 (
+	.A_N(brqrv_top_brqrv_dec_dec_tlu_dec_clk_override),
+	.B(n_33325),
+	.Y(brqrv_top_brqrv_dec_tlu_n_3270), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801356 (
+	.A(n_1856),
+	.B(n_1860),
+	.Y(n_2718), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801357 (
+	.A(n_43090),
+	.B(\brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_n_40 ),
+	.Y(n_2717), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801358 (
+	.A(n_34542),
+	.B(n_34914),
+	.Y(n_2716), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g801359 (
+	.A(brqrv_top_brqrv_dec_tlu_mpc_debug_run_req_sync_f),
+	.B(brqrv_top_brqrv_dec_tlu_mpc_debug_run_ack_f),
+	.Y(n_2715), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g801361 (
+	.A(brqrv_top_brqrv_dec_tlu_debug_brkpt_valid),
+	.B(brqrv_top_brqrv_dec_tlu_debug_brkpt_status_f),
+	.Y(n_2713), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g801362 (
+	.A(n_34838),
+	.B(n_34418),
+	.Y(n_2712), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g801363 (
+	.A(n_34838),
+	.B(n_35160),
+	.Y(n_2711), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g801365 (
+	.A(n_34190),
+	.B_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[8]),
+	.Y(n_2710), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801366 (
+	.A(n_1893),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[0]),
+	.Y(n_2709), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g801367 (
+	.A(n_34838),
+	.B(n_35316),
+	.Y(n_2708), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g801368 (
+	.A(n_1994),
+	.B(n_34220),
+	.Y(brqrv_top_brqrv_dec_tlu_dcsr_single_step_done), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g801369 (
+	.A(brqrv_top_brqrv_dec_tlu_n_3468),
+	.B(brqrv_top_brqrv_dec_tlu_ext_int_freeze_d1),
+	.X(brqrv_top_brqrv_dec_tlu_dbg_halt_req_held_ns), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g801370 (
+	.A_N(n_36370),
+	.B(n_35632),
+	.Y(n_2707), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g801373 (
+	.A(n_1839),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[44]),
+	.Y(n_2706), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g801376 (
+	.A(n_1755),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[30]),
+	.Y(n_2704), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801377 (
+	.A(n_34306),
+	.B(brqrv_top_core_rst),
+	.Y(n_2703), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g801379 (
+	.A(n_35394),
+	.B_N(n_35929),
+	.Y(brqrv_top_brqrv_exu_i_mul_rs2_ext_in[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g801380 (
+	.A(n_35394),
+	.B_N(n_35928),
+	.Y(brqrv_top_brqrv_exu_i_mul_rs2_ext_in[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801381 (
+	.A(n_1917),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[31]),
+	.Y(n_2701), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801382 (
+	.A(n_1542),
+	.B(brqrv_top_brqrv_iccm_dma_rdata[11]),
+	.Y(n_2700), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g801383 (
+	.A(n_35394),
+	.B_N(n_35926),
+	.Y(brqrv_top_brqrv_exu_i_mul_rs2_ext_in[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g801384 (
+	.A(n_34320),
+	.B_N(n_34324),
+	.Y(n_2699), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801385 (
+	.A(n_33304),
+	.B(brqrv_top_brqrv_dec_tlu_mhpme4[9]),
+	.Y(n_2698), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g801387 (
+	.A_N(n_35715),
+	.B(n_36157),
+	.Y(n_2697), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g801388 (
+	.A(n_35387),
+	.B_N(brqrv_top_brqrv_dma_mem_sz[1]),
+	.Y(n_2696), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801389 (
+	.A(n_1842),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_addr[144]),
+	.Y(n_2695), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g801390 (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[19]),
+	.B(n_1849),
+	.Y(n_2694), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801391 (
+	.A(n_1001),
+	.B(n_33897),
+	.Y(n_2693), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801392 (
+	.A(brqrv_top_brqrv_dec_decode_x_t[15]),
+	.B(n_1975),
+	.Y(n_2692), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g801394 (
+	.A(n_1871),
+	.B(brqrv_top_brqrv_dec_decode_cam_raw[34]),
+	.Y(n_2691), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801395 (
+	.A(n_1884),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[0]),
+	.Y(n_2690), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801396 (
+	.A(n_1842),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_addr[145]),
+	.Y(n_2689), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801399 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_n_6624 ),
+	.B(n_36923),
+	.Y(n_2688), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g801400 (
+	.A(n_35394),
+	.B_N(brqrv_top_brqrv_exu_i0_rs2_d[3]),
+	.Y(brqrv_top_brqrv_exu_i_mul_rs2_ext_in[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g801401 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_ldfwd[1]),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dualtag[1]),
+	.Y(n_2687), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801402 (
+	.A(n_1842),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_addr[146]),
+	.Y(n_2686), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g801403 (
+	.A_N(brqrv_top_brqrv_ifu_i0_pc[31]),
+	.B(brqrv_top_brqrv_exu_i0_pc_x[31]),
+	.Y(n_2685), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g801404 (
+	.A_N(n_35284),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[1]),
+	.Y(n_2684), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g801406 (
+	.A(brqrv_top_brqrv_dec_tlu_mtdata1_t3[8]),
+	.B_N(n_35578),
+	.Y(n_2682), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801407 (
+	.A(n_838),
+	.B(n_33888),
+	.Y(n_2681), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g801408 (
+	.A(n_35394),
+	.B(n_234),
+	.Y(brqrv_top_brqrv_exu_i_mul_rs2_ext_in[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g801409 (
+	.A(n_35394),
+	.B(FE_DBTN18_n_35901),
+	.Y(brqrv_top_brqrv_exu_i_mul_rs2_ext_in[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801410 (
+	.A(n_35673),
+	.B(n_33892),
+	.Y(n_2680), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g801411 (
+	.A(n_1952),
+	.B(n_35394),
+	.Y(brqrv_top_brqrv_exu_i_mul_rs2_ext_in[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801412 (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[30]),
+	.B(n_1755),
+	.Y(n_2679), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g801413 (
+	.A_N(n_34205),
+	.B(n_37944),
+	.Y(n_2678), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801414 (
+	.A(n_33304),
+	.B(brqrv_top_brqrv_dec_tlu_mhpme3[9]),
+	.Y(n_2677), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g801415 (
+	.A(brqrv_top_brqrv_dbg_dbg_dm_rst_l),
+	.B(brqrv_top_brqrv_n_51),
+	.X(brqrv_top_brqrv_dbg_n_1043), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801416 (
+	.A(n_1842),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_addr[150]),
+	.Y(n_2676), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801417 (
+	.A(n_1078),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[4]),
+	.Y(n_2675), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g801418 (
+	.A(brqrv_top_brqrv_dec_decode_tlu_wr_pause_r2),
+	.B(brqrv_top_brqrv_dec_decode_tlu_wr_pause_r1),
+	.Y(n_2674), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g801419 (
+	.A(n_34903),
+	.B(n_34629),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_pend_en[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801420 (
+	.A(brqrv_top_brqrv_exu_i0_rs2_d[0]),
+	.B(n_35373),
+	.Y(n_2673), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g801421 (
+	.A(n_34836),
+	.B(n_35160),
+	.Y(n_2672), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801422 (
+	.A(FE_DBTN20_n_34692),
+	.B(n_37327),
+	.Y(n_2671), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801423 (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[31]),
+	.B(n_33272),
+	.Y(n_2670), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801424 (
+	.A(n_35215),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_error[9]),
+	.Y(n_2669), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801426 (
+	.A(n_1859),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_ldfwd[1]),
+	.Y(n_2667), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801427 (
+	.A(FE_DBTN20_n_34692),
+	.B(n_37324),
+	.Y(n_2666), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g801428 (
+	.A(n_35394),
+	.B(FE_DBTN19_n_35903),
+	.Y(brqrv_top_brqrv_exu_i_mul_rs2_ext_in[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801429 (
+	.A(n_1582),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[1]),
+	.Y(n_2665), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801430 (
+	.A(n_34323),
+	.B(brqrv_top_core_rst),
+	.Y(n_2664), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801431 (
+	.A(n_34263),
+	.B(brqrv_top_core_rst),
+	.Y(n_2663), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g801432 (
+	.A(n_34899),
+	.B(n_34629),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_pend_en[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g801433 (
+	.A(n_34836),
+	.B(n_35161),
+	.Y(n_2662), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g801434 (
+	.A(n_34900),
+	.B(n_34629),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_pend_en[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g801435 (
+	.A(n_34527),
+	.B(n_34336),
+	.Y(n_2661), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g801436 (
+	.A(n_35394),
+	.B_N(n_35920),
+	.Y(brqrv_top_brqrv_exu_i_mul_rs2_ext_in[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g801437 (
+	.A(n_34898),
+	.B(n_34629),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_pend_en[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801439 (
+	.A(n_34164),
+	.B(n_1619),
+	.Y(n_2659), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g801440 (
+	.A(n_34897),
+	.B(n_34629),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_pend_en[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g801441 (
+	.A(n_35394),
+	.B_N(n_35921),
+	.Y(brqrv_top_brqrv_exu_i_mul_rs2_ext_in[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801442 (
+	.A(n_34267),
+	.B(brqrv_top_core_rst),
+	.Y(n_2658), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g801443 (
+	.A(n_35394),
+	.B_N(n_10221),
+	.Y(brqrv_top_brqrv_exu_i_mul_rs2_ext_in[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g801444 (
+	.A(n_35394),
+	.B_N(n_35919),
+	.Y(brqrv_top_brqrv_exu_i_mul_rs2_ext_in[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g801445 (
+	.A(n_35394),
+	.B(FE_DBTN16_n_10224),
+	.Y(brqrv_top_brqrv_exu_i_mul_rs2_ext_in[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g801446 (
+	.A(n_35394),
+	.B_N(n_35930),
+	.Y(brqrv_top_brqrv_exu_i_mul_rs2_ext_in[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801447 (
+	.A(n_34307),
+	.B(brqrv_top_core_rst),
+	.Y(n_2657), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 g801448 (
+	.A(brqrv_top_brqrv_dec_tlu_reset_delayed),
+	.B(brqrv_top_brqrv_dec_tlu_n_4856),
+	.X(brqrv_top_brqrv_dec_tlu_n_3336), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g801449 (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[15]),
+	.B_N(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[15]),
+	.Y(n_2656), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801450 (
+	.A(brqrv_top_brqrv_lsu_stbuf_n_612),
+	.B(n_1835),
+	.Y(n_2655), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g801451 (
+	.A_N(brqrv_top_brqrv_ifu_ifc_fetch_req_f),
+	.B(n_1036),
+	.Y(brqrv_top_brqrv_ifu_ifc_fetch_bf_en), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801454 (
+	.A(n_34529),
+	.B(brqrv_top_brqrv_iccm_dma_rvalid),
+	.Y(n_2654), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g801455 (
+	.A_N(n_35665),
+	.B(brqrv_top_brqrv_dec_tlu_minstretl[4]),
+	.Y(n_3192), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801456 (
+	.A(n_1785),
+	.B(brqrv_top_iccm_instr_wdata[5]),
+	.Y(n_3191), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g801457 (
+	.A(brqrv_top_brqrv_exu_n_911),
+	.B_N(n_34766),
+	.Y(n_3190), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801458 (
+	.A(n_1785),
+	.B(brqrv_top_iccm_instr_wdata[4]),
+	.Y(n_3189), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801459 (
+	.A(n_1785),
+	.B(brqrv_top_iccm_instr_wdata[3]),
+	.Y(n_3188), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801460 (
+	.A(n_1545),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dual),
+	.Y(n_3187), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801461 (
+	.A(n_1785),
+	.B(brqrv_top_iccm_instr_wdata[2]),
+	.Y(n_3186), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g801462 (
+	.A(n_34379),
+	.B(n_34380),
+	.Y(n_3185), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801463 (
+	.A(n_1785),
+	.B(brqrv_top_iccm_instr_wdata[0]),
+	.Y(n_3184), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g801464 (
+	.A(n_35444),
+	.B_N(n_33314),
+	.Y(n_3183), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g801465 (
+	.A(brqrv_top_brqrv_dma_ctrl_RspPtr[1]),
+	.B(brqrv_top_brqrv_dma_ctrl_RspPtr[2]),
+	.Y(n_3182), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g801466 (
+	.A_N(n_34724),
+	.B(brqrv_top_brqrv_ifu_i0_pc[5]),
+	.Y(n_3181), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801467 (
+	.A(brqrv_top_brqrv_lsu_stbuf_n_1476),
+	.B(brqrv_top_brqrv_lsu_lsu_pkt_r[6]),
+	.Y(n_3179), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801468 (
+	.A(n_1842),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_addr[159]),
+	.Y(n_3178), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g801469 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_timer[0]),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_timer[1]),
+	.X(n_3177), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g801470 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_write[0]),
+	.B(n_34568),
+	.Y(n_3176), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801471 (
+	.A(n_1785),
+	.B(brqrv_top_iccm_instr_addr[6]),
+	.Y(n_3175), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g801472 (
+	.A(brqrv_top_brqrv_dec_tlu_dcsr_single_step_done_f),
+	.B(brqrv_top_brqrv_dec_tlu_trigger_hit_r_d1),
+	.X(n_3174), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g801473 (
+	.A_N(n_41918),
+	.B(brqrv_top_brqrv_lsu_lsu_pkt_m[0]),
+	.Y(n_3173), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801474 (
+	.A(n_1785),
+	.B(brqrv_top_iccm_instr_wdata[19]),
+	.Y(n_3172), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801476 (
+	.A(n_34706),
+	.B(brqrv_top_brqrv_ifu_aln_f1val[1]),
+	.Y(n_3170), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g801477 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_write[2]),
+	.B(n_34566),
+	.Y(n_3169), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g801478 (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_error[8]),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_error[9]),
+	.Y(n_3167), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801479 (
+	.A(brqrv_top_brqrv_dec_i0_decode_d),
+	.B(n_35396),
+	.Y(n_3166), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801480 (
+	.A(n_1546),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dual),
+	.Y(n_3165), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801481 (
+	.A(n_1842),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_addr[158]),
+	.Y(n_3164), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g801482 (
+	.A_N(n_34587),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_write[1]),
+	.Y(n_3163), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g801483 (
+	.A(n_9985),
+	.B_N(n_35510),
+	.Y(n_3162), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g801484 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[5]),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[2]),
+	.Y(n_3161), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801485 (
+	.A(n_34338),
+	.B(n_34195),
+	.Y(n_3160), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801486 (
+	.A(n_1785),
+	.B(brqrv_top_iccm_instr_wdata[7]),
+	.Y(n_3159), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801487 (
+	.A(brqrv_top_brqrv_dec_tlu_mtdata1_t2[9]),
+	.B(n_1857),
+	.Y(n_3158), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801488 (
+	.A(n_1785),
+	.B(brqrv_top_iccm_instr_wdata[20]),
+	.Y(n_3157), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801489 (
+	.A(n_35631),
+	.B(n_35633),
+	.Y(n_3156), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g801490 (
+	.A(n_35118),
+	.B(n_43110),
+	.Y(n_3155), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g801491 (
+	.A_N(n_35667),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[4]),
+	.Y(n_3154), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g801492 (
+	.A(brqrv_top_brqrv_exu_n_903),
+	.B_N(n_35525),
+	.Y(n_3153), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g801493 (
+	.A(n_1861),
+	.B(brqrv_top_dccm_rd_addr_hi[3]),
+	.Y(n_3152), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g801494 (
+	.A(brqrv_top_brqrv_exu_n_910),
+	.B_N(n_34767),
+	.Y(n_3151), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g801495 (
+	.A_N(brqrv_top_brqrv_lsu_stbuf_stbuf_dma_kill[2]),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_vld[2]),
+	.Y(n_3150), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g801496 (
+	.A_N(n_34710),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[2]),
+	.Y(n_3149), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801497 (
+	.A(n_35792),
+	.B(n_35791),
+	.Y(n_3148), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801498 (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_valid[4]),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_valid[3]),
+	.Y(n_3146), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g801500 (
+	.A(n_34585),
+	.B_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_write[3]),
+	.Y(n_3144), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g801501 (
+	.A(n_35504),
+	.B(n_34713),
+	.Y(n_3143), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g801502 (
+	.A(n_10027),
+	.B_N(n_34760),
+	.Y(n_3142), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801503 (
+	.A(n_1785),
+	.B(brqrv_top_iccm_instr_wdata[31]),
+	.Y(n_3141), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801504 (
+	.A(n_1785),
+	.B(brqrv_top_iccm_instr_wdata[30]),
+	.Y(n_3140), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801505 (
+	.A(n_1785),
+	.B(brqrv_top_iccm_instr_wdata[29]),
+	.Y(n_3139), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801506 (
+	.A(n_1785),
+	.B(brqrv_top_iccm_instr_wdata[28]),
+	.Y(n_3138), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801507 (
+	.A(n_33898),
+	.B(n_35674),
+	.Y(n_3137), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g801508 (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_error[2]),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_error[3]),
+	.Y(n_3136), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g801509 (
+	.A(brqrv_top_brqrv_dec_tlu_ebreak_to_debug_mode_r_d1),
+	.B(brqrv_top_brqrv_dec_tlu_debug_halt_req),
+	.Y(n_3135), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801510 (
+	.A(n_1785),
+	.B(brqrv_top_iccm_instr_wdata[10]),
+	.Y(n_3134), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801511 (
+	.A(n_1785),
+	.B(brqrv_top_iccm_instr_addr[3]),
+	.Y(n_3133), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g801512 (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_error[6]),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_error[7]),
+	.Y(n_3132), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801513 (
+	.A(n_1785),
+	.B(brqrv_top_iccm_instr_wdata[25]),
+	.Y(n_3131), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801514 (
+	.A(n_33897),
+	.B(n_35675),
+	.Y(n_3130), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801515 (
+	.A(n_33991),
+	.B(n_35676),
+	.Y(n_3129), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g801516 (
+	.A(brqrv_top_brqrv_dma_ctrl_n_823),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_error[1]),
+	.Y(n_3128), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801517 (
+	.A(n_1785),
+	.B(brqrv_top_iccm_instr_wdata[22]),
+	.Y(n_3127), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g801518 (
+	.A(n_35394),
+	.B_N(n_10219),
+	.Y(brqrv_top_brqrv_exu_i_mul_rs2_ext_in[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801519 (
+	.A(n_1850),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dual),
+	.Y(n_3126), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801520 (
+	.A(n_1785),
+	.B(brqrv_top_iccm_instr_addr[1]),
+	.Y(n_3125), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801521 (
+	.A(n_1785),
+	.B(brqrv_top_iccm_instr_addr[4]),
+	.Y(n_3124), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g801522 (
+	.A(brqrv_top_brqrv_exu_n_912),
+	.B_N(n_35507),
+	.Y(n_3123), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801523 (
+	.A(n_33893),
+	.B(n_35677),
+	.Y(n_3122), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801524 (
+	.A(n_1785),
+	.B(brqrv_top_iccm_instr_wdata[21]),
+	.Y(n_3121), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801525 (
+	.A(n_33896),
+	.B(n_35678),
+	.Y(n_3120), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801526 (
+	.A(n_1785),
+	.B(brqrv_top_iccm_instr_addr[5]),
+	.Y(n_3119), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g801527 (
+	.A(brqrv_top_brqrv_dbg_n_18),
+	.B(n_43591),
+	.Y(n_3118), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801528 (
+	.A(n_1785),
+	.B(brqrv_top_iccm_instr_wdata[12]),
+	.Y(n_3117), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g801530 (
+	.A_N(brqrv_top_brqrv_lsu_stbuf_stbuf_dma_kill[3]),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_vld[3]),
+	.Y(n_3115), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801531 (
+	.A(n_33895),
+	.B(n_35679),
+	.Y(n_3114), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801532 (
+	.A(n_33993),
+	.B(n_35680),
+	.Y(n_3113), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801535 (
+	.A(n_33888),
+	.B(n_196),
+	.Y(n_3110), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g801536 (
+	.A(n_34573),
+	.B_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_write[0]),
+	.Y(n_3109), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801539 (
+	.A(n_1785),
+	.B(brqrv_top_iccm_instr_wdata[18]),
+	.Y(n_3106), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801540 (
+	.A(n_1785),
+	.B(brqrv_top_iccm_instr_wdata[26]),
+	.Y(n_3105), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g801541 (
+	.A(brqrv_top_brqrv_exu_n_901),
+	.B_N(n_34772),
+	.Y(n_3104), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g801543 (
+	.A(brqrv_top_brqrv_exu_n_915),
+	.B_N(n_34761),
+	.Y(n_3102), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801544 (
+	.A(brqrv_top_brqrv_dma_mem_addr[2]),
+	.B(n_1875),
+	.Y(n_3101), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801545 (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_start_addr_in_dccm_d),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_end_addr_in_dccm_d),
+	.Y(n_3100), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g801546 (
+	.A(brqrv_top_brqrv_dma_ctrl_RspPtr[2]),
+	.B(n_1611),
+	.Y(n_3099), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801547 (
+	.A(n_1785),
+	.B(brqrv_top_iccm_instr_wdata[17]),
+	.Y(n_3098), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801548 (
+	.A(n_10219),
+	.B(n_35373),
+	.Y(n_3097), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g801550 (
+	.A(n_9988),
+	.B_N(n_34745),
+	.Y(n_3096), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801552 (
+	.A(n_34734),
+	.B(n_34756),
+	.Y(n_3094), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g801553 (
+	.A(brqrv_top_brqrv_i0_ap[5]),
+	.B(n_34633),
+	.Y(n_3093), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801554 (
+	.A(n_35693),
+	.B(n_34726),
+	.Y(n_3092), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801555 (
+	.A(n_1785),
+	.B(brqrv_top_iccm_instr_wdata[15]),
+	.Y(n_3091), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801556 (
+	.A(n_1785),
+	.B(brqrv_top_iccm_instr_wdata[14]),
+	.Y(n_3090), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g801557 (
+	.A(brqrv_top_brqrv_dbg_dbg_state[1]),
+	.B(brqrv_top_brqrv_dbg_dbg_state[0]),
+	.Y(n_3089), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801559 (
+	.A(n_1883),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dual[1]),
+	.Y(n_3087), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801560 (
+	.A(n_1785),
+	.B(brqrv_top_iccm_instr_addr[10]),
+	.Y(n_3086), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801561 (
+	.A(n_1785),
+	.B(brqrv_top_iccm_instr_addr[8]),
+	.Y(n_3085), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g801562 (
+	.A(brqrv_top_brqrv_exu_n_897),
+	.B_N(n_34777),
+	.Y(n_3084), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g801563 (
+	.A(brqrv_top_brqrv_exu_n_913),
+	.B_N(n_34764),
+	.Y(n_3083), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801565 (
+	.A(n_1785),
+	.B(brqrv_top_iccm_instr_addr[2]),
+	.Y(n_3081), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g801566 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_write[1]),
+	.B(n_34567),
+	.Y(n_3080), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g801567 (
+	.A_N(brqrv_top_brqrv_lsu_stbuf_stbuf_dma_kill[1]),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_vld[1]),
+	.Y(n_3079), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801568 (
+	.A(n_1785),
+	.B(brqrv_top_iccm_instr_wdata[13]),
+	.Y(n_3078), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801569 (
+	.A(n_1785),
+	.B(brqrv_top_iccm_instr_wdata[6]),
+	.Y(n_3077), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801570 (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_valid[2]),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_valid[1]),
+	.Y(n_3076), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g801571 (
+	.A(brqrv_top_brqrv_exu_n_895),
+	.B_N(n_34779),
+	.Y(n_3075), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g801572 (
+	.A_N(n_35669),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[4]),
+	.Y(n_3074), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801573 (
+	.A(n_1785),
+	.B(brqrv_top_iccm_instr_wdata[23]),
+	.Y(n_3073), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801574 (
+	.A(n_1785),
+	.B(brqrv_top_iccm_instr_wdata[9]),
+	.Y(n_3072), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801575 (
+	.A(n_1852),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dual),
+	.Y(n_3071), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801576 (
+	.A(n_1785),
+	.B(brqrv_top_iccm_instr_addr[9]),
+	.Y(n_3070), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801577 (
+	.A(n_1785),
+	.B(brqrv_top_iccm_instr_wdata[24]),
+	.Y(n_3069), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g801578 (
+	.A(brqrv_top_brqrv_exu_n_894),
+	.B_N(n_34780),
+	.Y(n_3068), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g801579 (
+	.A(brqrv_top_brqrv_exu_n_893),
+	.B_N(n_34781),
+	.Y(n_3067), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801580 (
+	.A(brqrv_top_dccm_rd_addr_hi[3]),
+	.B(brqrv_top_dccm_rd_addr_hi[2]),
+	.Y(n_3066), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g801581 (
+	.A(n_9973),
+	.B_N(n_34769),
+	.Y(n_3065), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g801582 (
+	.A(brqrv_top_brqrv_exu_n_892),
+	.B_N(n_34744),
+	.Y(n_3064), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g801583 (
+	.A_N(n_33302),
+	.B(brqrv_top_brqrv_dec_tlu_minstretl[2]),
+	.Y(n_3063), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g801584 (
+	.A_N(n_35663),
+	.B(brqrv_top_brqrv_dec_tlu_mcyclel[4]),
+	.Y(n_3062), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g801585 (
+	.A_N(brqrv_top_brqrv_dbg_execute_command),
+	.B(n_35542),
+	.Y(n_3061), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801586 (
+	.A(n_1785),
+	.B(brqrv_top_iccm_instr_wdata[27]),
+	.Y(n_3060), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801587 (
+	.A(n_1785),
+	.B(brqrv_top_iccm_instr_wdata[11]),
+	.Y(n_3059), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g801589 (
+	.A(brqrv_top_dccm_rd_addr_hi[2]),
+	.B(brqrv_top_dccm_rd_addr_hi[3]),
+	.Y(n_3057), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g801590 (
+	.A(brqrv_top_brqrv_dbg_sb_state[1]),
+	.B(brqrv_top_brqrv_dbg_sb_state[2]),
+	.Y(n_3056), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801591 (
+	.A(n_1785),
+	.B(brqrv_top_iccm_instr_addr[7]),
+	.Y(n_3055), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g801592 (
+	.A(brqrv_top_brqrv_exu_n_889),
+	.B_N(n_34746),
+	.Y(n_3054), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g801593 (
+	.A(brqrv_top_brqrv_exu_n_888),
+	.B_N(n_34747),
+	.Y(n_3053), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g801594 (
+	.A(brqrv_top_brqrv_exu_n_914),
+	.B_N(n_34762),
+	.Y(n_3052), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g801595 (
+	.A_N(n_34711),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[2]),
+	.Y(n_3051), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g801596 (
+	.A(n_33295),
+	.B(n_35439),
+	.X(n_3050), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801597 (
+	.A(n_1785),
+	.B(brqrv_top_iccm_instr_wdata[8]),
+	.Y(n_3049), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g801598 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_write[3]),
+	.B(n_34565),
+	.Y(n_3048), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g801599 (
+	.A(n_38197),
+	.B(brqrv_top_brqrv_lsu_stbuf_WrPtr[1]),
+	.X(n_3047), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g801600 (
+	.A(brqrv_top_brqrv_dbg_sb_state[2]),
+	.B(brqrv_top_brqrv_dbg_sb_state[0]),
+	.Y(n_3046), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801602 (
+	.A(brqrv_top_brqrv_ifu_ifc_iccm_access_bf),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_req_bf),
+	.Y(n_3044), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g801603 (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_error[4]),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_error[5]),
+	.Y(n_3043), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g801604 (
+	.A(brqrv_top_dccm_rd_addr_hi[2]),
+	.B(n_1869),
+	.Y(n_3042), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g801606 (
+	.A(n_1975),
+	.B(\brqrv_top_brqrv_dec_tlu_freeff_dff_dout[3]_63 ),
+	.Y(n_3040), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g801607 (
+	.A_N(n_33303),
+	.B(brqrv_top_brqrv_dec_tlu_mcyclel[2]),
+	.Y(n_3039), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801608 (
+	.A(n_1785),
+	.B(brqrv_top_iccm_instr_wdata[16]),
+	.Y(n_3038), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801609 (
+	.A(n_1785),
+	.B(brqrv_top_iccm_instr_wdata[1]),
+	.Y(n_3037), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801610 (
+	.A(n_34869),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_7535),
+	.Y(n_3036), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g801611 (
+	.A(n_34729),
+	.B(FE_DBTN22_n_54),
+	.Y(brqrv_top_brqrv_exu_i0_taken_d), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g801612 (
+	.A(n_35401),
+	.B_N(n_36278),
+	.Y(n_3035), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g801613 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [0]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [1]),
+	.Y(n_3034), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g801614 (
+	.A_N(n_35116),
+	.B(brqrv_top_brqrv_dec_decode_r_d[11]),
+	.Y(n_3033), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801615 (
+	.A(n_1557),
+	.B(brqrv_top_brqrv_dec_decode_x_t[8]),
+	.Y(n_3032), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801616 (
+	.A(n_1557),
+	.B(brqrv_top_brqrv_dec_decode_x_t[9]),
+	.Y(n_3031), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801617 (
+	.A(n_1557),
+	.B(brqrv_top_brqrv_dec_decode_x_t[10]),
+	.Y(n_3030), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801618 (
+	.A(n_1557),
+	.B(brqrv_top_brqrv_dec_decode_x_t[11]),
+	.Y(n_3029), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g801619 (
+	.A(n_1878),
+	.B(n_34258),
+	.Y(n_3028), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801620 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_3467),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_7543),
+	.Y(n_3027), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801621 (
+	.A(brqrv_top_brqrv_lsu_addr_in_pic_d),
+	.B(brqrv_top_brqrv_lsu_lsu_pkt_d[0]),
+	.Y(n_3025), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801622 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[1]),
+	.B(brqrv_top_brqrv_lsu_lsu_pkt_m[6]),
+	.Y(n_3024), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g801623 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [3]),
+	.B(n_34260),
+	.Y(n_3023), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g801624 (
+	.A(n_36248),
+	.B(n_33911),
+	.Y(n_3022), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g801625 (
+	.A(n_35666),
+	.B(n_35665),
+	.Y(n_3021), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801627 (
+	.A(brqrv_top_brqrv_dec_dec_csr_wraddr_r[2]),
+	.B(brqrv_top_brqrv_dec_dec_csr_wraddr_r[0]),
+	.Y(n_3019), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801628 (
+	.A(n_33326),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_7547),
+	.Y(n_3018), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g801630 (
+	.A(n_1576),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [1]),
+	.Y(n_3016), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801631 (
+	.A(n_1878),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [3]),
+	.Y(n_3015), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g801633 (
+	.A(n_33312),
+	.B(n_35366),
+	.Y(n_3014), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g801634 (
+	.A(n_34587),
+	.B(n_34585),
+	.Y(n_3013), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g801635 (
+	.A(n_38197),
+	.B(brqrv_top_brqrv_lsu_stbuf_WrPtr[1]),
+	.Y(n_3012), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801637 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_3460),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_7539),
+	.Y(n_3010), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g801638 (
+	.A(n_35664),
+	.B(n_35663),
+	.Y(n_3008), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g801639 (
+	.A(brqrv_top_brqrv_lsu_stbuf_WrPtr[1]),
+	.B(n_1588),
+	.Y(n_3007), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g801640 (
+	.A_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_byteen[2]),
+	.B(n_34602),
+	.Y(n_3006), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g801641 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[10]),
+	.B_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[11]),
+	.Y(n_3005), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801642 (
+	.A(brqrv_top_brqrv_dma_mem_addr[2]),
+	.B(n_36801),
+	.Y(n_3004), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g801643 (
+	.A_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[7]),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[8]),
+	.Y(n_3003), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g801644 (
+	.A(brqrv_top_brqrv_dbg_dbg_state[1]),
+	.B(n_1874),
+	.Y(n_3002), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g801645 (
+	.A(brqrv_top_brqrv_dec_dec_csr_wraddr_r[3]),
+	.B(n_34620),
+	.Y(n_3001), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801646 (
+	.A(n_1704),
+	.B(n_2033),
+	.Y(n_2999), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801647 (
+	.A(n_1878),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [2]),
+	.Y(n_2998), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g801648 (
+	.A_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[4]),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[5]),
+	.Y(n_2997), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g801649 (
+	.A(n_34161),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_timer[0]),
+	.X(n_2996), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801650 (
+	.A(brqrv_top_brqrv_dbg_execute_command),
+	.B(n_1877),
+	.Y(n_2995), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801652 (
+	.A(n_35379),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_lsu_nonblock_load_valid_r),
+	.Y(n_2993), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g801653 (
+	.A_N(n_34148),
+	.B(brqrv_top_dmi_reg_addr[3]),
+	.Y(n_2991), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g801654 (
+	.A_N(brqrv_top_dmi_reg_addr[3]),
+	.B(brqrv_top_dmi_reg_addr[2]),
+	.Y(n_2989), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g801655 (
+	.A(n_34818),
+	.B(n_34635),
+	.Y(n_2988), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g801656 (
+	.A_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_byteen[0]),
+	.B(n_35356),
+	.Y(n_2987), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801657 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_nosend),
+	.B(n_1787),
+	.Y(n_2986), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g801658 (
+	.A(n_34201),
+	.B_N(n_35297),
+	.Y(n_2985), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g801659 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_nomerge),
+	.B_N(n_34636),
+	.Y(n_2984), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g801660 (
+	.A_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_byteen[1]),
+	.B(n_35359),
+	.Y(n_2983), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801661 (
+	.A(n_1919),
+	.B(brqrv_top_brqrv_lsu_lsu_pkt_m[6]),
+	.Y(n_2981), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801662 (
+	.A(n_1588),
+	.B(brqrv_top_brqrv_lsu_stbuf_WrPtr[1]),
+	.Y(n_2979), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g801663 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_byteen[3]),
+	.B_N(n_34605),
+	.Y(n_2978), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801664 (
+	.A(brqrv_top_brqrv_lsu_end_addr_r[0]),
+	.B(brqrv_top_brqrv_lsu_lsu_busreq_r),
+	.Y(n_2977), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g801665 (
+	.A(n_33298),
+	.B(n_1952),
+	.Y(n_2976), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801666 (
+	.A(brqrv_top_brqrv_dbg_cmd_size[1]),
+	.B(brqrv_top_brqrv_dbg_cmd_valid),
+	.Y(n_2974), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801667 (
+	.A(n_1874),
+	.B(brqrv_top_brqrv_dbg_dbg_state[1]),
+	.Y(n_2973), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g801668 (
+	.A(n_36278),
+	.B(n_35401),
+	.Y(n_2972), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801670 (
+	.A(n_34638),
+	.B(n_35662),
+	.Y(n_2971), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801671 (
+	.A(brqrv_top_brqrv_dbg_cmd_size[0]),
+	.B(brqrv_top_brqrv_dbg_cmd_valid),
+	.Y(n_2970), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g801672 (
+	.A(n_35662),
+	.B(n_34645),
+	.Y(n_2968), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801673 (
+	.A(brqrv_top_brqrv_lsu_end_addr_r[1]),
+	.B(brqrv_top_brqrv_lsu_lsu_busreq_r),
+	.Y(n_2967), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g801674 (
+	.A(n_1857),
+	.B(brqrv_top_brqrv_dec_tlu_n_6062),
+	.Y(n_2965), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801675 (
+	.A(brqrv_top_brqrv_lsu_nonblock_load_inv_tag_r[0]),
+	.B(brqrv_top_brqrv_lsu_nonblock_load_inv_tag_r[1]),
+	.Y(n_2964), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g801676 (
+	.A(n_34703),
+	.B(n_1585),
+	.Y(n_1475), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g801677 (
+	.A(n_1966),
+	.B(brqrv_top_brqrv_dec_decode_n_1461),
+	.X(n_2963), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801678 (
+	.A(n_1535),
+	.B(n_1833),
+	.Y(n_2962), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g801679 (
+	.A(n_32502),
+	.B(brqrv_top_brqrv_dec_i0_predict_p_d[37]),
+	.Y(n_2961), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g801680 (
+	.A(brqrv_top_brqrv_dec_dec_tlu_dec_clk_override),
+	.B(brqrv_top_brqrv_dec_i0_decode_d),
+	.Y(n_2960), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g801681 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[1]),
+	.B_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[2]),
+	.Y(n_2959), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g801682 (
+	.A(brqrv_top_brqrv_dec_tlu_take_nmi),
+	.B(n_1846),
+	.Y(n_2957), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g801683 (
+	.A(n_33298),
+	.B(n_140),
+	.Y(n_2956), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g801684 (
+	.A(n_34608),
+	.B(n_34638),
+	.Y(n_2954), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g801685 (
+	.A(n_34609),
+	.B(n_34638),
+	.Y(n_2953), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801686 (
+	.A(brqrv_top_brqrv_exu_i0_rs2_d[3]),
+	.B(n_1589),
+	.Y(n_2952), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801687 (
+	.A(brqrv_top_brqrv_lsu_lsu_pkt_r[8]),
+	.B(brqrv_top_brqrv_lsu_lsu_pkt_r[6]),
+	.Y(n_2950), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801688 (
+	.A(n_36201),
+	.B(brqrv_top_brqrv_lsu_lsu_pkt_r[6]),
+	.Y(n_2948), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801689 (
+	.A(n_36204),
+	.B(brqrv_top_brqrv_lsu_lsu_pkt_r[6]),
+	.Y(n_2946), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801690 (
+	.A(n_36241),
+	.B(brqrv_top_brqrv_lsu_lsu_pkt_r[6]),
+	.Y(n_2944), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g801691 (
+	.A(n_1831),
+	.B(n_33873),
+	.Y(n_2942), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801692 (
+	.A(n_36200),
+	.B(brqrv_top_brqrv_lsu_lsu_pkt_r[6]),
+	.Y(n_2940), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801693 (
+	.A(n_36203),
+	.B(brqrv_top_brqrv_lsu_lsu_pkt_r[6]),
+	.Y(n_2938), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801694 (
+	.A(n_36206),
+	.B(brqrv_top_brqrv_lsu_lsu_pkt_r[6]),
+	.Y(n_2936), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801695 (
+	.A(brqrv_top_brqrv_dec_decode_d_t[5]),
+	.B(brqrv_top_brqrv_dec_dec_csr_wen_unq_d),
+	.Y(n_2934), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g801696 (
+	.A(n_35375),
+	.B(n_35377),
+	.Y(n_2933), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g801697 (
+	.A(brqrv_top_brqrv_dec_tlu_dbg_halted),
+	.B(brqrv_top_brqrv_dec_dec_tlu_debug_stall),
+	.Y(n_2931), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g801698 (
+	.A(brqrv_top_brqrv_dec_dec_pause_state),
+	.B(n_34412),
+	.Y(n_2930), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g801699 (
+	.A(brqrv_top_dmi_reg_addr[2]),
+	.B(n_34159),
+	.Y(n_2928), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801700 (
+	.A(n_1966),
+	.B(brqrv_top_brqrv_dec_decode_n_1428),
+	.Y(n_2927), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g801701 (
+	.A(n_1881),
+	.B(brqrv_top_brqrv_lsu_stbuf_RdPtr[1]),
+	.X(n_2925), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2b_2 g801702 (
+	.A_N(brqrv_top_brqrv_lsu_stbuf_RdPtr[1]),
+	.B(n_1881),
+	.X(n_2924), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2b_2 g801703 (
+	.A_N(brqrv_top_brqrv_lsu_stbuf_RdPtr[1]),
+	.B(brqrv_top_brqrv_lsu_stbuf_RdPtr[0]),
+	.X(n_2922), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g801704 (
+	.A(brqrv_top_brqrv_lsu_stbuf_RdPtr[1]),
+	.B(brqrv_top_brqrv_lsu_stbuf_RdPtr[0]),
+	.X(n_2920), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g801709 (
+	.A(n_2643),
+	.Y(n_2642), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801710 (
+	.A(n_1522),
+	.B(n_36279),
+	.Y(n_2641), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801711 (
+	.A(n_1823),
+	.B(n_36287),
+	.Y(n_2640), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801712 (
+	.A(n_1531),
+	.B(n_36312),
+	.Y(n_2639), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801713 (
+	.A(n_1532),
+	.B(n_36283),
+	.Y(n_2638), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801714 (
+	.A(n_1820),
+	.B(n_36293),
+	.Y(n_2637), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801716 (
+	.A(n_1522),
+	.B(n_36291),
+	.Y(n_2636), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801717 (
+	.A(n_1814),
+	.B(n_36306),
+	.Y(n_2635), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801718 (
+	.A(n_1813),
+	.B(n_36288),
+	.Y(n_2634), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801719 (
+	.A(n_1529),
+	.B(n_36299),
+	.Y(n_2633), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801720 (
+	.A(n_1533),
+	.B(n_36306),
+	.Y(n_2632), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801722 (
+	.A(n_1524),
+	.B(n_36288),
+	.Y(n_2631), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801723 (
+	.A(n_1823),
+	.B(n_36324),
+	.Y(n_2630), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801724 (
+	.A(n_1532),
+	.B(n_36329),
+	.Y(n_2629), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801725 (
+	.A(n_1822),
+	.B(n_36284),
+	.Y(n_2628), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801726 (
+	.A(n_1828),
+	.B(n_36306),
+	.Y(n_2627), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801727 (
+	.A(n_1529),
+	.B(n_36312),
+	.Y(n_2626), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801728 (
+	.A(n_1828),
+	.B(n_36283),
+	.Y(n_2625), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801729 (
+	.A(n_1824),
+	.B(n_36287),
+	.Y(n_2624), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801730 (
+	.A(n_1533),
+	.B(n_36284),
+	.Y(n_2623), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801731 (
+	.A(n_1532),
+	.B(n_36292),
+	.Y(n_2622), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801732 (
+	.A(n_1532),
+	.B(n_36328),
+	.Y(n_2621), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801733 (
+	.A(n_1816),
+	.B(n_36285),
+	.Y(n_2620), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801734 (
+	.A(n_1532),
+	.B(n_36324),
+	.Y(n_2619), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801735 (
+	.A(n_1818),
+	.B(n_36279),
+	.Y(n_2618), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801736 (
+	.A(n_1524),
+	.B(n_36280),
+	.Y(n_2617), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801737 (
+	.A(n_1820),
+	.B(n_36285),
+	.Y(n_2616), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801738 (
+	.A(n_1532),
+	.B(n_36312),
+	.Y(n_2615), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801739 (
+	.A(n_1827),
+	.B(n_36287),
+	.Y(n_2614), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801740 (
+	.A(n_1824),
+	.B(n_36293),
+	.Y(n_2613), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801741 (
+	.A(n_1532),
+	.B(n_36306),
+	.Y(n_2612), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801742 (
+	.A(n_1824),
+	.B(n_36329),
+	.Y(n_2611), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801743 (
+	.A(n_1830),
+	.B(n_36287),
+	.Y(n_2610), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801744 (
+	.A(n_1532),
+	.B(n_36299),
+	.Y(n_2609), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801745 (
+	.A(n_1828),
+	.B(n_36284),
+	.Y(n_2608), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801746 (
+	.A(n_1823),
+	.B(n_36312),
+	.Y(n_2607), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801747 (
+	.A(n_1829),
+	.B(n_36286),
+	.Y(n_2606), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801748 (
+	.A(n_1828),
+	.B(n_36312),
+	.Y(n_2605), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801749 (
+	.A(n_1524),
+	.B(n_36299),
+	.Y(n_2604), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801750 (
+	.A(n_1828),
+	.B(n_36299),
+	.Y(n_2603), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801751 (
+	.A(n_1829),
+	.B(n_36287),
+	.Y(n_2602), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801752 (
+	.A(n_1524),
+	.B(n_36286),
+	.Y(n_2601), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801753 (
+	.A(n_1814),
+	.B(n_36284),
+	.Y(n_2600), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801755 (
+	.A(n_1814),
+	.B(n_36279),
+	.Y(n_2599), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801756 (
+	.A(n_1829),
+	.B(n_36288),
+	.Y(n_2598), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801757 (
+	.A(n_1532),
+	.B(n_36288),
+	.Y(n_2597), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801758 (
+	.A(n_1525),
+	.B(n_36288),
+	.Y(n_2596), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801759 (
+	.A(n_1814),
+	.B(n_36285),
+	.Y(n_2595), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801760 (
+	.A(n_1525),
+	.B(n_36291),
+	.Y(n_2594), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801761 (
+	.A(n_1814),
+	.B(n_36280),
+	.Y(n_2593), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801762 (
+	.A(n_1525),
+	.B(n_36292),
+	.Y(n_2592), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801763 (
+	.A(n_1522),
+	.B(n_36293),
+	.Y(n_2591), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801764 (
+	.A(n_1826),
+	.B(n_36285),
+	.Y(n_2590), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801765 (
+	.A(n_1818),
+	.B(n_36329),
+	.Y(n_2589), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801766 (
+	.A(n_1821),
+	.B(n_36286),
+	.Y(n_2588), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801767 (
+	.A(n_1532),
+	.B(n_36286),
+	.Y(n_2587), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801768 (
+	.A(n_1528),
+	.B(n_36284),
+	.Y(n_2586), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801769 (
+	.A(n_1824),
+	.B(n_36312),
+	.Y(n_2585), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801770 (
+	.A(n_1532),
+	.B(n_36280),
+	.Y(n_2584), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801771 (
+	.A(n_1815),
+	.B(n_36328),
+	.Y(n_2583), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801772 (
+	.A(n_1533),
+	.B(n_36308),
+	.Y(n_2582), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801773 (
+	.A(n_1815),
+	.B(n_36279),
+	.Y(n_2581), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801774 (
+	.A(n_1824),
+	.B(n_36308),
+	.Y(n_2580), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801775 (
+	.A(n_1830),
+	.B(n_36329),
+	.Y(n_2579), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801776 (
+	.A(n_1532),
+	.B(n_36308),
+	.Y(n_2578), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801777 (
+	.A(n_1525),
+	.B(n_36299),
+	.Y(n_2577), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801778 (
+	.A(n_1829),
+	.B(n_36306),
+	.Y(n_2576), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801779 (
+	.A(n_1830),
+	.B(n_36299),
+	.Y(n_2575), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801780 (
+	.A(n_1830),
+	.B(n_36293),
+	.Y(n_2574), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801781 (
+	.A(n_1532),
+	.B(n_36285),
+	.Y(n_2573), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801782 (
+	.A(n_1817),
+	.B(n_36329),
+	.Y(n_2572), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801783 (
+	.A(n_1822),
+	.B(n_36292),
+	.Y(n_2571), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801784 (
+	.A(n_1830),
+	.B(n_36288),
+	.Y(n_2570), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801785 (
+	.A(n_1817),
+	.B(n_36312),
+	.Y(n_2569), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801787 (
+	.A(n_1815),
+	.B(n_36286),
+	.Y(n_2568), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801788 (
+	.A(n_1817),
+	.B(n_36292),
+	.Y(n_2567), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801790 (
+	.A(n_1522),
+	.B(n_36292),
+	.Y(n_2566), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801791 (
+	.A(n_1533),
+	.B(n_36283),
+	.Y(n_2565), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801792 (
+	.A(n_1817),
+	.B(n_36287),
+	.Y(n_2564), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801793 (
+	.A(n_1828),
+	.B(n_36287),
+	.Y(n_2563), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801794 (
+	.A(n_1817),
+	.B(n_36286),
+	.Y(n_2562), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801796 (
+	.A(n_1817),
+	.B(n_36285),
+	.Y(n_2561), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801797 (
+	.A(n_1824),
+	.B(n_36284),
+	.Y(n_2560), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801798 (
+	.A(n_1817),
+	.B(n_36280),
+	.Y(n_2559), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801799 (
+	.A(n_1815),
+	.B(n_36284),
+	.Y(n_2558), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801800 (
+	.A(n_1528),
+	.B(n_36288),
+	.Y(n_2557), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801801 (
+	.A(n_1524),
+	.B(n_36324),
+	.Y(n_2556), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801802 (
+	.A(n_1818),
+	.B(n_36285),
+	.Y(n_2555), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801803 (
+	.A(n_1524),
+	.B(n_36291),
+	.Y(n_2554), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801804 (
+	.A(n_1830),
+	.B(n_36284),
+	.Y(n_2553), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801805 (
+	.A(n_1531),
+	.B(n_36299),
+	.Y(n_2552), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801806 (
+	.A(n_1524),
+	.B(n_36312),
+	.Y(n_2551), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801807 (
+	.A(n_1828),
+	.B(n_36279),
+	.Y(n_2550), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801808 (
+	.A(n_1820),
+	.B(n_36312),
+	.Y(n_2549), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801809 (
+	.A(n_1815),
+	.B(n_36288),
+	.Y(n_2548), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801810 (
+	.A(n_1524),
+	.B(n_36293),
+	.Y(n_2547), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801811 (
+	.A(n_1829),
+	.B(n_36324),
+	.Y(n_2546), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801812 (
+	.A(n_1822),
+	.B(n_36306),
+	.Y(n_2545), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801813 (
+	.A(n_1528),
+	.B(n_36285),
+	.Y(n_2544), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801814 (
+	.A(n_1819),
+	.B(n_36285),
+	.Y(n_2543), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801815 (
+	.A(n_1523),
+	.B(n_36291),
+	.Y(n_2542), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801816 (
+	.A(n_1816),
+	.B(n_36306),
+	.Y(n_2541), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801817 (
+	.A(n_1814),
+	.B(n_36312),
+	.Y(n_2540), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801818 (
+	.A(n_1522),
+	.B(n_36299),
+	.Y(n_2539), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801819 (
+	.A(n_1534),
+	.B(n_36299),
+	.Y(n_2538), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801820 (
+	.A(n_1814),
+	.B(n_36288),
+	.Y(n_2537), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801821 (
+	.A(n_1822),
+	.B(n_36299),
+	.Y(n_2536), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801822 (
+	.A(n_1814),
+	.B(n_36324),
+	.Y(n_2535), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801823 (
+	.A(n_1817),
+	.B(n_36324),
+	.Y(n_2534), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801824 (
+	.A(n_1815),
+	.B(n_36306),
+	.Y(n_2533), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801825 (
+	.A(n_1522),
+	.B(n_36286),
+	.Y(n_2532), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801826 (
+	.A(n_1828),
+	.B(n_36286),
+	.Y(n_2531), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801827 (
+	.A(n_1828),
+	.B(n_36288),
+	.Y(n_2530), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801828 (
+	.A(n_1821),
+	.B(n_36291),
+	.Y(n_2529), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801829 (
+	.A(n_1817),
+	.B(n_36283),
+	.Y(n_2528), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801830 (
+	.A(n_1816),
+	.B(n_36280),
+	.Y(n_2527), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801831 (
+	.A(n_1818),
+	.B(n_36328),
+	.Y(n_2526), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801832 (
+	.A(n_1830),
+	.B(n_36280),
+	.Y(n_2525), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801833 (
+	.A(n_1817),
+	.B(n_36284),
+	.Y(n_2524), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801834 (
+	.A(n_1522),
+	.B(n_36329),
+	.Y(n_2523), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801835 (
+	.A(n_1529),
+	.B(n_36329),
+	.Y(n_2522), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801836 (
+	.A(n_1532),
+	.B(n_36293),
+	.Y(n_2521), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801837 (
+	.A(n_1818),
+	.B(n_36308),
+	.Y(n_2520), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801838 (
+	.A(n_1523),
+	.B(n_36324),
+	.Y(n_2519), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801839 (
+	.A(n_1815),
+	.B(n_36291),
+	.Y(n_2518), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801840 (
+	.A(n_1525),
+	.B(n_36308),
+	.Y(n_2517), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801841 (
+	.A(n_1533),
+	.B(n_36292),
+	.Y(n_2516), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801842 (
+	.A(n_1815),
+	.B(n_36329),
+	.Y(n_2515), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801843 (
+	.A(n_1818),
+	.B(n_36283),
+	.Y(n_2514), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801844 (
+	.A(n_1815),
+	.B(n_36292),
+	.Y(n_2513), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801845 (
+	.A(n_1816),
+	.B(n_36308),
+	.Y(n_2512), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801846 (
+	.A(n_1532),
+	.B(n_36291),
+	.Y(n_2511), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801847 (
+	.A(n_1528),
+	.B(n_36279),
+	.Y(n_2510), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801848 (
+	.A(n_1823),
+	.B(n_36291),
+	.Y(n_2509), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801849 (
+	.A(n_1830),
+	.B(n_36308),
+	.Y(n_2508), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801850 (
+	.A(n_1526),
+	.B(n_36285),
+	.Y(n_2507), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801852 (
+	.A(n_1822),
+	.B(n_36279),
+	.Y(n_2506), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801853 (
+	.A(n_1822),
+	.B(n_36286),
+	.Y(n_2505), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801854 (
+	.A(n_1533),
+	.B(n_36293),
+	.Y(n_2504), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801855 (
+	.A(n_1813),
+	.B(n_36286),
+	.Y(n_2503), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801856 (
+	.A(n_1524),
+	.B(n_36329),
+	.Y(n_2502), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801857 (
+	.A(n_1814),
+	.B(n_36283),
+	.Y(n_2501), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801858 (
+	.A(n_1523),
+	.B(n_36328),
+	.Y(n_2500), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801859 (
+	.A(n_1816),
+	.B(n_36329),
+	.Y(n_2499), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801860 (
+	.A(n_1822),
+	.B(n_36308),
+	.Y(n_2498), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801862 (
+	.A(n_1524),
+	.B(n_36306),
+	.Y(n_2497), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801863 (
+	.A(n_1521),
+	.B(n_36306),
+	.Y(n_2496), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801864 (
+	.A(n_1524),
+	.B(n_36287),
+	.Y(n_2495), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801865 (
+	.A(n_1524),
+	.B(n_36292),
+	.Y(n_2494), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801866 (
+	.A(n_1830),
+	.B(n_36312),
+	.Y(n_2493), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801867 (
+	.A(n_1532),
+	.B(n_36287),
+	.Y(n_2492), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801868 (
+	.A(n_1522),
+	.B(n_36306),
+	.Y(n_2491), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801869 (
+	.A(n_1827),
+	.B(n_36285),
+	.Y(n_2490), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801870 (
+	.A(n_1830),
+	.B(n_36292),
+	.Y(n_2489), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801871 (
+	.A(n_1522),
+	.B(n_36283),
+	.Y(n_2488), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801872 (
+	.A(n_1828),
+	.B(n_36328),
+	.Y(n_2487), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801873 (
+	.A(n_1526),
+	.B(n_36292),
+	.Y(n_2486), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801875 (
+	.A(n_1814),
+	.B(n_36328),
+	.Y(n_2485), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801876 (
+	.A(n_1824),
+	.B(n_36306),
+	.Y(n_2484), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801877 (
+	.A(n_1524),
+	.B(n_36328),
+	.Y(n_2483), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801878 (
+	.A(n_1823),
+	.B(n_36299),
+	.Y(n_2482), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801879 (
+	.A(n_1529),
+	.B(n_36288),
+	.Y(n_2481), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801880 (
+	.A(n_1529),
+	.B(n_36308),
+	.Y(n_2480), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801881 (
+	.A(n_1823),
+	.B(n_36284),
+	.Y(n_2479), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801882 (
+	.A(n_1820),
+	.B(n_36308),
+	.Y(n_2478), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801883 (
+	.A(n_1830),
+	.B(n_36324),
+	.Y(n_2477), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801884 (
+	.A(n_1534),
+	.B(n_36280),
+	.Y(n_2476), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801885 (
+	.A(n_1813),
+	.B(n_36291),
+	.Y(n_2475), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801886 (
+	.A(n_1524),
+	.B(n_36284),
+	.Y(n_2474), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801888 (
+	.A(n_1814),
+	.B(n_36291),
+	.Y(n_2473), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801889 (
+	.A(n_1521),
+	.B(n_36328),
+	.Y(n_2472), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801890 (
+	.A(n_1828),
+	.B(n_36285),
+	.Y(n_2471), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801891 (
+	.A(n_1827),
+	.B(n_36306),
+	.Y(n_2470), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801892 (
+	.A(n_1817),
+	.B(n_36293),
+	.Y(n_2469), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801893 (
+	.A(n_1528),
+	.B(n_36280),
+	.Y(n_2468), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801894 (
+	.A(n_1528),
+	.B(n_36292),
+	.Y(n_2467), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801895 (
+	.A(n_1816),
+	.B(n_36288),
+	.Y(n_2466), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801896 (
+	.A(n_1815),
+	.B(n_36287),
+	.Y(n_2465), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801897 (
+	.A(n_1815),
+	.B(n_36299),
+	.Y(n_2464), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801898 (
+	.A(n_1524),
+	.B(n_36308),
+	.Y(n_2463), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801899 (
+	.A(n_1818),
+	.B(n_36299),
+	.Y(n_2462), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801900 (
+	.A(n_1822),
+	.B(n_36287),
+	.Y(n_2461), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801901 (
+	.A(n_1522),
+	.B(n_36328),
+	.Y(n_2460), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801902 (
+	.A(n_1820),
+	.B(n_36306),
+	.Y(n_2459), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801903 (
+	.A(n_1816),
+	.B(n_36328),
+	.Y(n_2458), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801904 (
+	.A(n_1526),
+	.B(n_36286),
+	.Y(n_2457), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801906 (
+	.A(n_1531),
+	.B(n_36286),
+	.Y(n_2456), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801907 (
+	.A(n_1534),
+	.B(n_36306),
+	.Y(n_2455), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801908 (
+	.A(n_1820),
+	.B(n_36283),
+	.Y(n_2454), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801909 (
+	.A(n_1827),
+	.B(n_36329),
+	.Y(n_2453), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801910 (
+	.A(n_1818),
+	.B(n_36306),
+	.Y(n_2452), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801911 (
+	.A(n_1830),
+	.B(n_36328),
+	.Y(n_2451), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801912 (
+	.A(n_1528),
+	.B(n_36328),
+	.Y(n_2450), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801913 (
+	.A(n_1529),
+	.B(n_36279),
+	.Y(n_2449), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801914 (
+	.A(n_1827),
+	.B(n_36328),
+	.Y(n_2448), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801915 (
+	.A(n_1822),
+	.B(n_36328),
+	.Y(n_2447), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801916 (
+	.A(n_1534),
+	.B(n_36292),
+	.Y(n_2446), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801917 (
+	.A(n_1820),
+	.B(n_36286),
+	.Y(n_2445), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801918 (
+	.A(n_1529),
+	.B(n_36280),
+	.Y(n_2444), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801919 (
+	.A(n_1828),
+	.B(n_36291),
+	.Y(n_2443), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801920 (
+	.A(n_1526),
+	.B(n_36308),
+	.Y(n_2442), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801921 (
+	.A(n_1823),
+	.B(n_36283),
+	.Y(n_2441), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801922 (
+	.A(n_1817),
+	.B(n_36299),
+	.Y(n_2440), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801923 (
+	.A(n_1818),
+	.B(n_36292),
+	.Y(n_2439), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801924 (
+	.A(n_1816),
+	.B(n_36284),
+	.Y(n_2438), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801925 (
+	.A(n_1822),
+	.B(n_36288),
+	.Y(n_2437), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801926 (
+	.A(n_1522),
+	.B(n_36280),
+	.Y(n_2436), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801927 (
+	.A(n_1529),
+	.B(n_36291),
+	.Y(n_2435), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801928 (
+	.A(n_1813),
+	.B(n_36292),
+	.Y(n_2434), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801929 (
+	.A(n_1816),
+	.B(n_36324),
+	.Y(n_2433), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801930 (
+	.A(n_1826),
+	.B(n_36292),
+	.Y(n_2432), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801931 (
+	.A(n_1528),
+	.B(n_36306),
+	.Y(n_2431), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801932 (
+	.A(n_1816),
+	.B(n_36299),
+	.Y(n_2430), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801933 (
+	.A(n_1816),
+	.B(n_36279),
+	.Y(n_2429), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801934 (
+	.A(n_1819),
+	.B(n_36284),
+	.Y(n_2428), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801935 (
+	.A(n_1531),
+	.B(n_36306),
+	.Y(n_2427), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801936 (
+	.A(n_1526),
+	.B(n_36328),
+	.Y(n_2426), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801937 (
+	.A(n_1824),
+	.B(n_36286),
+	.Y(n_2425), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801938 (
+	.A(n_1531),
+	.B(n_36308),
+	.Y(n_2424), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801939 (
+	.A(n_1818),
+	.B(n_36312),
+	.Y(n_2423), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801941 (
+	.A(n_1815),
+	.B(n_36280),
+	.Y(n_2422), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801942 (
+	.A(n_1822),
+	.B(n_36291),
+	.Y(n_2421), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801943 (
+	.A(n_1522),
+	.B(n_36308),
+	.Y(n_2420), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801944 (
+	.A(n_1529),
+	.B(n_36285),
+	.Y(n_2419), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801945 (
+	.A(n_1817),
+	.B(n_36306),
+	.Y(n_2418), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801946 (
+	.A(n_1534),
+	.B(n_36287),
+	.Y(n_2417), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801947 (
+	.A(n_1528),
+	.B(n_36287),
+	.Y(n_2416), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801948 (
+	.A(n_1528),
+	.B(n_36283),
+	.Y(n_2415), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801949 (
+	.A(n_1521),
+	.B(n_36329),
+	.Y(n_2414), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801950 (
+	.A(n_1531),
+	.B(n_36328),
+	.Y(n_2413), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801951 (
+	.A(n_1530),
+	.B(n_36306),
+	.Y(n_2412), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801952 (
+	.A(n_1828),
+	.B(n_36280),
+	.Y(n_2411), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801953 (
+	.A(n_1830),
+	.B(n_36283),
+	.Y(n_2410), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801954 (
+	.A(n_1533),
+	.B(n_36312),
+	.Y(n_2409), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801955 (
+	.A(n_1814),
+	.B(n_36286),
+	.Y(n_2408), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801956 (
+	.A(n_1529),
+	.B(n_36306),
+	.Y(n_2407), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801957 (
+	.A(n_1817),
+	.B(n_36288),
+	.Y(n_2406), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801958 (
+	.A(n_1814),
+	.B(n_36287),
+	.Y(n_2405), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801959 (
+	.A(n_1524),
+	.B(n_36285),
+	.Y(n_2404), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801960 (
+	.A(n_1819),
+	.B(n_36286),
+	.Y(n_2403), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801961 (
+	.A(n_1532),
+	.B(n_36279),
+	.Y(n_2402), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801963 (
+	.A(n_1814),
+	.B(n_36292),
+	.Y(n_2401), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801964 (
+	.A(n_1822),
+	.B(n_36293),
+	.Y(n_2400), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801965 (
+	.A(n_1521),
+	.B(n_36308),
+	.Y(n_2399), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801966 (
+	.A(n_1829),
+	.B(n_36283),
+	.Y(n_2398), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801967 (
+	.A(n_1815),
+	.B(n_36283),
+	.Y(n_2397), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801968 (
+	.A(n_1817),
+	.B(n_36308),
+	.Y(n_2396), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801969 (
+	.A(n_1814),
+	.B(n_36299),
+	.Y(n_2395), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801970 (
+	.A(n_1821),
+	.B(n_36299),
+	.Y(n_2394), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801971 (
+	.A(n_1818),
+	.B(n_36291),
+	.Y(n_2393), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801972 (
+	.A(n_1521),
+	.B(n_36292),
+	.Y(n_2392), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801973 (
+	.A(n_1534),
+	.B(n_36312),
+	.Y(n_2391), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801974 (
+	.A(n_1817),
+	.B(n_36328),
+	.Y(n_2390), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801975 (
+	.A(n_1820),
+	.B(n_36288),
+	.Y(n_2389), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801976 (
+	.A(n_1820),
+	.B(n_36292),
+	.Y(n_2388), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801977 (
+	.A(n_1817),
+	.B(n_36291),
+	.Y(n_2387), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801978 (
+	.A(n_1528),
+	.B(n_36291),
+	.Y(n_2386), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801979 (
+	.A(n_1819),
+	.B(n_36329),
+	.Y(n_2385), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801980 (
+	.A(n_1534),
+	.B(n_36288),
+	.Y(n_2384), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801981 (
+	.A(n_1818),
+	.B(n_36280),
+	.Y(n_2383), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801982 (
+	.A(n_1819),
+	.B(n_36328),
+	.Y(n_2382), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801983 (
+	.A(n_1533),
+	.B(n_36280),
+	.Y(n_2381), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801984 (
+	.A(n_1528),
+	.B(n_36329),
+	.Y(n_2380), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801985 (
+	.A(n_1819),
+	.B(n_36324),
+	.Y(n_2379), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801986 (
+	.A(n_1819),
+	.B(n_36312),
+	.Y(n_2378), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801987 (
+	.A(n_1819),
+	.B(n_36308),
+	.Y(n_2377), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801988 (
+	.A(n_1822),
+	.B(n_36280),
+	.Y(n_2376), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801989 (
+	.A(n_1819),
+	.B(n_36292),
+	.Y(n_2375), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801990 (
+	.A(n_1819),
+	.B(n_36288),
+	.Y(n_2374), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801991 (
+	.A(n_1819),
+	.B(n_36287),
+	.Y(n_2373), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801992 (
+	.A(n_1534),
+	.B(n_36324),
+	.Y(n_2372), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801993 (
+	.A(n_1534),
+	.B(n_36291),
+	.Y(n_2371), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801994 (
+	.A(n_1822),
+	.B(n_36283),
+	.Y(n_2370), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801995 (
+	.A(n_1819),
+	.B(n_36283),
+	.Y(n_2369), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801996 (
+	.A(n_1819),
+	.B(n_36280),
+	.Y(n_2368), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801997 (
+	.A(n_1819),
+	.B(n_36279),
+	.Y(n_2367), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801998 (
+	.A(n_1521),
+	.B(n_36287),
+	.Y(n_2366), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g801999 (
+	.A(n_1816),
+	.B(n_36287),
+	.Y(n_2365), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802000 (
+	.A(n_1822),
+	.B(n_36285),
+	.Y(n_2364), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802001 (
+	.A(n_1525),
+	.B(n_36329),
+	.Y(n_2363), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802002 (
+	.A(n_1830),
+	.B(n_36279),
+	.Y(n_2362), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802003 (
+	.A(n_1525),
+	.B(n_36328),
+	.Y(n_2361), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802004 (
+	.A(n_1525),
+	.B(n_36324),
+	.Y(n_2360), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802005 (
+	.A(n_1521),
+	.B(n_36291),
+	.Y(n_2359), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802006 (
+	.A(n_1525),
+	.B(n_36312),
+	.Y(n_2358), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802007 (
+	.A(n_1815),
+	.B(n_36308),
+	.Y(n_2357), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802008 (
+	.A(n_1525),
+	.B(n_36306),
+	.Y(n_2356), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802009 (
+	.A(n_1824),
+	.B(n_36285),
+	.Y(n_2355), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802010 (
+	.A(n_1824),
+	.B(n_36283),
+	.Y(n_2354), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802011 (
+	.A(n_1525),
+	.B(n_36293),
+	.Y(n_2353), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802012 (
+	.A(n_1525),
+	.B(n_36287),
+	.Y(n_2352), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802013 (
+	.A(n_1525),
+	.B(n_36286),
+	.Y(n_2351), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802014 (
+	.A(n_1529),
+	.B(n_36328),
+	.Y(n_2350), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802016 (
+	.A(n_1525),
+	.B(n_36285),
+	.Y(n_2349), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802017 (
+	.A(n_1525),
+	.B(n_36284),
+	.Y(n_2348), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802018 (
+	.A(n_1532),
+	.B(n_36284),
+	.Y(n_2347), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802019 (
+	.A(n_1525),
+	.B(n_36283),
+	.Y(n_2346), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802020 (
+	.A(n_1525),
+	.B(n_36280),
+	.Y(n_2345), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802021 (
+	.A(n_1525),
+	.B(n_36279),
+	.Y(n_2344), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802022 (
+	.A(n_1813),
+	.B(n_36329),
+	.Y(n_2343), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802023 (
+	.A(n_1813),
+	.B(n_36328),
+	.Y(n_2342), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802024 (
+	.A(n_1815),
+	.B(n_36312),
+	.Y(n_2341), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802025 (
+	.A(n_1529),
+	.B(n_36293),
+	.Y(n_2340), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802026 (
+	.A(n_1533),
+	.B(n_36329),
+	.Y(n_2339), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802027 (
+	.A(n_1813),
+	.B(n_36324),
+	.Y(n_2338), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802029 (
+	.A(n_1529),
+	.B(n_36284),
+	.Y(n_2337), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802030 (
+	.A(n_1813),
+	.B(n_36306),
+	.Y(n_2336), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802032 (
+	.A(n_1813),
+	.B(n_36299),
+	.Y(n_2335), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802033 (
+	.A(n_1533),
+	.B(n_36328),
+	.Y(n_2334), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802034 (
+	.A(n_1813),
+	.B(n_36293),
+	.Y(n_2333), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802035 (
+	.A(n_1815),
+	.B(n_36324),
+	.Y(n_2332), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802036 (
+	.A(n_1817),
+	.B(n_36279),
+	.Y(n_2331), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802037 (
+	.A(n_1813),
+	.B(n_36287),
+	.Y(n_2330), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802038 (
+	.A(n_1823),
+	.B(n_36279),
+	.Y(n_2329), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802040 (
+	.A(n_1813),
+	.B(n_36285),
+	.Y(n_2328), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802041 (
+	.A(n_1533),
+	.B(n_36286),
+	.Y(n_2327), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802042 (
+	.A(n_1813),
+	.B(n_36284),
+	.Y(n_2326), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802043 (
+	.A(n_1522),
+	.B(n_36284),
+	.Y(n_2325), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802044 (
+	.A(n_1815),
+	.B(n_36285),
+	.Y(n_2324), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802045 (
+	.A(n_1813),
+	.B(n_36283),
+	.Y(n_2323), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802046 (
+	.A(n_1813),
+	.B(n_36280),
+	.Y(n_2322), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802047 (
+	.A(n_1813),
+	.B(n_36279),
+	.Y(n_2321), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802048 (
+	.A(n_1528),
+	.B(n_36299),
+	.Y(n_2320), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802049 (
+	.A(n_1827),
+	.B(n_36324),
+	.Y(n_2319), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802050 (
+	.A(n_1827),
+	.B(n_36312),
+	.Y(n_2318), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802051 (
+	.A(n_1827),
+	.B(n_36308),
+	.Y(n_2317), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802052 (
+	.A(n_1816),
+	.B(n_36293),
+	.Y(n_2316), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802053 (
+	.A(n_1524),
+	.B(n_36283),
+	.Y(n_2315), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802054 (
+	.A(n_1827),
+	.B(n_36299),
+	.Y(n_2314), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802055 (
+	.A(n_1827),
+	.B(n_36293),
+	.Y(n_2313), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802056 (
+	.A(n_1827),
+	.B(n_36292),
+	.Y(n_2312), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802057 (
+	.A(n_1827),
+	.B(n_36291),
+	.Y(n_2311), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802058 (
+	.A(n_1826),
+	.B(n_36291),
+	.Y(n_2310), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802059 (
+	.A(n_1827),
+	.B(n_36288),
+	.Y(n_2309), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802060 (
+	.A(n_1815),
+	.B(n_36293),
+	.Y(n_2308), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802061 (
+	.A(n_1827),
+	.B(n_36286),
+	.Y(n_2307), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802062 (
+	.A(n_1821),
+	.B(n_36284),
+	.Y(n_2306), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802063 (
+	.A(n_1827),
+	.B(n_36284),
+	.Y(n_2305), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802064 (
+	.A(n_1827),
+	.B(n_36283),
+	.Y(n_2304), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802065 (
+	.A(n_1827),
+	.B(n_36279),
+	.Y(n_2303), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802066 (
+	.A(n_1821),
+	.B(n_36329),
+	.Y(n_2302), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802067 (
+	.A(n_1821),
+	.B(n_36328),
+	.Y(n_2301), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802068 (
+	.A(n_1818),
+	.B(n_36324),
+	.Y(n_2300), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802069 (
+	.A(n_1534),
+	.B(n_36293),
+	.Y(n_2299), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802070 (
+	.A(n_1821),
+	.B(n_36312),
+	.Y(n_2298), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802071 (
+	.A(n_1825),
+	.B(n_36279),
+	.Y(n_2297), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802072 (
+	.A(n_1821),
+	.B(n_36293),
+	.Y(n_2296), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802073 (
+	.A(n_1533),
+	.B(n_36279),
+	.Y(n_2295), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802074 (
+	.A(n_1821),
+	.B(n_36292),
+	.Y(n_2294), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802075 (
+	.A(n_1533),
+	.B(n_36287),
+	.Y(n_2293), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802077 (
+	.A(n_1821),
+	.B(n_36288),
+	.Y(n_2292), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802078 (
+	.A(n_1825),
+	.B(n_36280),
+	.Y(n_2291), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802079 (
+	.A(n_1821),
+	.B(n_36287),
+	.Y(n_2290), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802080 (
+	.A(n_1534),
+	.B(n_36329),
+	.Y(n_2289), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802082 (
+	.A(n_1821),
+	.B(n_36285),
+	.Y(n_2288), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802083 (
+	.A(n_1522),
+	.B(n_36324),
+	.Y(n_2287), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802084 (
+	.A(n_1821),
+	.B(n_36283),
+	.Y(n_2286), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802085 (
+	.A(n_1521),
+	.B(n_36283),
+	.Y(n_2285), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802086 (
+	.A(n_1821),
+	.B(n_36279),
+	.Y(n_2284), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802087 (
+	.A(n_1530),
+	.B(n_36329),
+	.Y(n_2283), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802088 (
+	.A(n_1533),
+	.B(n_36285),
+	.Y(n_2282), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802089 (
+	.A(n_1820),
+	.B(n_36328),
+	.Y(n_2281), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802090 (
+	.A(n_1533),
+	.B(n_36299),
+	.Y(n_2280), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802091 (
+	.A(n_1529),
+	.B(n_36292),
+	.Y(n_2279), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802092 (
+	.A(n_1530),
+	.B(n_36328),
+	.Y(n_2278), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802093 (
+	.A(n_1533),
+	.B(n_36288),
+	.Y(n_2277), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802094 (
+	.A(n_1530),
+	.B(n_36324),
+	.Y(n_2276), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802095 (
+	.A(n_1530),
+	.B(n_36312),
+	.Y(n_2275), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802096 (
+	.A(n_1530),
+	.B(n_36308),
+	.Y(n_2274), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802097 (
+	.A(n_1530),
+	.B(n_36299),
+	.Y(n_2273), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802098 (
+	.A(n_1530),
+	.B(n_36293),
+	.Y(n_2272), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802099 (
+	.A(n_1521),
+	.B(n_36299),
+	.Y(n_2271), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802100 (
+	.A(n_1530),
+	.B(n_36292),
+	.Y(n_2270), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802101 (
+	.A(n_1530),
+	.B(n_36291),
+	.Y(n_2269), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802102 (
+	.A(n_1819),
+	.B(n_36291),
+	.Y(n_2268), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802103 (
+	.A(n_1530),
+	.B(n_36288),
+	.Y(n_2267), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802104 (
+	.A(n_1528),
+	.B(n_36286),
+	.Y(n_2266), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802105 (
+	.A(n_1530),
+	.B(n_36287),
+	.Y(n_2265), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802106 (
+	.A(n_1816),
+	.B(n_36283),
+	.Y(n_2264), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802107 (
+	.A(n_1530),
+	.B(n_36286),
+	.Y(n_2263), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802108 (
+	.A(n_1824),
+	.B(n_36279),
+	.Y(n_2262), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802109 (
+	.A(n_1530),
+	.B(n_36285),
+	.Y(n_2261), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802110 (
+	.A(n_1530),
+	.B(n_36284),
+	.Y(n_2260), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802111 (
+	.A(n_1824),
+	.B(n_36280),
+	.Y(n_2259), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802112 (
+	.A(n_1530),
+	.B(n_36283),
+	.Y(n_2258), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802113 (
+	.A(n_1530),
+	.B(n_36280),
+	.Y(n_2257), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802114 (
+	.A(n_1528),
+	.B(n_36324),
+	.Y(n_2256), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802115 (
+	.A(n_1530),
+	.B(n_36279),
+	.Y(n_2255), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802116 (
+	.A(n_1523),
+	.B(n_36312),
+	.Y(n_2254), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802117 (
+	.A(n_1523),
+	.B(n_36329),
+	.Y(n_2253), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802118 (
+	.A(n_1534),
+	.B(n_36279),
+	.Y(n_2252), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802119 (
+	.A(n_1825),
+	.B(n_36284),
+	.Y(n_2251), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802120 (
+	.A(n_1820),
+	.B(n_36279),
+	.Y(n_2250), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802121 (
+	.A(n_1825),
+	.B(n_36286),
+	.Y(n_2249), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802122 (
+	.A(n_1528),
+	.B(n_36308),
+	.Y(n_2248), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802123 (
+	.A(n_1523),
+	.B(n_36308),
+	.Y(n_2247), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802124 (
+	.A(n_1523),
+	.B(n_36306),
+	.Y(n_2246), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802125 (
+	.A(n_1813),
+	.B(n_36308),
+	.Y(n_2245), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802126 (
+	.A(n_1523),
+	.B(n_36299),
+	.Y(n_2244), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802127 (
+	.A(n_1523),
+	.B(n_36293),
+	.Y(n_2243), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802128 (
+	.A(n_1534),
+	.B(n_36283),
+	.Y(n_2242), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802129 (
+	.A(n_1523),
+	.B(n_36292),
+	.Y(n_2241), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802130 (
+	.A(n_1818),
+	.B(n_36293),
+	.Y(n_2240), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802131 (
+	.A(n_1830),
+	.B(n_36286),
+	.Y(n_2239), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802132 (
+	.A(n_1523),
+	.B(n_36288),
+	.Y(n_2238), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802133 (
+	.A(n_1830),
+	.B(n_36285),
+	.Y(n_2237), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802134 (
+	.A(n_1523),
+	.B(n_36287),
+	.Y(n_2236), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802135 (
+	.A(n_1528),
+	.B(n_36293),
+	.Y(n_2235), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802137 (
+	.A(n_1523),
+	.B(n_36286),
+	.Y(n_2234), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802139 (
+	.A(n_1523),
+	.B(n_36280),
+	.Y(n_2233), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802140 (
+	.A(n_1821),
+	.B(n_36306),
+	.Y(n_2232), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802141 (
+	.A(n_1523),
+	.B(n_36284),
+	.Y(n_2231), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802142 (
+	.A(n_1824),
+	.B(n_36291),
+	.Y(n_2230), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802143 (
+	.A(n_1526),
+	.B(n_36279),
+	.Y(n_2229), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802144 (
+	.A(n_1824),
+	.B(n_36292),
+	.Y(n_2228), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802146 (
+	.A(n_1820),
+	.B(n_36291),
+	.Y(n_2227), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802147 (
+	.A(n_1813),
+	.B(n_36312),
+	.Y(n_2226), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802148 (
+	.A(n_1819),
+	.B(n_36293),
+	.Y(n_2225), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802149 (
+	.A(n_1825),
+	.B(n_36299),
+	.Y(n_2224), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802150 (
+	.A(n_1523),
+	.B(n_36279),
+	.Y(n_2223), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802151 (
+	.A(n_1825),
+	.B(n_36287),
+	.Y(n_2222), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802152 (
+	.A(n_1821),
+	.B(n_36280),
+	.Y(n_2221), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802153 (
+	.A(n_1825),
+	.B(n_36285),
+	.Y(n_2220), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802154 (
+	.A(n_1825),
+	.B(n_36283),
+	.Y(n_2219), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802155 (
+	.A(n_1819),
+	.B(n_36299),
+	.Y(n_2218), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802156 (
+	.A(n_1531),
+	.B(n_36329),
+	.Y(n_2217), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802157 (
+	.A(n_1524),
+	.B(n_36279),
+	.Y(n_2216), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802158 (
+	.A(n_1531),
+	.B(n_36324),
+	.Y(n_2215), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802159 (
+	.A(n_1522),
+	.B(n_36287),
+	.Y(n_2214), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802160 (
+	.A(n_1822),
+	.B(n_36324),
+	.Y(n_2213), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802161 (
+	.A(n_1521),
+	.B(n_36285),
+	.Y(n_2212), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802162 (
+	.A(n_1531),
+	.B(n_36293),
+	.Y(n_2211), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802163 (
+	.A(n_1531),
+	.B(n_36292),
+	.Y(n_2210), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802164 (
+	.A(n_1531),
+	.B(n_36291),
+	.Y(n_2209), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802165 (
+	.A(n_1522),
+	.B(n_36288),
+	.Y(n_2208), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802166 (
+	.A(n_1531),
+	.B(n_36288),
+	.Y(n_2207), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802169 (
+	.A(n_1534),
+	.B(n_36286),
+	.Y(n_2206), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802170 (
+	.A(n_1531),
+	.B(n_36284),
+	.Y(n_2205), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802171 (
+	.A(n_1825),
+	.B(n_36288),
+	.Y(n_2204), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802172 (
+	.A(n_1531),
+	.B(n_36283),
+	.Y(n_2203), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802173 (
+	.A(n_1531),
+	.B(n_36280),
+	.Y(n_2202), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802174 (
+	.A(n_1531),
+	.B(n_36279),
+	.Y(n_2201), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802175 (
+	.A(n_1830),
+	.B(n_36306),
+	.Y(n_2200), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802176 (
+	.A(n_1829),
+	.B(n_36329),
+	.Y(n_2199), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802177 (
+	.A(n_1829),
+	.B(n_36328),
+	.Y(n_2198), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802178 (
+	.A(n_1829),
+	.B(n_36312),
+	.Y(n_2197), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802179 (
+	.A(n_1822),
+	.B(n_36329),
+	.Y(n_2196), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802180 (
+	.A(n_1814),
+	.B(n_36293),
+	.Y(n_2195), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802181 (
+	.A(n_1829),
+	.B(n_36308),
+	.Y(n_2194), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802182 (
+	.A(n_1529),
+	.B(n_36286),
+	.Y(n_2193), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802183 (
+	.A(n_1534),
+	.B(n_36308),
+	.Y(n_2192), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802184 (
+	.A(n_1829),
+	.B(n_36299),
+	.Y(n_2191), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802185 (
+	.A(n_1529),
+	.B(n_36324),
+	.Y(n_2190), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802186 (
+	.A(n_1823),
+	.B(n_36292),
+	.Y(n_2189), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802187 (
+	.A(n_1829),
+	.B(n_36293),
+	.Y(n_2188), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802188 (
+	.A(n_1825),
+	.B(n_36291),
+	.Y(n_2187), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802189 (
+	.A(n_1829),
+	.B(n_36292),
+	.Y(n_2186), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802190 (
+	.A(n_1829),
+	.B(n_36291),
+	.Y(n_2185), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802191 (
+	.A(n_1823),
+	.B(n_36285),
+	.Y(n_2184), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802193 (
+	.A(n_1829),
+	.B(n_36285),
+	.Y(n_2183), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802194 (
+	.A(n_1829),
+	.B(n_36284),
+	.Y(n_2182), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802195 (
+	.A(n_1816),
+	.B(n_36291),
+	.Y(n_2181), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802196 (
+	.A(n_1829),
+	.B(n_36280),
+	.Y(n_2180), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802197 (
+	.A(n_1819),
+	.B(n_36306),
+	.Y(n_2179), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802198 (
+	.A(n_1829),
+	.B(n_36279),
+	.Y(n_2178), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802199 (
+	.A(n_1824),
+	.B(n_36324),
+	.Y(n_2177), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802201 (
+	.A(n_1826),
+	.B(n_36328),
+	.Y(n_2176), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802202 (
+	.A(n_1818),
+	.B(n_36284),
+	.Y(n_2175), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802203 (
+	.A(n_1820),
+	.B(n_36284),
+	.Y(n_2174), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802204 (
+	.A(n_1826),
+	.B(n_36324),
+	.Y(n_2173), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802205 (
+	.A(n_1825),
+	.B(n_36292),
+	.Y(n_2172), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802206 (
+	.A(n_1826),
+	.B(n_36306),
+	.Y(n_2171), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802207 (
+	.A(n_1826),
+	.B(n_36293),
+	.Y(n_2170), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802209 (
+	.A(n_1521),
+	.B(n_36312),
+	.Y(n_2169), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802210 (
+	.A(n_1825),
+	.B(n_36293),
+	.Y(n_2168), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802211 (
+	.A(n_1826),
+	.B(n_36288),
+	.Y(n_2167), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802212 (
+	.A(n_1826),
+	.B(n_36287),
+	.Y(n_2166), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802213 (
+	.A(n_1529),
+	.B(n_36287),
+	.Y(n_2165), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802214 (
+	.A(n_1826),
+	.B(n_36286),
+	.Y(n_2164), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802216 (
+	.A(n_1826),
+	.B(n_36284),
+	.Y(n_2163), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802217 (
+	.A(n_1826),
+	.B(n_36283),
+	.Y(n_2162), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802218 (
+	.A(n_1826),
+	.B(n_36280),
+	.Y(n_2161), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802219 (
+	.A(n_1823),
+	.B(n_36328),
+	.Y(n_2160), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802220 (
+	.A(n_1826),
+	.B(n_36279),
+	.Y(n_2159), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802221 (
+	.A(n_1818),
+	.B(n_36286),
+	.Y(n_2158), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802222 (
+	.A(n_1526),
+	.B(n_36329),
+	.Y(n_2157), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802223 (
+	.A(n_1828),
+	.B(n_36308),
+	.Y(n_2156), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802224 (
+	.A(n_1825),
+	.B(n_36306),
+	.Y(n_2155), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802225 (
+	.A(n_1526),
+	.B(n_36324),
+	.Y(n_2154), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802226 (
+	.A(n_1526),
+	.B(n_36312),
+	.Y(n_2153), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802227 (
+	.A(n_1526),
+	.B(n_36306),
+	.Y(n_2152), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802228 (
+	.A(n_1526),
+	.B(n_36299),
+	.Y(n_2151), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802229 (
+	.A(n_1526),
+	.B(n_36293),
+	.Y(n_2150), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802230 (
+	.A(n_1825),
+	.B(n_36308),
+	.Y(n_2149), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802231 (
+	.A(n_1526),
+	.B(n_36288),
+	.Y(n_2148), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802232 (
+	.A(n_1526),
+	.B(n_36287),
+	.Y(n_2147), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802233 (
+	.A(n_1830),
+	.B(n_36291),
+	.Y(n_2146), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802234 (
+	.A(n_1521),
+	.B(n_36324),
+	.Y(n_2145), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802235 (
+	.A(n_1814),
+	.B(n_36308),
+	.Y(n_2144), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802236 (
+	.A(n_1828),
+	.B(n_36324),
+	.Y(n_2143), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802237 (
+	.A(n_1528),
+	.B(n_36312),
+	.Y(n_2142), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802238 (
+	.A(n_1825),
+	.B(n_36312),
+	.Y(n_2141), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802239 (
+	.A(n_1526),
+	.B(n_36284),
+	.Y(n_2140), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802240 (
+	.A(n_1526),
+	.B(n_36283),
+	.Y(n_2139), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802241 (
+	.A(n_1526),
+	.B(n_36280),
+	.Y(n_2138), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802242 (
+	.A(n_1825),
+	.B(n_36324),
+	.Y(n_2137), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802243 (
+	.A(n_1823),
+	.B(n_36329),
+	.Y(n_2136), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802244 (
+	.A(n_1823),
+	.B(n_36308),
+	.Y(n_2135), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802245 (
+	.A(n_1824),
+	.B(n_36299),
+	.Y(n_2134), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802246 (
+	.A(n_1823),
+	.B(n_36306),
+	.Y(n_2133), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802247 (
+	.A(n_1826),
+	.B(n_36299),
+	.Y(n_2132), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802248 (
+	.A(n_1823),
+	.B(n_36293),
+	.Y(n_2131), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802249 (
+	.A(n_1820),
+	.B(n_36280),
+	.Y(n_2130), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802250 (
+	.A(n_1822),
+	.B(n_36312),
+	.Y(n_2129), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802251 (
+	.A(n_1823),
+	.B(n_36288),
+	.Y(n_2128), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802252 (
+	.A(n_1825),
+	.B(n_36328),
+	.Y(n_2127), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802253 (
+	.A(n_1526),
+	.B(n_36291),
+	.Y(n_2126), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802254 (
+	.A(n_1823),
+	.B(n_36286),
+	.Y(n_2125), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802255 (
+	.A(n_1823),
+	.B(n_36280),
+	.Y(n_2124), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802256 (
+	.A(n_1825),
+	.B(n_36329),
+	.Y(n_2123), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802257 (
+	.A(n_1818),
+	.B(n_36288),
+	.Y(n_2122), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802258 (
+	.A(n_1534),
+	.B(n_36328),
+	.Y(n_2121), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802259 (
+	.A(n_1826),
+	.B(n_36308),
+	.Y(n_2120), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802260 (
+	.A(n_1824),
+	.B(n_36288),
+	.Y(n_2119), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802261 (
+	.A(n_1814),
+	.B(n_36329),
+	.Y(n_2118), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802262 (
+	.A(n_1820),
+	.B(n_36299),
+	.Y(n_2117), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802263 (
+	.A(n_1824),
+	.B(n_36328),
+	.Y(n_2116), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802264 (
+	.A(n_1523),
+	.B(n_36283),
+	.Y(n_2115), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802265 (
+	.A(n_1826),
+	.B(n_36312),
+	.Y(n_2114), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802266 (
+	.A(n_1828),
+	.B(n_36293),
+	.Y(n_2113), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802267 (
+	.A(n_1521),
+	.B(n_36279),
+	.Y(n_2112), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802268 (
+	.A(n_1523),
+	.B(n_36285),
+	.Y(n_2111), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802269 (
+	.A(n_1533),
+	.B(n_36324),
+	.Y(n_2110), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802270 (
+	.A(n_1534),
+	.B(n_36285),
+	.Y(n_2109), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802271 (
+	.A(n_1533),
+	.B(n_36291),
+	.Y(n_2108), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802273 (
+	.A(n_1826),
+	.B(n_36329),
+	.Y(n_2107), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802274 (
+	.A(n_1828),
+	.B(n_36329),
+	.Y(n_2106), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802275 (
+	.A(n_1521),
+	.B(n_36286),
+	.Y(n_2105), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802276 (
+	.A(n_1529),
+	.B(n_36283),
+	.Y(n_2104), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802277 (
+	.A(n_1820),
+	.B(n_36287),
+	.Y(n_2103), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802278 (
+	.A(n_1521),
+	.B(n_36284),
+	.Y(n_2102), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802279 (
+	.A(n_1522),
+	.B(n_36312),
+	.Y(n_2101), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802280 (
+	.A(n_1521),
+	.B(n_36288),
+	.Y(n_2100), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802281 (
+	.A(n_1816),
+	.B(n_36292),
+	.Y(n_2099), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802282 (
+	.A(n_1521),
+	.B(n_36280),
+	.Y(n_2098), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802284 (
+	.A(n_1816),
+	.B(n_36286),
+	.Y(n_2097), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802285 (
+	.A(n_1827),
+	.B(n_36280),
+	.Y(n_2096), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802286 (
+	.A(n_1522),
+	.B(n_36285),
+	.Y(n_2095), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802287 (
+	.A(n_1828),
+	.B(n_36292),
+	.Y(n_2094), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802288 (
+	.A(n_1821),
+	.B(n_36308),
+	.Y(n_2093), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802289 (
+	.A(n_1820),
+	.B(n_36329),
+	.Y(n_2092), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802290 (
+	.A(n_1820),
+	.B(n_36324),
+	.Y(n_2091), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802291 (
+	.A(n_1521),
+	.B(n_36293),
+	.Y(n_2090), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802292 (
+	.A(n_1534),
+	.B(n_36284),
+	.Y(n_2089), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802293 (
+	.A(n_1818),
+	.B(n_36287),
+	.Y(n_2088), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802295 (
+	.A(n_1531),
+	.B(n_36285),
+	.Y(n_2087), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802296 (
+	.A(n_1816),
+	.B(n_36312),
+	.Y(n_2086), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802297 (
+	.A(n_1531),
+	.B(n_36287),
+	.Y(n_2085), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802298 (
+	.A(n_1821),
+	.B(n_36324),
+	.Y(n_2084), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802299 (
+	.A(n_34633),
+	.B(n_1793),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_enable ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802300 (
+	.A(brqrv_top_brqrv_dma_ctrl_dma_nack_count[1]),
+	.B(brqrv_top_brqrv_dma_ctrl_dma_nack_count[0]),
+	.Y(n_2083), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802301 (
+	.A(brqrv_top_brqrv_dec_tlu_n_6058),
+	.B(brqrv_top_brqrv_dec_dec_csr_wrdata_r[0]),
+	.Y(n_2651), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802302 (
+	.A(brqrv_top_brqrv_dec_tlu_n_6058),
+	.B(brqrv_top_brqrv_dec_dec_csr_wrdata_r[2]),
+	.Y(n_2650), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802303 (
+	.A(n_1535),
+	.B(brqrv_top_brqrv_lsu_nonblock_load_inv_tag_r[1]),
+	.Y(n_2649), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g802304 (
+	.A(n_1833),
+	.B(brqrv_top_brqrv_lsu_nonblock_load_inv_tag_r[0]),
+	.Y(n_2648), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g802305 (
+	.A(n_1866),
+	.B(n_34638),
+	.Y(n_2647), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g802306 (
+	.A(n_1863),
+	.B(n_34638),
+	.Y(n_2646), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 g802307 (
+	.A(brqrv_top_brqrv_dma_iccm_req),
+	.B(brqrv_top_core_rst),
+	.X(n_2645), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g802308 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable_ff ),
+	.B(n_1793),
+	.Y(n_2643), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g802311 (
+	.A(brqrv_top_brqrv_ifu_aln_q1pc[25]),
+	.Y(n_2080), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g802313 (
+	.A(n_35192),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_finish ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g802314 (
+	.A(brqrv_top_brqrv_dec_decode_csr_rddata_x[2]),
+	.Y(n_2078), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g802316 (
+	.A(brqrv_top_brqrv_ifu_aln_q2pc[26]),
+	.Y(n_2076), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g802317 (
+	.A(brqrv_top_brqrv_ifu_aln_q2pc[25]),
+	.Y(n_2075), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g802323 (
+	.A(n_35899),
+	.Y(n_2070), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g802324 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[0]),
+	.Y(n_2069), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g802328 (
+	.A(n_37899),
+	.Y(n_2066), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g802329 (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_addr[39]),
+	.Y(n_2065), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g802330 (
+	.A(brqrv_top_brqrv_dma_ctrl_axi_mstr_priority),
+	.Y(n_2064), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g802331 (
+	.A(brqrv_top_brqrv_dec_tlu_npc_r_d1[3]),
+	.Y(n_2063), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g802332 (
+	.A(brqrv_top_brqrv_dec_decode_csr_rddata_x[3]),
+	.Y(n_2062), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g802333 (
+	.A(n_35287),
+	.Y(n_2061), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g802334 (
+	.A(brqrv_top_brqrv_ifu_aln_q1pc[3]),
+	.Y(n_2060), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g802335 (
+	.A(n_34339),
+	.Y(n_2059), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802336 (
+	.A(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[6]),
+	.Y(n_2058), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g802338 (
+	.A(brqrv_top_brqrv_dec_tlu_npc_r_d1[1]),
+	.Y(n_2057), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g802339 (
+	.A(brqrv_top_brqrv_exu_i0_pc_x[14]),
+	.Y(n_2056), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802343 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[21]),
+	.Y(n_2052), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802344 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[22]),
+	.Y(n_2051), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802346 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[13]),
+	.Y(n_2049), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g802347 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[22]),
+	.Y(n_2048), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g802348 (
+	.A(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[27]),
+	.Y(n_2047), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802350 (
+	.A(brqrv_top_brqrv_dec_tlu_npc_r_d1[31]),
+	.Y(n_2046), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g802352 (
+	.A(brqrv_top_brqrv_dec_tlu_npc_r_d1[29]),
+	.Y(n_2045), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g802354 (
+	.A(brqrv_top_brqrv_dec_tlu_npc_r_d1[27]),
+	.Y(n_2044), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g802357 (
+	.A(n_34626),
+	.Y(n_2041), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802358 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[27]),
+	.Y(n_2040), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g802359 (
+	.A(brqrv_top_brqrv_dec_tlu_take_nmi_r_d1),
+	.Y(n_2039), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g802360 (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[23]),
+	.Y(n_2038), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g802362 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_rdrsp_pend),
+	.Y(n_2036), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g802363 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_sz[0]),
+	.Y(n_2035), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802365 (
+	.A(brqrv_top_brqrv_dec_tlu_npc_r_d1[25]),
+	.Y(n_2034), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g802366 (
+	.A(n_36244),
+	.Y(n_2033), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g802367 (
+	.A(brqrv_top_brqrv_dec_tlu_flush_path_r[27]),
+	.Y(n_2032), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g802368 (
+	.A(n_34741),
+	.Y(n_2031), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g802369 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[5]),
+	.Y(n_2030), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g802373 (
+	.A(brqrv_top_brqrv_dec_tlu_pc_r_d1[27]),
+	.Y(n_2026), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g802374 (
+	.A(brqrv_top_brqrv_dec_tlu_pc_r_d1[23]),
+	.Y(n_2025), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g802375 (
+	.A(brqrv_top_brqrv_dec_tlu_flush_path_r[26]),
+	.Y(n_2024), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g802376 (
+	.A(brqrv_top_brqrv_dec_tlu_ebreak_to_debug_mode_r_d1),
+	.Y(n_2023), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g802377 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[25]),
+	.Y(n_2022), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g802378 (
+	.A(\brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_n_38 ),
+	.Y(n_2021), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g802379 (
+	.A(brqrv_top_brqrv_dec_tlu_pc_r_d1[15]),
+	.Y(n_2020), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g802381 (
+	.A(brqrv_top_brqrv_dec_tlu_pc_r_d1[25]),
+	.Y(n_2018), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802382 (
+	.A(brqrv_top_brqrv_dec_tlu_pc_r_d1[29]),
+	.Y(n_2017), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g802383 (
+	.A(brqrv_top_brqrv_exu_i0_flush_path_x[19]),
+	.Y(n_2016), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g802384 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[4]),
+	.Y(n_2015), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g802385 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[26]),
+	.Y(n_2014), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g802387 (
+	.A(brqrv_top_brqrv_dec_tlu_npc_r_d1[15]),
+	.Y(n_2013), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g802388 (
+	.A(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[25]),
+	.Y(n_2012), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g802389 (
+	.A(brqrv_top_brqrv_dec_tlu_npc_r_d1[4]),
+	.Y(n_2011), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g802390 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_sz[1]),
+	.Y(n_2010), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g802391 (
+	.A(brqrv_top_brqrv_dec_decode_illegal_lockout),
+	.Y(n_2009), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g802392 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[17]),
+	.Y(n_2008), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g802394 (
+	.A(brqrv_top_brqrv_iccm_dma_rvalid),
+	.Y(n_2007), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g802396 (
+	.A(brqrv_top_brqrv_dbg_dmcontrol_reg[0]),
+	.Y(n_2005), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g802399 (
+	.A(brqrv_top_brqrv_dec_tlu_npc_r_d1[28]),
+	.Y(n_2002), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g802401 (
+	.A(brqrv_top_brqrv_dec_tlu_pc_r_d1[14]),
+	.Y(n_2001), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g802402 (
+	.A(brqrv_top_brqrv_dec_tlu_npc_r_d1[6]),
+	.Y(n_2000), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g802403 (
+	.A(brqrv_top_brqrv_dec_decode_d_t[11]),
+	.Y(n_1999), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g802404 (
+	.A(n_35537),
+	.Y(brqrv_top_brqrv_dec_tlu_dbg_run_state_ns), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g802405 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[30]),
+	.Y(n_1998), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g802406 (
+	.A(brqrv_top_brqrv_dec_tlu_flush_path_r[19]),
+	.Y(n_1997), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g802407 (
+	.A(brqrv_top_brqrv_dec_tlu_npc_r_d1[21]),
+	.Y(n_1996), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g802408 (
+	.A(brqrv_top_brqrv_dec_tlu_npc_r[30]),
+	.Y(n_1995), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802409 (
+	.A(brqrv_top_brqrv_dec_tlu_dcsr[2]),
+	.Y(n_1994), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g802410 (
+	.A(brqrv_top_brqrv_dec_tlu_npc_r[17]),
+	.Y(n_1993), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g802411 (
+	.A(brqrv_top_brqrv_dec_tlu_npc_r[19]),
+	.Y(n_1992), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802412 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[26]),
+	.Y(n_1991), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802413 (
+	.A(brqrv_top_brqrv_dbg_data1_reg[0]),
+	.Y(n_1990), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g802414 (
+	.A(brqrv_top_brqrv_dbg_dmstatus_resumeack),
+	.Y(n_1989), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g802415 (
+	.A(n_10272),
+	.Y(n_1988), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g802416 (
+	.A(n_35909),
+	.Y(n_1987), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802417 (
+	.A(n_35908),
+	.Y(n_1986), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g802426 (
+	.A(n_35401),
+	.Y(brqrv_top_brqrv_lsu_nonblock_load_valid_m), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802429 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[16]),
+	.Y(n_1980), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g802430 (
+	.A(brqrv_top_brqrv_dec_tlu_npc_r[21]),
+	.Y(n_1979), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g802431 (
+	.A(n_10249),
+	.Y(n_1978), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802432 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[17]),
+	.Y(n_1977), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802433 (
+	.A(brqrv_top_brqrv_dec_tlu_minstret_enable),
+	.Y(n_1976), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802434 (
+	.A(brqrv_top_brqrv_dec_decode_r_t[15]),
+	.Y(n_1975), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802435 (
+	.A(n_35378),
+	.Y(brqrv_top_brqrv_lsu_addr_in_pic_d), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g802436 (
+	.A(brqrv_top_brqrv_ifu_aln_f1val[1]),
+	.Y(n_1974), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802437 (
+	.A(n_35137),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc_inc_r[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802440 (
+	.A(n_35543),
+	.Y(n_1971), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g802441 (
+	.A(brqrv_top_brqrv_dec_tlu_pc_r[19]),
+	.Y(n_1970), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802442 (
+	.A(n_34683),
+	.Y(n_1969), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g802443 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dual[0]),
+	.Y(n_1968), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802445 (
+	.A(n_34327),
+	.Y(n_1966), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g802446 (
+	.A(brqrv_top_brqrv_dec_tlu_pc_r[31]),
+	.Y(n_1965), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802447 (
+	.A(n_10200),
+	.Y(n_140), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g802450 (
+	.A(brqrv_top_brqrv_dma_ctrl_dma_nack_count[1]),
+	.Y(n_1962), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g802452 (
+	.A(brqrv_top_brqrv_ifu_ifc_fb_write_f[0]),
+	.Y(n_1960), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g802455 (
+	.A(brqrv_top_brqrv_dec_tlu_pc_r[17]),
+	.Y(n_1959), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g802456 (
+	.A(brqrv_top_brqrv_dec_tlu_dec_tlu_pmu_fw_halted),
+	.Y(n_1958), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802457 (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[11]),
+	.Y(n_1957), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g802458 (
+	.A(brqrv_top_brqrv_dec_decode_r_t[14]),
+	.Y(n_1956), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g802460 (
+	.A(brqrv_top_brqrv_dec_tlu_pc_r[30]),
+	.Y(n_1954), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802462 (
+	.A(brqrv_top_brqrv_exu_i0_rs2_d[2]),
+	.Y(n_1952), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g802463 (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[18]),
+	.Y(n_1951), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802464 (
+	.A(n_34649),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_no_dword_merge_r), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g802465 (
+	.A(brqrv_top_brqrv_dec_decode_cam_raw[2]),
+	.Y(n_1950), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802466 (
+	.A(n_34297),
+	.Y(n_1949), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g802467 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[0]),
+	.Y(n_1948), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g802468 (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[46]),
+	.Y(n_1947), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g802469 (
+	.A(n_36156),
+	.Y(n_1946), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802470 (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[29]),
+	.Y(n_1945), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g802472 (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[26]),
+	.Y(n_1943), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g802474 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[4]),
+	.Y(n_1941), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g802476 (
+	.A(brqrv_top_brqrv_dec_decode_cam_raw[23]),
+	.Y(n_1939), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g802478 (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[22]),
+	.Y(n_1938), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g802481 (
+	.A(n_33839),
+	.Y(brqrv_top_brqrv_dec_dec_tlu_flush_extint), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g802482 (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[30]),
+	.Y(n_1937), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g802484 (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[15]),
+	.Y(n_1935), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802485 (
+	.A(n_34691),
+	.Y(n_1934), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g802487 (
+	.A(n_36059),
+	.Y(n_1932), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g802488 (
+	.A(brqrv_top_brqrv_ifu_ifc_fb_write_f[2]),
+	.Y(n_1931), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g802490 (
+	.A(brqrv_top_brqrv_dma_ctrl_dma_nack_count[0]),
+	.Y(n_1929), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g802491 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[7]),
+	.Y(n_1928), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g802492 (
+	.A(n_36097),
+	.Y(n_1927), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g802494 (
+	.A(n_36086),
+	.Y(n_1925), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g802495 (
+	.A(brqrv_top_brqrv_dec_decode_cam_raw[16]),
+	.Y(n_1924), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g802496 (
+	.A(n_36099),
+	.Y(n_1923), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g802498 (
+	.A(n_36093),
+	.Y(n_1922), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g802499 (
+	.A(brqrv_top_brqrv_lsu_stbuf_n_616),
+	.Y(n_1921), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g802500 (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[29]),
+	.Y(n_1920), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g802502 (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[17]),
+	.Y(n_1918), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g802504 (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[31]),
+	.Y(n_1917), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g802505 (
+	.A(n_36103),
+	.Y(n_1916), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g802507 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[17]),
+	.Y(n_1914), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802508 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[25]),
+	.Y(n_1913), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g802509 (
+	.A(brqrv_top_brqrv_dec_decode_cam_raw[33]),
+	.Y(n_1912), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802510 (
+	.A(brqrv_top_brqrv_dec_decode_cam_raw[13]),
+	.Y(n_1911), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g802512 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[20]),
+	.Y(n_1910), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g802513 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[16]),
+	.Y(n_1909), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g802515 (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[20]),
+	.Y(n_1907), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802516 (
+	.A(n_35234),
+	.Y(n_1906), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g802517 (
+	.A(n_36105),
+	.Y(n_1905), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g802518 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[19]),
+	.Y(n_1904), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802521 (
+	.A(n_34322),
+	.Y(n_1902), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g802522 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[14]),
+	.Y(n_1901), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802523 (
+	.A(brqrv_top_brqrv_dec_decode_cam_raw[36]),
+	.Y(n_1900), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g802525 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[3]),
+	.Y(n_1898), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g802526 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[10]),
+	.Y(n_1897), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802527 (
+	.A(brqrv_top_brqrv_lsu_stbuf_n_584),
+	.Y(n_1896), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802528 (
+	.A(n_34685),
+	.Y(n_1895), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802529 (
+	.A(n_34690),
+	.Y(n_1894), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g802531 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_WrPtr1_r[0]),
+	.Y(n_1892), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g802532 (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[8]),
+	.Y(n_1891), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g802533 (
+	.A(brqrv_top_brqrv_lsu_lsu_pkt_m[6]),
+	.Y(n_1890), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g802534 (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[9]),
+	.Y(n_1889), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g802537 (
+	.A(brqrv_top_icm_clk_override),
+	.Y(n_1886), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g802538 (
+	.A(brqrv_top_brqrv_lsu_lsu_busreq_r),
+	.Y(n_1885), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g802540 (
+	.A(n_35633),
+	.Y(brqrv_top_brqrv_dma_ctrl_bus_cmd_sent), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802541 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dualtag[2]),
+	.Y(n_1883), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802543 (
+	.A(brqrv_top_brqrv_lsu_stbuf_RdPtr[0]),
+	.Y(n_1881), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g802544 (
+	.A(n_35784),
+	.Y(n_1880), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802547 (
+	.A(n_43591),
+	.Y(n_1877), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802548 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dualtag[4]),
+	.Y(n_1876), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g802549 (
+	.A(n_36801),
+	.Y(n_1875), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802550 (
+	.A(brqrv_top_brqrv_dbg_dbg_state[0]),
+	.Y(n_1874), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802551 (
+	.A(brqrv_top_brqrv_dec_dec_i0_waddr_r[0]),
+	.Y(n_1873), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g802552 (
+	.A(n_35791),
+	.Y(n_1872), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802553 (
+	.A(n_33868),
+	.Y(brqrv_top_brqrv_lsu_ldst_stbuf_reqvld_r), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802554 (
+	.A(brqrv_top_brqrv_dec_dec_i0_waddr_r[4]),
+	.Y(n_1871), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802555 (
+	.A(n_35632),
+	.Y(brqrv_top_brqrv_dbg_cmd_valid), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802557 (
+	.A(brqrv_top_dccm_rd_addr_hi[3]),
+	.Y(n_1869), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802558 (
+	.A(n_34609),
+	.Y(n_1868), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802559 (
+	.A(brqrv_top_brqrv_ifu_aln_f0val[1]),
+	.Y(n_1867), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802561 (
+	.A(n_34608),
+	.Y(n_1865), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g802563 (
+	.A(n_35361),
+	.Y(n_1863), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802564 (
+	.A(n_683),
+	.Y(n_1862), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802565 (
+	.A(brqrv_top_dccm_rd_addr_hi[2]),
+	.Y(n_1861), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802566 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_r[0]),
+	.Y(n_1860), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802567 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dualtag[7]),
+	.Y(n_1859), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802569 (
+	.A(brqrv_top_brqrv_dec_tlu_dbg_halted),
+	.Y(n_1857), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802570 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_r[1]),
+	.Y(n_1856), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g802571 (
+	.A(n_33911),
+	.Y(brqrv_top_brqrv_dec_decode_d_t[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g802572 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [1]),
+	.Y(n_1855), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802575 (
+	.A(n_34417),
+	.Y(n_1852), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802577 (
+	.A(n_34606),
+	.Y(n_1850), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802581 (
+	.A(brqrv_top_brqrv_dec_tlu_exc_or_int_valid_r),
+	.Y(n_1846), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802582 (
+	.A(n_36273),
+	.Y(n_1845), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802586 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_r[2]),
+	.Y(n_1841), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802587 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_r[6]),
+	.Y(n_1840), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802588 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_r[8]),
+	.Y(n_1839), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802589 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_r[11]),
+	.Y(n_1838), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802590 (
+	.A(n_35369),
+	.Y(n_1837), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802591 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_r[5]),
+	.Y(n_1836), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802592 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_r[10]),
+	.Y(n_1835), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802593 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_r[9]),
+	.Y(n_1834), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802594 (
+	.A(brqrv_top_brqrv_lsu_nonblock_load_inv_tag_r[1]),
+	.Y(n_1833), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802595 (
+	.A(n_34407),
+	.Y(n_1832), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g802596 (
+	.A(brqrv_top_brqrv_lsu_lsu_pkt_r[6]),
+	.Y(n_1831), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802597 (
+	.A(n_34510),
+	.Y(n_1830), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802600 (
+	.A(n_34446),
+	.Y(n_1829), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802601 (
+	.A(n_35323),
+	.Y(n_1828), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802604 (
+	.A(n_35326),
+	.Y(n_1827), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802606 (
+	.A(n_34486),
+	.Y(n_1826), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802607 (
+	.A(n_34489),
+	.Y(n_1825), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802612 (
+	.A(n_34461),
+	.Y(n_1824), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802613 (
+	.A(n_35338),
+	.Y(n_1823), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802614 (
+	.A(n_34498),
+	.Y(n_1822), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802615 (
+	.A(n_34480),
+	.Y(n_1821), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802616 (
+	.A(n_35340),
+	.Y(n_1820), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802620 (
+	.A(n_34458),
+	.Y(n_1819), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802624 (
+	.A(n_34452),
+	.Y(n_1818), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802625 (
+	.A(n_34449),
+	.Y(n_1817), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802626 (
+	.A(n_35335),
+	.Y(n_1816), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802630 (
+	.A(n_34473),
+	.Y(n_1815), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802631 (
+	.A(n_34492),
+	.Y(n_1814), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802632 (
+	.A(n_35342),
+	.Y(n_1813), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802635 (
+	.A(brqrv_top_brqrv_dec_dec_csr_wrdata_r[26]),
+	.Y(brqrv_top_brqrv_dec_tlu_int_timers_n_324), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802636 (
+	.A(brqrv_top_brqrv_dec_dec_csr_wrdata_r[17]),
+	.Y(brqrv_top_brqrv_dec_tlu_int_timers_n_315), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802637 (
+	.A(brqrv_top_brqrv_dec_dec_csr_wrdata_r[18]),
+	.Y(brqrv_top_brqrv_dec_tlu_int_timers_n_316), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802639 (
+	.A(brqrv_top_brqrv_dec_dec_csr_wrdata_r[31]),
+	.Y(n_1808), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802640 (
+	.A(brqrv_top_brqrv_dec_dec_csr_wrdata_r[21]),
+	.Y(brqrv_top_brqrv_dec_tlu_int_timers_n_319), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802641 (
+	.A(brqrv_top_brqrv_dec_dec_csr_wrdata_r[27]),
+	.Y(brqrv_top_brqrv_dec_tlu_n_6062), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802642 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_valid_ff ),
+	.Y(n_1806), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802644 (
+	.A(brqrv_top_brqrv_dec_dec_csr_wrdata_r[20]),
+	.Y(brqrv_top_brqrv_dec_tlu_int_timers_n_318), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802645 (
+	.A(brqrv_top_brqrv_dec_dec_csr_wrdata_r[19]),
+	.Y(brqrv_top_brqrv_dec_tlu_n_6058), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802646 (
+	.A(brqrv_top_brqrv_dec_dec_csr_wrdata_r[3]),
+	.Y(n_1802), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802647 (
+	.A(n_36349),
+	.Y(n_1801), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 g802649 (
+	.A(n_36338),
+	.Y(n_1799), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802650 (
+	.A(n_36367),
+	.Y(n_1798), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802651 (
+	.A(n_36350),
+	.Y(n_1797), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802652 (
+	.A(brqrv_top_brqrv_dec_dec_csr_wrdata_r[0]),
+	.Y(brqrv_top_brqrv_dec_tlu_int_timers_n_298), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802654 (
+	.A(n_35420),
+	.Y(n_1795), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802655 (
+	.A(n_34654),
+	.Y(n_1794), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802656 (
+	.A(n_34632),
+	.Y(n_1793), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802657 (
+	.A(n_34657),
+	.Y(n_1792), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802659 (
+	.A(brqrv_top_brqrv_dec_dec_csr_wrdata_r[1]),
+	.Y(n_1790), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802663 (
+	.A(n_34622),
+	.Y(n_1788), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802664 (
+	.A(brqrv_top_brqrv_dec_tlu_force_halt),
+	.Y(n_1787), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802665 (
+	.A(n_34621),
+	.Y(n_1786), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_12 g802667 (
+	.A(brqrv_top_core_rst),
+	.Y(n_1785), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g802671 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[3]),
+	.Y(n_1782), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g802672 (
+	.A(n_35353),
+	.Y(n_1781), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g802674 (
+	.A(brqrv_top_brqrv_ifu_aln_q2pc[24]),
+	.Y(n_1779), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g802675 (
+	.A(brqrv_top_brqrv_dec_decode_csr_rddata_x[1]),
+	.Y(n_1778), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g802677 (
+	.A(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[4]),
+	.Y(n_1777), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g802678 (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_addr[103]),
+	.Y(n_1776), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g802680 (
+	.A(brqrv_top_brqrv_dma_ctrl_n_613),
+	.Y(n_1775), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g802683 (
+	.A(n_34360),
+	.Y(n_1772), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g802684 (
+	.A(n_35568),
+	.Y(n_1771), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g802686 (
+	.A(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[6]),
+	.Y(n_1770), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g802687 (
+	.A(n_34363),
+	.Y(n_1769), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g802688 (
+	.A(brqrv_top_brqrv_ifu_aln_q1pc[26]),
+	.Y(n_1768), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g802689 (
+	.A(n_34366),
+	.Y(n_1767), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g802690 (
+	.A(n_34361),
+	.Y(n_1766), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g802691 (
+	.A(n_33843),
+	.Y(brqrv_top_brqrv_dec_dec_tlu_flush_pause_r), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g802693 (
+	.A(brqrv_top_brqrv_dec_decode_csr_rddata_x[4]),
+	.Y(n_1764), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g802694 (
+	.A(brqrv_top_brqrv_ifu_aln_q1pc[24]),
+	.Y(n_1763), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g802696 (
+	.A(brqrv_top_brqrv_ifu_aln_q2pc[3]),
+	.Y(n_1761), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g802697 (
+	.A(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[3]),
+	.Y(n_1760), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g802698 (
+	.A(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[5]),
+	.Y(n_1759), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g802699 (
+	.A(brqrv_top_brqrv_exu_i0_pc_x[17]),
+	.Y(n_1758), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g802701 (
+	.A(brqrv_top_brqrv_dec_tlu_npc_r_d1[5]),
+	.Y(n_1757), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g802703 (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[30]),
+	.Y(n_1755), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g802705 (
+	.A(brqrv_top_brqrv_dec_tlu_pc_r_d1[21]),
+	.Y(n_1753), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g802707 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_rsp_pickage[5]),
+	.Y(n_1751), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g802709 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[15]),
+	.Y(n_1750), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g802710 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[31]),
+	.Y(n_1749), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g802711 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[21]),
+	.Y(n_1748), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g802715 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[19]),
+	.Y(n_1746), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802716 (
+	.A(brqrv_top_brqrv_dec_tlu_npc_r_d1[14]),
+	.Y(n_1745), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g802721 (
+	.A(n_33332),
+	.Y(brqrv_top_brqrv_lsu_lsu_bus_buffer_empty_any), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g802722 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[16]),
+	.Y(n_1741), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g802723 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[28]),
+	.Y(n_1740), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802725 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[18]),
+	.Y(n_1739), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g802727 (
+	.A(brqrv_top_brqrv_dec_tlu_npc_r_d1[23]),
+	.Y(n_1738), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g802729 (
+	.A(brqrv_top_brqrv_exu_i0_pc_x[15]),
+	.Y(n_1737), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g802730 (
+	.A(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[26]),
+	.Y(n_1736), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g802732 (
+	.A(brqrv_top_brqrv_dec_tlu_flush_path_r[22]),
+	.Y(n_1735), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g802734 (
+	.A(brqrv_top_brqrv_dec_tlu_pc_r_d1[28]),
+	.Y(n_1734), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g802735 (
+	.A(brqrv_top_brqrv_dec_tlu_flush_path_r[20]),
+	.Y(n_1733), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g802736 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[23]),
+	.Y(n_1732), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g802738 (
+	.A(brqrv_top_brqrv_exu_i0_pc_x[27]),
+	.Y(n_1731), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g802739 (
+	.A(n_35370),
+	.Y(n_1730), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g802740 (
+	.A(n_34424),
+	.Y(n_1729), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802741 (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[22]),
+	.Y(n_1728), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g802742 (
+	.A(brqrv_top_brqrv_dec_tlu_flush_path_r[25]),
+	.Y(n_1727), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g802745 (
+	.A(brqrv_top_brqrv_dec_tlu_mtdata1_t0[5]),
+	.Y(n_1724), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802746 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[15]),
+	.Y(n_1723), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g802748 (
+	.A(brqrv_top_brqrv_dec_decode_r_t[16]),
+	.Y(n_1721), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g802749 (
+	.A(brqrv_top_brqrv_dec_decode_d_t[10]),
+	.Y(n_1720), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g802751 (
+	.A(brqrv_top_brqrv_exu_i0_pc_x[23]),
+	.Y(n_1718), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g802752 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[27]),
+	.Y(n_1717), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g802753 (
+	.A(n_35372),
+	.Y(n_1716), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g802754 (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[29]),
+	.Y(n_1715), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g802756 (
+	.A(n_43061),
+	.Y(n_1714), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g802758 (
+	.A(brqrv_top_brqrv_exu_i0_pc_x[31]),
+	.Y(n_1712), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g802759 (
+	.A(n_33330),
+	.Y(brqrv_top_brqrv_dec_tlu_ebreak_to_debug_mode_r), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802761 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[15]),
+	.Y(n_1711), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g802764 (
+	.A(n_10250),
+	.Y(n_1709), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802767 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[31]),
+	.Y(n_1706), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g802768 (
+	.A(n_35896),
+	.Y(n_1705), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g802770 (
+	.A(n_34630),
+	.Y(n_1704), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g802771 (
+	.A(n_35905),
+	.Y(n_1703), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802772 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[28]),
+	.Y(n_1702), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g802773 (
+	.A(brqrv_top_brqrv_dec_tlu_npc_r[23]),
+	.Y(n_1701), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802774 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[13]),
+	.Y(n_1700), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802776 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[29]),
+	.Y(n_1698), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g802777 (
+	.A(brqrv_top_brqrv_dec_decode_x_t[10]),
+	.Y(n_1697), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g802780 (
+	.A(brqrv_top_brqrv_dec_decode_x_t[11]),
+	.Y(n_1695), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g802781 (
+	.A(n_35897),
+	.Y(n_1694), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g802787 (
+	.A(n_43085),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc_inc_r[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802788 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[27]),
+	.Y(n_1689), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g802789 (
+	.A(n_34315),
+	.Y(n_1688), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802790 (
+	.A(brqrv_top_brqrv_dma_ctrl_dma_nack_count[2]),
+	.Y(n_1687), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g802792 (
+	.A(brqrv_top_brqrv_dbg_command_reg[22]),
+	.Y(n_1686), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802793 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[24]),
+	.Y(n_1685), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802798 (
+	.A(brqrv_top_brqrv_exu_i0_rs2_d[4]),
+	.Y(n_1680), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802799 (
+	.A(n_34568),
+	.Y(n_1679), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g802800 (
+	.A(brqrv_top_brqrv_dec_tlu_pc_r[21]),
+	.Y(n_1678), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g802804 (
+	.A(brqrv_top_brqrv_dec_tlu_dec_timer_t0_pulse),
+	.Y(n_1675), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802805 (
+	.A(brqrv_top_brqrv_ifu_aln_wrptr[0]),
+	.Y(n_1674), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g802806 (
+	.A(brqrv_top_brqrv_dec_tlu_pc_r[23]),
+	.Y(n_1673), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g802809 (
+	.A(n_34656),
+	.Y(brqrv_top_brqrv_dbg_sbdata0_reg_wren), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802810 (
+	.A(brqrv_top_brqrv_ifu_aln_wrptr[1]),
+	.Y(n_1670), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g802813 (
+	.A(n_36091),
+	.Y(n_1667), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g802814 (
+	.A(n_34221),
+	.Y(n_1666), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g802816 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_ldfwd[1]),
+	.Y(n_1664), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g802817 (
+	.A(brqrv_top_brqrv_dbg_n_975),
+	.Y(n_1663), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802818 (
+	.A(n_34599),
+	.Y(n_1662), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g802819 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[6]),
+	.Y(n_1661), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g802820 (
+	.A(brqrv_top_brqrv_dec_decode_cam_raw[1]),
+	.Y(n_1660), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g802821 (
+	.A(brqrv_top_brqrv_lsu_stbuf_n_596),
+	.Y(n_1659), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802823 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_ldfwd[3]),
+	.Y(n_1658), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g802825 (
+	.A(brqrv_top_brqrv_dec_decode_cam_raw[12]),
+	.Y(n_1656), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g802826 (
+	.A(brqrv_top_brqrv_dec_decode_cam_raw[30]),
+	.Y(n_1655), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g802827 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_ldfwd[0]),
+	.Y(n_1654), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802828 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_ldfwd[2]),
+	.Y(n_1653), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g802830 (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[23]),
+	.Y(n_1652), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g802831 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[12]),
+	.Y(n_1651), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g802832 (
+	.A(n_36084),
+	.Y(n_1650), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802833 (
+	.A(n_35254),
+	.Y(n_1649), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g802834 (
+	.A(brqrv_top_brqrv_dec_decode_cam_raw[24]),
+	.Y(n_1648), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g802835 (
+	.A(brqrv_top_brqrv_dec_decode_cam_raw[15]),
+	.Y(n_1647), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g802836 (
+	.A(brqrv_top_brqrv_dec_decode_cam_raw[4]),
+	.Y(n_1646), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g802837 (
+	.A(brqrv_top_brqrv_dec_decode_cam_raw[6]),
+	.Y(n_1645), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802838 (
+	.A(brqrv_top_brqrv_dec_decode_cam_raw[25]),
+	.Y(n_1644), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g802839 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[5]),
+	.Y(n_1643), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g802840 (
+	.A(brqrv_top_brqrv_ifu_aln_f2val[1]),
+	.Y(n_1642), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g802841 (
+	.A(brqrv_top_brqrv_dec_decode_cam_raw[31]),
+	.Y(n_1641), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g802842 (
+	.A(n_36111),
+	.Y(n_1640), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g802844 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[22]),
+	.Y(n_1638), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802845 (
+	.A(n_34695),
+	.Y(brqrv_top_brqrv_ifu_ifc_fetch_req_bf), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802846 (
+	.A(n_34708),
+	.Y(n_1637), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g802847 (
+	.A(n_36080),
+	.Y(n_1636), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g802848 (
+	.A(n_36101),
+	.Y(n_1635), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g802850 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[18]),
+	.Y(n_1633), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g802851 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[13]),
+	.Y(n_1632), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802852 (
+	.A(brqrv_top_brqrv_dec_decode_cam_raw[26]),
+	.Y(n_1631), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g802853 (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[19]),
+	.Y(n_1630), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802854 (
+	.A(n_35239),
+	.Y(n_1629), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g802855 (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[16]),
+	.Y(n_1628), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g802856 (
+	.A(brqrv_top_brqrv_dec_decode_cam_raw[22]),
+	.Y(n_1627), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802857 (
+	.A(brqrv_top_brqrv_dec_decode_cam_raw[14]),
+	.Y(n_1626), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802858 (
+	.A(brqrv_top_brqrv_iccm_dma_rdata[7]),
+	.Y(n_1625), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g802860 (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[19]),
+	.Y(n_1624), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802861 (
+	.A(n_35248),
+	.Y(n_1623), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802862 (
+	.A(n_35267),
+	.Y(n_1622), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g802863 (
+	.A(brqrv_top_dccm_clk_override),
+	.Y(n_1621), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g802864 (
+	.A(brqrv_top_brqrv_dma_ctrl_n_1834),
+	.Y(n_1620), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802865 (
+	.A(brqrv_top_brqrv_lsu_lsu_pkt_r[10]),
+	.Y(n_1619), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g802866 (
+	.A(n_34709),
+	.Y(n_1618), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g802868 (
+	.A(brqrv_top_brqrv_ifu_ifc_fb_write_f[1]),
+	.Y(n_1616), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 g802869 (
+	.A(n_32406),
+	.Y(n_127), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g802870 (
+	.A(brqrv_top_brqrv_dec_tlu_mstatus[1]),
+	.Y(n_1614), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g802871 (
+	.A(brqrv_top_brqrv_dec_decode_cam_raw[20]),
+	.Y(n_1613), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g802872 (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[27]),
+	.Y(n_1612), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802873 (
+	.A(brqrv_top_brqrv_dma_ctrl_RspPtr[1]),
+	.Y(n_1611), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g802875 (
+	.A(brqrv_top_brqrv_lsu_stbuf_n_608),
+	.Y(n_1610), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802876 (
+	.A(brqrv_top_brqrv_dec_decode_cam_raw[35]),
+	.Y(n_1609), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g802877 (
+	.A(brqrv_top_dmi_reg_en),
+	.Y(n_1608), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802878 (
+	.A(brqrv_top_brqrv_dec_decode_cam_raw[21]),
+	.Y(n_1607), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g802879 (
+	.A(n_36078),
+	.Y(n_1606), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802880 (
+	.A(brqrv_top_brqrv_ifu_ifc_state[1]),
+	.Y(n_1605), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g802881 (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[38]),
+	.Y(n_1604), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g802882 (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[23]),
+	.Y(n_1603), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g802884 (
+	.A(brqrv_top_brqrv_dec_decode_cam_raw[32]),
+	.Y(n_1602), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g802887 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[1]),
+	.Y(n_1600), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g802888 (
+	.A(brqrv_top_brqrv_dec_decode_cam_raw[10]),
+	.Y(n_1599), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g802889 (
+	.A(brqrv_top_brqrv_dma_ctrl_WrPtr[2]),
+	.Y(n_1598), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g802891 (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[34]),
+	.Y(n_1596), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g802892 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[11]),
+	.Y(n_1595), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g802893 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[21]),
+	.Y(n_1594), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802894 (
+	.A(n_34305),
+	.Y(n_1593), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g802896 (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[25]),
+	.Y(n_1592), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g802897 (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[18]),
+	.Y(n_1591), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802900 (
+	.A(n_38197),
+	.Y(n_1588), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802902 (
+	.A(brqrv_top_brqrv_dec_tlu_pic_clk_override),
+	.Y(n_1587), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802904 (
+	.A(n_34705),
+	.Y(n_1585), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802905 (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[7]),
+	.Y(n_1584), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g802906 (
+	.A(n_33871),
+	.Y(n_1583), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g802908 (
+	.A(brqrv_top_brqrv_dbg_abstractcs_reg[12]),
+	.Y(n_1581), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g802909 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_WrPtr1_r[1]),
+	.Y(n_1580), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802914 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [0]),
+	.Y(n_1576), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802915 (
+	.A(n_43110),
+	.Y(brqrv_top_brqrv_dec_tlu_take_nmi), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g802916 (
+	.A(brqrv_top_brqrv_dma_ctrl_WrPtr[1]),
+	.Y(n_1575), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g802917 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [3]),
+	.Y(n_1574), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802920 (
+	.A(n_34645),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_wr_en), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802921 (
+	.A(brqrv_top_brqrv_dec_tlu_lsu_clk_override),
+	.Y(n_1571), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g802922 (
+	.A(brqrv_top_brqrv_dec_dec_i0_waddr_r[3]),
+	.Y(n_1570), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802923 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dualtag[0]),
+	.Y(n_1569), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802924 (
+	.A(brqrv_top_brqrv_ifu_aln_f2val[0]),
+	.Y(n_1568), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g802928 (
+	.A(brqrv_top_brqrv_dec_tlu_debug_mode),
+	.Y(n_1566), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802930 (
+	.A(brqrv_top_brqrv_dma_ctrl_RspPtr[0]),
+	.Y(n_1564), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g802933 (
+	.A(brqrv_top_brqrv_dbg_sb_state[0]),
+	.Y(n_1561), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g802934 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dualtag[3]),
+	.Y(n_1560), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g802937 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dualtag[1]),
+	.Y(n_1559), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802938 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dualtag[5]),
+	.Y(n_1558), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802939 (
+	.A(\brqrv_top_brqrv_dec_tlu_freeff_dff_dout[3]_63 ),
+	.Y(n_1557), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802940 (
+	.A(brqrv_top_brqrv_dbg_dmstatus_halted),
+	.Y(n_1556), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802943 (
+	.A(brqrv_top_brqrv_dec_decode_n_1234),
+	.Y(n_1553), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802944 (
+	.A(brqrv_top_brqrv_dma_ctrl_WrPtr[0]),
+	.Y(n_1552), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802946 (
+	.A(n_35115),
+	.Y(n_1550), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802950 (
+	.A(n_34597),
+	.Y(n_1546), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802951 (
+	.A(n_34378),
+	.Y(n_1545), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802953 (
+	.A(brqrv_top_brqrv_lsu_end_addr_r[2]),
+	.Y(n_1543), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802955 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_r[3]),
+	.Y(n_1541), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802956 (
+	.A(n_34561),
+	.Y(n_1540), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802957 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_r[4]),
+	.Y(n_1539), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802958 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_r[7]),
+	.Y(n_1538), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802960 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[0]),
+	.Y(n_1536), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802961 (
+	.A(brqrv_top_brqrv_lsu_nonblock_load_inv_tag_r[0]),
+	.Y(n_1535), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802963 (
+	.A(n_34476),
+	.Y(n_1533), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802965 (
+	.A(n_34470),
+	.Y(n_1532), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802967 (
+	.A(n_35332),
+	.Y(n_1531), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802968 (
+	.A(n_34464),
+	.Y(n_1530), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802969 (
+	.A(n_34507),
+	.Y(n_1529), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802970 (
+	.A(n_34483),
+	.Y(n_1528), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g802973 (
+	.A(brqrv_top_brqrv_dec_dec_csr_wrdata_r[28]),
+	.Y(n_1527), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802974 (
+	.A(n_34504),
+	.Y(n_1526), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802975 (
+	.A(n_34467),
+	.Y(n_1525), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802976 (
+	.A(n_34495),
+	.Y(n_1524), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802979 (
+	.A(n_34443),
+	.Y(n_1523), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802980 (
+	.A(n_35329),
+	.Y(n_1522), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802984 (
+	.A(n_34455),
+	.Y(n_1521), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802987 (
+	.A(brqrv_top_brqrv_dec_dec_csr_wrdata_r[16]),
+	.Y(brqrv_top_brqrv_dec_tlu_int_timers_n_314), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802990 (
+	.A(brqrv_top_brqrv_dec_dec_csr_wrdata_r[25]),
+	.Y(brqrv_top_brqrv_dec_tlu_int_timers_n_323), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802991 (
+	.A(brqrv_top_brqrv_dec_dec_csr_wrdata_r[23]),
+	.Y(brqrv_top_brqrv_dec_tlu_int_timers_n_321), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802992 (
+	.A(brqrv_top_brqrv_dec_dec_csr_wrdata_r[24]),
+	.Y(brqrv_top_brqrv_dec_tlu_int_timers_n_322), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802994 (
+	.A(brqrv_top_brqrv_dec_dec_csr_wrdata_r[30]),
+	.Y(n_1513), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802995 (
+	.A(brqrv_top_brqrv_dec_dec_csr_wrdata_r[22]),
+	.Y(brqrv_top_brqrv_dec_tlu_int_timers_n_320), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802996 (
+	.A(brqrv_top_brqrv_dec_dec_csr_wrdata_r[15]),
+	.Y(brqrv_top_brqrv_dec_tlu_int_timers_n_313), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802997 (
+	.A(brqrv_top_brqrv_dec_dec_csr_wrdata_r[11]),
+	.Y(n_1511), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g802999 (
+	.A(brqrv_top_brqrv_dec_dec_csr_wrdata_r[12]),
+	.Y(brqrv_top_brqrv_dec_tlu_int_timers_n_310), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g803000 (
+	.A(n_32502),
+	.Y(n_1509), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g803002 (
+	.A(brqrv_top_brqrv_dec_dec_csr_wrdata_r[7]),
+	.Y(n_1507), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g803003 (
+	.A(brqrv_top_brqrv_dec_dec_csr_wrdata_r[6]),
+	.Y(n_1506), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g803004 (
+	.A(n_36351),
+	.Y(n_1505), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g803005 (
+	.A(n_36345),
+	.Y(n_1504), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g803006 (
+	.A(n_36366),
+	.Y(n_1503), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 g803008 (
+	.A(n_36337),
+	.Y(n_1501), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g803010 (
+	.A(n_36346),
+	.Y(n_1499), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g803011 (
+	.A(n_36347),
+	.Y(n_1498), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g803012 (
+	.A(brqrv_top_brqrv_dec_dec_csr_wrdata_r[2]),
+	.Y(brqrv_top_brqrv_dec_tlu_int_timers_n_300), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g803013 (
+	.A(brqrv_top_brqrv_dec_dec_pause_state),
+	.Y(n_1497), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g803014 (
+	.A(n_34515),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_by_zero_case ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g803017 (
+	.A(n_35373),
+	.Y(n_1494), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 g891297 (
+	.A(n_7269),
+	.B(brqrv_top_brqrv_lsu_ldst_stbuf_reqvld_r),
+	.X(n_1470), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g803028 (
+	.A(n_7123),
+	.B(n_7022),
+	.X(n_1469), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g803029 (
+	.A(n_7107),
+	.B(n_7054),
+	.X(n_1468), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g803030 (
+	.A(n_7091),
+	.B(n_7020),
+	.X(n_1467), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_0 g803032 (
+	.A(n_1488),
+	.B(n_1410),
+	.X(n_1465), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_0 g803033 (
+	.A(n_6970),
+	.B(n_1410),
+	.X(n_1464), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_0 g803034 (
+	.A(n_1488),
+	.B(n_3729),
+	.X(n_1463), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_0 g803035 (
+	.A(n_6970),
+	.B(n_3729),
+	.X(n_1462), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_0 g803036 (
+	.A(n_6970),
+	.B(n_4217),
+	.X(n_1461), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_0 g803037 (
+	.A(n_1488),
+	.B(n_4217),
+	.X(n_1460), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_0 g803038 (
+	.A(n_6643),
+	.B(n_4219),
+	.X(n_1459), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_0 g803039 (
+	.A(n_6642),
+	.B(n_4219),
+	.X(n_1458), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_0 g803040 (
+	.A(n_6643),
+	.B(n_1411),
+	.X(n_1457), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_0 g803041 (
+	.A(n_6643),
+	.B(n_42150),
+	.X(n_1456), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_0 g803042 (
+	.A(n_6642),
+	.B(n_1411),
+	.X(n_1455), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_0 g803043 (
+	.A(n_6642),
+	.B(n_4234),
+	.X(n_1454), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_0 g803044 (
+	.A(n_6642),
+	.B(n_42150),
+	.X(n_1453), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g803045 (
+	.A(\brqrv_top_mem_Gen_dccm_enable.dccm_n_398 ),
+	.B(n_5653),
+	.X(\brqrv_top_mem_Gen_dccm_enable.dccm_n_397 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g803046 (
+	.A(\brqrv_top_mem_Gen_dccm_enable.dccm_n_271 ),
+	.B(n_5657),
+	.X(\brqrv_top_mem_Gen_dccm_enable.dccm_n_270 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g803047 (
+	.A(\brqrv_top_mem_Gen_dccm_enable.dccm_n_356 ),
+	.B(n_5637),
+	.X(\brqrv_top_mem_Gen_dccm_enable.dccm_n_355 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g803048 (
+	.A(\brqrv_top_mem_Gen_dccm_enable.dccm_n_314 ),
+	.B(n_5633),
+	.X(\brqrv_top_mem_Gen_dccm_enable.dccm_n_313 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_2 g803049 (
+	.A(\brqrv_top_mem_Gen_dccm_enable.dccm_n_271 ),
+	.B_N(n_6005),
+	.Y(n_1452), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_2 g803050 (
+	.A(\brqrv_top_mem_Gen_dccm_enable.dccm_n_314 ),
+	.B_N(n_6001),
+	.Y(n_1451), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_2 g803051 (
+	.A(\brqrv_top_mem_Gen_dccm_enable.dccm_n_398 ),
+	.B_N(n_43065),
+	.Y(n_1450), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_2 g803052 (
+	.A(\brqrv_top_mem_Gen_dccm_enable.dccm_n_356 ),
+	.B_N(n_6003),
+	.Y(n_1449), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g803053 (
+	.A(n_1480),
+	.B(n_1590),
+	.X(n_1448), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g803054 (
+	.A(n_1477),
+	.B(n_1590),
+	.X(n_1447), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g803055 (
+	.A(n_1478),
+	.B(n_1590),
+	.X(n_1446), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g803056 (
+	.A(n_1479),
+	.B(n_1590),
+	.X(n_1445), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_0 g803057 (
+	.A(n_5431),
+	.B(n_4245),
+	.X(n_1444), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g803058 (
+	.A(n_5522),
+	.B(n_5523),
+	.X(n_1443), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g803059 (
+	.A(n_5520),
+	.B(n_5521),
+	.X(n_1442), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g803065 (
+	.A1(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[17]),
+	.A2(n_1918),
+	.B1(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[18]),
+	.B2(n_1591),
+	.X(n_1436), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 g803066 (
+	.A(n_34628),
+	.B(n_2033),
+	.X(n_1435), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g803067 (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[9]),
+	.B(n_7889),
+	.Y(n_1434), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g803068 (
+	.A(n_7888),
+	.B(n_7894),
+	.X(n_1433), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g803069 (
+	.A(n_7882),
+	.B_N(n_7880),
+	.Y(n_1432), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g803070 (
+	.A_N(n_7729),
+	.B(n_1886),
+	.Y(n_1431), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g803072 (
+	.A(n_7290),
+	.B(n_7557),
+	.Y(n_1429), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g803073 (
+	.A_N(n_7039),
+	.B(brqrv_top_brqrv_lsu_ldst_stbuf_reqvld_r),
+	.Y(n_1428), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g803074 (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[4]),
+	.B(n_6756),
+	.X(n_1427), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g803075 (
+	.A_N(n_6594),
+	.B(n_5350),
+	.Y(n_1426), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g803076 (
+	.A(n_5369),
+	.B_N(n_6525),
+	.Y(n_1425), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 g803078 (
+	.A0(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[6]),
+	.A1(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[6]),
+	.S(n_35364),
+	.X(brqrv_top_brqrv_ifu_mem_ctl_imb_in[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g803079 (
+	.A_N(n_5769),
+	.B(n_43007),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_age_in[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g803080 (
+	.A_N(n_5764),
+	.B(n_34582),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_age_in[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g803081 (
+	.A_N(n_5714),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_n_356 ),
+	.Y(n_1423), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g803082 (
+	.A_N(n_5713),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_n_314 ),
+	.Y(n_1422), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g803083 (
+	.A_N(n_5711),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_n_398 ),
+	.Y(n_1421), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g803084 (
+	.A_N(n_5710),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_n_271 ),
+	.Y(n_1420), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g803085 (
+	.A_N(n_5708),
+	.B(n_35791),
+	.Y(n_1419), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g803086 (
+	.A(n_5570),
+	.B(n_1393),
+	.X(brqrv_top_brqrv_dbg_sbaddress0_reg_wren), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 g803087 (
+	.A0(brqrv_top_brqrv_dec_tlu_int_timers_n_318),
+	.A1(n_2840),
+	.S(n_5341),
+	.Y(brqrv_top_brqrv_dec_tlu_mtdata1_t2_ns[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 g803088 (
+	.A0(brqrv_top_brqrv_dec_tlu_int_timers_n_318),
+	.A1(n_2856),
+	.S(n_5340),
+	.Y(brqrv_top_brqrv_dec_tlu_mtdata1_t1_ns[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 g803089 (
+	.A0(brqrv_top_brqrv_dec_tlu_int_timers_n_318),
+	.A1(n_2682),
+	.S(n_5339),
+	.Y(brqrv_top_brqrv_dec_tlu_mtdata1_t3_ns[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g803090 (
+	.A_N(n_5066),
+	.B(n_5569),
+	.Y(brqrv_top_brqrv_dec_tlu_int_timers_n_297), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g803091 (
+	.A(brqrv_top_brqrv_dma_ctrl_WrPtr[1]),
+	.B_N(n_5063),
+	.Y(n_1418), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g803092 (
+	.A_N(brqrv_top_brqrv_ifu_ifc_fb_write_ns[0]),
+	.B(brqrv_top_brqrv_ifu_ifc_fb_write_f[0]),
+	.Y(n_1417), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g803093 (
+	.A_N(n_5044),
+	.B(n_35787),
+	.Y(n_1416), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 g803094 (
+	.A0(brqrv_top_brqrv_dec_tlu_int_timers_n_318),
+	.A1(n_2841),
+	.S(n_5035),
+	.Y(brqrv_top_brqrv_dec_tlu_mtdata1_t0_ns[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g803095 (
+	.A_N(n_5031),
+	.B(n_6103),
+	.Y(brqrv_top_brqrv_dbg_data0_reg_wren), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g803096 (
+	.A(n_4593),
+	.B(n_5030),
+	.X(brqrv_top_brqrv_dbg_data1_reg_wren), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4b_1 g803097 (
+	.A_N(n_4736),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_start_addr_in_dccm_d),
+	.C(brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_end_addr_in_dccm_d),
+	.D(n_5621),
+	.Y(n_1415), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g803098 (
+	.A(n_4235),
+	.B_N(n_4734),
+	.Y(brqrv_top_brqrv_dec_tlu_wr_mtvec_r), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g803099 (
+	.A_N(n_4715),
+	.B(n_5332),
+	.Y(brqrv_top_brqrv_dec_tlu_int_timers_n_287), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g803100 (
+	.A_N(n_4706),
+	.B(n_37271),
+	.C(n_4214),
+	.Y(n_1414), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g803101 (
+	.A_N(n_4705),
+	.B(n_5595),
+	.Y(brqrv_top_brqrv_dbg_n_4567), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g803102 (
+	.A_N(n_4242),
+	.B(n_4583),
+	.Y(brqrv_top_brqrv_dec_tlu_n_3324), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g803103 (
+	.A_N(brqrv_top_brqrv_ifu_ifc_fb_write_ns[2]),
+	.B(brqrv_top_brqrv_ifu_ifc_fb_write_f[2]),
+	.Y(n_1413), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g803104 (
+	.A(n_35374),
+	.B_N(n_3988),
+	.Y(n_1412), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g803105 (
+	.A(n_4243),
+	.B_N(n_3983),
+	.Y(n_1411), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g803106 (
+	.A(n_4264),
+	.B_N(n_3981),
+	.Y(n_1410), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g803107 (
+	.A(n_35374),
+	.B_N(n_3976),
+	.Y(brqrv_top_brqrv_dbg_command_wren), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_2 g803108 (
+	.A(n_6485),
+	.B_N(n_3763),
+	.Y(n_1409), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g803109 (
+	.A_N(n_3761),
+	.B(n_6755),
+	.C(n_6102),
+	.Y(n_1408), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g803110 (
+	.A_N(n_3745),
+	.B(n_3254),
+	.Y(n_1407), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g803111 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable_ff ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_control_ff [2]),
+	.B1(n_3692),
+	.X(n_1406), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g803112 (
+	.A_N(brqrv_top_brqrv_ifu_mem_ctl_imb_in[15]),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[15]),
+	.Y(n_1405), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_0 g803113 (
+	.A1(n_35687),
+	.A2(n_34753),
+	.B1_N(n_3181),
+	.Y(n_1404), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_0 g803114 (
+	.A1(n_1434),
+	.A2(n_41696),
+	.B1_N(n_3085),
+	.Y(n_1403), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_0 g803115 (
+	.A1(n_8032),
+	.A2(n_41696),
+	.B1_N(n_3070),
+	.Y(n_1402), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g803116 (
+	.A(brqrv_top_icm_clk_override),
+	.B_N(n_3044),
+	.Y(n_1401), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g803117 (
+	.A_N(n_3040),
+	.B(brqrv_top_brqrv_dec_tlu_inst_acc_r),
+	.Y(n_1400), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g803118 (
+	.A_N(n_3025),
+	.B(n_34208),
+	.Y(n_1399), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g803119 (
+	.A(n_7290),
+	.B_N(n_3012),
+	.Y(n_1398), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g803120 (
+	.A_N(n_2973),
+	.B(brqrv_top_brqrv_dbg_sb_abmem_cmd_done),
+	.C(brqrv_top_brqrv_dbg_sb_abmem_data_done),
+	.Y(n_1397), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g803121 (
+	.A_N(n_2964),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_en[3]),
+	.C(n_2988),
+	.Y(n_1396), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g803122 (
+	.A_N(n_1475),
+	.B(n_3727),
+	.C(brqrv_top_brqrv_ifu_aln_f2val[0]),
+	.Y(n_1395), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g803123 (
+	.A_N(n_2962),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_3215),
+	.C(n_2988),
+	.Y(n_1394), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g803124 (
+	.A(n_35374),
+	.B_N(n_2928),
+	.Y(n_1393), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g803125 (
+	.A_N(n_2649),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_3311),
+	.C(n_2988),
+	.Y(n_1392), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g803126 (
+	.A_N(n_2648),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_3227),
+	.C(n_2988),
+	.Y(n_1391), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 g803127 (
+	.A0(n_36803),
+	.A1(brqrv_top_brqrv_lsu_lsu_addr_r[13]),
+	.S(n_1348),
+	.X(brqrv_top_brqrv_picm_wraddr[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g803128 (
+	.A(brqrv_top_brqrv_ifu_ifc_iccm_access_bf),
+	.B_N(\brqrv_top_brqrv_ifu_ifc_genblk2.iccm_acc_in_region_bf ),
+	.Y(brqrv_top_brqrv_ifu_ifc_region_acc_fault_bf), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g803129 (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[28]),
+	.B_N(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[28]),
+	.Y(n_1390), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g803130 (
+	.A(n_34630),
+	.B_N(brqrv_top_brqrv_dma_ctrl_n_1319),
+	.Y(n_1389), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g803131 (
+	.A_N(brqrv_top_brqrv_dma_ctrl_fifo_done[4]),
+	.B(n_4716),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_done_bus_en[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g803132 (
+	.A_N(brqrv_top_brqrv_dma_ctrl_fifo_done[3]),
+	.B(n_4719),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_done_bus_en[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g803133 (
+	.A_N(brqrv_top_brqrv_ifu_ifc_fb_write_ns[3]),
+	.B(brqrv_top_brqrv_ifu_ifc_fb_full_f),
+	.Y(n_1388), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g803134 (
+	.A_N(n_34696),
+	.B(brqrv_top_brqrv_ifu_ifc_fb_write_f[0]),
+	.Y(n_1387), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g803137 (
+	.A(n_42476),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_n_6624 ),
+	.X(n_1384), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 g803138 (
+	.A0(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[25]),
+	.A1(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[25]),
+	.S(n_33272),
+	.X(brqrv_top_brqrv_ifu_mem_ctl_imb_in[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g803139 (
+	.A_N(n_34704),
+	.B(n_1059),
+	.C(brqrv_top_brqrv_ifu_ifc_fb_full_f),
+	.Y(n_1383), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g803140 (
+	.A_N(brqrv_top_brqrv_ifu_ifc_fb_full_f),
+	.B(brqrv_top_brqrv_ifu_ifc_fb_write_ns[3]),
+	.Y(n_1382), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g803141 (
+	.A(brqrv_top_brqrv_dbg_sb_state[3]),
+	.B_N(brqrv_top_brqrv_dbg_sb_state[1]),
+	.Y(n_1381), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g803142 (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[24]),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[24]),
+	.X(n_1380), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g803143 (
+	.A_N(brqrv_top_brqrv_dma_mem_tag[2]),
+	.B(brqrv_top_brqrv_dma_mem_tag[1]),
+	.Y(n_1379), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g803144 (
+	.A(brqrv_top_brqrv_dbg_n_5),
+	.B(brqrv_top_brqrv_dbg_dbg_state[2]),
+	.C_N(brqrv_top_brqrv_dbg_dbg_state[3]),
+	.Y(n_1378), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g803145 (
+	.A(brqrv_top_brqrv_dbg_dmcontrol_reg[31]),
+	.B(brqrv_top_brqrv_dbg_dmstatus_halted),
+	.C_N(brqrv_top_brqrv_dbg_n_18),
+	.Y(n_1377), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g803146 (
+	.A_N(n_34445),
+	.B(n_42990),
+	.Y(n_1376), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g803147 (
+	.A_N(n_34482),
+	.B(n_42990),
+	.Y(n_1375), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g803148 (
+	.A_N(n_34503),
+	.B(n_42990),
+	.Y(n_1374), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g803149 (
+	.A_N(n_34441),
+	.B(n_42990),
+	.Y(n_1373), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g803150 (
+	.A_N(n_35322),
+	.B(n_42990),
+	.Y(n_1372), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g803151 (
+	.A_N(n_34463),
+	.B(n_42990),
+	.Y(n_1371), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g803152 (
+	.A_N(n_34488),
+	.B(n_42990),
+	.Y(n_1370), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g803153 (
+	.A_N(n_34454),
+	.B(n_42990),
+	.Y(n_1369), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g803154 (
+	.A_N(n_35331),
+	.B(n_42990),
+	.Y(n_1368), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g803155 (
+	.A_N(n_34469),
+	.B(n_42990),
+	.Y(n_1367), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g803156 (
+	.A_N(n_34479),
+	.B(n_42990),
+	.Y(n_1366), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g803157 (
+	.A_N(n_34472),
+	.B(n_42990),
+	.Y(n_1365), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g803158 (
+	.A_N(n_34448),
+	.B(n_42990),
+	.Y(n_1364), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g803159 (
+	.A_N(n_34466),
+	.B(n_42990),
+	.Y(n_1363), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g803160 (
+	.A_N(n_34451),
+	.B(n_42990),
+	.Y(n_1362), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g803161 (
+	.A_N(n_34460),
+	.B(n_42990),
+	.Y(n_1361), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g803162 (
+	.A_N(n_35328),
+	.B(n_42990),
+	.Y(n_1360), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g803163 (
+	.A_N(n_34491),
+	.B(n_42990),
+	.Y(n_1359), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g803164 (
+	.A_N(n_34442),
+	.B(n_42990),
+	.Y(n_1358), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g803165 (
+	.A_N(n_34497),
+	.B(n_42990),
+	.Y(n_1357), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 g803166 (
+	.A0(brqrv_top_brqrv_dec_dec_tlu_debug_stall),
+	.A1(n_2931),
+	.S(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[0]),
+	.X(brqrv_top_brqrv_dec_tlu_force_halt_ctr[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4b_1 g803167 (
+	.A_N(brqrv_top_brqrv_dec_tlu_lsu_i0_exc_r),
+	.B(n_1846),
+	.C(n_43110),
+	.D(n_5364),
+	.X(n_1356), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 g803168 (
+	.A0(n_36802),
+	.A1(brqrv_top_brqrv_lsu_lsu_addr_r[12]),
+	.S(n_1348),
+	.X(brqrv_top_brqrv_picm_wraddr[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 g803169 (
+	.A0(n_36804),
+	.A1(brqrv_top_brqrv_lsu_lsu_addr_r[14]),
+	.S(n_1348),
+	.X(brqrv_top_brqrv_picm_wraddr[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g803170 (
+	.A_N(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[26]),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[26]),
+	.Y(n_1355), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g803171 (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[30]),
+	.B_N(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[30]),
+	.Y(n_1354), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g803172 (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[17]),
+	.B_N(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[17]),
+	.Y(n_1353), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g803173 (
+	.A_N(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[31]),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[31]),
+	.Y(n_1352), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g803175 (
+	.A_N(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[15]),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[15]),
+	.Y(n_1350), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g803176 (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[18]),
+	.B_N(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[18]),
+	.Y(n_1349), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g803177 (
+	.A_N(brqrv_top_brqrv_dma_ctrl_fifo_done[0]),
+	.B(n_4712),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_done_bus_en[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g803178 (
+	.A_N(brqrv_top_brqrv_dma_ctrl_fifo_done[1]),
+	.B(n_4733),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_done_bus_en[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g803179 (
+	.A_N(brqrv_top_brqrv_dma_ctrl_fifo_done[2]),
+	.B(n_4720),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_done_bus_en[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2b_1 g803180 (
+	.A(n_35387),
+	.B_N(brqrv_top_brqrv_lsu_addr_in_pic_d),
+	.X(n_1348), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g803184 (
+	.A(n_34692),
+	.B_N(n_37329),
+	.Y(n_1344), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g803187 (
+	.A(n_43066),
+	.B_N(brqrv_top_brqrv_ifu_ifc_fetch_req_f),
+	.Y(brqrv_top_brqrv_ifu_ifc_miss_f), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 g803188 (
+	.A0(n_43609),
+	.A1(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[2]),
+	.S(n_35364),
+	.X(brqrv_top_brqrv_ifu_mem_ctl_imb_in[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g803189 (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[21]),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[21]),
+	.X(n_1341), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g803190 (
+	.A_N(brqrv_top_brqrv_dma_mem_tag[0]),
+	.B(n_34897),
+	.Y(n_1340), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g803191 (
+	.A_N(n_34647),
+	.B(n_2912),
+	.C(n_34639),
+	.Y(n_1339), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g803192 (
+	.A_N(brqrv_top_brqrv_dec_tlu_request_debug_mode_r),
+	.B(n_35026),
+	.C(n_34439),
+	.Y(brqrv_top_brqrv_dec_tlu_n_3389), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2b_1 g803193 (
+	.A(n_34581),
+	.B_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_write[2]),
+	.X(n_1338), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 g803194 (
+	.A0(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[10]),
+	.A1(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[10]),
+	.S(n_35364),
+	.X(brqrv_top_brqrv_ifu_mem_ctl_imb_in[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4b_1 g803195 (
+	.A_N(n_31667),
+	.B(n_127),
+	.C(brqrv_top_brqrv_dec_dec_i0_instr_d[4]),
+	.D(n_43112),
+	.Y(n_1337), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g803196 (
+	.A(n_34784),
+	.B_N(brqrv_top_brqrv_dec_i0_decode_d),
+	.Y(brqrv_top_brqrv_dec_decode_d_d[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g803197 (
+	.A(n_1831),
+	.B_N(brqrv_top_brqrv_lsu_lsu_pkt_r[9]),
+	.Y(n_1336), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g803198 (
+	.A(n_3004),
+	.B_N(n_35786),
+	.Y(n_1335), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g803199 (
+	.A(n_34163),
+	.B(n_33312),
+	.C_N(brqrv_top_brqrv_dec_dec_csr_wraddr_r[3]),
+	.Y(n_1334), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g803200 (
+	.A(n_3748),
+	.B_N(n_34638),
+	.Y(n_1333), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g803201 (
+	.A_N(n_35325),
+	.B(n_42990),
+	.Y(n_1332), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g803202 (
+	.A_N(n_34494),
+	.B(n_42990),
+	.Y(n_1331), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g803203 (
+	.A_N(n_34478),
+	.B(n_42990),
+	.Y(n_1330), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g803204 (
+	.A_N(n_35337),
+	.B(n_42990),
+	.Y(n_1329), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g803205 (
+	.A_N(n_34457),
+	.B(n_42990),
+	.Y(n_1328), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g803206 (
+	.A_N(n_34475),
+	.B(n_42990),
+	.Y(n_1327), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g803207 (
+	.A_N(n_34485),
+	.B(n_42990),
+	.Y(n_1326), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g803208 (
+	.A_N(n_34506),
+	.B(n_42990),
+	.Y(n_1325), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g803209 (
+	.A_N(n_35334),
+	.B(n_42990),
+	.Y(n_1324), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g803210 (
+	.A_N(n_34500),
+	.B(n_42990),
+	.Y(n_1323), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g803211 (
+	.A_N(n_34509),
+	.B(n_42990),
+	.Y(n_1322), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g645136 (
+	.A(n_1321),
+	.B(n_1137),
+	.C(n_1082),
+	.Y(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_2 g645137 (
+	.A(n_1320),
+	.B(n_1127),
+	.C(n_1081),
+	.Y(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g645138 (
+	.A(n_1318),
+	.B(n_1125),
+	.C(n_1080),
+	.Y(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g645139 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_n_6624 ),
+	.A2(n_36915),
+	.B1(n_1319),
+	.Y(n_1321), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_2 g645140 (
+	.A(n_22),
+	.B(n_1317),
+	.Y(n_1320), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_2 g645141 (
+	.A(n_1123),
+	.B(n_1316),
+	.C(n_1068),
+	.Y(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g645142 (
+	.A1_N(n_32502),
+	.A2_N(brqrv_top_brqrv_dec_tlu_flush_path_r[31]),
+	.B1(n_1315),
+	.B2(n_35659),
+	.Y(n_1319), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g645143 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_n_6624 ),
+	.A2(n_36913),
+	.B1(n_1314),
+	.Y(n_1318), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g645144 (
+	.A1(n_2042),
+	.A2(n_35659),
+	.B1(n_958),
+	.Y(n_1317), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_2 g645145 (
+	.A(n_9),
+	.B(n_1312),
+	.Y(n_1316), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g645146 (
+	.A(n_1315),
+	.Y(brqrv_top_brqrv_exu_i0_flush_path_d[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g645147 (
+	.A1_N(n_32502),
+	.A2_N(brqrv_top_brqrv_dec_tlu_flush_path_r[29]),
+	.B1(n_2004),
+	.B2(n_35659),
+	.Y(n_1314), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 g645148 (
+	.A0(n_37355),
+	.A1(brqrv_top_brqrv_exu_i_alu_pcout[31]),
+	.S(n_34786),
+	.Y(n_1315), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g645149 (
+	.A(n_1121),
+	.B(n_1308),
+	.C(n_1076),
+	.Y(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g645150 (
+	.A(n_2042),
+	.Y(brqrv_top_brqrv_exu_i0_flush_path_d[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_2 g645151 (
+	.A1(n_1307),
+	.A2(n_35659),
+	.B1(n_957),
+	.Y(n_1312), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g645154 (
+	.A(n_2004),
+	.Y(brqrv_top_brqrv_exu_i0_flush_path_d[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g645155 (
+	.A1(n_1302),
+	.A2(brqrv_top_brqrv_ifu_i0_pc[31]),
+	.B1(n_1192),
+	.B2(n_37259),
+	.C1(n_1306),
+	.X(brqrv_top_brqrv_exu_i_alu_pcout[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g645158 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_n_6624 ),
+	.A2(n_36911),
+	.B1(n_1304),
+	.Y(n_1308), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g645159 (
+	.A(n_1307),
+	.Y(brqrv_top_brqrv_exu_i0_flush_path_d[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g645160 (
+	.A1(n_1300),
+	.A2(brqrv_top_brqrv_ifu_i0_pc[30]),
+	.B1(n_1192),
+	.B2(n_37258),
+	.C1(n_1303),
+	.X(brqrv_top_brqrv_exu_i_alu_pcout[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g645161 (
+	.A1(n_37351),
+	.A2(FE_DBTN21_n_34786),
+	.B1(n_1305),
+	.Y(n_1307), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g645162 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[31]),
+	.B_N(n_1303),
+	.Y(n_1306), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g645163 (
+	.A(FE_DBTN21_n_34786),
+	.B(n_1301),
+	.Y(n_1305), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g645164 (
+	.A(n_1299),
+	.B(n_1118),
+	.C(n_1075),
+	.Y(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g645165 (
+	.A1_N(n_32502),
+	.A2_N(brqrv_top_brqrv_dec_tlu_flush_path_r[27]),
+	.B1(n_1298),
+	.B2(n_35659),
+	.Y(n_1304), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g645166 (
+	.A1(n_1297),
+	.A2(brqrv_top_brqrv_ifu_i0_pc[29]),
+	.B1(n_1192),
+	.B2(n_37257),
+	.C1(n_40),
+	.X(brqrv_top_brqrv_exu_i_alu_pcout[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g645167 (
+	.A_N(n_1297),
+	.B(n_1194),
+	.Y(n_1302), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g645168 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[30]),
+	.B_N(n_40),
+	.Y(n_1303), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g645169 (
+	.A(n_1301),
+	.Y(brqrv_top_brqrv_exu_i_alu_pcout[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g645170 (
+	.A1(n_1174),
+	.A2(brqrv_top_brqrv_ifu_i0_pc[29]),
+	.B1(n_1297),
+	.X(n_1300), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g645171 (
+	.A1(brqrv_top_brqrv_ifu_i0_pc[28]),
+	.A2(n_1193),
+	.B1(n_1174),
+	.B2(n_1293),
+	.C1(n_1192),
+	.C2(n_37256),
+	.Y(n_1301), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g645172 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_n_6624 ),
+	.A2(n_36910),
+	.B1(n_1295),
+	.Y(n_1299), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g645174 (
+	.A(n_1298),
+	.Y(brqrv_top_brqrv_exu_i0_flush_path_d[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g645175 (
+	.A1(n_37350),
+	.A2(FE_DBTN21_n_34786),
+	.B1(n_1296),
+	.Y(n_1298), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g645176 (
+	.A1(n_1174),
+	.A2(n_1290),
+	.B1(n_1193),
+	.X(n_1297), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g645177 (
+	.A(FE_DBTN21_n_34786),
+	.B(n_1294),
+	.Y(n_1296), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g645178 (
+	.A(n_1291),
+	.B(n_1117),
+	.C(n_1074),
+	.Y(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g645179 (
+	.A1_N(n_32502),
+	.A2_N(brqrv_top_brqrv_dec_tlu_flush_path_r[26]),
+	.B1(n_42179),
+	.B2(n_35659),
+	.Y(n_1295), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g645180 (
+	.A(n_1294),
+	.Y(brqrv_top_brqrv_exu_i_alu_pcout[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g645181 (
+	.A1(brqrv_top_brqrv_ifu_i0_pc[27]),
+	.A2(n_1193),
+	.B1(n_1174),
+	.B2(n_1286),
+	.C1(n_1192),
+	.C2(n_37255),
+	.Y(n_1294), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g645182 (
+	.A1(n_89),
+	.A2(n_1283),
+	.B1(n_1290),
+	.Y(n_1293), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g645183 (
+	.A(n_42179),
+	.Y(brqrv_top_brqrv_exu_i0_flush_path_d[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g645184 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_n_6624 ),
+	.A2(n_36909),
+	.B1(n_1288),
+	.Y(n_1291), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645187 (
+	.A(n_1283),
+	.B(n_89),
+	.Y(n_1290), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g645188 (
+	.A(n_1284),
+	.B(n_1129),
+	.C(n_1100),
+	.Y(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g645189 (
+	.A1_N(n_32502),
+	.A2_N(brqrv_top_brqrv_dec_tlu_flush_path_r[25]),
+	.B1(n_1285),
+	.B2(n_35659),
+	.Y(n_1288), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g645190 (
+	.A(n_1287),
+	.Y(brqrv_top_brqrv_exu_i_alu_pcout[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g645191 (
+	.A1(brqrv_top_brqrv_ifu_i0_pc[26]),
+	.A2(n_1193),
+	.B1(n_1174),
+	.B2(n_1278),
+	.C1(n_1192),
+	.C2(n_37254),
+	.Y(n_1287), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g645192 (
+	.A1(n_1276),
+	.A2(brqrv_top_brqrv_ifu_i0_pc[27]),
+	.B1(n_1283),
+	.X(n_1286), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g645193 (
+	.A(n_1285),
+	.Y(brqrv_top_brqrv_exu_i0_flush_path_d[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g645194 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_n_6624 ),
+	.A2(n_36908),
+	.B1(n_1281),
+	.Y(n_1284), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g645195 (
+	.A1(n_37348),
+	.A2(FE_DBTN21_n_34786),
+	.B1(n_1282),
+	.Y(n_1285), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g645196 (
+	.A(FE_DBTN21_n_34786),
+	.B(n_1280),
+	.Y(n_1282), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g645197 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[27]),
+	.B(n_1276),
+	.Y(n_1283), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_2 g645198 (
+	.A(n_1149),
+	.B(n_1277),
+	.C(n_1099),
+	.Y(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g645199 (
+	.A1_N(n_32502),
+	.A2_N(brqrv_top_brqrv_dec_tlu_flush_path_r[24]),
+	.B1(n_1279),
+	.B2(n_35659),
+	.Y(n_1281), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g645200 (
+	.A(n_1280),
+	.Y(brqrv_top_brqrv_exu_i_alu_pcout[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g645201 (
+	.A1(brqrv_top_brqrv_ifu_i0_pc[25]),
+	.A2(n_1193),
+	.B1(n_1174),
+	.B2(n_1258),
+	.C1(n_1192),
+	.C2(n_37253),
+	.Y(n_1280), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g645202 (
+	.A(n_1146),
+	.B(n_1272),
+	.C(n_1098),
+	.Y(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_2 g645203 (
+	.A(n_1145),
+	.B(n_1271),
+	.C(n_1097),
+	.Y(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g645204 (
+	.A(n_1270),
+	.B(n_1144),
+	.C(n_1095),
+	.Y(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g645205 (
+	.A(n_1269),
+	.B(n_1143),
+	.C(n_1094),
+	.Y(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_2 g645206 (
+	.A(n_1142),
+	.B(n_1268),
+	.C(n_1093),
+	.Y(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g645207 (
+	.A(n_1267),
+	.B(n_1141),
+	.C(n_1092),
+	.Y(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g645208 (
+	.A(n_1279),
+	.Y(brqrv_top_brqrv_exu_i0_flush_path_d[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g645209 (
+	.A(n_1263),
+	.B(n_1139),
+	.C(n_1090),
+	.Y(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g645210 (
+	.A(n_1264),
+	.B(n_1138),
+	.C(n_1089),
+	.Y(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g645211 (
+	.A1(n_96),
+	.A2(n_1249),
+	.B1(n_1276),
+	.Y(n_1278), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g645212 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_n_6624 ),
+	.A2(n_36907),
+	.B1(n_1273),
+	.Y(n_1277), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645213 (
+	.A(n_1274),
+	.B(n_28),
+	.Y(n_34094), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g645214 (
+	.A(n_1262),
+	.B(n_1140),
+	.C(n_1091),
+	.Y(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g645215 (
+	.A1(n_37347),
+	.A2(FE_DBTN21_n_34786),
+	.B1(n_1275),
+	.Y(n_1279), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g645216 (
+	.A(FE_DBTN21_n_34786),
+	.B(n_1265),
+	.Y(n_1275), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g645217 (
+	.A(n_1259),
+	.B(n_1136),
+	.C(n_1088),
+	.Y(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645218 (
+	.A(n_1249),
+	.B(n_96),
+	.Y(n_1276), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645219 (
+	.A(n_1266),
+	.B(n_520),
+	.Y(n_34695), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645220 (
+	.A(n_1266),
+	.B(n_1240),
+	.Y(n_1274), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g645221 (
+	.A1_N(n_32502),
+	.A2_N(brqrv_top_brqrv_dec_tlu_flush_path_r[23]),
+	.B1(n_1261),
+	.B2(n_35659),
+	.Y(n_1273), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g645222 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_n_6624 ),
+	.A2(n_43572),
+	.B1(n_1260),
+	.Y(n_1272), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_2 g645223 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_n_6624 ),
+	.A2(n_36930),
+	.B1(n_1253),
+	.Y(n_1271), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g645224 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_n_6624 ),
+	.A2(n_36927),
+	.B1(n_1256),
+	.Y(n_1270), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g645225 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_n_6624 ),
+	.A2(n_36924),
+	.B1(n_1255),
+	.Y(n_1269), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_2 g645226 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_n_6624 ),
+	.A2(n_43576),
+	.B1(n_1254),
+	.Y(n_1268), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g645227 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_n_6624 ),
+	.A2(n_42473),
+	.B1(n_1257),
+	.Y(n_1267), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g645228 (
+	.A(n_1265),
+	.Y(brqrv_top_brqrv_exu_i_alu_pcout[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g645229 (
+	.A1(n_1246),
+	.A2(n_615),
+	.B1(n_1185),
+	.Y(n_275147_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g645230 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_n_6624 ),
+	.A2(n_36931),
+	.B1(n_1250),
+	.Y(n_1264), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g645231 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_n_6624 ),
+	.A2(n_43574),
+	.B1(n_1251),
+	.Y(n_1263), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g645232 (
+	.A1(n_1246),
+	.A2(n_611),
+	.B1(n_1184),
+	.Y(n_275251_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g645233 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_n_6624 ),
+	.A2(n_36916),
+	.B1(n_1252),
+	.Y(n_1262), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645234 (
+	.A(brqrv_top_brqrv_ifu_ifc_fb_write_ns[3]),
+	.B(n_1214),
+	.Y(n_1266), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g645235 (
+	.A1(brqrv_top_brqrv_ifu_i0_pc[24]),
+	.A2(n_1193),
+	.B1(n_1174),
+	.B2(n_1221),
+	.C1(n_1192),
+	.C2(n_37252),
+	.Y(n_1265), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g645236 (
+	.A(n_1261),
+	.Y(brqrv_top_brqrv_exu_i0_flush_path_d[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g645238 (
+	.A1_N(n_32502),
+	.A2_N(brqrv_top_brqrv_dec_tlu_flush_path_r[22]),
+	.B1(n_1243),
+	.B2(n_35659),
+	.Y(n_1260), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645239 (
+	.A(n_1246),
+	.B(n_610),
+	.Y(n_35069), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g645240 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_n_6624 ),
+	.A2(n_36928),
+	.B1(n_1245),
+	.Y(n_1259), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645241 (
+	.A(n_1246),
+	.B(n_612),
+	.Y(n_35070), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g645242 (
+	.A1(n_1216),
+	.A2(brqrv_top_brqrv_ifu_i0_pc[25]),
+	.B1(n_1249),
+	.X(n_1258), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g645243 (
+	.A1(n_37346),
+	.A2(FE_DBTN21_n_34786),
+	.B1(n_1247),
+	.Y(n_1261), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g645244 (
+	.A(n_1248),
+	.B(n_1232),
+	.Y(brqrv_top_brqrv_ifu_ifc_fb_write_ns[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g645245 (
+	.A1_N(n_32502),
+	.A2_N(brqrv_top_brqrv_dec_tlu_flush_path_r[17]),
+	.B1(n_1237),
+	.B2(n_35659),
+	.Y(n_1257), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g645246 (
+	.A1_N(n_32502),
+	.A2_N(brqrv_top_brqrv_dec_tlu_flush_path_r[20]),
+	.B1(n_1241),
+	.B2(n_35659),
+	.Y(n_1256), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g645247 (
+	.A1_N(n_32502),
+	.A2_N(brqrv_top_brqrv_dec_tlu_flush_path_r[19]),
+	.B1(n_1239),
+	.B2(n_35659),
+	.Y(n_1255), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g645248 (
+	.A1_N(n_32502),
+	.A2_N(brqrv_top_brqrv_dec_tlu_flush_path_r[18]),
+	.B1(n_1238),
+	.B2(n_35659),
+	.Y(n_1254), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g645249 (
+	.A1_N(n_32502),
+	.A2_N(brqrv_top_brqrv_dec_tlu_flush_path_r[21]),
+	.B1(n_1244),
+	.B2(n_35659),
+	.Y(n_1253), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g645250 (
+	.A1_N(n_32502),
+	.A2_N(brqrv_top_brqrv_dec_tlu_flush_path_r[16]),
+	.B1(n_1236),
+	.B2(n_35659),
+	.Y(n_1252), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g645251 (
+	.A1_N(n_32502),
+	.A2_N(brqrv_top_brqrv_dec_tlu_flush_path_r[15]),
+	.B1(n_1235),
+	.B2(n_35659),
+	.Y(n_1251), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g645252 (
+	.A1_N(n_32502),
+	.A2_N(brqrv_top_brqrv_dec_tlu_flush_path_r[14]),
+	.B1(n_1234),
+	.B2(n_35659),
+	.Y(n_1250), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g645253 (
+	.A(n_1225),
+	.B(n_1219),
+	.C(brqrv_top_brqrv_ifu_ifc_fb_full_f),
+	.D(n_1204),
+	.Y(n_1248), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g645254 (
+	.A(FE_DBTN21_n_34786),
+	.B(n_1242),
+	.Y(n_1247), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g645255 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[25]),
+	.B(n_1216),
+	.Y(n_1249), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g645257 (
+	.A1_N(n_32502),
+	.A2_N(brqrv_top_brqrv_dec_tlu_flush_path_r[13]),
+	.B1(n_2003),
+	.B2(n_35659),
+	.Y(n_1245), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g645258 (
+	.A1(n_233),
+	.A2(n_1223),
+	.B1(n_34169),
+	.Y(n_1246), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g645259 (
+	.A(n_1244),
+	.Y(brqrv_top_brqrv_exu_i0_flush_path_d[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g645260 (
+	.A(n_1243),
+	.Y(brqrv_top_brqrv_exu_i0_flush_path_d[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g645261 (
+	.A(n_1242),
+	.Y(brqrv_top_brqrv_exu_i_alu_pcout[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g645262 (
+	.A(n_1241),
+	.Y(brqrv_top_brqrv_exu_i0_flush_path_d[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g645263 (
+	.A(brqrv_top_brqrv_dec_tlu_flush_noredir_r),
+	.B(n_35080),
+	.Y(n_1240), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g645264 (
+	.A1(n_37344),
+	.A2(FE_DBTN21_n_34786),
+	.B1(n_1230),
+	.Y(n_1244), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g645265 (
+	.A1(n_37345),
+	.A2(FE_DBTN21_n_34786),
+	.B1(n_1231),
+	.Y(n_1243), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g645266 (
+	.A1(brqrv_top_brqrv_ifu_i0_pc[23]),
+	.A2(n_1193),
+	.B1(n_1174),
+	.B2(n_1195),
+	.C1(n_1192),
+	.C2(n_37251),
+	.Y(n_1242), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g645267 (
+	.A1(n_37343),
+	.A2(FE_DBTN21_n_34786),
+	.B1(n_1233),
+	.Y(n_1241), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g645269 (
+	.A(n_1239),
+	.Y(brqrv_top_brqrv_exu_i0_flush_path_d[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g645270 (
+	.A(n_1238),
+	.Y(brqrv_top_brqrv_exu_i0_flush_path_d[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g645271 (
+	.A(n_1237),
+	.Y(brqrv_top_brqrv_exu_i0_flush_path_d[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g645272 (
+	.A(n_1236),
+	.Y(brqrv_top_brqrv_exu_i0_flush_path_d[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g645273 (
+	.A(n_1235),
+	.Y(brqrv_top_brqrv_exu_i0_flush_path_d[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g645274 (
+	.A(n_1234),
+	.Y(brqrv_top_brqrv_exu_i0_flush_path_d[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g645275 (
+	.A1(n_37342),
+	.A2(FE_DBTN21_n_34786),
+	.B1(n_1228),
+	.Y(n_1239), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g645276 (
+	.A1(n_37341),
+	.A2(FE_DBTN21_n_34786),
+	.B1(n_1227),
+	.Y(n_1238), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g645277 (
+	.A1(n_37340),
+	.A2(FE_DBTN21_n_34786),
+	.B1(n_1226),
+	.Y(n_1237), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g645278 (
+	.A1(n_37339),
+	.A2(FE_DBTN21_n_34786),
+	.B1(n_1220),
+	.Y(n_1236), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g645279 (
+	.A1(n_37338),
+	.A2(FE_DBTN21_n_34786),
+	.B1(n_1229),
+	.Y(n_1235), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g645280 (
+	.A1(n_37337),
+	.A2(FE_DBTN21_n_34786),
+	.B1(n_1222),
+	.Y(n_1234), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g645281 (
+	.A(FE_DBTN21_n_34786),
+	.B(n_1210),
+	.Y(n_1233), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645282 (
+	.A(n_1217),
+	.B(brqrv_top_brqrv_ifu_ifc_fb_write_f[2]),
+	.Y(n_1232), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g645283 (
+	.A(FE_DBTN21_n_34786),
+	.B(n_1208),
+	.Y(n_1231), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g645284 (
+	.A(FE_DBTN21_n_34786),
+	.B(n_1211),
+	.Y(n_1230), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g645285 (
+	.A(FE_DBTN21_n_34786),
+	.B(n_1218),
+	.Y(n_1229), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g645286 (
+	.A(FE_DBTN21_n_34786),
+	.B(n_1209),
+	.Y(n_1228), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g645287 (
+	.A(FE_DBTN21_n_34786),
+	.B(n_1212),
+	.Y(n_1227), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g645288 (
+	.A(FE_DBTN21_n_34786),
+	.B(n_1207),
+	.Y(n_1226), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g645289 (
+	.A(n_2003),
+	.Y(brqrv_top_brqrv_exu_i0_flush_path_d[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g645291 (
+	.A(n_35080),
+	.Y(n_1223), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g645292 (
+	.A(n_1199),
+	.B(n_1135),
+	.C(n_1087),
+	.Y(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g645293 (
+	.A(FE_DBTN21_n_34786),
+	.B(n_1205),
+	.Y(n_1222), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645294 (
+	.A(n_1201),
+	.B(n_1213),
+	.Y(n_1225), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g645295 (
+	.A1(n_135),
+	.A2(n_1190),
+	.B1(n_1216),
+	.Y(n_1221), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g645296 (
+	.A(FE_DBTN21_n_34786),
+	.B(n_1206),
+	.Y(n_1220), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645298 (
+	.A(n_1219),
+	.B(n_1204),
+	.Y(n_34697), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g645299 (
+	.A1(n_1203),
+	.A2(brqrv_top_brqrv_ifu_ifc_fb_full_f),
+	.B1(brqrv_top_brqrv_ifu_ifc_dma_iccm_stall_any_f),
+	.X(n_35080), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g645300 (
+	.A(n_1218),
+	.Y(brqrv_top_brqrv_exu_i_alu_pcout[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g645301 (
+	.A(n_1217),
+	.Y(n_34698), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g645303 (
+	.A(n_58),
+	.B(n_57),
+	.Y(n_1214), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645304 (
+	.A(n_58),
+	.B(n_1059),
+	.Y(n_1219), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645305 (
+	.A(n_1036),
+	.B(n_1200),
+	.Y(n_1213), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g645306 (
+	.A1(brqrv_top_brqrv_ifu_i0_pc[15]),
+	.A2(n_1193),
+	.B1(n_1174),
+	.B2(n_699),
+	.C1(n_1192),
+	.C2(n_37243),
+	.Y(n_1218), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g645307 (
+	.A(n_1059),
+	.B(n_1202),
+	.Y(n_1217), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645308 (
+	.A(n_1190),
+	.B(n_135),
+	.Y(n_1216), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g645309 (
+	.A(n_1212),
+	.Y(brqrv_top_brqrv_exu_i_alu_pcout[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g645310 (
+	.A(n_1211),
+	.Y(brqrv_top_brqrv_exu_i_alu_pcout[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g645311 (
+	.A(n_1210),
+	.Y(brqrv_top_brqrv_exu_i_alu_pcout[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g645312 (
+	.A(n_1209),
+	.Y(brqrv_top_brqrv_exu_i_alu_pcout[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g645313 (
+	.A(n_1208),
+	.Y(brqrv_top_brqrv_exu_i_alu_pcout[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g645314 (
+	.A(n_1207),
+	.Y(brqrv_top_brqrv_exu_i_alu_pcout[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g645315 (
+	.A(n_1206),
+	.Y(brqrv_top_brqrv_exu_i_alu_pcout[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g645316 (
+	.A(n_1205),
+	.Y(brqrv_top_brqrv_exu_i_alu_pcout[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g645317 (
+	.A1(brqrv_top_brqrv_ifu_i0_pc[18]),
+	.A2(n_1193),
+	.B1(n_1174),
+	.B2(n_997),
+	.C1(n_1192),
+	.C2(n_37246),
+	.Y(n_1212), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g645318 (
+	.A1(brqrv_top_brqrv_ifu_i0_pc[21]),
+	.A2(n_1193),
+	.B1(n_1174),
+	.B2(n_1157),
+	.C1(n_1192),
+	.C2(n_37249),
+	.Y(n_1211), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g645319 (
+	.A1(brqrv_top_brqrv_ifu_i0_pc[20]),
+	.A2(n_1193),
+	.B1(n_1174),
+	.B2(n_1055),
+	.C1(n_1192),
+	.C2(n_37248),
+	.Y(n_1210), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g645320 (
+	.A1(brqrv_top_brqrv_ifu_i0_pc[19]),
+	.A2(n_1193),
+	.B1(n_1174),
+	.B2(n_1023),
+	.C1(n_1192),
+	.C2(n_37247),
+	.Y(n_1209), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g645321 (
+	.A1(brqrv_top_brqrv_ifu_i0_pc[22]),
+	.A2(n_1193),
+	.B1(n_1174),
+	.B2(n_1173),
+	.C1(n_1192),
+	.C2(n_37250),
+	.Y(n_1208), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g645322 (
+	.A1(brqrv_top_brqrv_ifu_i0_pc[17]),
+	.A2(n_1193),
+	.B1(n_1174),
+	.B2(n_953),
+	.C1(n_1192),
+	.C2(n_37245),
+	.Y(n_1207), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g645323 (
+	.A1(brqrv_top_brqrv_ifu_i0_pc[16]),
+	.A2(n_1193),
+	.B1(n_1174),
+	.B2(n_863),
+	.C1(n_1192),
+	.C2(n_37244),
+	.Y(n_1206), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g645324 (
+	.A1(brqrv_top_brqrv_ifu_i0_pc[14]),
+	.A2(n_1193),
+	.B1(n_1174),
+	.B2(n_323),
+	.C1(n_1192),
+	.C2(n_37242),
+	.Y(n_1205), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g645326 (
+	.A(n_1202),
+	.Y(n_1203), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645327 (
+	.A(n_57),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_req_f),
+	.Y(n_1204), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645328 (
+	.A(n_1197),
+	.B(n_1198),
+	.Y(n_1201), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g645329 (
+	.A(n_1036),
+	.B(n_1189),
+	.C(n_1191),
+	.Y(n_1202), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g645330 (
+	.A(n_58),
+	.Y(n_34702), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 g645332 (
+	.A0(n_1188),
+	.A1(n_1189),
+	.S(brqrv_top_brqrv_ifu_ifc_fetch_req_f),
+	.Y(n_1200), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g645333 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_n_6624 ),
+	.A2(n_36925),
+	.B1(n_1196),
+	.Y(n_1199), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g645334 (
+	.A1_N(brqrv_top_brqrv_ifu_i0_pc[13]),
+	.A2_N(n_1193),
+	.B1(brqrv_top_brqrv_ifu_i0_pc[13]),
+	.B2(n_1193),
+	.Y(brqrv_top_brqrv_exu_i_alu_pcout[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_2 g645335 (
+	.A(n_39),
+	.B(brqrv_top_brqrv_exu_flush_final),
+	.Y(n_58), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g645336 (
+	.A(n_35134),
+	.B(n_1186),
+	.Y(n_337731_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g645337 (
+	.A(n_35141),
+	.B(n_1183),
+	.Y(n_337703_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645338 (
+	.A(n_1187),
+	.B(n_366),
+	.Y(n_34930), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645339 (
+	.A(n_1037),
+	.B(n_1191),
+	.Y(n_1198), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g645341 (
+	.A(n_34237),
+	.B(n_1182),
+	.Y(n_337749_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g645342 (
+	.A(n_1188),
+	.B(brqrv_top_brqrv_exu_flush_final),
+	.Y(n_1197), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g645343 (
+	.A(n_57),
+	.Y(n_34704), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g645345 (
+	.A1_N(n_32502),
+	.A2_N(brqrv_top_brqrv_dec_tlu_flush_path_r[12]),
+	.B1(n_1178),
+	.B2(n_35659),
+	.Y(n_1196), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g645346 (
+	.A1(n_1166),
+	.A2(brqrv_top_brqrv_ifu_i0_pc[23]),
+	.B1(n_1190),
+	.X(n_1195), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g645347 (
+	.A1(brqrv_top_brqrv_ifu_i0_pc[29]),
+	.A2(brqrv_top_brqrv_ifu_i0_pc[30]),
+	.B1(n_1174),
+	.Y(n_1194), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o31ai_1 g645348 (
+	.A1(n_165),
+	.A2(n_548),
+	.A3(n_1180),
+	.B1(n_969),
+	.Y(n_35132), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o31ai_1 g645349 (
+	.A1(n_163),
+	.A2(n_547),
+	.A3(n_1180),
+	.B1(n_968),
+	.Y(n_35146), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g645350 (
+	.A1(n_56),
+	.A2(brqrv_top_brqrv_ifu_aln_f1val[1]),
+	.B1(n_34706),
+	.B2(brqrv_top_brqrv_ifu_aln_f1val[0]),
+	.X(n_34705), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_2 g645351 (
+	.A(n_1189),
+	.B(brqrv_top_brqrv_exu_flush_final),
+	.Y(n_57), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g645354 (
+	.A(n_1189),
+	.Y(n_1188), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 g645355 (
+	.A(n_535),
+	.B(n_1167),
+	.COUT(n_1192),
+	.SUM(n_1193), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g645356 (
+	.A(n_177),
+	.B(n_546),
+	.C(n_1180),
+	.Y(n_343733_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645357 (
+	.A(n_1181),
+	.B(n_1179),
+	.Y(n_34703), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g645358 (
+	.A(n_175),
+	.B(n_544),
+	.C(n_1180),
+	.Y(n_343724_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g645359 (
+	.A1(n_512),
+	.A2(n_1175),
+	.B1(n_387),
+	.B2(n_769),
+	.Y(n_1187), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g645360 (
+	.A(n_1181),
+	.B(brqrv_top_brqrv_dec_i0_decode_d),
+	.C(brqrv_top_brqrv_ifu_aln_f0val[0]),
+	.Y(n_1191), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g645361 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[23]),
+	.B(n_1166),
+	.Y(n_1190), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645362 (
+	.A(n_56),
+	.B(n_202),
+	.Y(n_1189), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g645363 (
+	.A1_N(n_386),
+	.A2_N(n_769),
+	.B1(n_381),
+	.B2(brqrv_top_brqrv_dec_i0_decode_d),
+	.Y(n_1186), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31o_1 g645364 (
+	.A1(n_1170),
+	.A2(n_23),
+	.A3(n_173),
+	.B1(n_711),
+	.X(n_35071), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o31ai_1 g645365 (
+	.A1(n_163),
+	.A2(n_555),
+	.A3(n_225),
+	.B1(n_1176),
+	.Y(n_1185), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o31ai_1 g645366 (
+	.A1(n_165),
+	.A2(n_554),
+	.A3(n_225),
+	.B1(n_1177),
+	.Y(n_1184), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g645367 (
+	.A1(n_513),
+	.A2(n_1175),
+	.B1(n_392),
+	.B2(n_769),
+	.X(n_1183), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g645368 (
+	.A1_N(n_384),
+	.A2_N(n_769),
+	.B1(n_380),
+	.B2(brqrv_top_brqrv_dec_i0_decode_d),
+	.Y(n_1182), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g645369 (
+	.A1(n_1169),
+	.A2(n_371),
+	.A3(n_167),
+	.B1(n_705),
+	.Y(n_287214_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g645371 (
+	.A(n_56),
+	.Y(n_34706), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645373 (
+	.A(n_1175),
+	.B(brqrv_top_brqrv_ifu_aln_f0val[0]),
+	.Y(n_1179), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g645374 (
+	.A(brqrv_top_brqrv_dec_i0_decode_d),
+	.B(brqrv_top_brqrv_ifu_aln_first2B),
+	.C(brqrv_top_brqrv_ifu_aln_f0val[1]),
+	.Y(n_1181), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g645375 (
+	.A(n_35078),
+	.B(n_1175),
+	.Y(n_56), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645376 (
+	.A(n_1175),
+	.B(brqrv_top_brqrv_dec_dec_ib0_valid_d),
+	.Y(n_1180), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g645377 (
+	.A(brqrv_top_brqrv_exu_i0_flush_path_d[12]),
+	.Y(n_1178), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g645378 (
+	.A(n_214),
+	.B(n_550),
+	.C(n_1175),
+	.Y(n_337729_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g645379 (
+	.A(n_1168),
+	.B(n_374),
+	.C(n_180),
+	.Y(n_1177), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g645380 (
+	.A(n_1171),
+	.B(n_373),
+	.C(n_183),
+	.Y(n_1176), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g645381 (
+	.A(n_157),
+	.B(n_551),
+	.C(n_1175),
+	.Y(n_337747_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645382 (
+	.A(n_147),
+	.B(n_1172),
+	.Y(brqrv_top_brqrv_exu_i0_flush_path_d[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g645383 (
+	.A(n_1175),
+	.Y(brqrv_top_brqrv_dec_i0_decode_d), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g645384 (
+	.A1(n_97),
+	.A2(n_1152),
+	.B1(n_1166),
+	.Y(n_1173), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645385 (
+	.A(brqrv_top_brqrv_exu_i_alu_pcout[12]),
+	.B(n_34786),
+	.Y(n_1172), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g645386 (
+	.A(n_1165),
+	.B(n_38),
+	.Y(n_1175), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g645387 (
+	.A(n_535),
+	.B(n_1167),
+	.Y(n_1174), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g645388 (
+	.A1(n_34236),
+	.A2(n_1164),
+	.B1(n_157),
+	.B2(n_224),
+	.Y(n_1171), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g645389 (
+	.A1(n_34233),
+	.A2(n_1164),
+	.B1(n_219),
+	.B2(n_224),
+	.Y(n_1170), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g645390 (
+	.A1(n_35140),
+	.A2(n_1164),
+	.B1(n_216),
+	.B2(n_224),
+	.Y(n_1169), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g645391 (
+	.A1(n_35133),
+	.A2(n_1164),
+	.B1(n_214),
+	.B2(n_224),
+	.Y(n_1168), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 g645392 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[12]),
+	.B(n_534),
+	.CIN(n_1045),
+	.COUT(n_1167),
+	.SUM(brqrv_top_brqrv_exu_i_alu_pcout[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645393 (
+	.A(n_1163),
+	.B(n_99),
+	.Y(n_1165), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g645394 (
+	.A(n_43422),
+	.B(n_44),
+	.Y(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645395 (
+	.A(n_1152),
+	.B(n_97),
+	.Y(n_1166), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g645397 (
+	.A(n_43423),
+	.B(n_43424),
+	.C(n_1134),
+	.Y(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g645399 (
+	.A(n_1163),
+	.Y(n_1164), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645401 (
+	.A(n_1012),
+	.B(n_1159),
+	.Y(n_1163), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g645406 (
+	.A1(n_1041),
+	.A2(brqrv_top_brqrv_ifu_i0_pc[21]),
+	.B1(n_1152),
+	.X(n_1157), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645407 (
+	.A(n_1126),
+	.B(n_1108),
+	.Y(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g645408 (
+	.A(n_35),
+	.B(n_1110),
+	.Y(n_1156), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645409 (
+	.A(n_1130),
+	.B(n_1107),
+	.Y(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645410 (
+	.A(n_1113),
+	.B(n_1148),
+	.Y(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645411 (
+	.A(n_1150),
+	.B(n_1114),
+	.Y(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645412 (
+	.A(n_1147),
+	.B(n_1115),
+	.Y(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645413 (
+	.A(n_1128),
+	.B(n_1109),
+	.Y(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g645414 (
+	.A(n_1049),
+	.B(n_1116),
+	.Y(n_1159), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645416 (
+	.A(n_1119),
+	.B(n_1101),
+	.Y(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g645417 (
+	.A(n_3),
+	.B(n_1106),
+	.Y(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645418 (
+	.A(n_6),
+	.B(n_1104),
+	.Y(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645419 (
+	.A(n_1122),
+	.B(n_1103),
+	.Y(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645420 (
+	.A(n_1120),
+	.B(n_1102),
+	.Y(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645421 (
+	.A(n_1151),
+	.B(n_1105),
+	.Y(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645422 (
+	.A(n_5),
+	.B(n_1111),
+	.Y(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645427 (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_n_4),
+	.B(n_37956),
+	.Y(n_1151), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645428 (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_n_4),
+	.B(n_37950),
+	.Y(n_1150), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645429 (
+	.A(n_1078),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[23]),
+	.Y(n_1149), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645430 (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_n_4),
+	.B(n_36375),
+	.Y(n_1148), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645431 (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_n_4),
+	.B(n_88),
+	.Y(n_1147), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645432 (
+	.A(n_1078),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[22]),
+	.Y(n_1146), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645433 (
+	.A(n_1078),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[21]),
+	.Y(n_1145), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645434 (
+	.A(n_1078),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[20]),
+	.Y(n_1144), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645435 (
+	.A(n_1078),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[19]),
+	.Y(n_1143), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645436 (
+	.A(n_1078),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[18]),
+	.Y(n_1142), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645437 (
+	.A(n_1078),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[17]),
+	.Y(n_1141), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645438 (
+	.A(n_1078),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[16]),
+	.Y(n_1140), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645439 (
+	.A(n_1078),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[15]),
+	.Y(n_1139), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645440 (
+	.A(n_1078),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[14]),
+	.Y(n_1138), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645441 (
+	.A(n_1078),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[31]),
+	.Y(n_1137), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645442 (
+	.A(n_1078),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[13]),
+	.Y(n_1136), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645443 (
+	.A(n_1078),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[12]),
+	.Y(n_1135), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645444 (
+	.A(n_1078),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[11]),
+	.Y(n_1134), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645448 (
+	.A(FE_DBTN20_n_34692),
+	.B(n_37325),
+	.Y(n_1131), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645449 (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_n_4),
+	.B(n_37960),
+	.Y(n_1130), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645450 (
+	.A(n_1078),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[24]),
+	.Y(n_1129), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645451 (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_n_4),
+	.B(n_37959),
+	.Y(n_1128), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645452 (
+	.A(n_1078),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[30]),
+	.Y(n_1127), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645453 (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_n_4),
+	.B(n_37958),
+	.Y(n_1126), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645455 (
+	.A(n_1078),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[29]),
+	.Y(n_1125), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g645456 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[21]),
+	.B(n_1041),
+	.Y(n_1152), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g645457 (
+	.A(n_1124),
+	.Y(n_34618), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645458 (
+	.A(n_1078),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[28]),
+	.Y(n_1123), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645460 (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_n_4),
+	.B(n_37954),
+	.Y(n_1122), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645461 (
+	.A(n_1078),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[27]),
+	.Y(n_1121), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645462 (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_n_4),
+	.B(n_37953),
+	.Y(n_1120), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645463 (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_n_4),
+	.B(n_37952),
+	.Y(n_1119), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645464 (
+	.A(n_1078),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[26]),
+	.Y(n_1118), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645466 (
+	.A(n_1078),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[25]),
+	.Y(n_1117), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g645468 (
+	.A(n_1096),
+	.B(n_811),
+	.Y(n_1116), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o311ai_1 g645469 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpme4[9]),
+	.A2(n_153),
+	.A3(n_1056),
+	.B1(n_690),
+	.C1(n_696),
+	.Y(n_34099), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g645470 (
+	.A1(n_333),
+	.A2(n_1050),
+	.B1(n_175),
+	.B2(n_619),
+	.C1(n_695),
+	.Y(n_34097), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g645471 (
+	.A1(n_10),
+	.A2(n_1047),
+	.B1(n_163),
+	.B2(n_618),
+	.Y(n_34168), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g645472 (
+	.A1(n_1),
+	.A2(n_1048),
+	.B1(n_165),
+	.B2(n_617),
+	.Y(n_35076), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g645473 (
+	.A(n_20),
+	.B(n_1063),
+	.Y(n_1115), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g645474 (
+	.A1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_n_26),
+	.A2(n_36855),
+	.B1(n_1073),
+	.Y(n_1114), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g645475 (
+	.A1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_n_26),
+	.A2(n_36853),
+	.B1(n_1072),
+	.Y(n_1113), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g645477 (
+	.A1_N(n_43432),
+	.A2_N(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_n_88),
+	.B1(n_36856),
+	.B2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_n_26),
+	.Y(n_1111), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g645478 (
+	.A1_N(n_32502),
+	.A2_N(brqrv_top_brqrv_dec_tlu_flush_path_r[2]),
+	.B1(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[2]),
+	.B2(n_1053),
+	.Y(n_1110), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g645479 (
+	.A1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_n_26),
+	.A2(n_36864),
+	.B1(n_1065),
+	.Y(n_1109), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g645480 (
+	.A1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_n_26),
+	.A2(n_36863),
+	.B1(n_1064),
+	.Y(n_1108), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g645481 (
+	.A(n_21),
+	.B(n_1071),
+	.Y(n_1107), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g645482 (
+	.A1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_n_26),
+	.A2(n_36862),
+	.B1(n_1062),
+	.Y(n_1106), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g645483 (
+	.A(n_19),
+	.B(n_1070),
+	.Y(n_1105), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g645484 (
+	.A1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_n_26),
+	.A2(n_36860),
+	.B1(n_1061),
+	.Y(n_1104), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g645485 (
+	.A1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_n_26),
+	.A2(n_36859),
+	.B1(n_1069),
+	.Y(n_1103), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g645486 (
+	.A1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_n_26),
+	.A2(n_36858),
+	.B1(n_1067),
+	.Y(n_1102), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g645487 (
+	.A(n_18),
+	.B(n_1077),
+	.Y(n_1101), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g645488 (
+	.A(n_685),
+	.B(n_1046),
+	.X(n_1124), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645489 (
+	.A(n_1052),
+	.B(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[24]),
+	.Y(n_1100), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645491 (
+	.A(n_1052),
+	.B(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[23]),
+	.Y(n_1099), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645492 (
+	.A(n_1052),
+	.B(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[22]),
+	.Y(n_1098), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645493 (
+	.A(n_1052),
+	.B(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[21]),
+	.Y(n_1097), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645494 (
+	.A(brqrv_top_brqrv_dec_lsu_valid_raw_d),
+	.B(n_1051),
+	.Y(n_1096), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645495 (
+	.A(n_1052),
+	.B(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[20]),
+	.Y(n_1095), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645496 (
+	.A(n_1052),
+	.B(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[19]),
+	.Y(n_1094), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645497 (
+	.A(n_1052),
+	.B(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[18]),
+	.Y(n_1093), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645498 (
+	.A(n_1052),
+	.B(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[17]),
+	.Y(n_1092), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645499 (
+	.A(n_1052),
+	.B(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[16]),
+	.Y(n_1091), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645500 (
+	.A(n_1052),
+	.B(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[15]),
+	.Y(n_1090), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645501 (
+	.A(n_1052),
+	.B(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[14]),
+	.Y(n_1089), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645502 (
+	.A(n_1052),
+	.B(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[13]),
+	.Y(n_1088), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645503 (
+	.A(n_1052),
+	.B(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[12]),
+	.Y(n_1087), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645504 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_n_6624 ),
+	.B(n_43571),
+	.Y(n_1086), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645505 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_n_6624 ),
+	.B(n_42475),
+	.Y(n_1085), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645507 (
+	.A(n_1052),
+	.B(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[2]),
+	.Y(n_1083), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645508 (
+	.A(n_1052),
+	.B(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[31]),
+	.Y(n_1082), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645509 (
+	.A(n_1052),
+	.B(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[30]),
+	.Y(n_1081), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645512 (
+	.A(n_1052),
+	.B(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[29]),
+	.Y(n_1080), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 g645515 (
+	.A(n_1060),
+	.Y(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_n_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g645516 (
+	.A(n_1078),
+	.Y(n_35432), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g645517 (
+	.A(n_736),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_n_88),
+	.Y(n_1077), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645520 (
+	.A(n_1052),
+	.B(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[27]),
+	.Y(n_1076), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645521 (
+	.A(n_1052),
+	.B(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[26]),
+	.Y(n_1075), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645523 (
+	.A(n_1052),
+	.B(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[25]),
+	.Y(n_1074), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g645524 (
+	.A(n_701),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_n_88),
+	.Y(n_1073), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g645525 (
+	.A(n_599),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_n_88),
+	.Y(n_1072), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g645526 (
+	.A1(n_34035),
+	.A2(n_36),
+	.B1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_n_88),
+	.Y(n_1071), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g645527 (
+	.A(n_865),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_n_88),
+	.Y(n_1070), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g645528 (
+	.A(n_822),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_n_88),
+	.Y(n_1069), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645529 (
+	.A(n_1052),
+	.B(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[28]),
+	.Y(n_1068), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g645530 (
+	.A(n_896),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_n_88),
+	.Y(n_1067), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g645532 (
+	.A(n_976),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_n_88),
+	.Y(n_1065), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g645533 (
+	.A(n_897),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_n_88),
+	.Y(n_1064), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g645534 (
+	.A(n_816),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_n_88),
+	.Y(n_1063), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g645535 (
+	.A(n_955),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_n_88),
+	.Y(n_1062), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g645536 (
+	.A(n_43431),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_n_88),
+	.Y(n_1061), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g645537 (
+	.A1_N(n_728),
+	.A2_N(n_1044),
+	.B1(n_728),
+	.B2(n_1044),
+	.Y(n_35385), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g645538 (
+	.A(brqrv_top_brqrv_exu_i0_flush_upper_d),
+	.B(n_34786),
+	.Y(n_34691), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g645539 (
+	.A(brqrv_top_brqrv_exu_i0_flush_upper_d),
+	.B(FE_DBTN21_n_34786),
+	.Y(n_34692), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g645540 (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_n_88),
+	.B(n_1058),
+	.Y(n_1060), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 g645541 (
+	.A(n_1036),
+	.B(n_1059),
+	.X(n_1078), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g645544 (
+	.A(n_1058),
+	.Y(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_n_26), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g645545 (
+	.A(n_35659),
+	.Y(brqrv_top_brqrv_exu_i0_flush_upper_d), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g645546 (
+	.A1(n_833),
+	.A2(n_393),
+	.B1(n_1038),
+	.Y(n_1056), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g645547 (
+	.A1(n_82),
+	.A2(n_1014),
+	.B1(n_1041),
+	.Y(n_1055), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g645548 (
+	.A(n_35079),
+	.B(brqrv_top_brqrv_exu_flush_final),
+	.Y(n_398733_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g645550 (
+	.A(n_34169),
+	.B(brqrv_top_brqrv_exu_flush_final),
+	.Y(n_1054), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g645551 (
+	.A(n_1037),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_req_f),
+	.Y(n_1059), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g645552 (
+	.A(n_1042),
+	.B(n_33899),
+	.Y(n_1058), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_8 g645553 (
+	.A(n_1043),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_offset_d[11]),
+	.Y(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_n_88), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_4 g645554 (
+	.A(n_1035),
+	.B(n_128),
+	.Y(n_35659), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_4 g645555 (
+	.A(n_1053),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6624 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211o_1 g645557 (
+	.A1(n_1016),
+	.A2(n_964),
+	.B1(n_912),
+	.C1(n_1015),
+	.X(n_1051), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g645558 (
+	.A1(n_833),
+	.A2(n_388),
+	.B1(n_1039),
+	.Y(n_1050), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g645559 (
+	.A(n_964),
+	.B(n_1016),
+	.C(n_1026),
+	.Y(n_1049), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g645560 (
+	.A(n_1027),
+	.B(n_812),
+	.C(n_858),
+	.Y(n_1048), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g645561 (
+	.A(n_1030),
+	.B(n_813),
+	.C(n_859),
+	.Y(n_1047), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g645562 (
+	.A1(n_1034),
+	.A2(n_645),
+	.B1(n_606),
+	.Y(n_1046), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g645563 (
+	.A1(n_607),
+	.A2(n_604),
+	.B1(n_470),
+	.C1(n_1040),
+	.Y(n_1045), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g645564 (
+	.A(n_1037),
+	.B(n_154),
+	.Y(n_1053), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 g645565 (
+	.A(n_1037),
+	.B(n_0),
+	.X(n_1052), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g645566 (
+	.A(n_1042),
+	.Y(n_1043), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645567 (
+	.A(n_1034),
+	.B(n_735),
+	.Y(n_1040), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g645568 (
+	.A1(n_390),
+	.A2(n_766),
+	.B1(n_34214),
+	.B2(brqrv_top_brqrv_dec_tlu_mstatus[0]),
+	.C1(n_1029),
+	.Y(n_1039), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g645569 (
+	.A1(n_391),
+	.A2(n_766),
+	.B1(n_34212),
+	.B2(brqrv_top_brqrv_dec_tlu_mstatus[0]),
+	.C1(n_1028),
+	.Y(n_1038), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g645570 (
+	.A(n_1034),
+	.B_N(n_35690),
+	.Y(n_1044), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g645571 (
+	.A(n_1031),
+	.B(n_35674),
+	.Y(n_1042), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645572 (
+	.A(n_1014),
+	.B(n_82),
+	.Y(n_1041), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__bufinv_16 g645577 (
+	.A(n_1036),
+	.Y(brqrv_top_brqrv_exu_flush_final), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g645578 (
+	.A1(n_35138),
+	.A2(n_1017),
+	.B1(n_372),
+	.B2(n_950),
+	.Y(n_34098), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o32ai_1 g645579 (
+	.A1(n_403),
+	.A2(n_402),
+	.A3(n_227),
+	.B1(n_34216),
+	.B2(n_1018),
+	.Y(n_34096), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o32ai_1 g645580 (
+	.A1(n_401),
+	.A2(n_404),
+	.A3(n_227),
+	.B1(n_34209),
+	.B2(n_1019),
+	.Y(n_34100), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_2 g645581 (
+	.A(n_1032),
+	.B(n_35437),
+	.C(n_978),
+	.Y(n_1035), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g645582 (
+	.A1(n_34232),
+	.A2(n_1020),
+	.B1(n_375),
+	.B2(n_944),
+	.X(n_379788_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g645583 (
+	.A(n_1033),
+	.B(n_148),
+	.Y(n_1037), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211a_4 g645584 (
+	.A1(n_975),
+	.A2(n_35461),
+	.B1(n_991),
+	.C1(n_1025),
+	.X(n_1036), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g645585 (
+	.A(n_35437),
+	.B(n_1021),
+	.Y(n_1033), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645586 (
+	.A(n_1022),
+	.B(n_962),
+	.Y(n_1032), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645587 (
+	.A(n_1024),
+	.B(n_33898),
+	.Y(n_1031), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g645588 (
+	.A(n_35686),
+	.B(n_34742),
+	.X(n_1034), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g645589 (
+	.A1(n_182),
+	.A2(n_1006),
+	.B1(n_34217),
+	.B2(brqrv_top_brqrv_dec_tlu_mstatus[0]),
+	.Y(n_1030), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32oi_1 g645590 (
+	.A1(brqrv_top_brqrv_dec_tlu_n_3314),
+	.A2(brqrv_top_brqrv_dec_tlu_lsu_pmu_store_external_r),
+	.A3(n_389),
+	.B1(n_1009),
+	.B2(n_173),
+	.Y(n_1029), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32oi_1 g645591 (
+	.A1(brqrv_top_brqrv_dec_tlu_n_3314),
+	.A2(brqrv_top_brqrv_dec_tlu_lsu_pmu_store_external_r),
+	.A3(n_382),
+	.B1(n_1008),
+	.B2(n_167),
+	.Y(n_1028), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g645592 (
+	.A1(n_179),
+	.A2(n_1005),
+	.B1(n_34210),
+	.B2(brqrv_top_brqrv_dec_tlu_mstatus[0]),
+	.Y(n_1027), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g645593 (
+	.A(n_912),
+	.B(n_1015),
+	.Y(n_1026), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645594 (
+	.A(n_35438),
+	.B(n_989),
+	.Y(n_1025), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645595 (
+	.A(n_1013),
+	.B(n_35675),
+	.Y(n_1024), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g645596 (
+	.A1(n_990),
+	.A2(brqrv_top_brqrv_ifu_i0_pc[19]),
+	.B1(n_1014),
+	.X(n_1023), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g645597 (
+	.A_N(n_1011),
+	.B(n_35691),
+	.Y(n_35686), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g645598 (
+	.A(n_35438),
+	.B(n_981),
+	.Y(n_35437), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g645599 (
+	.A(n_35461),
+	.Y(n_1022), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g645600 (
+	.A1(n_54),
+	.A2(n_979),
+	.B1(n_1007),
+	.Y(n_1021), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g645601 (
+	.A1(n_34233),
+	.A2(n_1003),
+	.B1(n_219),
+	.B2(n_35573),
+	.X(n_1020), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g645602 (
+	.A1(n_35133),
+	.A2(n_1003),
+	.B1(n_214),
+	.B2(n_35573),
+	.X(n_1019), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g645603 (
+	.A1(n_34236),
+	.A2(n_1003),
+	.B1(n_157),
+	.B2(n_35573),
+	.X(n_1018), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g645604 (
+	.A1(n_35140),
+	.A2(n_1003),
+	.B1(n_216),
+	.B2(n_35573),
+	.X(n_1017), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_2 g645605 (
+	.A1(brqrv_top_brqrv_i0_ap[2]),
+	.A2(FE_DBTN22_n_54),
+	.B1(n_1010),
+	.Y(n_35461), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 g645606 (
+	.A(n_757),
+	.B(n_908),
+	.CIN(n_961),
+	.COUT(n_1015),
+	.SUM(n_1016), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645607 (
+	.A(n_1001),
+	.B(n_33897),
+	.Y(n_1013), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g645608 (
+	.A1(n_866),
+	.A2(n_999),
+	.B1(n_993),
+	.Y(n_1012), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g645609 (
+	.A1(n_994),
+	.A2(n_35692),
+	.B1_N(n_34740),
+	.Y(n_1011), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645610 (
+	.A(FE_DBTN22_n_54),
+	.B(n_192),
+	.Y(n_1010), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645611 (
+	.A(n_54),
+	.B(n_979),
+	.Y(n_35429), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g645612 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[19]),
+	.B(n_990),
+	.Y(n_1014), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g645613 (
+	.A1(n_219),
+	.A2(n_1000),
+	.B1(n_34233),
+	.B2(n_642),
+	.Y(n_1009), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g645614 (
+	.A1(n_216),
+	.A2(n_1000),
+	.B1(n_35140),
+	.B2(n_642),
+	.Y(n_1008), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645615 (
+	.A(n_35428),
+	.B(n_988),
+	.Y(n_1007), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g645616 (
+	.A1_N(n_157),
+	.A2_N(n_1000),
+	.B1(n_218),
+	.B2(n_643),
+	.Y(n_1006), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g645617 (
+	.A1_N(n_214),
+	.A2_N(n_1000),
+	.B1(n_161),
+	.B2(n_643),
+	.Y(n_1005), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645618 (
+	.A(n_983),
+	.B(n_1002),
+	.Y(n_35438), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g645624 (
+	.A(n_995),
+	.B(n_846),
+	.Y(n_1002), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g645625 (
+	.A(n_996),
+	.B(n_986),
+	.Y(n_54), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g645626 (
+	.A_N(n_34338),
+	.B(n_34195),
+	.Y(n_1003), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645628 (
+	.A(n_998),
+	.B(n_35678),
+	.Y(n_35671), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645629 (
+	.A(n_992),
+	.B(n_595),
+	.Y(n_1001), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g645630 (
+	.A(n_996),
+	.B(n_986),
+	.C(n_972),
+	.Y(n_35428), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g645632 (
+	.A1(n_943),
+	.A2(n_982),
+	.B1(n_977),
+	.X(n_999), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645633 (
+	.A(n_985),
+	.B(n_33896),
+	.Y(n_998), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g645634 (
+	.A1(n_83),
+	.A2(n_940),
+	.B1(n_990),
+	.Y(n_997), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645635 (
+	.A(n_53),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_73),
+	.Y(n_34035), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g645636 (
+	.A(n_34569),
+	.B(n_35353),
+	.X(n_1000), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g645637 (
+	.A(n_970),
+	.B(n_677),
+	.C(n_629),
+	.D(n_654),
+	.Y(n_995), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645638 (
+	.A(n_987),
+	.B(n_34739),
+	.Y(n_994), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g645639 (
+	.A1(n_943),
+	.A2(n_982),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_numvld_any[1]),
+	.B2(n_605),
+	.C1(n_929),
+	.X(n_993), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645640 (
+	.A(n_985),
+	.B(n_509),
+	.Y(n_992), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g645641 (
+	.A1(n_35708),
+	.A2(n_128),
+	.B1(n_32502),
+	.Y(n_991), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g645642 (
+	.A_N(n_35353),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_write),
+	.C(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_valid),
+	.Y(n_34338), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_2 g645643 (
+	.A1(n_971),
+	.A2(n_913),
+	.B1(n_984),
+	.Y(n_996), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g645645 (
+	.A(brqrv_top_brqrv_exu_i0_flush_upper_x),
+	.B(n_980),
+	.Y(n_989), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g645646 (
+	.A(n_35493),
+	.B(n_35708),
+	.Y(n_988), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g645647 (
+	.A1(n_951),
+	.A2(n_924),
+	.B1(brqrv_top_brqrv_dec_tlu_mhpme4[3]),
+	.Y(n_35139), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g645648 (
+	.A1(n_959),
+	.A2(n_175),
+	.B1(n_974),
+	.Y(n_355049_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645649 (
+	.A(n_34716),
+	.B(n_35688),
+	.Y(n_987), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645650 (
+	.A(n_940),
+	.B(n_83),
+	.Y(n_990), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g645651 (
+	.A1(n_871),
+	.A2(n_27),
+	.B1(n_933),
+	.C1(n_967),
+	.Y(n_379752_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g645652 (
+	.A1(n_152),
+	.A2(n_947),
+	.B1(n_34786),
+	.Y(n_984), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g645653 (
+	.A1(n_872),
+	.A2(n_26),
+	.B1(n_934),
+	.C1(n_965),
+	.Y(n_379805_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g645654 (
+	.A(n_949),
+	.B(n_966),
+	.Y(n_983), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g645655 (
+	.A(brqrv_top_brqrv_exu_i_alu_lt),
+	.B(n_450),
+	.Y(n_986), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645656 (
+	.A(n_973),
+	.B(n_35679),
+	.Y(n_985), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g645657 (
+	.A1(n_954),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_valid),
+	.B1(n_895),
+	.B2(n_246),
+	.C1(n_922),
+	.X(n_35353), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g645658 (
+	.A(n_980),
+	.Y(n_981), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g645659 (
+	.A(n_35450),
+	.Y(n_979), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g645660 (
+	.A(n_35708),
+	.Y(n_978), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 g645661 (
+	.A(n_492),
+	.B(n_491),
+	.CIN(brqrv_top_brqrv_lsu_stbuf_stbuf_numvld_any[0]),
+	.COUT(n_982),
+	.SUM(n_977), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g645663 (
+	.A1(n_52),
+	.A2(n_37959),
+	.B1(n_53),
+	.Y(n_976), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645665 (
+	.A(n_962),
+	.B(n_128),
+	.Y(n_975), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g645666 (
+	.A(n_925),
+	.B(n_931),
+	.C(brqrv_top_brqrv_dec_tlu_mhpme3[3]),
+	.D(n_366),
+	.Y(n_974), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645667 (
+	.A(n_963),
+	.B(n_33895),
+	.Y(n_973), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g645668 (
+	.A(n_35141),
+	.B(n_948),
+	.Y(n_343732_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g645669 (
+	.A(n_192),
+	.B(n_34729),
+	.Y(n_972), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645670 (
+	.A(n_962),
+	.B(brqrv_top_brqrv_dec_i0_predict_p_d[31]),
+	.Y(n_980), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645671 (
+	.A(n_962),
+	.B(brqrv_top_brqrv_i0_ap[2]),
+	.Y(n_35450), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g645672 (
+	.A(n_938),
+	.B(n_910),
+	.C(n_927),
+	.Y(brqrv_top_brqrv_exu_i_alu_lt), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g645673 (
+	.A(n_43026),
+	.B(n_34729),
+	.Y(n_35708), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g645674 (
+	.A1(n_43),
+	.A2(n_37355),
+	.B1(n_911),
+	.Y(n_971), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g645675 (
+	.A_N(n_932),
+	.B(n_899),
+	.C(n_366),
+	.Y(n_34924), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g645676 (
+	.A(n_946),
+	.B(n_628),
+	.Y(n_970), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o32a_1 g645677 (
+	.A1(n_187),
+	.A2(n_35134),
+	.A3(n_877),
+	.B1(n_35133),
+	.B2(n_815),
+	.X(n_969), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o32a_1 g645678 (
+	.A1(n_184),
+	.A2(n_34237),
+	.A3(n_879),
+	.B1(n_34236),
+	.B2(n_814),
+	.X(n_968), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g645679 (
+	.A1(n_187),
+	.A2(n_926),
+	.B1(n_550),
+	.B2(n_914),
+	.Y(n_967), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g645680 (
+	.A(n_752),
+	.B(n_668),
+	.C(n_937),
+	.D(n_649),
+	.Y(n_966), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g645681 (
+	.A1(n_919),
+	.A2(n_543),
+	.B1(n_852),
+	.B2(n_565),
+	.Y(n_355057_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g645682 (
+	.A1_N(n_546),
+	.A2_N(n_920),
+	.B1(n_556),
+	.B2(n_841),
+	.Y(n_275218_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g645683 (
+	.A1(n_547),
+	.A2(n_918),
+	.B1(n_555),
+	.B2(n_843),
+	.X(n_275143_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g645684 (
+	.A1(n_548),
+	.A2(n_917),
+	.B1(n_554),
+	.B2(n_842),
+	.X(n_275250_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g645685 (
+	.A1(n_184),
+	.A2(n_915),
+	.B1(n_551),
+	.B2(n_916),
+	.Y(n_965), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g645686 (
+	.A(n_35687),
+	.B(n_34753),
+	.C(n_34752),
+	.Y(n_34716), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g645689 (
+	.A(n_962),
+	.Y(n_34729), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 g645690 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_3460),
+	.B(n_758),
+	.CIN(n_760),
+	.COUT(n_961),
+	.SUM(n_964), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645691 (
+	.A(brqrv_top_brqrv_dec_tlu_flush_path_r[10]),
+	.B(n_32502),
+	.Y(n_960), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645692 (
+	.A(n_921),
+	.B(n_160),
+	.Y(n_959), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645693 (
+	.A(brqrv_top_brqrv_dec_tlu_flush_path_r[30]),
+	.B(n_32502),
+	.Y(n_958), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645694 (
+	.A(brqrv_top_brqrv_dec_tlu_flush_path_r[28]),
+	.B(n_32502),
+	.Y(n_957), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645695 (
+	.A(brqrv_top_brqrv_dec_tlu_flush_path_r[11]),
+	.B(n_32502),
+	.Y(n_956), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g645696 (
+	.A(n_51),
+	.B(n_936),
+	.Y(n_955), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o41ai_1 g645697 (
+	.A1(n_287),
+	.A2(n_34568),
+	.A3(n_894),
+	.A4(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_5337),
+	.B1(n_891),
+	.Y(n_954), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g645698 (
+	.A1(n_836),
+	.A2(brqrv_top_brqrv_ifu_i0_pc[17]),
+	.B1(n_940),
+	.X(n_953), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645699 (
+	.A(n_928),
+	.B(n_35680),
+	.Y(n_963), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g645700 (
+	.A(n_37959),
+	.B(n_52),
+	.Y(n_53), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g645701 (
+	.A(n_930),
+	.B(n_35395),
+	.Y(n_962), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g645702 (
+	.A1(n_876),
+	.A2(n_167),
+	.B1(n_888),
+	.B2(n_169),
+	.Y(n_952), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111oi_0 g645703 (
+	.A1(n_828),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpme4[0]),
+	.B1(n_177),
+	.C1(n_35141),
+	.D1(n_904),
+	.Y(n_951), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g645704 (
+	.A1(n_889),
+	.A2(n_176),
+	.B1(n_884),
+	.B2(n_221),
+	.Y(n_950), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g645705 (
+	.A(n_672),
+	.B(n_4),
+	.C(n_893),
+	.D(n_650),
+	.Y(n_949), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g645706 (
+	.A1(n_764),
+	.A2(n_159),
+	.A3(n_2),
+	.B1(n_939),
+	.Y(n_948), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g645707 (
+	.A(n_32406),
+	.B(n_890),
+	.X(n_947), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645708 (
+	.A(n_741),
+	.B(n_923),
+	.Y(n_946), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g645709 (
+	.A1(n_874),
+	.A2(n_173),
+	.B1(n_875),
+	.B2(n_170),
+	.Y(n_945), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g645710 (
+	.A1(n_885),
+	.A2(n_563),
+	.B1(n_873),
+	.B2(n_26),
+	.Y(n_287267_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g645711 (
+	.A1(n_886),
+	.A2(n_561),
+	.B1(n_878),
+	.B2(n_27),
+	.Y(n_287297_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g645712 (
+	.A1(n_887),
+	.A2(n_174),
+	.B1(n_882),
+	.B2(n_160),
+	.Y(n_944), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g645713 (
+	.A(n_935),
+	.B(n_713),
+	.C(n_35693),
+	.Y(n_35687), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g645714 (
+	.A(brqrv_top_brqrv_dec_tlu_flush_path_r[30]),
+	.Y(n_33912), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g645715 (
+	.A(brqrv_top_brqrv_dec_tlu_flush_path_r[28]),
+	.Y(n_33913), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g645716 (
+	.A(n_188),
+	.B(n_883),
+	.Y(n_939), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645717 (
+	.A(n_37355),
+	.B(n_911),
+	.Y(n_938), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g645718 (
+	.A(n_902),
+	.B(n_665),
+	.Y(n_937), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g645719 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_58),
+	.B(n_839),
+	.Y(n_936), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g645720 (
+	.A1(n_608),
+	.A2(n_714),
+	.B1(n_35689),
+	.Y(n_935), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g645722 (
+	.A(n_562),
+	.B(n_880),
+	.Y(n_934), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g645723 (
+	.A(n_560),
+	.B(n_881),
+	.Y(n_933), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g645724 (
+	.A1(n_810),
+	.A2(n_220),
+	.B1(n_901),
+	.Y(n_932), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645725 (
+	.A(n_869),
+	.B(n_907),
+	.Y(n_931), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111oi_0 g645726 (
+	.A1(n_104),
+	.A2(brqrv_top_brqrv_dec_dec_i0_instr_d[4]),
+	.B1(n_754),
+	.C1(n_861),
+	.D1(n_36248),
+	.Y(n_930), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645727 (
+	.A(n_35702),
+	.B(n_504),
+	.Y(n_929), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645728 (
+	.A(n_903),
+	.B(n_33993),
+	.Y(n_928), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 g645729 (
+	.A(n_605),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_numvld_any[1]),
+	.X(n_943), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g645730 (
+	.A(brqrv_top_brqrv_dec_tlu_reset_delayed),
+	.B(n_898),
+	.Y(brqrv_top_brqrv_dec_tlu_flush_path_r[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g645731 (
+	.A(brqrv_top_brqrv_dec_tlu_reset_delayed),
+	.B(n_900),
+	.Y(brqrv_top_brqrv_dec_tlu_flush_path_r[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g645732 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[17]),
+	.B(n_836),
+	.Y(n_940), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g645733 (
+	.A(n_43),
+	.Y(n_927), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o32a_1 g645735 (
+	.A1(n_165),
+	.A2(n_35134),
+	.A3(n_828),
+	.B1(n_540),
+	.B2(n_867),
+	.X(n_926), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g645736 (
+	.A1(n_35144),
+	.A2(n_867),
+	.B1(brqrv_top_brqrv_dec_tlu_mhpme3[0]),
+	.C1(n_828),
+	.Y(n_925), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g645737 (
+	.A1(n_805),
+	.A2(n_66),
+	.B1(n_867),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpme4[0]),
+	.C1(n_549),
+	.Y(n_924), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g645738 (
+	.A(n_892),
+	.B(n_660),
+	.Y(n_923), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g645739 (
+	.A(n_818),
+	.B(n_700),
+	.C(n_860),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_5511),
+	.Y(n_922), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g645740 (
+	.A1(n_64),
+	.A2(n_867),
+	.B1(brqrv_top_brqrv_dec_tlu_mhpme3[0]),
+	.B2(n_805),
+	.Y(n_921), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g645741 (
+	.A1_N(n_35140),
+	.A2_N(n_763),
+	.B1(n_217),
+	.B2(n_857),
+	.Y(n_920), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g645742 (
+	.A1(n_219),
+	.A2(n_856),
+	.B1(n_34233),
+	.B2(n_763),
+	.Y(n_919), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g645743 (
+	.A1_N(n_34236),
+	.A2_N(n_763),
+	.B1(n_158),
+	.B2(n_857),
+	.Y(n_918), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g645744 (
+	.A1_N(n_35133),
+	.A2_N(n_763),
+	.B1(n_215),
+	.B2(n_857),
+	.Y(n_917), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g645745 (
+	.A1(n_163),
+	.A2(n_869),
+	.B1(n_34239),
+	.B2(n_805),
+	.X(n_916), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o32a_1 g645746 (
+	.A1(n_163),
+	.A2(n_34237),
+	.A3(n_828),
+	.B1(n_542),
+	.B2(n_867),
+	.X(n_915), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g645747 (
+	.A1(n_165),
+	.A2(n_869),
+	.B1(n_35136),
+	.B2(n_805),
+	.X(n_914), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g645748 (
+	.A(n_328),
+	.B(n_43425),
+	.Y(n_913), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g645749 (
+	.A(n_632),
+	.B(n_708),
+	.C(n_850),
+	.Y(brqrv_top_brqrv_dec_tlu_flush_path_r[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g645750 (
+	.A(n_43426),
+	.B(n_707),
+	.C(n_855),
+	.Y(brqrv_top_brqrv_dec_tlu_flush_path_r[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g645753 (
+	.A(n_43425),
+	.Y(n_910), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 g645754 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[2]),
+	.B(n_567),
+	.CIN(n_684),
+	.COUT(n_35689),
+	.SUM(n_35367), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 g645755 (
+	.A(n_77),
+	.B(n_146),
+	.CIN(n_759),
+	.COUT(n_912),
+	.SUM(n_908), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g645756 (
+	.A1(n_804),
+	.A2(n_160),
+	.B1(brqrv_top_brqrv_dec_tlu_mhpme3[0]),
+	.Y(n_907), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g645757 (
+	.A(brqrv_top_brqrv_i0_ap[5]),
+	.B(n_130),
+	.Y(n_906), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g645759 (
+	.A(n_869),
+	.B(n_66),
+	.X(n_904), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645760 (
+	.A(n_864),
+	.B(n_196),
+	.Y(n_903), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645761 (
+	.A(n_634),
+	.B(n_849),
+	.Y(n_902), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g645762 (
+	.A1(n_840),
+	.A2(n_807),
+	.B1(n_34233),
+	.Y(n_901), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g645763 (
+	.A1(n_25),
+	.A2(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[28]),
+	.B1(n_37921),
+	.B2(n_364),
+	.C1(n_795),
+	.Y(n_900), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g645764 (
+	.A1(n_34233),
+	.A2(n_840),
+	.B1(n_229),
+	.Y(n_899), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g645765 (
+	.A1(n_25),
+	.A2(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[30]),
+	.B1(n_37923),
+	.B2(n_364),
+	.C1(n_800),
+	.Y(n_898), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g645766 (
+	.A1(n_837),
+	.A2(n_37958),
+	.B1(n_870),
+	.Y(n_897), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g645767 (
+	.A(n_725),
+	.B(n_862),
+	.Y(n_896), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g645768 (
+	.A(n_768),
+	.B(n_160),
+	.C(n_170),
+	.D(n_366),
+	.Y(n_34929), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g645769 (
+	.A(n_820),
+	.B(n_709),
+	.C(n_796),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_5601),
+	.Y(n_895), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g645770 (
+	.A(n_854),
+	.B(n_286),
+	.C(n_285),
+	.D(n_441),
+	.Y(n_894), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g645771 (
+	.A1(n_37348),
+	.A2(n_552),
+	.B1(n_851),
+	.Y(n_893), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g645772 (
+	.A(n_853),
+	.B(n_43993),
+	.C(n_730),
+	.Y(n_892), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g645773 (
+	.A(n_750),
+	.B(n_689),
+	.C(n_802),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_5424),
+	.X(n_891), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g645774 (
+	.A(brqrv_top_brqrv_i0_ap[5]),
+	.B(n_212),
+	.Y(n_911), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g645775 (
+	.A1(n_37924),
+	.A2(n_364),
+	.B1(n_25),
+	.B2(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[31]),
+	.C1(n_787),
+	.X(brqrv_top_brqrv_dec_tlu_flush_path_r[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g645776 (
+	.A1(n_37922),
+	.A2(n_364),
+	.B1(n_25),
+	.B2(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[29]),
+	.C1(n_779),
+	.X(brqrv_top_brqrv_dec_tlu_flush_path_r[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g645777 (
+	.A(n_706),
+	.B(n_797),
+	.C(n_824),
+	.Y(brqrv_top_brqrv_dec_tlu_flush_path_r[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g645778 (
+	.A1(n_37905),
+	.A2(n_364),
+	.B1(n_25),
+	.B2(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[12]),
+	.C1(n_798),
+	.X(brqrv_top_brqrv_dec_tlu_flush_path_r[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645780 (
+	.A(n_525),
+	.B(n_844),
+	.Y(brqrv_top_brqrv_dec_tlu_flush_path_r[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g645781 (
+	.A(n_703),
+	.B(n_751),
+	.C(n_848),
+	.Y(n_890), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645782 (
+	.A(n_529),
+	.B(n_845),
+	.Y(brqrv_top_brqrv_dec_tlu_flush_path_r[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g645783 (
+	.A1(n_37913),
+	.A2(n_364),
+	.B1(n_25),
+	.B2(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[20]),
+	.C1(n_789),
+	.X(brqrv_top_brqrv_dec_tlu_flush_path_r[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645784 (
+	.A(n_531),
+	.B(n_847),
+	.Y(brqrv_top_brqrv_dec_tlu_flush_path_r[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g645785 (
+	.A1(n_166),
+	.A2(n_827),
+	.B1(n_35142),
+	.B2(n_830),
+	.Y(n_889), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g645786 (
+	.A1(n_216),
+	.A2(n_829),
+	.B1(n_35140),
+	.B2(n_831),
+	.Y(n_888), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g645787 (
+	.A1(n_172),
+	.A2(n_827),
+	.B1(n_34235),
+	.B2(n_830),
+	.Y(n_887), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g645788 (
+	.A1(n_165),
+	.A2(n_827),
+	.B1(n_35136),
+	.B2(n_835),
+	.Y(n_886), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g645789 (
+	.A1(n_163),
+	.A2(n_827),
+	.B1(n_34239),
+	.B2(n_835),
+	.Y(n_885), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g645790 (
+	.A1(n_166),
+	.A2(n_835),
+	.B1(n_35142),
+	.B2(n_834),
+	.Y(n_884), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g645791 (
+	.A1_N(n_35140),
+	.A2_N(n_807),
+	.B1(n_217),
+	.B2(n_810),
+	.Y(n_883), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g645792 (
+	.A1(n_172),
+	.A2(n_835),
+	.B1(n_34235),
+	.B2(n_834),
+	.Y(n_882), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g645793 (
+	.A1(n_34),
+	.A2(n_215),
+	.B1(n_808),
+	.B2(n_161),
+	.Y(n_881), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g645794 (
+	.A1(n_34),
+	.A2(n_158),
+	.B1(n_808),
+	.B2(n_218),
+	.Y(n_880), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g645795 (
+	.A1_N(n_34236),
+	.A2_N(n_807),
+	.B1(n_158),
+	.B2(n_810),
+	.Y(n_879), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g645796 (
+	.A1(n_35136),
+	.A2(n_834),
+	.B1(n_165),
+	.B2(n_830),
+	.Y(n_878), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g645797 (
+	.A1_N(n_35133),
+	.A2_N(n_807),
+	.B1(n_215),
+	.B2(n_810),
+	.Y(n_877), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g645798 (
+	.A1(n_216),
+	.A2(n_832),
+	.B1(n_35140),
+	.B2(n_809),
+	.Y(n_876), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g645799 (
+	.A1(n_219),
+	.A2(n_829),
+	.B1(n_34233),
+	.B2(n_831),
+	.Y(n_875), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g645800 (
+	.A1(n_219),
+	.A2(n_832),
+	.B1(n_34233),
+	.B2(n_809),
+	.Y(n_874), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g645801 (
+	.A1(n_34239),
+	.A2(n_834),
+	.B1(n_163),
+	.B2(n_830),
+	.Y(n_873), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g645802 (
+	.A1(n_157),
+	.A2(n_829),
+	.B1(n_34236),
+	.B2(n_831),
+	.Y(n_872), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g645803 (
+	.A1(n_214),
+	.A2(n_829),
+	.B1(n_35133),
+	.B2(n_831),
+	.Y(n_871), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g645804 (
+	.A1(n_37907),
+	.A2(n_364),
+	.B1(n_25),
+	.B2(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[14]),
+	.C1(n_782),
+	.X(brqrv_top_brqrv_dec_tlu_flush_path_r[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g645805 (
+	.A1(n_37914),
+	.A2(n_364),
+	.B1(n_25),
+	.B2(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[21]),
+	.C1(n_791),
+	.X(brqrv_top_brqrv_dec_tlu_flush_path_r[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g645806 (
+	.A1(n_37916),
+	.A2(n_364),
+	.B1(n_25),
+	.B2(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[23]),
+	.C1(n_792),
+	.X(brqrv_top_brqrv_dec_tlu_flush_path_r[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g645807 (
+	.A1(n_37917),
+	.A2(n_364),
+	.B1(n_25),
+	.B2(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[24]),
+	.C1(n_803),
+	.X(brqrv_top_brqrv_dec_tlu_flush_path_r[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g645808 (
+	.A1(n_37906),
+	.A2(n_364),
+	.B1(n_25),
+	.B2(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[13]),
+	.C1(n_778),
+	.X(brqrv_top_brqrv_dec_tlu_flush_path_r[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g645809 (
+	.A1(n_37915),
+	.A2(n_364),
+	.B1(n_25),
+	.B2(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[22]),
+	.C1(n_794),
+	.X(brqrv_top_brqrv_dec_tlu_flush_path_r[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g645810 (
+	.A1(n_37908),
+	.A2(n_364),
+	.B1(n_25),
+	.B2(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[15]),
+	.C1(n_783),
+	.X(brqrv_top_brqrv_dec_tlu_flush_path_r[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g645811 (
+	.A1(n_37909),
+	.A2(n_364),
+	.B1(n_25),
+	.B2(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[16]),
+	.C1(n_784),
+	.X(brqrv_top_brqrv_dec_tlu_flush_path_r[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g645812 (
+	.A1(n_37910),
+	.A2(n_364),
+	.B1(n_25),
+	.B2(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[17]),
+	.C1(n_785),
+	.X(brqrv_top_brqrv_dec_tlu_flush_path_r[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g645813 (
+	.A1(n_37911),
+	.A2(n_364),
+	.B1(n_25),
+	.B2(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[18]),
+	.C1(n_786),
+	.X(brqrv_top_brqrv_dec_tlu_flush_path_r[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g645814 (
+	.A1(n_37912),
+	.A2(n_364),
+	.B1(n_25),
+	.B2(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[19]),
+	.C1(n_788),
+	.X(brqrv_top_brqrv_dec_tlu_flush_path_r[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g645815 (
+	.A(n_52),
+	.Y(n_870), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g645817 (
+	.A(n_868),
+	.Y(brqrv_top_brqrv_i0_ap[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645818 (
+	.A(n_811),
+	.B(brqrv_top_brqrv_dec_lsu_valid_raw_d),
+	.Y(n_866), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g645820 (
+	.A1(n_765),
+	.A2(n_37956),
+	.B1(n_839),
+	.Y(n_865), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645821 (
+	.A(n_838),
+	.B(n_33888),
+	.Y(n_864), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g645822 (
+	.A1(n_86),
+	.A2(n_644),
+	.B1(n_836),
+	.Y(n_863), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g645823 (
+	.A(n_92),
+	.B(n_727),
+	.Y(n_862), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g645824 (
+	.A1(n_516),
+	.A2(n_733),
+	.B1(n_801),
+	.Y(n_861), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645825 (
+	.A(n_790),
+	.B(n_23),
+	.Y(n_34921), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g645826 (
+	.A(n_295),
+	.B(n_740),
+	.C(n_274),
+	.D(n_451),
+	.Y(n_860), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g645827 (
+	.A(n_384),
+	.B_N(n_833),
+	.Y(n_859), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645828 (
+	.A(n_781),
+	.B(n_373),
+	.Y(n_34923), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645829 (
+	.A(n_780),
+	.B(n_374),
+	.Y(n_34922), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g645830 (
+	.A(n_386),
+	.B_N(n_833),
+	.Y(n_858), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645831 (
+	.A(n_799),
+	.B(n_371),
+	.Y(n_34920), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g645832 (
+	.A1(n_747),
+	.A2(n_640),
+	.B1(n_157),
+	.X(n_35075), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g645833 (
+	.A1(n_746),
+	.A2(n_639),
+	.B1(n_214),
+	.X(n_35074), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645834 (
+	.A(n_51),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_72),
+	.Y(n_52), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645835 (
+	.A(n_804),
+	.B(brqrv_top_brqrv_dec_decode_n_762),
+	.Y(n_869), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g645836 (
+	.A1(n_712),
+	.A2(n_756),
+	.B1_N(n_36248),
+	.Y(n_868), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645837 (
+	.A(n_806),
+	.B(brqrv_top_brqrv_dec_decode_n_762),
+	.Y(n_867), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g645838 (
+	.A(n_857),
+	.Y(n_856), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4_1 g645839 (
+	.A(n_825),
+	.B(n_626),
+	.C(n_526),
+	.D(n_580),
+	.X(n_855), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g645840 (
+	.A(n_686),
+	.B(n_691),
+	.C(n_687),
+	.D(n_688),
+	.Y(n_854), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g645841 (
+	.A(n_819),
+	.B(n_657),
+	.Y(n_853), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g645842 (
+	.A(n_35142),
+	.B(n_549),
+	.C_N(n_768),
+	.Y(n_337704_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3b_1 g645843 (
+	.A(n_34238),
+	.B(n_542),
+	.C_N(n_768),
+	.X(n_34927), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3b_1 g645844 (
+	.A(n_35135),
+	.B(n_540),
+	.C_N(n_768),
+	.X(n_34928), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g645845 (
+	.A1(n_34233),
+	.A2(n_749),
+	.B1(n_219),
+	.B2(n_762),
+	.Y(n_852), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645846 (
+	.A(n_823),
+	.B(n_15),
+	.Y(n_851), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4_1 g645847 (
+	.A(n_826),
+	.B(n_630),
+	.C(n_528),
+	.D(n_589),
+	.X(n_850), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g645848 (
+	.A(n_664),
+	.B(n_817),
+	.Y(n_849), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g645849 (
+	.A(n_793),
+	.B(n_652),
+	.C(n_651),
+	.Y(n_848), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g645850 (
+	.A1(n_715),
+	.A2(brqrv_top_brqrv_dec_tlu_mtvec[26]),
+	.B1(n_25),
+	.B2(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[27]),
+	.C1(n_745),
+	.Y(n_847), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g645851 (
+	.A(n_734),
+	.B(n_648),
+	.C(n_647),
+	.D(n_662),
+	.Y(n_846), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g645852 (
+	.A1(n_715),
+	.A2(brqrv_top_brqrv_dec_tlu_mtvec[25]),
+	.B1(n_25),
+	.B2(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[26]),
+	.C1(n_744),
+	.Y(n_845), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g645853 (
+	.A1(n_715),
+	.A2(brqrv_top_brqrv_dec_tlu_mtvec[24]),
+	.B1(n_25),
+	.B2(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[25]),
+	.C1(n_743),
+	.Y(n_844), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g645854 (
+	.A1(n_34236),
+	.A2(n_749),
+	.B1(n_157),
+	.B2(n_762),
+	.X(n_843), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g645855 (
+	.A1(n_35133),
+	.A2(n_749),
+	.B1(n_214),
+	.B2(n_762),
+	.X(n_842), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g645856 (
+	.A1(n_35140),
+	.A2(n_749),
+	.B1(n_216),
+	.B2(n_762),
+	.Y(n_841), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g645857 (
+	.A1(n_566),
+	.A2(n_777),
+	.B1(n_35702),
+	.X(brqrv_top_brqrv_lsu_stbuf_stbuf_numvld_any[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g645858 (
+	.A1(n_761),
+	.A2(n_775),
+	.B1(n_770),
+	.Y(n_857), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g645861 (
+	.A(n_51),
+	.Y(n_837), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g645862 (
+	.A(n_34),
+	.Y(n_832), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g645863 (
+	.A_N(n_34683),
+	.B(brqrv_top_brqrv_dec_tlu_mtvec[10]),
+	.Y(n_826), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g645864 (
+	.A_N(n_34683),
+	.B(brqrv_top_brqrv_dec_tlu_mtvec[9]),
+	.Y(n_825), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g645865 (
+	.A_N(n_34683),
+	.B(brqrv_top_brqrv_dec_tlu_mtvec[1]),
+	.Y(n_824), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g645866 (
+	.A(n_739),
+	.B(n_670),
+	.Y(n_823), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g645867 (
+	.A1(n_724),
+	.A2(n_37954),
+	.B1(n_776),
+	.Y(n_822), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g645869 (
+	.A(n_405),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_valid),
+	.C(n_266),
+	.D(n_591),
+	.Y(n_820), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g645870 (
+	.A1(n_478),
+	.A2(n_37325),
+	.B1(n_29),
+	.C1(n_620),
+	.Y(n_819), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g645871 (
+	.A(n_395),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_valid),
+	.C(n_316),
+	.D(n_592),
+	.Y(n_818), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g645872 (
+	.A1(n_481),
+	.A2(n_37332),
+	.B1(n_731),
+	.Y(n_817), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g645873 (
+	.A1(n_558),
+	.A2(n_88),
+	.B1_N(n_539),
+	.Y(n_816), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g645874 (
+	.A_N(n_550),
+	.B(n_764),
+	.Y(n_815), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g645875 (
+	.A_N(n_551),
+	.B(n_764),
+	.Y(n_814), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g645876 (
+	.A(n_766),
+	.B_N(n_380),
+	.Y(n_813), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g645877 (
+	.A(n_766),
+	.B_N(n_381),
+	.Y(n_812), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645878 (
+	.A(n_764),
+	.B(n_11),
+	.Y(n_840), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g645879 (
+	.A(n_37956),
+	.B(n_765),
+	.Y(n_839), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g645880 (
+	.A1(n_239),
+	.A2(n_692),
+	.B1(n_35682),
+	.Y(n_838), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645881 (
+	.A(n_755),
+	.B(n_365),
+	.Y(n_35688), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_2 g645882 (
+	.A(n_205),
+	.B(n_765),
+	.Y(n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645883 (
+	.A(n_644),
+	.B(n_86),
+	.Y(n_836), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645884 (
+	.A(n_777),
+	.B(n_566),
+	.Y(n_35702), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g645885 (
+	.A_N(n_761),
+	.B(n_32),
+	.Y(n_835), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g645886 (
+	.A_N(n_775),
+	.B(n_32),
+	.Y(n_834), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g645887 (
+	.A(n_773),
+	.B(n_770),
+	.Y(n_833), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645889 (
+	.A(n_32),
+	.B(n_771),
+	.Y(n_831), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g645890 (
+	.A_N(n_770),
+	.B(n_771),
+	.Y(n_830), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g645891 (
+	.A(n_767),
+	.B(n_720),
+	.C(n_718),
+	.Y(n_829), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645892 (
+	.A(n_772),
+	.B(n_771),
+	.Y(n_828), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645893 (
+	.A(n_32),
+	.B(n_774),
+	.Y(n_827), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g645894 (
+	.A(n_809),
+	.Y(n_808), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g645895 (
+	.A(n_806),
+	.Y(n_807), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g645896 (
+	.A(n_805),
+	.Y(n_804), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g645897 (
+	.A1(n_715),
+	.A2(brqrv_top_brqrv_dec_tlu_mtvec[23]),
+	.B1(n_363),
+	.B2(brqrv_top_brqrv_dec_tlu_npc_r[24]),
+	.C1(n_656),
+	.X(n_803), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g645898 (
+	.A(n_292),
+	.B(n_742),
+	.C(n_254),
+	.D(n_465),
+	.Y(n_802), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111oi_0 g645899 (
+	.A1(n_674),
+	.A2(brqrv_top_brqrv_dec_dec_i0_instr_d[4]),
+	.B1(brqrv_top_brqrv_dec_decode_i0_dec_n_72),
+	.C1(n_35706),
+	.D1(brqrv_top_brqrv_dec_decode_i0_dec_n_75),
+	.Y(n_801), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g645900 (
+	.A1(n_715),
+	.A2(brqrv_top_brqrv_dec_tlu_mtvec[29]),
+	.B1(n_363),
+	.B2(brqrv_top_brqrv_dec_tlu_npc_r[30]),
+	.C1(n_702),
+	.X(n_800), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g645901 (
+	.A1(n_35142),
+	.A2(n_569),
+	.B1(n_732),
+	.Y(n_799), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g645902 (
+	.A1(n_715),
+	.A2(brqrv_top_brqrv_dec_tlu_mtvec[11]),
+	.B1(n_363),
+	.B2(n_43061),
+	.C1(n_682),
+	.X(n_798), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4_1 g645903 (
+	.A(n_623),
+	.B(n_622),
+	.C(n_524),
+	.D(n_578),
+	.X(n_797), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g645904 (
+	.A(n_263),
+	.B(n_748),
+	.C(n_262),
+	.D(n_444),
+	.Y(n_796), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g645905 (
+	.A1(n_715),
+	.A2(brqrv_top_brqrv_dec_tlu_mtvec[27]),
+	.B1(n_363),
+	.B2(brqrv_top_brqrv_dec_tlu_npc_r[28]),
+	.C1(n_600),
+	.X(n_795), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g645906 (
+	.A1(n_715),
+	.A2(brqrv_top_brqrv_dec_tlu_mtvec[21]),
+	.B1(n_363),
+	.B2(brqrv_top_brqrv_dec_tlu_npc_r[22]),
+	.C1(n_655),
+	.X(n_794), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g645907 (
+	.A(n_753),
+	.B(n_570),
+	.C(n_572),
+	.Y(n_793), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g645908 (
+	.A1(n_715),
+	.A2(brqrv_top_brqrv_dec_tlu_mtvec[22]),
+	.B1(n_363),
+	.B2(brqrv_top_brqrv_dec_tlu_npc_r[23]),
+	.C1(n_679),
+	.X(n_792), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g645909 (
+	.A1(n_715),
+	.A2(brqrv_top_brqrv_dec_tlu_mtvec[20]),
+	.B1(n_363),
+	.B2(brqrv_top_brqrv_dec_tlu_npc_r[21]),
+	.C1(n_681),
+	.X(n_791), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g645910 (
+	.A1(n_34235),
+	.A2(n_568),
+	.B1(n_729),
+	.Y(n_790), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g645911 (
+	.A1(n_715),
+	.A2(brqrv_top_brqrv_dec_tlu_mtvec[19]),
+	.B1(n_363),
+	.B2(brqrv_top_brqrv_dec_tlu_npc_r[20]),
+	.C1(n_680),
+	.X(n_789), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g645912 (
+	.A1(n_715),
+	.A2(brqrv_top_brqrv_dec_tlu_mtvec[18]),
+	.B1(n_363),
+	.B2(brqrv_top_brqrv_dec_tlu_npc_r[19]),
+	.C1(n_678),
+	.X(n_788), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g645913 (
+	.A1(n_715),
+	.A2(brqrv_top_brqrv_dec_tlu_mtvec[30]),
+	.B1(n_363),
+	.B2(brqrv_top_brqrv_dec_tlu_npc_r[31]),
+	.C1(n_694),
+	.X(n_787), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g645914 (
+	.A1(n_715),
+	.A2(brqrv_top_brqrv_dec_tlu_mtvec[17]),
+	.B1(n_363),
+	.B2(brqrv_top_brqrv_dec_tlu_npc_r[18]),
+	.C1(n_676),
+	.X(n_786), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g645915 (
+	.A1(n_715),
+	.A2(brqrv_top_brqrv_dec_tlu_mtvec[16]),
+	.B1(n_363),
+	.B2(brqrv_top_brqrv_dec_tlu_npc_r[17]),
+	.C1(n_675),
+	.X(n_785), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g645916 (
+	.A1(n_715),
+	.A2(brqrv_top_brqrv_dec_tlu_mtvec[15]),
+	.B1(n_363),
+	.B2(brqrv_top_brqrv_dec_tlu_npc_r[16]),
+	.C1(n_673),
+	.X(n_784), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g645917 (
+	.A1(n_715),
+	.A2(brqrv_top_brqrv_dec_tlu_mtvec[14]),
+	.B1(n_363),
+	.B2(brqrv_top_brqrv_dec_tlu_npc_r[15]),
+	.C1(n_671),
+	.X(n_783), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g645918 (
+	.A1(n_715),
+	.A2(brqrv_top_brqrv_dec_tlu_mtvec[13]),
+	.B1(n_363),
+	.B2(brqrv_top_brqrv_dec_tlu_npc_r[14]),
+	.C1(n_669),
+	.X(n_782), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g645919 (
+	.A1(n_34239),
+	.A2(n_579),
+	.B1(n_738),
+	.Y(n_781), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g645920 (
+	.A1(n_35136),
+	.A2(n_593),
+	.B1(n_737),
+	.Y(n_780), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g645921 (
+	.A1(n_715),
+	.A2(brqrv_top_brqrv_dec_tlu_mtvec[28]),
+	.B1(n_363),
+	.B2(brqrv_top_brqrv_dec_tlu_npc_r[29]),
+	.C1(n_693),
+	.X(n_779), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g645922 (
+	.A1(n_715),
+	.A2(brqrv_top_brqrv_dec_tlu_mtvec[12]),
+	.B1(n_363),
+	.B2(brqrv_top_brqrv_dec_tlu_npc_r[13]),
+	.C1(n_667),
+	.X(n_778), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g645923 (
+	.A(n_683),
+	.B(brqrv_top_dccm_rd_addr_hi[2]),
+	.Y(n_811), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g645924 (
+	.A(n_723),
+	.B(n_720),
+	.C(n_761),
+	.Y(n_810), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g645925 (
+	.A(n_767),
+	.B(n_720),
+	.C(n_717),
+	.Y(n_809), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g645926 (
+	.A(n_718),
+	.B(n_720),
+	.C_N(n_767),
+	.Y(n_806), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g645927 (
+	.A(n_767),
+	.B(n_719),
+	.C(n_718),
+	.Y(n_805), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g645928 (
+	.A(n_773),
+	.Y(n_774), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 g645931 (
+	.A(n_33326),
+	.B(n_131),
+	.CIN(n_198),
+	.COUT(n_759),
+	.SUM(n_760), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 g645932 (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_vld[0]),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_vld[2]),
+	.CIN(n_533),
+	.COUT(n_777),
+	.SUM(brqrv_top_brqrv_lsu_stbuf_stbuf_numvld_any[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 g645933 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_valid),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_3467),
+	.CIN(n_34869),
+	.COUT(n_757),
+	.SUM(n_758), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g645934 (
+	.A(n_35706),
+	.B(n_710),
+	.Y(n_756), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g645935 (
+	.A1(n_149),
+	.A2(n_603),
+	.B1(n_34727),
+	.Y(n_755), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g645936 (
+	.A1(n_588),
+	.A2(n_330),
+	.B1(n_34875),
+	.Y(n_754), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g645937 (
+	.A(n_43068),
+	.B(n_35866),
+	.Y(n_753), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g645938 (
+	.A(n_666),
+	.B(n_637),
+	.Y(n_752), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g645939 (
+	.A(n_596),
+	.B(n_653),
+	.Y(n_751), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g645940 (
+	.A(n_396),
+	.B(n_515),
+	.C(n_247),
+	.D(n_517),
+	.Y(n_750), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g645941 (
+	.A(n_37954),
+	.B(n_724),
+	.Y(n_776), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645942 (
+	.A(n_722),
+	.B(n_717),
+	.Y(n_775), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645943 (
+	.A(n_718),
+	.B(n_722),
+	.Y(n_773), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g645944 (
+	.A(n_719),
+	.B(n_723),
+	.Y(n_772), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g645945 (
+	.A(n_722),
+	.B(n_718),
+	.Y(n_771), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645946 (
+	.A(n_720),
+	.B(n_723),
+	.Y(n_770), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645947 (
+	.A(brqrv_top_brqrv_dec_tlu_n_3314),
+	.B(brqrv_top_brqrv_exu_pmu_i0_pc4),
+	.Y(n_769), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645948 (
+	.A(n_715),
+	.B(n_61),
+	.Y(n_34683), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g645949 (
+	.A(brqrv_top_brqrv_exu_pmu_i0_pc4),
+	.B(n_34619),
+	.Y(n_768), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g645950 (
+	.A(n_721),
+	.B(n_723),
+	.Y(n_767), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645951 (
+	.A(brqrv_top_brqrv_dec_tlu_n_3314),
+	.B(brqrv_top_brqrv_dec_tlu_lsu_pmu_load_external_r),
+	.Y(n_766), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g645953 (
+	.A(n_725),
+	.B(n_201),
+	.Y(n_765), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g645954 (
+	.A(n_34514),
+	.B(n_34619),
+	.Y(n_764), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645955 (
+	.A(brqrv_top_brqrv_dec_tlu_n_3314),
+	.B(brqrv_top_brqrv_exu_pmu_i0_br_ataken),
+	.Y(n_763), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645956 (
+	.A(brqrv_top_brqrv_dec_tlu_n_3314),
+	.B(brqrv_top_brqrv_exu_i0_br_mp_r),
+	.Y(n_762), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645957 (
+	.A(n_718),
+	.B(n_721),
+	.Y(n_761), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g645958 (
+	.A(n_261),
+	.B(n_443),
+	.C(n_575),
+	.D(n_704),
+	.Y(n_748), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4b_1 g645959 (
+	.A_N(n_10),
+	.B(brqrv_top_brqrv_dec_tlu_n_3314),
+	.C(brqrv_top_brqrv_dec_tlu_lsu_pmu_store_external_r),
+	.D(n_222),
+	.Y(n_747), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4b_1 g645960 (
+	.A_N(n_1),
+	.B(brqrv_top_brqrv_dec_tlu_n_3314),
+	.C(brqrv_top_brqrv_dec_tlu_lsu_pmu_store_external_r),
+	.D(n_223),
+	.Y(n_746), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g645961 (
+	.A(n_536),
+	.B(n_530),
+	.C(n_460),
+	.D(n_349),
+	.Y(n_745), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g645962 (
+	.A(n_536),
+	.B(n_527),
+	.C(n_453),
+	.D(n_345),
+	.Y(n_744), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g645963 (
+	.A(n_536),
+	.B(n_523),
+	.C(n_445),
+	.D(n_360),
+	.Y(n_743), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g645964 (
+	.A(n_584),
+	.B(n_583),
+	.C(n_586),
+	.D(n_582),
+	.Y(n_742), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g645965 (
+	.A(n_13),
+	.B(n_661),
+	.Y(n_741), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g645966 (
+	.A(n_601),
+	.B(n_577),
+	.C(n_574),
+	.D(n_576),
+	.Y(n_740), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645967 (
+	.A(n_638),
+	.B(n_42),
+	.Y(n_739), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g645968 (
+	.A1(brqrv_top_brqrv_dec_tlu_n_3813),
+	.A2(n_383),
+	.B1(n_698),
+	.Y(n_738), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g645969 (
+	.A1(brqrv_top_brqrv_dec_tlu_n_3813),
+	.A2(n_385),
+	.B1(n_697),
+	.Y(n_737), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g645970 (
+	.A1(n_602),
+	.A2(n_37952),
+	.B1(n_727),
+	.Y(n_736), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g645971 (
+	.A(n_182),
+	.B(n_542),
+	.C(n_34619),
+	.Y(n_281974_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g645972 (
+	.A(n_179),
+	.B(n_540),
+	.C(n_34619),
+	.Y(n_281893_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g645973 (
+	.A1(n_151),
+	.A2(n_545),
+	.B1(n_78),
+	.B2(n_604),
+	.Y(n_735), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g645974 (
+	.A(n_166),
+	.B(n_549),
+	.C(n_34619),
+	.X(n_34167), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g645975 (
+	.A(n_34234),
+	.B(n_398),
+	.C(n_34619),
+	.Y(n_281947_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g645976 (
+	.A(n_14),
+	.B(n_631),
+	.Y(n_734), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g645977 (
+	.A1(n_208),
+	.A2(n_228),
+	.B1(n_325),
+	.B2(n_126),
+	.C1(n_587),
+	.Y(n_733), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g645978 (
+	.A1(n_32502),
+	.A2(n_382),
+	.B1(brqrv_top_brqrv_dec_tlu_n_3813),
+	.B2(n_393),
+	.C1(n_571),
+	.Y(n_732), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g645979 (
+	.A1(n_37331),
+	.A2(n_490),
+	.B1(n_663),
+	.Y(n_731), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g645980 (
+	.A1(n_37328),
+	.A2(n_469),
+	.B1(n_658),
+	.Y(n_730), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g645981 (
+	.A1(n_32502),
+	.A2(n_389),
+	.B1(brqrv_top_brqrv_dec_tlu_n_3813),
+	.B2(n_388),
+	.C1(n_573),
+	.Y(n_729), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g645982 (
+	.A_N(\brqrv_top_brqrv_dec_tlu_freeff_dff_dout[3]_63 ),
+	.B(brqrv_top_brqrv_dec_tlu_n_3314),
+	.C(brqrv_top_brqrv_dec_decode_r_t[7]),
+	.Y(n_749), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g645983 (
+	.A(n_726),
+	.Y(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g645984 (
+	.A(n_725),
+	.Y(n_724), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g645986 (
+	.A(n_722),
+	.Y(n_721), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g645987 (
+	.A(n_720),
+	.Y(n_719), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g645988 (
+	.A(n_718),
+	.Y(n_717), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g645989 (
+	.A(brqrv_top_brqrv_dec_tlu_n_3314),
+	.Y(n_34619), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_0 g645990 (
+	.A(n_34726),
+	.B(brqrv_top_brqrv_ifu_i0_pc[3]),
+	.X(n_714), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g645991 (
+	.A(n_608),
+	.B(brqrv_top_brqrv_ifu_i0_pc[3]),
+	.Y(n_713), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g645992 (
+	.A1(brqrv_top_brqrv_dec_dec_i0_instr_d[5]),
+	.A2(n_419),
+	.B1(n_213),
+	.B2(n_34826),
+	.Y(n_712), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g645993 (
+	.A(n_175),
+	.B(n_225),
+	.C_N(n_565),
+	.Y(n_711), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g645994 (
+	.A1(n_437),
+	.A2(brqrv_top_brqrv_dec_dec_i0_instr_d[5]),
+	.B1(n_327),
+	.Y(n_710), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g645995 (
+	.A(n_267),
+	.B(n_446),
+	.C(n_253),
+	.Y(n_709), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g645996 (
+	.A_N(n_34685),
+	.B(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[11]),
+	.Y(n_708), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g645997 (
+	.A_N(n_34685),
+	.B(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[10]),
+	.Y(n_707), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g645998 (
+	.A_N(n_34685),
+	.B(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[2]),
+	.Y(n_706), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g645999 (
+	.A(n_177),
+	.B(n_225),
+	.C_N(n_556),
+	.Y(n_705), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g646000 (
+	.A(n_590),
+	.B(n_519),
+	.C(n_256),
+	.D(n_257),
+	.Y(n_704), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g646001 (
+	.A(n_598),
+	.B(n_597),
+	.Y(n_703), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g646002 (
+	.A_N(n_397),
+	.B(n_337),
+	.C(n_438),
+	.Y(n_702), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g646003 (
+	.A1(n_539),
+	.A2(n_37950),
+	.B1(n_646),
+	.Y(n_701), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g646004 (
+	.A(n_264),
+	.B(n_452),
+	.C(n_275),
+	.Y(n_700), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g646005 (
+	.A1(n_236),
+	.A2(brqrv_top_brqrv_ifu_i0_pc[15]),
+	.B1(n_644),
+	.X(n_699), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g646006 (
+	.A(n_34235),
+	.B(n_394),
+	.C(n_34233),
+	.D(n_227),
+	.Y(n_281812_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g646007 (
+	.A(n_35142),
+	.B(n_399),
+	.C(n_35140),
+	.D(n_227),
+	.X(n_35077), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g646008 (
+	.A1(n_402),
+	.A2(n_35629),
+	.B1(n_585),
+	.Y(n_698), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g646009 (
+	.A1(n_404),
+	.A2(n_35629),
+	.B1(n_594),
+	.Y(n_697), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g646010 (
+	.A_N(n_35138),
+	.B(brqrv_top_brqrv_ifu_pmu_bus_busy),
+	.C(n_176),
+	.Y(n_696), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g646011 (
+	.A_N(n_34215),
+	.B(brqrv_top_brqrv_dec_tlu_dec_tlu_pmu_fw_halted),
+	.C(n_220),
+	.Y(n_695), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g646012 (
+	.A(n_426),
+	.B(n_371),
+	.C(n_2),
+	.X(n_332675_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g646013 (
+	.A(n_423),
+	.B(n_23),
+	.C(n_11),
+	.X(n_332687_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g646014 (
+	.A(n_536),
+	.B(n_353),
+	.C(n_522),
+	.Y(n_694), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g646015 (
+	.A(n_536),
+	.B(n_361),
+	.C(n_467),
+	.Y(n_693), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g646016 (
+	.A1(n_35673),
+	.A2(n_33892),
+	.B1_N(n_35683),
+	.Y(n_692), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g646017 (
+	.A(n_498),
+	.B(n_455),
+	.C(n_278),
+	.D(n_454),
+	.Y(n_691), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g646018 (
+	.A_N(n_34213),
+	.B(brqrv_top_brqrv_dec_tlu_dec_tlu_pmu_fw_halted),
+	.C(n_217),
+	.Y(n_690), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g646019 (
+	.A(n_294),
+	.B(n_466),
+	.C(n_293),
+	.Y(n_689), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g646020 (
+	.A(n_284),
+	.B(n_458),
+	.C(n_283),
+	.Y(n_688), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g646021 (
+	.A(n_581),
+	.B(n_457),
+	.C(n_282),
+	.D(n_249),
+	.Y(n_687), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g646022 (
+	.A(n_456),
+	.B(n_279),
+	.C(n_252),
+	.D(n_248),
+	.Y(n_686), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g646023 (
+	.A1(n_78),
+	.A2(n_545),
+	.B1(n_645),
+	.X(n_728), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g646024 (
+	.A(n_37952),
+	.B(n_602),
+	.Y(n_727), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g646025 (
+	.A(n_604),
+	.B_N(n_470),
+	.Y(n_685), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g646026 (
+	.A1(n_429),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_n_10656 ),
+	.B1(n_144),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [11]),
+	.Y(n_726), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g646027 (
+	.A(n_35854),
+	.B(brqrv_top_brqrv_ifu_i0_pc[1]),
+	.X(n_684), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_2 g646028 (
+	.A(n_12),
+	.B(n_602),
+	.Y(n_725), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g646029 (
+	.A(\brqrv_top_brqrv_dec_tlu_freeff_dff_dout[3]_63 ),
+	.B(n_34701),
+	.C_N(brqrv_top_brqrv_dec_decode_r_t[3]),
+	.Y(n_723), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g646030 (
+	.A(\brqrv_top_brqrv_dec_tlu_freeff_dff_dout[3]_63 ),
+	.B(n_34701),
+	.C_N(brqrv_top_brqrv_dec_decode_r_t[1]),
+	.Y(n_722), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g646031 (
+	.A(n_35475),
+	.B(n_34701),
+	.Y(n_720), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g646032 (
+	.A(n_33305),
+	.B(n_34701),
+	.Y(n_718), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g646033 (
+	.A(brqrv_top_brqrv_dec_tlu_illegal_r),
+	.B(n_34701),
+	.Y(brqrv_top_brqrv_dec_tlu_n_3314), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g646034 (
+	.A(n_641),
+	.B(n_329),
+	.X(n_715), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g646037 (
+	.A1(n_156),
+	.A2(brqrv_top_brqrv_dec_tlu_npc_r_d1[12]),
+	.B1(n_109),
+	.B2(brqrv_top_brqrv_dec_tlu_mepc[12]),
+	.C1(n_420),
+	.X(n_682), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g646038 (
+	.A(n_424),
+	.B(n_374),
+	.C(n_223),
+	.X(n_332711_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g646039 (
+	.A1(n_156),
+	.A2(brqrv_top_brqrv_dec_tlu_npc_r_d1[21]),
+	.B1(n_109),
+	.B2(brqrv_top_brqrv_dec_tlu_mepc[21]),
+	.C1(n_434),
+	.X(n_681), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g646040 (
+	.A1(n_156),
+	.A2(brqrv_top_brqrv_dec_tlu_npc_r_d1[20]),
+	.B1(n_109),
+	.B2(brqrv_top_brqrv_dec_tlu_mepc[20]),
+	.C1(n_432),
+	.X(n_680), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g646041 (
+	.A1(n_156),
+	.A2(brqrv_top_brqrv_dec_tlu_npc_r_d1[23]),
+	.B1(n_109),
+	.B2(brqrv_top_brqrv_dec_tlu_mepc[23]),
+	.C1(n_436),
+	.X(n_679), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g646042 (
+	.A1(n_156),
+	.A2(brqrv_top_brqrv_dec_tlu_npc_r_d1[19]),
+	.B1(n_109),
+	.B2(brqrv_top_brqrv_dec_tlu_mepc[19]),
+	.C1(n_430),
+	.X(n_678), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g646043 (
+	.A1_N(n_471),
+	.A2_N(n_37350),
+	.B1(n_471),
+	.B2(n_37350),
+	.Y(n_677), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g646044 (
+	.A1(n_156),
+	.A2(brqrv_top_brqrv_dec_tlu_npc_r_d1[18]),
+	.B1(n_109),
+	.B2(brqrv_top_brqrv_dec_tlu_mepc[18]),
+	.C1(n_428),
+	.X(n_676), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g646045 (
+	.A1(n_156),
+	.A2(brqrv_top_brqrv_dec_tlu_npc_r_d1[17]),
+	.B1(n_109),
+	.B2(brqrv_top_brqrv_dec_tlu_mepc[17]),
+	.C1(n_427),
+	.X(n_675), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o32ai_1 g646046 (
+	.A1(n_326),
+	.A2(n_34821),
+	.A3(n_34783),
+	.B1(brqrv_top_brqrv_dec_dec_i0_instr_d[24]),
+	.B2(n_34816),
+	.Y(n_674), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g646047 (
+	.A1(n_156),
+	.A2(brqrv_top_brqrv_dec_tlu_npc_r_d1[16]),
+	.B1(n_109),
+	.B2(brqrv_top_brqrv_dec_tlu_mepc[16]),
+	.C1(n_425),
+	.X(n_673), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 g646048 (
+	.A0(n_47),
+	.A1(n_37349),
+	.S(n_496),
+	.Y(n_672), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g646050 (
+	.A1(n_156),
+	.A2(brqrv_top_brqrv_dec_tlu_npc_r_d1[15]),
+	.B1(n_109),
+	.B2(brqrv_top_brqrv_dec_tlu_mepc[15]),
+	.C1(n_532),
+	.X(n_671), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 g646052 (
+	.A0(n_37334),
+	.A1(n_93),
+	.S(n_413),
+	.Y(n_670), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g646053 (
+	.A1(n_156),
+	.A2(brqrv_top_brqrv_dec_tlu_npc_r_d1[14]),
+	.B1(n_109),
+	.B2(brqrv_top_brqrv_dec_tlu_mepc[14]),
+	.C1(n_431),
+	.X(n_669), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 g646055 (
+	.A0(n_45),
+	.A1(n_37353),
+	.S(n_411),
+	.Y(n_668), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g646056 (
+	.A1(n_156),
+	.A2(brqrv_top_brqrv_dec_tlu_npc_r_d1[13]),
+	.B1(n_109),
+	.B2(brqrv_top_brqrv_dec_tlu_mepc[13]),
+	.C1(n_421),
+	.X(n_667), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g646057 (
+	.A1(n_476),
+	.A2(n_37341),
+	.B1(n_477),
+	.B2(n_37347),
+	.Y(n_666), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g646058 (
+	.A(n_636),
+	.B(n_635),
+	.Y(n_665), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g646059 (
+	.A1_N(n_43433),
+	.A2_N(n_488),
+	.B1(n_488),
+	.B2(n_43433),
+	.Y(n_664), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g646060 (
+	.A(n_422),
+	.B(n_373),
+	.C(n_222),
+	.X(n_332699_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g646061 (
+	.A1_N(n_486),
+	.A2_N(n_43435),
+	.B1(n_486),
+	.B2(n_43435),
+	.Y(n_663), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g646062 (
+	.A1(n_37340),
+	.A2(n_475),
+	.B1(n_633),
+	.Y(n_662), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g646064 (
+	.A1(n_485),
+	.A2(n_37336),
+	.B1(n_487),
+	.B2(n_37337),
+	.Y(n_661), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g646066 (
+	.A(n_624),
+	.B(n_625),
+	.Y(n_660), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g646068 (
+	.A1(n_480),
+	.A2(n_37327),
+	.B1(n_621),
+	.Y(n_658), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g646069 (
+	.A1(n_469),
+	.A2(n_37328),
+	.B1(n_483),
+	.B2(n_37329),
+	.Y(n_657), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g646071 (
+	.A1(n_156),
+	.A2(brqrv_top_brqrv_dec_tlu_npc_r_d1[24]),
+	.B1(n_109),
+	.B2(brqrv_top_brqrv_dec_tlu_mepc[24]),
+	.C1(n_433),
+	.X(n_656), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g646072 (
+	.A1(n_156),
+	.A2(brqrv_top_brqrv_dec_tlu_npc_r_d1[22]),
+	.B1(n_109),
+	.B2(brqrv_top_brqrv_dec_tlu_mepc[22]),
+	.C1(n_415),
+	.X(n_655), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g646073 (
+	.A1_N(n_473),
+	.A2_N(n_37351),
+	.B1(n_473),
+	.B2(n_37351),
+	.Y(n_654), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g646074 (
+	.A(n_609),
+	.B(n_505),
+	.Y(n_653), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g646075 (
+	.A(n_501),
+	.B(n_613),
+	.Y(n_652), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g646076 (
+	.A(n_616),
+	.B(n_499),
+	.Y(n_651), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g646077 (
+	.A1_N(n_559),
+	.A2_N(n_37345),
+	.B1(n_559),
+	.B2(n_37345),
+	.Y(n_650), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g646078 (
+	.A1_N(n_484),
+	.A2_N(n_37352),
+	.B1(n_484),
+	.B2(n_37352),
+	.Y(n_649), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g646079 (
+	.A1_N(n_482),
+	.A2_N(n_37346),
+	.B1(n_482),
+	.B2(n_37346),
+	.Y(n_648), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g646080 (
+	.A1_N(n_479),
+	.A2_N(n_37342),
+	.B1(n_479),
+	.B2(n_37342),
+	.Y(n_647), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g646082 (
+	.A1_N(n_320),
+	.A2_N(n_537),
+	.B1(n_320),
+	.B2(n_537),
+	.Y(n_683), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g646083 (
+	.A(n_643),
+	.Y(n_642), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g646084 (
+	.A(brqrv_top_brqrv_dec_tlu_interrupt_valid_r),
+	.B_N(brqrv_top_brqrv_dec_tlu_n_4284),
+	.Y(n_641), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g646085 (
+	.A_N(n_34218),
+	.B(brqrv_top_brqrv_dec_tlu_dec_tlu_pmu_fw_halted),
+	.Y(n_640), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g646086 (
+	.A_N(n_34211),
+	.B(brqrv_top_brqrv_dec_tlu_dec_tlu_pmu_fw_halted),
+	.Y(n_639), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g646087 (
+	.A(n_37332),
+	.B(n_481),
+	.Y(n_638), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g646088 (
+	.A(n_552),
+	.B(n_37348),
+	.Y(n_637), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g646089 (
+	.A(n_37355),
+	.B(n_472),
+	.Y(n_636), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g646090 (
+	.A(n_37341),
+	.B(n_476),
+	.Y(n_635), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g646091 (
+	.A(n_37347),
+	.B(n_477),
+	.Y(n_634), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g646092 (
+	.A(n_472),
+	.B(n_37355),
+	.Y(n_633), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g646093 (
+	.A_N(n_34684),
+	.B(n_37904),
+	.Y(n_632), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g646094 (
+	.A(n_475),
+	.B(n_37340),
+	.Y(n_631), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g646095 (
+	.A_N(n_34686),
+	.B(brqrv_top_brqrv_dec_tlu_npc_r[11]),
+	.Y(n_630), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g646096 (
+	.A(n_37344),
+	.B(n_489),
+	.Y(n_629), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g646097 (
+	.A(n_489),
+	.B(n_37344),
+	.Y(n_628), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g646099 (
+	.A_N(n_34686),
+	.B(brqrv_top_brqrv_dec_tlu_npc_r[10]),
+	.Y(n_626), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g646100 (
+	.A(n_37337),
+	.B(n_487),
+	.Y(n_625), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g646101 (
+	.A(n_37336),
+	.B(n_485),
+	.Y(n_624), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g646103 (
+	.A_N(n_34684),
+	.B(n_37895),
+	.Y(n_623), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g646104 (
+	.A_N(n_34686),
+	.B(brqrv_top_brqrv_dec_tlu_npc_r[2]),
+	.Y(n_622), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g646105 (
+	.A(n_37325),
+	.B(n_478),
+	.Y(n_621), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g646106 (
+	.A(n_37327),
+	.B(n_480),
+	.Y(n_620), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g646107 (
+	.A_N(n_34232),
+	.B(brqrv_top_brqrv_ifu_pmu_bus_busy),
+	.Y(n_619), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g646108 (
+	.A_N(n_34216),
+	.B(brqrv_top_brqrv_ifu_pmu_bus_busy),
+	.Y(n_618), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g646109 (
+	.A_N(n_34209),
+	.B(brqrv_top_brqrv_ifu_pmu_bus_busy),
+	.Y(n_617), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g646110 (
+	.A(n_42191),
+	.B(n_35883),
+	.Y(n_616), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g646111 (
+	.A(n_34239),
+	.B(n_547),
+	.Y(n_615), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g646112 (
+	.A(n_211),
+	.B(n_32502),
+	.C(n_322),
+	.D(n_36247),
+	.X(n_614), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g646113 (
+	.A(n_35857),
+	.B(n_35864),
+	.Y(n_613), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g646114 (
+	.A(n_35144),
+	.B(n_544),
+	.Y(n_612), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g646115 (
+	.A(n_35136),
+	.B(n_548),
+	.Y(n_611), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g646116 (
+	.A(n_35143),
+	.B(n_546),
+	.Y(n_610), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g646117 (
+	.A(n_35881),
+	.B(n_35882),
+	.Y(n_609), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g646118 (
+	.A_N(brqrv_top_brqrv_ifu_i0_pc[7]),
+	.B(n_553),
+	.Y(n_34739), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g646119 (
+	.A(n_37950),
+	.B(n_539),
+	.Y(n_646), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g646120 (
+	.A_N(brqrv_top_brqrv_ifu_i0_pc[8]),
+	.B(n_564),
+	.Y(n_34740), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g646121 (
+	.A_N(n_564),
+	.B(brqrv_top_brqrv_ifu_i0_pc[8]),
+	.Y(n_35691), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g646122 (
+	.A_N(n_553),
+	.B(brqrv_top_brqrv_ifu_i0_pc[7]),
+	.Y(n_35692), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g646123 (
+	.A_N(brqrv_top_brqrv_ifu_i0_pc[5]),
+	.B(n_34724),
+	.Y(n_34753), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g646124 (
+	.A(n_545),
+	.B(n_78),
+	.Y(n_645), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g646125 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[15]),
+	.B(n_236),
+	.Y(n_644), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g646126 (
+	.A(n_25),
+	.B(n_61),
+	.Y(n_34685), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g646127 (
+	.A1(n_354),
+	.A2(n_355),
+	.B1(brqrv_top_brqrv_dec_tlu_mstatus[0]),
+	.Y(n_643), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g646128 (
+	.A(n_243),
+	.B(n_521),
+	.Y(n_34701), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g646129 (
+	.A(n_606),
+	.Y(n_607), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g646130 (
+	.A(n_603),
+	.Y(n_34752), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g646132 (
+	.A(n_448),
+	.B(n_273),
+	.C(n_272),
+	.Y(n_601), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g646133 (
+	.A(n_338),
+	.B(n_439),
+	.Y(n_600), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g646134 (
+	.A1(n_33989),
+	.A2(n_36375),
+	.B1(n_557),
+	.Y(n_599), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g646135 (
+	.A(n_507),
+	.B(n_500),
+	.Y(n_598), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g646136 (
+	.A(n_502),
+	.B(n_503),
+	.Y(n_597), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g646137 (
+	.A(n_506),
+	.B(n_511),
+	.Y(n_596), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g646138 (
+	.A(n_435),
+	.B(n_508),
+	.Y(n_595), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32oi_1 g646139 (
+	.A1(n_32502),
+	.A2(n_215),
+	.A3(n_223),
+	.B1(n_60),
+	.B2(n_381),
+	.Y(n_594), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g646140 (
+	.A1_N(n_179),
+	.A2_N(n_34694),
+	.B1(n_178),
+	.B2(n_370),
+	.Y(n_593), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g646141 (
+	.A(n_315),
+	.B(n_305),
+	.C(n_314),
+	.D(n_299),
+	.Y(n_592), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g646142 (
+	.A(n_309),
+	.B(n_308),
+	.C(n_332),
+	.D(n_307),
+	.Y(n_591), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g646143 (
+	.A(n_468),
+	.B(n_258),
+	.C(n_245),
+	.X(n_590), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g646144 (
+	.A1(n_42980),
+	.A2(brqrv_top_brqrv_dec_tlu_mepc[11]),
+	.B1(n_418),
+	.Y(n_589), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g646145 (
+	.A1(brqrv_top_brqrv_dec_i0_predict_p_d[47]),
+	.A2(n_331),
+	.B1(n_324),
+	.Y(n_588), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g646146 (
+	.A1(n_31667),
+	.A2(n_34848),
+	.B1(n_514),
+	.Y(n_587), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g646147 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpme3[4]),
+	.B(brqrv_top_brqrv_dec_tlu_mhpme3[5]),
+	.C(n_34214),
+	.Y(n_281996_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g646148 (
+	.A(n_464),
+	.B(n_297),
+	.C(n_291),
+	.Y(n_586), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32oi_1 g646149 (
+	.A1(n_32502),
+	.A2(n_158),
+	.A3(n_222),
+	.B1(n_60),
+	.B2(n_380),
+	.Y(n_585), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g646150 (
+	.A(n_463),
+	.B(n_276),
+	.C(n_290),
+	.Y(n_584), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g646151 (
+	.A(n_461),
+	.B(n_296),
+	.C(n_289),
+	.Y(n_583), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g646152 (
+	.A(n_459),
+	.B(n_288),
+	.C(n_255),
+	.Y(n_582), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g646153 (
+	.A(n_440),
+	.B(n_280),
+	.C(n_281),
+	.X(n_581), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g646154 (
+	.A1(brqrv_top_brqrv_lsu_fir_addr[10]),
+	.A2(n_42979),
+	.B1(n_417),
+	.Y(n_580), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g646155 (
+	.A1_N(n_182),
+	.A2_N(n_34694),
+	.B1(n_181),
+	.B2(n_370),
+	.Y(n_579), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g646156 (
+	.A1(n_42980),
+	.A2(brqrv_top_brqrv_dec_tlu_mepc[2]),
+	.B1(n_416),
+	.Y(n_578), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g646157 (
+	.A(n_447),
+	.B(n_271),
+	.C(n_270),
+	.Y(n_577), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g646158 (
+	.A(n_518),
+	.B(n_269),
+	.C(n_268),
+	.Y(n_576), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g646159 (
+	.A(n_442),
+	.B(n_260),
+	.C(n_259),
+	.Y(n_575), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g646160 (
+	.A(n_449),
+	.B(n_251),
+	.C(n_265),
+	.Y(n_574), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g646161 (
+	.A1(n_398),
+	.A2(n_34694),
+	.B1(n_390),
+	.B2(n_33840),
+	.Y(n_573), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g646162 (
+	.A(n_35859),
+	.B(n_35861),
+	.C(n_35858),
+	.Y(n_572), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o32ai_1 g646163 (
+	.A1(n_35143),
+	.A2(n_166),
+	.A3(n_34694),
+	.B1(n_391),
+	.B2(n_33840),
+	.Y(n_571), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g646164 (
+	.A(n_35860),
+	.B(n_510),
+	.Y(n_570), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g646165 (
+	.A1_N(n_35140),
+	.A2_N(n_35629),
+	.B1(n_221),
+	.B2(n_370),
+	.Y(n_569), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g646166 (
+	.A1_N(n_34233),
+	.A2_N(n_35629),
+	.B1(n_160),
+	.B2(n_370),
+	.Y(n_568), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g646167 (
+	.A(n_150),
+	.B(n_34741),
+	.Y(n_608), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g646169 (
+	.A1(n_241),
+	.A2(n_155),
+	.B1(n_73),
+	.B2(n_78),
+	.C1(n_35497),
+	.Y(n_606), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g646170 (
+	.A1_N(brqrv_top_brqrv_dec_i0_predict_p_d[39]),
+	.A2_N(n_365),
+	.B1(brqrv_top_brqrv_ifu_aln_first2B),
+	.B2(n_365),
+	.Y(n_567), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g646171 (
+	.A1(n_365),
+	.A2(brqrv_top_brqrv_dec_i0_predict_p_d[38]),
+	.B1(n_35497),
+	.B2(brqrv_top_brqrv_ifu_aln_first2B),
+	.X(n_35854), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g646172 (
+	.A1(n_365),
+	.A2(brqrv_top_brqrv_dec_i0_predict_p_d[41]),
+	.B1(brqrv_top_brqrv_ifu_i0_pc[4]),
+	.X(n_34726), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g646173 (
+	.A1(n_365),
+	.A2(brqrv_top_brqrv_dec_i0_predict_p_d[46]),
+	.B1(brqrv_top_brqrv_ifu_i0_pc[9]),
+	.X(n_34742), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g646174 (
+	.A(n_378),
+	.B(n_377),
+	.X(n_605), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g646175 (
+	.A1(n_365),
+	.A2(brqrv_top_brqrv_dec_i0_predict_p_d[48]),
+	.B1(brqrv_top_brqrv_ifu_i0_pc[11]),
+	.Y(n_604), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g646176 (
+	.A1(n_365),
+	.A2(brqrv_top_brqrv_dec_i0_predict_p_d[43]),
+	.B1(brqrv_top_brqrv_ifu_i0_pc[6]),
+	.Y(n_603), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g646177 (
+	.A(n_43427),
+	.B(n_204),
+	.Y(n_602), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g646178 (
+	.A(n_562),
+	.Y(n_563), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g646179 (
+	.A(n_560),
+	.Y(n_561), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g646180 (
+	.A(n_495),
+	.Y(n_559), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g646181 (
+	.A(n_557),
+	.Y(n_558), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g646182 (
+	.A(n_544),
+	.Y(n_543), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g646184 (
+	.A(n_43427),
+	.Y(n_539), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g646185 (
+	.A(n_35673),
+	.Y(n_537), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g646186 (
+	.A(n_535),
+	.Y(n_534), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 g646187 (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_vld[3]),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_vld[1]),
+	.COUT(n_566),
+	.SUM(n_533), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g646188 (
+	.A1(n_60),
+	.A2(brqrv_top_brqrv_lsu_fir_addr[15]),
+	.B1(n_108),
+	.B2(brqrv_top_brqrv_dec_tlu_dpc[15]),
+	.C1(brqrv_top_brqrv_dec_tlu_reset_delayed),
+	.X(n_532), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g646189 (
+	.A(n_37920),
+	.B(n_364),
+	.Y(n_531), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g646190 (
+	.A(n_363),
+	.B(brqrv_top_brqrv_dec_tlu_npc_r[27]),
+	.Y(n_530), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g646191 (
+	.A(n_37919),
+	.B(n_364),
+	.Y(n_529), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g646192 (
+	.A_N(n_34688),
+	.B(brqrv_top_brqrv_dec_tlu_npc_r_d1[11]),
+	.Y(n_528), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g646193 (
+	.A(n_363),
+	.B(brqrv_top_brqrv_dec_tlu_npc_r[26]),
+	.Y(n_527), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g646194 (
+	.A_N(n_34688),
+	.B(brqrv_top_brqrv_dec_tlu_npc_r_d1[10]),
+	.Y(n_526), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g646195 (
+	.A(n_37918),
+	.B(n_364),
+	.Y(n_525), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g646196 (
+	.A_N(n_34688),
+	.B(brqrv_top_brqrv_dec_tlu_npc_r_d1[2]),
+	.Y(n_524), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g646197 (
+	.A(n_363),
+	.B(brqrv_top_brqrv_dec_tlu_npc_r[25]),
+	.Y(n_523), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g646198 (
+	.A1(n_60),
+	.A2(brqrv_top_brqrv_lsu_fir_addr[31]),
+	.B1(n_108),
+	.B2(brqrv_top_brqrv_dec_tlu_dpc[31]),
+	.C1(n_109),
+	.C2(brqrv_top_brqrv_dec_tlu_mepc[31]),
+	.Y(n_522), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g646200 (
+	.A(n_34220),
+	.B(brqrv_top_brqrv_dec_tlu_request_debug_mode_r_d1),
+	.C(brqrv_top_brqrv_dec_tlu_inst_acc_r),
+	.Y(n_521), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g646201 (
+	.A(brqrv_top_brqrv_ifu_ifc_dma_iccm_stall_any_f),
+	.B(n_233),
+	.C(brqrv_top_brqrv_dec_tlu_flush_noredir_r),
+	.Y(n_520), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g646202 (
+	.A1(n_116),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[108]),
+	.B1(n_117),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[109]),
+	.C1(n_303),
+	.Y(n_519), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g646203 (
+	.A(n_34217),
+	.B_N(n_232),
+	.Y(n_282047_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g646204 (
+	.A(n_34210),
+	.B_N(n_230),
+	.Y(n_282056_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g646205 (
+	.A1(n_124),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[69]),
+	.B1(n_72),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[70]),
+	.C1(n_298),
+	.Y(n_518), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g646206 (
+	.A1(n_112),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[47]),
+	.B1(n_63),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[48]),
+	.C1(n_301),
+	.Y(n_517), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g646207 (
+	.A1(n_228),
+	.A2(n_134),
+	.B1(brqrv_top_brqrv_dec_dec_i0_instr_d[4]),
+	.Y(n_516), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g646208 (
+	.A(n_340),
+	.B(n_358),
+	.Y(n_515), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g646209 (
+	.A1(brqrv_top_brqrv_dec_i0_predict_p_d[46]),
+	.A2(n_110),
+	.A3(brqrv_top_brqrv_dec_dec_i0_instr_d[5]),
+	.B1(n_346215_BAR),
+	.Y(n_514), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g646210 (
+	.A(n_382),
+	.B_N(n_391),
+	.Y(n_513), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g646211 (
+	.A(n_389),
+	.B_N(n_390),
+	.Y(n_512), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g646212 (
+	.A(n_42207),
+	.B(n_35874),
+	.Y(n_511), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g646213 (
+	.A(n_8),
+	.B(n_7),
+	.C(n_244),
+	.D(n_41),
+	.Y(n_510), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g646214 (
+	.A(n_189),
+	.B(n_400),
+	.Y(n_509), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g646215 (
+	.A(n_35678),
+	.B(n_400),
+	.Y(n_508), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g646216 (
+	.A(n_35871),
+	.B(n_35885),
+	.Y(n_507), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g646217 (
+	.A(n_35875),
+	.B(n_35870),
+	.Y(n_506), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g646218 (
+	.A(n_35872),
+	.B(n_35877),
+	.Y(n_505), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g646219 (
+	.A(n_377),
+	.B(n_378),
+	.Y(n_504), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g646220 (
+	.A(n_35879),
+	.B(n_43429),
+	.Y(n_503), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g646221 (
+	.A(n_35873),
+	.B(n_35886),
+	.Y(n_502), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g646222 (
+	.A(n_35867),
+	.B(n_35863),
+	.Y(n_501), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g646223 (
+	.A(n_35880),
+	.B(n_35884),
+	.Y(n_500), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g646224 (
+	.A(n_42206),
+	.B(n_35868),
+	.Y(n_499), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g646225 (
+	.A(n_277),
+	.B(n_250),
+	.Y(n_498), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g646226 (
+	.A(n_229),
+	.B(n_375),
+	.Y(n_565), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g646227 (
+	.A(n_365),
+	.B(brqrv_top_brqrv_dec_i0_predict_p_d[41]),
+	.C(brqrv_top_brqrv_ifu_i0_pc[4]),
+	.Y(n_35693), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g646228 (
+	.A(n_365),
+	.B(brqrv_top_brqrv_dec_i0_predict_p_d[45]),
+	.Y(n_564), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g646229 (
+	.A(n_376),
+	.B(n_183),
+	.Y(n_562), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g646232 (
+	.A(n_379),
+	.B(n_180),
+	.Y(n_560), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g646233 (
+	.A(n_172),
+	.B(n_394),
+	.X(n_34215), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g646234 (
+	.A1(brqrv_top_brqrv_ifu_aln_misc1[38]),
+	.A2(n_35423),
+	.B1(n_35421),
+	.B2(brqrv_top_brqrv_ifu_aln_misc2[38]),
+	.C1(n_35422),
+	.C2(brqrv_top_brqrv_ifu_aln_misc0[38]),
+	.Y(n_497), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g646235 (
+	.A1(brqrv_top_brqrv_ifu_aln_misc0[44]),
+	.A2(n_35422),
+	.B1(n_35421),
+	.B2(brqrv_top_brqrv_ifu_aln_misc2[44]),
+	.C1(n_35423),
+	.C2(brqrv_top_brqrv_ifu_aln_misc1[44]),
+	.Y(n_496), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g646236 (
+	.A(n_365),
+	.B(brqrv_top_brqrv_dec_i0_predict_p_d[42]),
+	.Y(n_34724), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3b_2 g646237 (
+	.A(brqrv_top_brqrv_dec_tlu_lsu_i0_exc_r),
+	.B(n_240),
+	.C_N(n_33834),
+	.X(brqrv_top_brqrv_dec_tlu_n_4284), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g646238 (
+	.A1(brqrv_top_brqrv_ifu_aln_misc0[40]),
+	.A2(n_35422),
+	.B1(n_35421),
+	.B2(brqrv_top_brqrv_ifu_aln_misc2[40]),
+	.C1(n_35423),
+	.C2(brqrv_top_brqrv_ifu_aln_misc1[40]),
+	.Y(n_495), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g646239 (
+	.A(n_36375),
+	.B(n_33989),
+	.Y(n_557), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g646240 (
+	.A(n_188),
+	.B(n_372),
+	.Y(n_556), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g646241 (
+	.A_N(n_184),
+	.B(n_376),
+	.Y(n_555), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g646242 (
+	.A_N(n_187),
+	.B(n_379),
+	.Y(n_554), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g646243 (
+	.A_N(n_241),
+	.B(n_365),
+	.Y(n_35690), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g646244 (
+	.A(n_182),
+	.B(n_403),
+	.X(n_34218), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g646245 (
+	.A(n_179),
+	.B(n_401),
+	.X(n_34211), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g646246 (
+	.A(n_166),
+	.B(n_399),
+	.X(n_34213), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g646247 (
+	.A(n_365),
+	.B(brqrv_top_brqrv_dec_i0_predict_p_d[44]),
+	.Y(n_553), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g646248 (
+	.A(n_365),
+	.B(brqrv_top_brqrv_dec_i0_predict_p_d[40]),
+	.Y(n_34741), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g646249 (
+	.A1(brqrv_top_brqrv_ifu_aln_misc0[33]),
+	.A2(n_35422),
+	.B1(n_35421),
+	.B2(brqrv_top_brqrv_ifu_aln_misc2[33]),
+	.C1(n_35423),
+	.C2(brqrv_top_brqrv_ifu_aln_misc1[33]),
+	.Y(n_494), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g646250 (
+	.A1(brqrv_top_brqrv_ifu_aln_misc0[43]),
+	.A2(n_35422),
+	.B1(n_35421),
+	.B2(brqrv_top_brqrv_ifu_aln_misc2[43]),
+	.C1(n_35423),
+	.C2(brqrv_top_brqrv_ifu_aln_misc1[43]),
+	.Y(n_552), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g646251 (
+	.A1(brqrv_top_brqrv_ifu_aln_misc0[30]),
+	.A2(n_35422),
+	.B1(n_35421),
+	.B2(brqrv_top_brqrv_ifu_aln_misc2[30]),
+	.C1(n_35423),
+	.C2(brqrv_top_brqrv_ifu_aln_misc1[30]),
+	.Y(n_493), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g646252 (
+	.A(n_195),
+	.B(n_378),
+	.Y(n_492), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g646253 (
+	.A(n_367),
+	.B(n_222),
+	.Y(n_551), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g646254 (
+	.A(n_368),
+	.B(n_223),
+	.Y(n_550), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g646255 (
+	.A(n_369),
+	.B(n_221),
+	.Y(n_549), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g646256 (
+	.A(n_379),
+	.B(n_223),
+	.Y(n_548), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g646257 (
+	.A(n_376),
+	.B(n_222),
+	.Y(n_547), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g646258 (
+	.A_N(n_187),
+	.B(n_374),
+	.Y(n_34209), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g646259 (
+	.A_N(n_184),
+	.B(n_373),
+	.Y(n_34216), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g646260 (
+	.A_N(n_372),
+	.B(n_2),
+	.Y(n_546), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g646261 (
+	.A_N(n_229),
+	.B(n_23),
+	.Y(n_34232), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g646262 (
+	.A_N(n_188),
+	.B(n_371),
+	.Y(n_35138), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g646263 (
+	.A(n_365),
+	.B(brqrv_top_brqrv_dec_i0_predict_p_d[47]),
+	.Y(n_545), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g646264 (
+	.A_N(n_375),
+	.B(n_11),
+	.Y(n_544), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g646265 (
+	.A(n_34239),
+	.B(n_34237),
+	.X(n_542), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g646266 (
+	.A(n_34718),
+	.B(n_35899),
+	.Y(n_541), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g646267 (
+	.A(n_35136),
+	.B(n_35134),
+	.X(n_540), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g646268 (
+	.A(n_238),
+	.B(n_377),
+	.Y(n_491), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g646269 (
+	.A(n_363),
+	.B(n_61),
+	.Y(n_34686), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g646270 (
+	.A(n_364),
+	.B(n_61),
+	.Y(n_34684), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g646272 (
+	.A(n_24),
+	.B(n_35684),
+	.Y(n_35673), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g646273 (
+	.A(brqrv_top_brqrv_dec_tlu_reset_delayed),
+	.B(n_397),
+	.Y(n_536), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g646274 (
+	.A(n_365),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[31]),
+	.Y(n_535), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g646275 (
+	.A(n_414),
+	.Y(n_484), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g646277 (
+	.A(n_412),
+	.Y(n_482), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g646278 (
+	.A(n_410),
+	.Y(n_479), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g646280 (
+	.A(n_407),
+	.Y(n_473), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g646281 (
+	.A(n_406),
+	.Y(n_471), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g646282 (
+	.A1(n_67),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[124]),
+	.B1(n_114),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[125]),
+	.C1(n_335),
+	.Y(n_468), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g646283 (
+	.A1(brqrv_top_brqrv_lsu_fir_addr[29]),
+	.A2(n_60),
+	.B1(n_108),
+	.B2(brqrv_top_brqrv_dec_tlu_dpc[29]),
+	.C1(n_109),
+	.C2(brqrv_top_brqrv_dec_tlu_mepc[29]),
+	.Y(n_467), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g646284 (
+	.A1(n_75),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[57]),
+	.B1(n_115),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[58]),
+	.C1(n_357),
+	.Y(n_466), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g646285 (
+	.A1(n_121),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[52]),
+	.B1(n_125),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[53]),
+	.C1(n_300),
+	.Y(n_465), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g646286 (
+	.A1(n_116),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[44]),
+	.B1(n_70),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[46]),
+	.C1(n_352),
+	.Y(n_464), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g646287 (
+	.A1(n_74),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[40]),
+	.B1(n_123),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[42]),
+	.C1(n_359),
+	.Y(n_463), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g646288 (
+	.A1(n_145),
+	.A2(brqrv_top_brqrv_dec_tlu_npc_r_d1[12]),
+	.B1(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[12]),
+	.B2(n_105),
+	.C1(n_42963),
+	.C2(brqrv_top_brqrv_exu_pred_correct_npc_r[12]),
+	.Y(n_462), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g646289 (
+	.A1(n_67),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[60]),
+	.B1(n_113),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[62]),
+	.C1(n_356),
+	.Y(n_461), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g646290 (
+	.A1(n_60),
+	.A2(brqrv_top_brqrv_lsu_fir_addr[27]),
+	.B1(n_108),
+	.B2(brqrv_top_brqrv_dec_tlu_dpc[27]),
+	.C1(n_109),
+	.C2(brqrv_top_brqrv_dec_tlu_mepc[27]),
+	.Y(n_460), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g646291 (
+	.A1(n_65),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[36]),
+	.B1(n_72),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[38]),
+	.C1(n_302),
+	.Y(n_459), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g646292 (
+	.A1(n_75),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[25]),
+	.B1(n_115),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[26]),
+	.C1(n_311),
+	.Y(n_458), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g646293 (
+	.A1(n_118),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[11]),
+	.B1(n_117),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[13]),
+	.C1(n_362),
+	.Y(n_457), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g646294 (
+	.A1(n_76),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[7]),
+	.B1(n_122),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[9]),
+	.C1(n_319),
+	.Y(n_456), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g646295 (
+	.A1(n_69),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[17]),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[18]),
+	.B2(n_68),
+	.C1(n_318),
+	.Y(n_455), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g646296 (
+	.A1(n_111),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[27]),
+	.B1(n_114),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[29]),
+	.C1(n_317),
+	.Y(n_454), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g646297 (
+	.A1(n_60),
+	.A2(brqrv_top_brqrv_lsu_fir_addr[26]),
+	.B1(n_108),
+	.B2(brqrv_top_brqrv_dec_tlu_dpc[26]),
+	.C1(n_109),
+	.C2(brqrv_top_brqrv_dec_tlu_mepc[26]),
+	.Y(n_453), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g646298 (
+	.A1(n_120),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[88]),
+	.B1(n_115),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[90]),
+	.C1(n_313),
+	.Y(n_452), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g646299 (
+	.A1(n_119),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[83]),
+	.B1(n_121),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[84]),
+	.C1(n_336),
+	.Y(n_451), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g646300 (
+	.A(n_35439),
+	.B(n_34825),
+	.C(n_36248),
+	.Y(n_450), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g646301 (
+	.A1(n_118),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[75]),
+	.B1(n_70),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[78]),
+	.C1(n_312),
+	.Y(n_449), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g646302 (
+	.A1(n_76),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[71]),
+	.B1(n_123),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[74]),
+	.C1(n_339),
+	.Y(n_448), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g646303 (
+	.A1(n_111),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[91]),
+	.B1(n_113),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[94]),
+	.C1(n_348),
+	.Y(n_447), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g646304 (
+	.A1(n_120),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[120]),
+	.B1(n_75),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[121]),
+	.C1(n_310),
+	.Y(n_446), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g646305 (
+	.A1(n_60),
+	.A2(brqrv_top_brqrv_lsu_fir_addr[25]),
+	.B1(n_108),
+	.B2(brqrv_top_brqrv_dec_tlu_dpc[25]),
+	.C1(n_109),
+	.C2(brqrv_top_brqrv_dec_tlu_mepc[25]),
+	.Y(n_445), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g646306 (
+	.A1(n_121),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[116]),
+	.B1(n_125),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[117]),
+	.C1(n_306),
+	.Y(n_444), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g646307 (
+	.A1(n_62),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[99]),
+	.B1(n_65),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[100]),
+	.C1(n_304),
+	.X(n_443), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g646308 (
+	.A1(n_74),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[104]),
+	.B1(n_122),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[105]),
+	.C1(n_350),
+	.Y(n_442), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g646309 (
+	.A1(n_119),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[19]),
+	.B1(n_125),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[21]),
+	.C1(n_334),
+	.Y(n_441), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g646310 (
+	.A1(n_124),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[5]),
+	.B1(n_72),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[6]),
+	.C1(n_351),
+	.Y(n_440), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g646311 (
+	.A1(brqrv_top_brqrv_lsu_fir_addr[28]),
+	.A2(n_60),
+	.B1(n_108),
+	.B2(brqrv_top_brqrv_dec_tlu_dpc[28]),
+	.C1(n_109),
+	.C2(brqrv_top_brqrv_dec_tlu_mepc[28]),
+	.Y(n_439), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g646312 (
+	.A1(brqrv_top_brqrv_lsu_fir_addr[30]),
+	.A2(n_60),
+	.B1(n_108),
+	.B2(brqrv_top_brqrv_dec_tlu_dpc[30]),
+	.C1(n_109),
+	.C2(brqrv_top_brqrv_dec_tlu_mepc[30]),
+	.Y(n_438), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g646313 (
+	.A1(brqrv_top_brqrv_dec_decode_i0_dec_n_314),
+	.A2(n_32406),
+	.B1(n_104),
+	.B2(n_31667),
+	.X(n_437), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g646314 (
+	.A1(brqrv_top_brqrv_lsu_fir_addr[23]),
+	.A2(n_60),
+	.B1(n_108),
+	.B2(brqrv_top_brqrv_dec_tlu_dpc[23]),
+	.C1(brqrv_top_brqrv_dec_tlu_reset_delayed),
+	.X(n_436), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g646315 (
+	.A(n_321),
+	.B(n_35676),
+	.Y(n_435), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g646316 (
+	.A1(brqrv_top_brqrv_lsu_fir_addr[21]),
+	.A2(n_60),
+	.B1(n_108),
+	.B2(brqrv_top_brqrv_dec_tlu_dpc[21]),
+	.C1(brqrv_top_brqrv_dec_tlu_reset_delayed),
+	.X(n_434), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g646317 (
+	.A1(brqrv_top_brqrv_lsu_fir_addr[24]),
+	.A2(n_60),
+	.B1(n_108),
+	.B2(brqrv_top_brqrv_dec_tlu_dpc[24]),
+	.C1(brqrv_top_brqrv_dec_tlu_reset_delayed),
+	.X(n_433), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g646318 (
+	.A1(brqrv_top_brqrv_lsu_fir_addr[20]),
+	.A2(n_60),
+	.B1(n_108),
+	.B2(brqrv_top_brqrv_dec_tlu_dpc[20]),
+	.C1(brqrv_top_brqrv_dec_tlu_reset_delayed),
+	.X(n_432), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g646319 (
+	.A1(brqrv_top_brqrv_lsu_fir_addr[14]),
+	.A2(n_60),
+	.B1(n_108),
+	.B2(brqrv_top_brqrv_dec_tlu_dpc[14]),
+	.C1(brqrv_top_brqrv_dec_tlu_reset_delayed),
+	.X(n_431), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g646320 (
+	.A1(brqrv_top_brqrv_lsu_fir_addr[19]),
+	.A2(n_60),
+	.B1(n_108),
+	.B2(brqrv_top_brqrv_dec_tlu_dpc[19]),
+	.C1(brqrv_top_brqrv_dec_tlu_reset_delayed),
+	.X(n_430), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g646321 (
+	.A(n_199),
+	.B(n_35694),
+	.Y(n_429), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g646322 (
+	.A1(brqrv_top_brqrv_lsu_fir_addr[18]),
+	.A2(n_60),
+	.B1(n_108),
+	.B2(brqrv_top_brqrv_dec_tlu_dpc[18]),
+	.C1(brqrv_top_brqrv_dec_tlu_reset_delayed),
+	.X(n_428), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g646323 (
+	.A1(brqrv_top_brqrv_lsu_fir_addr[17]),
+	.A2(n_60),
+	.B1(n_108),
+	.B2(brqrv_top_brqrv_dec_tlu_dpc[17]),
+	.C1(brqrv_top_brqrv_dec_tlu_reset_delayed),
+	.X(n_427), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g646324 (
+	.A1(n_226),
+	.A2(n_221),
+	.B1(brqrv_top_brqrv_lsu_pmu_bus_error),
+	.B2(n_176),
+	.X(n_426), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g646325 (
+	.A1(brqrv_top_brqrv_lsu_fir_addr[16]),
+	.A2(n_60),
+	.B1(n_108),
+	.B2(brqrv_top_brqrv_dec_tlu_dpc[16]),
+	.C1(brqrv_top_brqrv_dec_tlu_reset_delayed),
+	.X(n_425), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g646326 (
+	.A1(n_226),
+	.A2(n_168),
+	.B1(brqrv_top_brqrv_lsu_pmu_bus_error),
+	.B2(n_164),
+	.X(n_424), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g646327 (
+	.A1(n_226),
+	.A2(n_160),
+	.B1(brqrv_top_brqrv_lsu_pmu_bus_error),
+	.B2(n_174),
+	.X(n_423), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g646328 (
+	.A1(n_226),
+	.A2(n_171),
+	.B1(brqrv_top_brqrv_lsu_pmu_bus_error),
+	.B2(n_162),
+	.X(n_422), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g646329 (
+	.A1(brqrv_top_brqrv_lsu_fir_addr[13]),
+	.A2(n_60),
+	.B1(n_108),
+	.B2(brqrv_top_brqrv_dec_tlu_dpc[13]),
+	.C1(brqrv_top_brqrv_dec_tlu_reset_delayed),
+	.X(n_421), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g646330 (
+	.A1(brqrv_top_brqrv_lsu_fir_addr[12]),
+	.A2(n_60),
+	.B1(brqrv_top_brqrv_dec_tlu_dpc[12]),
+	.B2(n_108),
+	.C1(brqrv_top_brqrv_dec_tlu_reset_delayed),
+	.X(n_420), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o32a_1 g646331 (
+	.A1(brqrv_top_brqrv_dec_dec_i0_instr_d[2]),
+	.A2(n_127),
+	.A3(n_34757),
+	.B1(brqrv_top_brqrv_dec_dec_i0_instr_d[4]),
+	.B2(n_110),
+	.X(n_419), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g646332 (
+	.A(n_346),
+	.B(n_347),
+	.Y(n_418), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g646333 (
+	.A(n_343),
+	.B(n_344),
+	.Y(n_417), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g646334 (
+	.A(n_341),
+	.B(n_342),
+	.Y(n_416), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g646335 (
+	.A1(brqrv_top_brqrv_lsu_fir_addr[22]),
+	.A2(n_60),
+	.B1(n_108),
+	.B2(brqrv_top_brqrv_dec_tlu_dpc[22]),
+	.C1(brqrv_top_brqrv_dec_tlu_reset_delayed),
+	.X(n_415), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g646336 (
+	.A1(brqrv_top_brqrv_ifu_aln_misc0[26]),
+	.A2(n_35422),
+	.B1(n_35421),
+	.B2(brqrv_top_brqrv_ifu_aln_misc2[26]),
+	.C1(n_35423),
+	.C2(brqrv_top_brqrv_ifu_aln_misc1[26]),
+	.Y(n_490), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g646337 (
+	.A1(brqrv_top_brqrv_ifu_aln_misc0[39]),
+	.A2(n_35422),
+	.B1(n_35421),
+	.B2(brqrv_top_brqrv_ifu_aln_misc2[39]),
+	.C1(n_35423),
+	.C2(brqrv_top_brqrv_ifu_aln_misc1[39]),
+	.Y(n_489), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g646338 (
+	.A1(brqrv_top_brqrv_ifu_aln_misc0[28]),
+	.A2(n_35422),
+	.B1(n_35421),
+	.B2(brqrv_top_brqrv_ifu_aln_misc2[28]),
+	.C1(n_35423),
+	.C2(brqrv_top_brqrv_ifu_aln_misc1[28]),
+	.Y(n_488), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g646339 (
+	.A1(brqrv_top_brqrv_ifu_aln_misc0[32]),
+	.A2(n_35422),
+	.B1(n_35421),
+	.B2(brqrv_top_brqrv_ifu_aln_misc2[32]),
+	.C1(n_35423),
+	.C2(brqrv_top_brqrv_ifu_aln_misc1[32]),
+	.Y(n_487), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g646340 (
+	.A1(brqrv_top_brqrv_ifu_aln_misc0[21]),
+	.A2(n_35422),
+	.B1(n_35421),
+	.B2(brqrv_top_brqrv_ifu_aln_misc2[21]),
+	.C1(n_35423),
+	.C2(brqrv_top_brqrv_ifu_aln_misc1[21]),
+	.Y(n_486), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g646341 (
+	.A1(brqrv_top_brqrv_ifu_aln_misc0[31]),
+	.A2(n_35422),
+	.B1(n_35421),
+	.B2(brqrv_top_brqrv_ifu_aln_misc2[31]),
+	.C1(n_35423),
+	.C2(brqrv_top_brqrv_ifu_aln_misc1[31]),
+	.Y(n_485), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g646342 (
+	.A1_N(n_235),
+	.A2_N(brqrv_top_brqrv_exu_i0_rs1_d[3]),
+	.B1(n_235),
+	.B2(brqrv_top_brqrv_exu_i0_rs1_d[3]),
+	.Y(n_35858), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g646343 (
+	.A1(brqrv_top_brqrv_ifu_aln_misc0[47]),
+	.A2(n_35422),
+	.B1(n_35421),
+	.B2(brqrv_top_brqrv_ifu_aln_misc2[47]),
+	.C1(n_35423),
+	.C2(brqrv_top_brqrv_ifu_aln_misc1[47]),
+	.Y(n_414), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g646344 (
+	.A1(brqrv_top_brqrv_ifu_aln_misc0[29]),
+	.A2(n_35422),
+	.B1(n_35421),
+	.B2(brqrv_top_brqrv_ifu_aln_misc2[29]),
+	.C1(n_35423),
+	.C2(brqrv_top_brqrv_ifu_aln_misc1[29]),
+	.Y(n_413), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g646345 (
+	.A1(brqrv_top_brqrv_ifu_aln_misc0[24]),
+	.A2(n_35422),
+	.B1(n_35421),
+	.B2(brqrv_top_brqrv_ifu_aln_misc2[24]),
+	.C1(n_35423),
+	.C2(brqrv_top_brqrv_ifu_aln_misc1[24]),
+	.Y(n_483), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g646346 (
+	.A1(brqrv_top_brqrv_ifu_aln_misc0[41]),
+	.A2(n_35422),
+	.B1(n_35421),
+	.B2(brqrv_top_brqrv_ifu_aln_misc2[41]),
+	.C1(n_35423),
+	.C2(brqrv_top_brqrv_ifu_aln_misc1[41]),
+	.Y(n_412), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g646348 (
+	.A1(brqrv_top_brqrv_ifu_aln_misc0[48]),
+	.A2(n_35422),
+	.B1(n_35421),
+	.B2(brqrv_top_brqrv_ifu_aln_misc2[48]),
+	.C1(n_35423),
+	.C2(brqrv_top_brqrv_ifu_aln_misc1[48]),
+	.Y(n_411), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g646349 (
+	.A1(brqrv_top_brqrv_ifu_aln_misc0[27]),
+	.A2(n_35422),
+	.B1(n_35421),
+	.B2(brqrv_top_brqrv_ifu_aln_misc2[27]),
+	.C1(n_35423),
+	.C2(brqrv_top_brqrv_ifu_aln_misc1[27]),
+	.Y(n_481), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g646350 (
+	.A1(n_35422),
+	.A2(brqrv_top_brqrv_ifu_aln_misc0[22]),
+	.B1(brqrv_top_brqrv_ifu_aln_misc2[22]),
+	.B2(n_35421),
+	.C1(brqrv_top_brqrv_ifu_aln_misc1[22]),
+	.C2(n_35423),
+	.Y(n_480), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g646351 (
+	.A1_N(brqrv_top_brqrv_exu_i0_rs1_d[27]),
+	.A2_N(n_231),
+	.B1(brqrv_top_brqrv_exu_i0_rs1_d[27]),
+	.B2(n_231),
+	.Y(n_35882), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g646352 (
+	.A1(brqrv_top_brqrv_ifu_aln_misc0[37]),
+	.A2(n_35422),
+	.B1(n_35421),
+	.B2(brqrv_top_brqrv_ifu_aln_misc2[37]),
+	.C1(n_35423),
+	.C2(brqrv_top_brqrv_ifu_aln_misc1[37]),
+	.Y(n_410), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g646353 (
+	.A1_N(brqrv_top_brqrv_exu_i0_rs1_d[26]),
+	.A2_N(n_190),
+	.B1(brqrv_top_brqrv_exu_i0_rs1_d[26]),
+	.B2(n_190),
+	.Y(n_35881), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g646354 (
+	.A1(n_35422),
+	.A2(brqrv_top_brqrv_ifu_aln_misc0[20]),
+	.B1(brqrv_top_brqrv_ifu_aln_misc2[20]),
+	.B2(n_35421),
+	.C1(brqrv_top_brqrv_ifu_aln_misc1[20]),
+	.C2(n_35423),
+	.Y(n_478), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g646355 (
+	.A1(brqrv_top_brqrv_ifu_aln_misc0[42]),
+	.A2(n_35422),
+	.B1(n_35421),
+	.B2(brqrv_top_brqrv_ifu_aln_misc2[42]),
+	.C1(n_35423),
+	.C2(brqrv_top_brqrv_ifu_aln_misc1[42]),
+	.Y(n_477), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g646356 (
+	.A1(brqrv_top_brqrv_ifu_aln_misc0[36]),
+	.A2(n_35422),
+	.B1(n_35421),
+	.B2(brqrv_top_brqrv_ifu_aln_misc2[36]),
+	.C1(n_35423),
+	.C2(brqrv_top_brqrv_ifu_aln_misc1[36]),
+	.Y(n_476), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g646357 (
+	.A1(n_35422),
+	.A2(brqrv_top_brqrv_ifu_aln_misc0[19]),
+	.B1(brqrv_top_brqrv_ifu_aln_misc2[19]),
+	.B2(n_35421),
+	.C1(brqrv_top_brqrv_ifu_aln_misc1[19]),
+	.C2(n_35423),
+	.Y(n_409), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g646358 (
+	.A1(brqrv_top_brqrv_ifu_aln_misc0[35]),
+	.A2(n_35422),
+	.B1(n_35421),
+	.B2(brqrv_top_brqrv_ifu_aln_misc2[35]),
+	.C1(n_35423),
+	.C2(brqrv_top_brqrv_ifu_aln_misc1[35]),
+	.Y(n_475), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g646359 (
+	.A1(brqrv_top_brqrv_ifu_aln_misc0[25]),
+	.A2(n_35422),
+	.B1(n_35421),
+	.B2(brqrv_top_brqrv_ifu_aln_misc2[25]),
+	.C1(n_35423),
+	.C2(brqrv_top_brqrv_ifu_aln_misc1[25]),
+	.Y(n_474), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g646360 (
+	.A1(brqrv_top_brqrv_ifu_aln_misc0[34]),
+	.A2(n_35422),
+	.B1(n_35421),
+	.B2(brqrv_top_brqrv_ifu_aln_misc2[34]),
+	.C1(n_35423),
+	.C2(brqrv_top_brqrv_ifu_aln_misc1[34]),
+	.Y(n_408), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g646361 (
+	.A1_N(brqrv_top_brqrv_exu_i0_rs2_d[2]),
+	.A2_N(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_105),
+	.B1(brqrv_top_brqrv_exu_i0_rs2_d[2]),
+	.B2(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_105),
+	.Y(n_35857), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g646362 (
+	.A1(brqrv_top_brqrv_ifu_aln_misc0[46]),
+	.A2(n_35422),
+	.B1(n_35421),
+	.B2(brqrv_top_brqrv_ifu_aln_misc2[46]),
+	.C1(n_35423),
+	.C2(brqrv_top_brqrv_ifu_aln_misc1[46]),
+	.Y(n_407), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g646363 (
+	.A1(brqrv_top_brqrv_ifu_aln_misc0[49]),
+	.A2(n_35422),
+	.B1(n_35421),
+	.B2(brqrv_top_brqrv_ifu_aln_misc2[49]),
+	.C1(n_35423),
+	.C2(brqrv_top_brqrv_ifu_aln_misc1[49]),
+	.Y(n_472), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g646364 (
+	.A1(brqrv_top_brqrv_ifu_aln_misc0[45]),
+	.A2(n_35422),
+	.B1(n_35421),
+	.B2(brqrv_top_brqrv_ifu_aln_misc2[45]),
+	.C1(n_35423),
+	.C2(brqrv_top_brqrv_ifu_aln_misc1[45]),
+	.Y(n_406), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g646365 (
+	.A(n_365),
+	.B(brqrv_top_brqrv_dec_i0_predict_p_d[48]),
+	.C(brqrv_top_brqrv_ifu_i0_pc[11]),
+	.Y(n_470), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g646366 (
+	.A1_N(brqrv_top_brqrv_exu_i0_rs1_d[11]),
+	.A2_N(n_234),
+	.B1(brqrv_top_brqrv_exu_i0_rs1_d[11]),
+	.B2(n_234),
+	.Y(n_35866), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g646367 (
+	.A1(brqrv_top_brqrv_ifu_aln_misc0[23]),
+	.A2(n_35422),
+	.B1(n_35421),
+	.B2(brqrv_top_brqrv_ifu_aln_misc2[23]),
+	.C1(n_35423),
+	.C2(brqrv_top_brqrv_ifu_aln_misc1[23]),
+	.Y(n_469), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g646369 (
+	.A(n_405),
+	.Y(n_34565), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g646370 (
+	.A(n_396),
+	.Y(n_34567), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g646371 (
+	.A(n_395),
+	.Y(n_34566), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g646372 (
+	.A(n_393),
+	.Y(n_392), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g646373 (
+	.A(n_388),
+	.Y(n_387), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g646374 (
+	.A(n_386),
+	.Y(n_385), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g646375 (
+	.A(n_384),
+	.Y(n_383), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g646380 (
+	.A(n_369),
+	.Y(n_35141), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g646381 (
+	.A(n_368),
+	.Y(n_35134), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g646382 (
+	.A(n_367),
+	.Y(n_34237), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g646383 (
+	.A(n_366),
+	.Y(n_34234), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g646384 (
+	.A(n_365),
+	.Y(n_35497), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g646386 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[11]),
+	.A2(n_118),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[13]),
+	.B2(n_117),
+	.Y(n_362), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g646387 (
+	.A(n_156),
+	.B(brqrv_top_brqrv_dec_tlu_npc_r_d1[29]),
+	.Y(n_361), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g646389 (
+	.A(n_156),
+	.B(brqrv_top_brqrv_dec_tlu_npc_r_d1[25]),
+	.Y(n_360), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g646390 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[40]),
+	.A2(n_74),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[42]),
+	.B2(n_123),
+	.Y(n_359), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g646391 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[47]),
+	.A2(n_112),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[48]),
+	.B2(n_63),
+	.Y(n_358), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g646392 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[57]),
+	.A2(n_75),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[58]),
+	.B2(n_115),
+	.Y(n_357), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g646393 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[60]),
+	.A2(n_67),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[62]),
+	.B2(n_113),
+	.Y(n_356), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g646394 (
+	.A1(brqrv_top_brqrv_dec_tlu_mip[2]),
+	.A2(brqrv_top_brqrv_dec_tlu_mie[2]),
+	.B1(brqrv_top_brqrv_dec_tlu_mip[3]),
+	.B2(brqrv_top_brqrv_dec_tlu_mie[3]),
+	.Y(n_355), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g646395 (
+	.A1(brqrv_top_brqrv_dec_tlu_mip[4]),
+	.A2(brqrv_top_brqrv_dec_tlu_mie[4]),
+	.B1(brqrv_top_brqrv_dec_tlu_mip[5]),
+	.B2(brqrv_top_brqrv_dec_tlu_mie[5]),
+	.Y(n_354), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g646396 (
+	.A(n_156),
+	.B(brqrv_top_brqrv_dec_tlu_npc_r_d1[31]),
+	.Y(n_353), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g646397 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[44]),
+	.A2(n_116),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[46]),
+	.B2(n_70),
+	.Y(n_352), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g646398 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[5]),
+	.A2(n_124),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[6]),
+	.B2(n_72),
+	.Y(n_351), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g646399 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[104]),
+	.A2(n_74),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[105]),
+	.B2(n_122),
+	.Y(n_350), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g646400 (
+	.A(n_156),
+	.B(brqrv_top_brqrv_dec_tlu_npc_r_d1[27]),
+	.Y(n_349), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g646401 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[91]),
+	.A2(n_111),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[94]),
+	.B2(n_113),
+	.Y(n_348), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g646402 (
+	.A_N(n_34690),
+	.B(brqrv_top_brqrv_dec_tlu_dpc[11]),
+	.Y(n_347), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g646403 (
+	.A(n_42979),
+	.B(brqrv_top_brqrv_lsu_fir_addr[11]),
+	.Y(n_346), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g646404 (
+	.A(n_156),
+	.B(brqrv_top_brqrv_dec_tlu_npc_r_d1[26]),
+	.Y(n_345), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g646405 (
+	.A_N(n_34690),
+	.B(brqrv_top_brqrv_dec_tlu_dpc[10]),
+	.Y(n_344), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g646406 (
+	.A(n_42980),
+	.B(brqrv_top_brqrv_dec_tlu_mepc[10]),
+	.Y(n_343), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g646407 (
+	.A_N(n_34690),
+	.B(brqrv_top_brqrv_dec_tlu_dpc[2]),
+	.Y(n_342), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g646408 (
+	.A(brqrv_top_brqrv_lsu_fir_addr[2]),
+	.B(n_42979),
+	.Y(n_341), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g646409 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[49]),
+	.A2(n_69),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[63]),
+	.B2(n_71),
+	.Y(n_340), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g646410 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[71]),
+	.A2(n_76),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[74]),
+	.B2(n_123),
+	.Y(n_339), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g646411 (
+	.A(n_197),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[14]),
+	.Y(n_34743), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g646412 (
+	.A(n_156),
+	.B(brqrv_top_brqrv_dec_tlu_npc_r_d1[28]),
+	.Y(n_338), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g646413 (
+	.A(n_156),
+	.B(brqrv_top_brqrv_dec_tlu_npc_r_d1[30]),
+	.Y(n_337), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g646414 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[83]),
+	.A2(n_119),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[84]),
+	.B2(n_121),
+	.Y(n_336), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g646415 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[124]),
+	.A2(n_67),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[125]),
+	.B2(n_114),
+	.Y(n_335), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g646416 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[19]),
+	.A2(n_119),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[21]),
+	.B2(n_125),
+	.Y(n_334), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g646417 (
+	.A(n_193),
+	.B(brqrv_top_brqrv_dec_tlu_mhpme3[4]),
+	.Y(n_333), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g646418 (
+	.A1(n_69),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[113]),
+	.B1(n_71),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[127]),
+	.X(n_332), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g646419 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[24]),
+	.B(n_34844),
+	.C_N(brqrv_top_brqrv_dec_i0_predict_p_d[46]),
+	.Y(n_331), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g646420 (
+	.A(brqrv_top_brqrv_dec_i0_predict_p_d[47]),
+	.B(n_34821),
+	.C(n_35319),
+	.X(n_330), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g646421 (
+	.A(brqrv_top_brqrv_dec_tlu_mtvec[0]),
+	.B(n_237),
+	.C_N(n_43110),
+	.Y(n_329), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g646422 (
+	.A(n_35503),
+	.B(n_34825),
+	.C(n_36248),
+	.X(n_328), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g646423 (
+	.A(brqrv_top_brqrv_dec_i0_predict_p_d[42]),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[14]),
+	.C(n_34841),
+	.Y(n_327), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g646424 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[5]),
+	.B(n_110),
+	.C(n_73),
+	.X(n_326), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g646425 (
+	.A1(brqrv_top_brqrv_dec_i0_predict_p_d[44]),
+	.A2(n_110),
+	.B1(n_34824),
+	.Y(n_325), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g646426 (
+	.A1(n_34782),
+	.A2(brqrv_top_brqrv_dec_i0_predict_p_d[47]),
+	.B1(n_42917),
+	.Y(n_324), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g646427 (
+	.A(n_236),
+	.B(n_200),
+	.Y(n_323), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g646428 (
+	.A1(n_33985),
+	.A2(n_33867),
+	.B1(n_35629),
+	.Y(n_322), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g646429 (
+	.A(n_33991),
+	.B(n_194),
+	.Y(n_321), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g646430 (
+	.A(n_35136),
+	.B(n_35387),
+	.Y(n_357070_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g646431 (
+	.A(n_35144),
+	.B(n_35387),
+	.Y(n_357074_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g646432 (
+	.A(n_34239),
+	.B(n_35387),
+	.Y(n_357072_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g646433 (
+	.A(n_35143),
+	.B(n_35387),
+	.Y(n_357068_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g646434 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[11]),
+	.B(n_34194),
+	.Y(n_405), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g646435 (
+	.A(n_161),
+	.B(n_178),
+	.Y(n_404), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g646436 (
+	.A(n_232),
+	.B(brqrv_top_brqrv_dec_tlu_mhpme6[9]),
+	.Y(n_403), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g646437 (
+	.A1(n_35535),
+	.A2(n_33886),
+	.B1_N(n_34719),
+	.Y(n_35899), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g646440 (
+	.A(n_218),
+	.B(n_181),
+	.Y(n_402), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g646441 (
+	.A(n_230),
+	.B(brqrv_top_brqrv_dec_tlu_mhpme5[9]),
+	.Y(n_401), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g646442 (
+	.A(n_33991),
+	.B(n_33893),
+	.Y(n_400), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g646443 (
+	.A(n_191),
+	.B(brqrv_top_brqrv_dec_tlu_mhpme4[9]),
+	.Y(n_399), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g646444 (
+	.A(n_160),
+	.B(n_173),
+	.Y(n_398), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g646445 (
+	.A(n_43110),
+	.B(n_237),
+	.Y(n_397), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g646446 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_write),
+	.B(n_34165),
+	.X(n_34569), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g646447 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[5]),
+	.B(n_34191),
+	.Y(n_396), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g646448 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[2]),
+	.B(n_34197),
+	.X(n_34568), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g646449 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[8]),
+	.B(n_34190),
+	.Y(n_395), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3b_1 g646450 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpme3[4]),
+	.B(brqrv_top_brqrv_dec_tlu_mhpme3[5]),
+	.C_N(brqrv_top_brqrv_dec_tlu_mhpme3[9]),
+	.X(n_394), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g646451 (
+	.A(n_220),
+	.B(n_170),
+	.Y(n_34214), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g646452 (
+	.A(n_177),
+	.B(n_166),
+	.Y(n_393), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g646453 (
+	.A(n_169),
+	.B(n_176),
+	.Y(n_391), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g646454 (
+	.A(n_170),
+	.B(n_174),
+	.Y(n_390), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g646455 (
+	.A(n_219),
+	.B_N(n_11),
+	.Y(n_389), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g646456 (
+	.A(n_175),
+	.B(n_172),
+	.Y(n_388), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g646457 (
+	.A(n_158),
+	.B(n_181),
+	.Y(n_34217), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g646458 (
+	.A(n_180),
+	.B(n_164),
+	.Y(n_386), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g646459 (
+	.A(n_183),
+	.B(n_162),
+	.Y(n_384), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g646460 (
+	.A(n_216),
+	.B_N(n_2),
+	.Y(n_382), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g646461 (
+	.A(n_35135),
+	.B(n_165),
+	.Y(n_381), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g646462 (
+	.A(n_34238),
+	.B(n_163),
+	.Y(n_380), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g646463 (
+	.A_N(n_35403),
+	.B(brqrv_top_brqrv_dma_ctrl_dma_mem_addr_in_iccm),
+	.C(brqrv_top_brqrv_ifu_mem_ctl_ifc_dma_access_ok_prev),
+	.Y(n_35079), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g646464 (
+	.A(n_215),
+	.B(n_178),
+	.Y(n_34210), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g646465 (
+	.A(n_217),
+	.B(n_169),
+	.Y(n_34212), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g646466 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpme5[5]),
+	.B(brqrv_top_brqrv_dec_tlu_mhpme5[9]),
+	.C_N(brqrv_top_brqrv_dec_tlu_mhpme5[4]),
+	.Y(n_379), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g646468 (
+	.A(n_156),
+	.B(n_61),
+	.Y(n_34688), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g646469 (
+	.A(n_35662),
+	.B(n_195),
+	.Y(n_378), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g646470 (
+	.A(n_41918),
+	.B(n_238),
+	.Y(n_377), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g646471 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpme6[5]),
+	.B(brqrv_top_brqrv_dec_tlu_mhpme6[9]),
+	.C_N(brqrv_top_brqrv_dec_tlu_mhpme6[4]),
+	.Y(n_376), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g646472 (
+	.A(n_242),
+	.B(brqrv_top_brqrv_dec_tlu_mhpme3[4]),
+	.Y(n_375), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g646473 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpme5[4]),
+	.B(brqrv_top_brqrv_dec_tlu_mhpme5[9]),
+	.C_N(brqrv_top_brqrv_dec_tlu_mhpme5[5]),
+	.Y(n_374), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g646474 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpme6[4]),
+	.B(brqrv_top_brqrv_dec_tlu_mhpme6[9]),
+	.C_N(brqrv_top_brqrv_dec_tlu_mhpme6[5]),
+	.Y(n_373), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3b_1 g646475 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpme4[5]),
+	.B(brqrv_top_brqrv_dec_tlu_mhpme4[9]),
+	.C_N(brqrv_top_brqrv_dec_tlu_mhpme4[4]),
+	.X(n_372), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g646476 (
+	.A(n_206),
+	.B(FE_DBTN23_n_36373),
+	.Y(n_33989), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g646477 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpme4[4]),
+	.B(brqrv_top_brqrv_dec_tlu_mhpme4[9]),
+	.C_N(brqrv_top_brqrv_dec_tlu_mhpme4[5]),
+	.Y(n_371), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g646478 (
+	.A(n_33834),
+	.B(n_243),
+	.Y(n_370), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g646479 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpme4[9]),
+	.B_N(n_191),
+	.Y(n_369), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g646480 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpme5[9]),
+	.B_N(n_230),
+	.Y(n_368), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g646481 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpme6[9]),
+	.B_N(n_232),
+	.Y(n_367), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g646482 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpme3[4]),
+	.B_N(n_242),
+	.Y(n_366), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3b_1 g646483 (
+	.A_N(n_34758),
+	.B(n_35564),
+	.C(n_35424),
+	.X(n_365), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3b_1 g646484 (
+	.A_N(n_237),
+	.B(n_43110),
+	.C(brqrv_top_brqrv_dec_tlu_mtvec[0]),
+	.X(n_364), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g646485 (
+	.A1(n_106),
+	.A2(brqrv_top_brqrv_dec_tlu_interrupt_valid_r),
+	.B1(n_33311),
+	.Y(n_363), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g646486 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[7]),
+	.A2(n_76),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[9]),
+	.B2(n_122),
+	.Y(n_319), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g646487 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[17]),
+	.A2(n_69),
+	.B1(n_68),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[18]),
+	.Y(n_318), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g646488 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[27]),
+	.A2(n_111),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[29]),
+	.B2(n_114),
+	.Y(n_317), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g646489 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[81]),
+	.A2_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[17]),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[81]),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[17]),
+	.Y(n_316), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g646490 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[82]),
+	.A2(n_68),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[95]),
+	.B2(n_71),
+	.Y(n_315), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g646491 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[79]),
+	.A2(n_112),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[80]),
+	.B2(n_63),
+	.Y(n_314), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g646492 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[88]),
+	.A2(n_120),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[90]),
+	.B2(n_115),
+	.Y(n_313), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g646493 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[75]),
+	.A2(n_118),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[78]),
+	.B2(n_70),
+	.Y(n_312), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g646494 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[25]),
+	.A2(n_75),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[26]),
+	.B2(n_115),
+	.Y(n_311), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g646495 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[120]),
+	.A2(n_120),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[121]),
+	.B2(n_75),
+	.Y(n_310), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g646496 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[113]),
+	.A2(n_69),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[127]),
+	.B2(n_71),
+	.Y(n_309), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g646497 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[111]),
+	.A2(n_112),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[114]),
+	.B2(n_68),
+	.Y(n_308), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g646498 (
+	.A1(n_112),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[111]),
+	.B1(n_68),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[114]),
+	.X(n_307), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g646499 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[116]),
+	.A2(n_121),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[117]),
+	.B2(n_125),
+	.Y(n_306), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g646500 (
+	.A1(n_68),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[82]),
+	.B1(n_71),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[95]),
+	.X(n_305), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g646501 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[99]),
+	.A2(n_62),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[100]),
+	.B2(n_65),
+	.Y(n_304), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g646502 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[108]),
+	.A2(n_116),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[109]),
+	.B2(n_117),
+	.Y(n_303), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g646503 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[36]),
+	.A2(n_65),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[38]),
+	.B2(n_72),
+	.Y(n_302), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g646504 (
+	.A1(n_69),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[49]),
+	.B1(n_71),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[63]),
+	.X(n_301), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g646505 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[52]),
+	.A2(n_121),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[53]),
+	.B2(n_125),
+	.Y(n_300), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g646506 (
+	.A1(n_112),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[79]),
+	.B1(n_63),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[80]),
+	.X(n_299), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g646507 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[69]),
+	.A2(n_124),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[70]),
+	.B2(n_72),
+	.Y(n_298), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g646508 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[45]),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[13]),
+	.Y(n_297), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g646509 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[61]),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[29]),
+	.Y(n_296), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g646510 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[22]),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[86]),
+	.Y(n_295), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g646511 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[23]),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[55]),
+	.Y(n_294), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g646512 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[56]),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[24]),
+	.Y(n_293), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g646513 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[22]),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[54]),
+	.Y(n_292), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g646514 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[43]),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[11]),
+	.Y(n_291), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g646515 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[39]),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[7]),
+	.Y(n_290), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g646516 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[59]),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[27]),
+	.Y(n_289), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g646517 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[37]),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[5]),
+	.Y(n_288), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g646518 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[31]),
+	.A2_N(n_71),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[31]),
+	.B2(n_71),
+	.Y(n_287), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g646519 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[22]),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[22]),
+	.Y(n_286), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g646520 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[20]),
+	.A2_N(n_121),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[20]),
+	.B2(n_121),
+	.Y(n_285), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g646521 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[23]),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[23]),
+	.Y(n_284), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g646522 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[24]),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[24]),
+	.Y(n_283), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g646523 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[12]),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[12]),
+	.Y(n_282), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g646524 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[4]),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[4]),
+	.Y(n_281), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g646525 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[3]),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[3]),
+	.Y(n_280), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g646526 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[15]),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[15]),
+	.Y(n_279), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g646527 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[16]),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[16]),
+	.Y(n_278), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g646528 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[28]),
+	.A2_N(n_67),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[28]),
+	.B2(n_67),
+	.Y(n_277), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g646529 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[41]),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[9]),
+	.Y(n_276), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g646530 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[89]),
+	.A2_N(n_75),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[89]),
+	.B2(n_75),
+	.Y(n_275), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g646531 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[85]),
+	.A2_N(n_125),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[85]),
+	.B2(n_125),
+	.Y(n_274), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g646532 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[73]),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[9]),
+	.Y(n_273), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g646533 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[72]),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[8]),
+	.Y(n_272), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g646534 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[93]),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[29]),
+	.Y(n_271), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g646535 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[92]),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[28]),
+	.Y(n_270), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g646536 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[68]),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[4]),
+	.Y(n_269), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g646537 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[67]),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[3]),
+	.Y(n_268), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g646538 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[23]),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[119]),
+	.Y(n_267), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g646539 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[112]),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[16]),
+	.Y(n_266), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g646540 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[76]),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[12]),
+	.Y(n_265), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g646541 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[23]),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[87]),
+	.Y(n_264), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g646542 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[22]),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[118]),
+	.Y(n_263), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g646543 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[115]),
+	.A2_N(n_119),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[115]),
+	.B2(n_119),
+	.Y(n_262), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g646544 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[101]),
+	.A2_N(n_124),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[101]),
+	.B2(n_124),
+	.Y(n_261), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g646545 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[106]),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[10]),
+	.Y(n_260), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g646546 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[103]),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[7]),
+	.Y(n_259), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g646547 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[126]),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[30]),
+	.Y(n_258), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g646548 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[110]),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[14]),
+	.Y(n_257), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g646549 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[107]),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[11]),
+	.Y(n_256), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g646550 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[35]),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[3]),
+	.Y(n_255), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g646551 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[51]),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[19]),
+	.Y(n_254), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g646552 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[122]),
+	.A2_N(n_115),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[122]),
+	.B2(n_115),
+	.Y(n_253), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g646553 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[8]),
+	.A2_N(n_74),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[8]),
+	.B2(n_74),
+	.Y(n_252), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g646554 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[77]),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[13]),
+	.Y(n_251), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g646555 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[30]),
+	.A2_N(n_113),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[30]),
+	.B2(n_113),
+	.Y(n_250), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g646556 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[14]),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[14]),
+	.Y(n_249), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g646557 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[10]),
+	.A2_N(n_123),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[10]),
+	.B2(n_123),
+	.Y(n_248), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g646558 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[50]),
+	.A2_N(n_68),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[50]),
+	.B2(n_68),
+	.Y(n_247), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g646559 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[102]),
+	.A2_N(n_72),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[102]),
+	.B2(n_72),
+	.Y(n_246), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g646560 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[123]),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[27]),
+	.Y(n_245), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g646562 (
+	.A(n_210),
+	.B(n_209),
+	.Y(n_320), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g646567 (
+	.A1_N(brqrv_top_brqrv_exu_i0_rs1_d[22]),
+	.A2_N(n_141),
+	.B1(brqrv_top_brqrv_exu_i0_rs1_d[22]),
+	.B2(n_141),
+	.Y(n_35877), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g646577 (
+	.A1_N(brqrv_top_brqrv_exu_i0_rs1_d[30]),
+	.A2_N(n_10223),
+	.B1(brqrv_top_brqrv_exu_i0_rs1_d[30]),
+	.B2(n_10223),
+	.Y(n_35885), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g646582 (
+	.A(n_7),
+	.B(n_8),
+	.Y(n_35855), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g646585 (
+	.A(n_41),
+	.B(n_244),
+	.Y(n_35856), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g646592 (
+	.A(n_235),
+	.Y(brqrv_top_brqrv_exu_i0_rs2_d[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g646594 (
+	.A(n_233),
+	.Y(n_34707), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g646595 (
+	.A(n_231),
+	.Y(n_35929), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g646596 (
+	.A(n_35498),
+	.Y(n_228), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g646597 (
+	.A(n_221),
+	.Y(n_35143), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g646598 (
+	.A(n_220),
+	.Y(n_219), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g646599 (
+	.A(n_218),
+	.Y(n_34236), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g646600 (
+	.A(n_217),
+	.Y(n_216), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g646601 (
+	.A(n_215),
+	.Y(n_214), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g646602 (
+	.A(n_31667),
+	.B(n_43112),
+	.Y(n_213), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g646603 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[31]),
+	.B(n_37387),
+	.Y(n_212), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g646605 (
+	.A_N(brqrv_top_brqrv_dec_decode_flush_final_r),
+	.B(brqrv_top_brqrv_dec_dec_ib0_valid_d),
+	.Y(n_211), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g646607 (
+	.A(n_10195),
+	.B(n_37963),
+	.Y(n_210), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g646608 (
+	.A(n_37974),
+	.B(n_79),
+	.Y(n_209), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g646609 (
+	.A(n_34841),
+	.B(brqrv_top_brqrv_dec_i0_predict_p_d[44]),
+	.Y(n_208), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g646611 (
+	.A(n_36372),
+	.B(n_36374),
+	.Y(n_206), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g646612 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_58),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_71),
+	.Y(n_205), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g646613 (
+	.A(n_37950),
+	.B(n_37951),
+	.Y(n_204), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g646615 (
+	.A(brqrv_top_brqrv_ifu_aln_f1val[1]),
+	.B_N(brqrv_top_brqrv_ifu_aln_f1val[0]),
+	.Y(n_202), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g646616 (
+	.A(n_37955),
+	.B(n_37954),
+	.Y(n_201), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g646617 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[1]),
+	.B(n_140),
+	.Y(n_244), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g646618 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[14]),
+	.B(brqrv_top_brqrv_ifu_i0_pc[13]),
+	.Y(n_200), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g646619 (
+	.A(n_37972),
+	.B(n_37961),
+	.Y(n_35685), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g646620 (
+	.A(brqrv_top_brqrv_dec_tlu_i0_trigger_hit_raw_r),
+	.B(brqrv_top_brqrv_dec_tlu_lsu_i0_exc_r),
+	.Y(n_243), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g646622 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpme3[5]),
+	.B(brqrv_top_brqrv_dec_tlu_mhpme3[9]),
+	.Y(n_242), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g646624 (
+	.A(brqrv_top_brqrv_dec_i0_predict_p_d[46]),
+	.B(brqrv_top_brqrv_ifu_i0_pc[9]),
+	.Y(n_241), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g646627 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_cmd_done),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_done),
+	.Y(n_34195), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g646628 (
+	.A(n_34875),
+	.B(n_32406),
+	.Y(brqrv_top_brqrv_dec_decode_i0_dec_n_75), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g646629 (
+	.A(n_34799),
+	.B(n_34786),
+	.Y(brqrv_top_brqrv_dec_i0_predict_p_d[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g646630 (
+	.A(brqrv_top_brqrv_dec_tlu_trigger_hit_dmode_r),
+	.B_N(brqrv_top_brqrv_dec_tlu_i0_trigger_hit_raw_r),
+	.Y(n_240), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g646631 (
+	.A(n_34875),
+	.B_N(n_31667),
+	.Y(brqrv_top_brqrv_dec_decode_i0_dec_n_72), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g646632 (
+	.A(n_37973),
+	.B(n_37962),
+	.Y(n_35684), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g646633 (
+	.A(n_37964),
+	.B(n_37975),
+	.Y(n_239), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g646634 (
+	.A_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_nosend),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_valid),
+	.Y(n_34165), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g646635 (
+	.A(n_138),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_79),
+	.Y(n_33897), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g646636 (
+	.A_N(brqrv_top_brqrv_dec_tlu_pc0_valid_r_BAR),
+	.B(n_35573),
+	.Y(n_34220), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g646637 (
+	.A_N(n_34201),
+	.B(brqrv_top_brqrv_lsu_addr_in_dccm_m),
+	.Y(n_238), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g646638 (
+	.A(n_37975),
+	.B(n_37964),
+	.Y(n_35682), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g646639 (
+	.A(n_136),
+	.B(n_33891),
+	.Y(n_33893), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g646641 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[9]),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[10]),
+	.Y(n_34194), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g646642 (
+	.A(n_35470),
+	.B(n_35111),
+	.Y(n_199), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g646644 (
+	.A(n_10195),
+	.B(n_79),
+	.Y(n_33892), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g646645 (
+	.A(brqrv_top_brqrv_ifu_ifc_state[1]),
+	.B(brqrv_top_brqrv_ifu_ifc_state[0]),
+	.Y(n_34169), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g646646 (
+	.A(brqrv_top_brqrv_dec_tlu_interrupt_valid_r),
+	.B(n_33840),
+	.Y(n_237), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g646647 (
+	.A(n_137),
+	.B(n_33890),
+	.Y(n_33991), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g646648 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[13]),
+	.B(brqrv_top_brqrv_ifu_i0_pc[14]),
+	.X(n_236), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g646649 (
+	.A(n_35462),
+	.B(n_34755),
+	.Y(n_235), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g646650 (
+	.A(n_34721),
+	.B(n_34776),
+	.Y(n_234), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g646651 (
+	.A(brqrv_top_brqrv_ifu_ifc_state[0]),
+	.B(brqrv_top_brqrv_ifu_ifc_state[1]),
+	.Y(n_233), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g646652 (
+	.A(n_34870),
+	.B_N(n_41918),
+	.Y(n_198), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g646653 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpme6[4]),
+	.B(brqrv_top_brqrv_dec_tlu_mhpme6[5]),
+	.Y(n_232), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g646654 (
+	.A(n_34763),
+	.B(n_35468),
+	.Y(n_231), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g646655 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpme5[4]),
+	.B(brqrv_top_brqrv_dec_tlu_mhpme5[5]),
+	.Y(n_230), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g646656 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpme3[0]),
+	.B(brqrv_top_brqrv_dec_tlu_mhpme3[3]),
+	.Y(n_229), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g646657 (
+	.A(n_73),
+	.B(n_126),
+	.Y(n_35498), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g646658 (
+	.A(brqrv_top_brqrv_dma_mem_write),
+	.B(n_35388),
+	.X(n_227), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g646659 (
+	.A(n_34818),
+	.B(n_35379),
+	.Y(n_226), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g646660 (
+	.A(brqrv_top_brqrv_dec_decode_postsync_stall),
+	.B(brqrv_top_brqrv_dec_dec_ib0_valid_d),
+	.Y(n_225), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g646661 (
+	.A_N(n_35398),
+	.B(brqrv_top_brqrv_dec_dec_ib0_valid_d),
+	.Y(n_224), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g646662 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpme5[0]),
+	.B_N(brqrv_top_brqrv_dec_tlu_mhpme5[3]),
+	.Y(n_223), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g646663 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpme6[0]),
+	.B_N(brqrv_top_brqrv_dec_tlu_mhpme6[3]),
+	.Y(n_222), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g646664 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpme4[1]),
+	.B_N(brqrv_top_brqrv_dec_tlu_mhpme4[2]),
+	.Y(n_221), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g646665 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpme3[2]),
+	.B(brqrv_top_brqrv_dec_tlu_mhpme3[1]),
+	.Y(n_220), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g646666 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpme6[2]),
+	.B_N(brqrv_top_brqrv_dec_tlu_mhpme6[1]),
+	.Y(n_218), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g646667 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpme4[2]),
+	.B(brqrv_top_brqrv_dec_tlu_mhpme4[1]),
+	.Y(n_217), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g646668 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpme5[1]),
+	.B(brqrv_top_brqrv_dec_tlu_mhpme5[2]),
+	.Y(n_215), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g646670 (
+	.A(n_197),
+	.Y(n_34717), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g646672 (
+	.A(n_194),
+	.Y(n_35677), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g646677 (
+	.A(n_190),
+	.Y(n_35928), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g646678 (
+	.A(n_189),
+	.Y(n_33896), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g646681 (
+	.A(n_183),
+	.Y(n_182), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g646682 (
+	.A(n_34238),
+	.Y(n_181), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g646683 (
+	.A(n_180),
+	.Y(n_179), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g646684 (
+	.A(n_35135),
+	.Y(n_178), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g646685 (
+	.A(n_177),
+	.Y(n_176), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g646686 (
+	.A(n_175),
+	.Y(n_174), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g646687 (
+	.A(n_173),
+	.Y(n_172), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g646688 (
+	.A(n_34239),
+	.Y(n_171), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g646689 (
+	.A(n_170),
+	.Y(n_34235), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g646690 (
+	.A(n_169),
+	.Y(n_35142), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g646691 (
+	.A(n_35136),
+	.Y(n_168), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g646692 (
+	.A(n_167),
+	.Y(n_166), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g646693 (
+	.A(n_165),
+	.Y(n_164), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g646694 (
+	.A(n_163),
+	.Y(n_162), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g646695 (
+	.A(n_161),
+	.Y(n_35133), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g646696 (
+	.A(n_160),
+	.Y(n_35144), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g646697 (
+	.A(n_35140),
+	.Y(n_159), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g646698 (
+	.A(n_158),
+	.Y(n_157), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g646699 (
+	.A_N(n_36372),
+	.B(FE_DBTN23_n_36373),
+	.Y(n_34032), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g646700 (
+	.A(brqrv_top_brqrv_dec_i0_predict_p_d[47]),
+	.B(brqrv_top_brqrv_ifu_i0_pc[10]),
+	.Y(n_155), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g646704 (
+	.A(n_33852),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_req_f),
+	.X(n_154), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g646705 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpme4[4]),
+	.B(brqrv_top_brqrv_dec_tlu_mhpme4[5]),
+	.Y(n_153), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g646706 (
+	.A_N(n_34758),
+	.B(n_110),
+	.Y(n_152), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g646707 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[11]),
+	.B(brqrv_top_brqrv_dec_i0_predict_p_d[48]),
+	.Y(n_151), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g646708 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[4]),
+	.B(brqrv_top_brqrv_dec_i0_predict_p_d[41]),
+	.Y(n_150), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g646710 (
+	.A(brqrv_top_brqrv_dec_i0_predict_p_d[42]),
+	.B(brqrv_top_brqrv_ifu_i0_pc[5]),
+	.Y(n_149), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g646712 (
+	.A_N(n_35493),
+	.B(brqrv_top_brqrv_exu_i0_flush_upper_x),
+	.Y(n_148), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g646713 (
+	.A(n_37335),
+	.B(FE_DBTN21_n_34786),
+	.Y(n_147), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g646714 (
+	.A(brqrv_top_brqrv_dec_i0_predict_p_d[44]),
+	.B(brqrv_top_brqrv_dec_i0_predict_p_d[42]),
+	.Y(n_197), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g646715 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[6]),
+	.B(brqrv_top_brqrv_dec_i0_predict_p_d[43]),
+	.Y(n_34727), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g646716 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[3]),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[4]),
+	.Y(n_34191), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g646717 (
+	.A(n_37976),
+	.B(n_37965),
+	.Y(n_196), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g646718 (
+	.A_N(n_34033),
+	.B(brqrv_top_brqrv_lsu_addr_in_dccm_r),
+	.Y(n_195), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g646719 (
+	.A(n_37983),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_offset_d[11]),
+	.Y(n_35674), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g646720 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[0]),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[1]),
+	.Y(n_34197), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g646721 (
+	.A(n_37974),
+	.B(n_37963),
+	.Y(n_35683), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g646723 (
+	.A(n_127),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[14]),
+	.Y(n_35439), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g646725 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[6]),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[7]),
+	.Y(n_34190), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g646726 (
+	.A(n_33891),
+	.B(n_136),
+	.Y(n_194), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g646728 (
+	.A(n_110),
+	.B(n_34813),
+	.Y(brqrv_top_brqrv_dec_decode_i0_dec_n_314), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g646729 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpme3[9]),
+	.B_N(brqrv_top_brqrv_dec_tlu_mhpme3[5]),
+	.Y(n_193), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g646730 (
+	.A_N(n_35424),
+	.B(n_34735),
+	.Y(n_192), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g646731 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpme4[5]),
+	.B(brqrv_top_brqrv_dec_tlu_mhpme4[4]),
+	.Y(n_191), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g646733 (
+	.A(n_34723),
+	.B(n_43253),
+	.Y(n_190), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g646734 (
+	.A(n_37979),
+	.B(n_42920),
+	.Y(n_35678), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g646735 (
+	.A(n_42920),
+	.B(n_37979),
+	.Y(n_189), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g646737 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpme4[0]),
+	.B(brqrv_top_brqrv_dec_tlu_mhpme4[3]),
+	.Y(n_188), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g646738 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpme5[0]),
+	.B(brqrv_top_brqrv_dec_tlu_mhpme5[3]),
+	.Y(n_187), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g646741 (
+	.A(n_108),
+	.B(n_61),
+	.Y(n_34690), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g646742 (
+	.A(n_41918),
+	.B(n_34870),
+	.Y(n_146), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g646743 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpme6[0]),
+	.B(brqrv_top_brqrv_dec_tlu_mhpme6[3]),
+	.Y(n_184), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g646746 (
+	.A_N(n_35388),
+	.B(brqrv_top_brqrv_dma_mem_write),
+	.Y(n_35387), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g646747 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpme6[3]),
+	.B(brqrv_top_brqrv_dec_tlu_mhpme6[0]),
+	.Y(n_183), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g646748 (
+	.A_N(brqrv_top_brqrv_dec_tlu_mhpme6[3]),
+	.B(brqrv_top_brqrv_dec_tlu_mhpme6[0]),
+	.Y(n_34238), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g646749 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpme5[3]),
+	.B(brqrv_top_brqrv_dec_tlu_mhpme5[0]),
+	.Y(n_180), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g646750 (
+	.A_N(brqrv_top_brqrv_dec_tlu_mhpme5[3]),
+	.B(brqrv_top_brqrv_dec_tlu_mhpme5[0]),
+	.Y(n_35135), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g646751 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpme4[1]),
+	.B(brqrv_top_brqrv_dec_tlu_mhpme4[2]),
+	.Y(n_177), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g646752 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpme3[1]),
+	.B(brqrv_top_brqrv_dec_tlu_mhpme3[2]),
+	.Y(n_175), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g646753 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpme3[3]),
+	.B(brqrv_top_brqrv_dec_tlu_mhpme3[0]),
+	.Y(n_173), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g646754 (
+	.A_N(brqrv_top_brqrv_dec_tlu_mhpme6[1]),
+	.B(brqrv_top_brqrv_dec_tlu_mhpme6[2]),
+	.Y(n_34239), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g646755 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpme3[3]),
+	.B(n_64),
+	.Y(n_170), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g646756 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpme4[3]),
+	.B(n_66),
+	.Y(n_169), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g646757 (
+	.A_N(brqrv_top_brqrv_dec_tlu_mhpme5[1]),
+	.B(brqrv_top_brqrv_dec_tlu_mhpme5[2]),
+	.Y(n_35136), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g646758 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpme4[3]),
+	.B(brqrv_top_brqrv_dec_tlu_mhpme4[0]),
+	.Y(n_167), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g646759 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpme5[2]),
+	.B(brqrv_top_brqrv_dec_tlu_mhpme5[1]),
+	.Y(n_165), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g646760 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpme6[2]),
+	.B(brqrv_top_brqrv_dec_tlu_mhpme6[1]),
+	.Y(n_163), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g646761 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpme5[2]),
+	.B_N(brqrv_top_brqrv_dec_tlu_mhpme5[1]),
+	.Y(n_161), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g646762 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpme3[1]),
+	.B_N(brqrv_top_brqrv_dec_tlu_mhpme3[2]),
+	.Y(n_160), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g646763 (
+	.A_N(brqrv_top_brqrv_dec_tlu_mhpme4[2]),
+	.B(brqrv_top_brqrv_dec_tlu_mhpme4[1]),
+	.Y(n_35140), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g646764 (
+	.A_N(brqrv_top_brqrv_dec_tlu_mhpme3[2]),
+	.B(brqrv_top_brqrv_dec_tlu_mhpme3[1]),
+	.Y(n_34233), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g646765 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpme6[1]),
+	.B(brqrv_top_brqrv_dec_tlu_mhpme6[2]),
+	.Y(n_158), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g646766 (
+	.A(n_33838),
+	.B(n_35457),
+	.Y(n_156), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g646787 (
+	.A(n_35921),
+	.Y(n_141), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g646795 (
+	.A(n_37982),
+	.Y(n_138), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g646798 (
+	.A(n_37981),
+	.Y(n_137), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g646805 (
+	.A(n_37980),
+	.Y(n_136), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g646808 (
+	.A(n_34841),
+	.Y(n_134), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g646816 (
+	.A(n_33857),
+	.Y(n_131), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g646818 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[31]),
+	.Y(n_130), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g646822 (
+	.A(brqrv_top_brqrv_exu_i0_flush_upper_x),
+	.Y(n_128), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g646824 (
+	.A(brqrv_top_brqrv_dec_i0_predict_p_d[42]),
+	.Y(n_126), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g646825 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[21]),
+	.Y(n_125), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g646826 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[5]),
+	.Y(n_124), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g646827 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[10]),
+	.Y(n_123), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g646828 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[9]),
+	.Y(n_122), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g646829 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[20]),
+	.Y(n_121), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g646830 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[24]),
+	.Y(n_120), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g646831 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[19]),
+	.Y(n_119), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g646832 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[11]),
+	.Y(n_118), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g646833 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[13]),
+	.Y(n_117), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g646834 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[12]),
+	.Y(n_116), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g646835 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[26]),
+	.Y(n_115), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g646836 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[29]),
+	.Y(n_114), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g646837 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[30]),
+	.Y(n_113), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g646838 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[15]),
+	.Y(n_112), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g646839 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[27]),
+	.Y(n_111), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g646841 (
+	.A(n_33322),
+	.Y(n_109), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g646842 (
+	.A(n_35610),
+	.Y(n_108), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g646857 (
+	.A(n_34847),
+	.Y(n_104), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g646863 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[2]),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_105), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g646876 (
+	.A(n_33985),
+	.Y(n_99), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g646881 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[26]),
+	.Y(n_96), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g646886 (
+	.A(n_37334),
+	.Y(n_93), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g646887 (
+	.A(n_37953),
+	.Y(n_92), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g646896 (
+	.A(n_37957),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_58), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g646904 (
+	.A(n_33899),
+	.Y(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_offset_d[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g646905 (
+	.A(n_37963),
+	.Y(n_79), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g646908 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[10]),
+	.Y(n_78), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g646911 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[7]),
+	.Y(n_76), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g646912 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[25]),
+	.Y(n_75), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g646913 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[8]),
+	.Y(n_74), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g646915 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[6]),
+	.Y(n_72), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g646916 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[31]),
+	.Y(n_71), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g646917 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[14]),
+	.Y(n_70), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g646918 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[17]),
+	.Y(n_69), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g646919 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[18]),
+	.Y(n_68), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g646920 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[28]),
+	.Y(n_67), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g646921 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpme4[0]),
+	.Y(n_66), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g646922 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[4]),
+	.Y(n_65), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g646923 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpme3[0]),
+	.Y(n_64), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g646924 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[16]),
+	.Y(n_63), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g646925 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[3]),
+	.Y(n_62), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g646926 (
+	.A(brqrv_top_brqrv_dec_tlu_reset_delayed),
+	.Y(n_61), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g646927 (
+	.A(n_33840),
+	.Y(n_60), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 fopt646929 (
+	.A(n_37349),
+	.Y(n_47), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 fopt646931 (
+	.A(n_37353),
+	.Y(n_45), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g891298 (
+	.A(n_1085),
+	.B(n_37),
+	.C(n_960),
+	.X(n_44), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g646932 (
+	.A(n_37387),
+	.B(n_906),
+	.X(n_43), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g646933 (
+	.A1(n_474),
+	.A2(n_37330),
+	.B1(n_490),
+	.B2(n_37331),
+	.X(n_42), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g646934 (
+	.A(n_140),
+	.B(brqrv_top_brqrv_exu_i0_rs1_d[1]),
+	.X(n_41), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g646935 (
+	.A_N(n_34697),
+	.B(n_1225),
+	.Y(n_34696), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g646936 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[29]),
+	.B(n_1290),
+	.C_N(n_1174),
+	.Y(n_40), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g646937 (
+	.A_N(n_1191),
+	.B(n_1189),
+	.Y(n_39), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ba_2 g646938 (
+	.A1(n_1159),
+	.A2(n_33867),
+	.B1_N(n_614),
+	.X(n_38), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g646939 (
+	.A_N(n_34691),
+	.B(n_35385),
+	.Y(n_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g646940 (
+	.A_N(n_53),
+	.B(n_37960),
+	.Y(n_36), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g646941 (
+	.A(n_34691),
+	.B_N(n_35367),
+	.Y(n_35), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g646942 (
+	.A(n_761),
+	.B_N(n_772),
+	.Y(n_34), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g646944 (
+	.A(n_720),
+	.B_N(n_723),
+	.Y(n_32), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g646947 (
+	.A(n_409),
+	.B(n_37324),
+	.X(n_29), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ba_1 g646948 (
+	.A1(n_1054),
+	.A2(n_35080),
+	.B1_N(n_35079),
+	.X(n_28), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g646949 (
+	.A(n_35135),
+	.B_N(n_379),
+	.Y(n_27), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g646950 (
+	.A(n_34238),
+	.B_N(n_376),
+	.Y(n_26), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3b_1 g646951 (
+	.A_N(n_363),
+	.B(n_43110),
+	.C(n_203),
+	.X(n_25), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g646952 (
+	.A_N(n_35685),
+	.B(n_33889),
+	.Y(n_24), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g646953 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpme3[4]),
+	.B_N(n_193),
+	.Y(n_23), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g646954 (
+	.A_N(n_34720),
+	.B(n_46008_BAR),
+	.Y(n_35905), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g646955 (
+	.A(n_34754),
+	.B(n_34722),
+	.X(brqrv_top_brqrv_exu_i0_rs2_d[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g646956 (
+	.A(n_1053),
+	.B_N(n_36914),
+	.Y(n_22), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g646957 (
+	.A(n_1058),
+	.B_N(n_36865),
+	.Y(n_21), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g646958 (
+	.A(n_1058),
+	.B_N(n_36854),
+	.Y(n_20), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g646959 (
+	.A(n_1058),
+	.B_N(n_36861),
+	.Y(n_19), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g646960 (
+	.A(n_1058),
+	.B_N(n_36857),
+	.Y(n_18), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g646961 (
+	.A(n_35926),
+	.B(brqrv_top_brqrv_exu_i0_rs1_d[25]),
+	.X(n_35880), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g646962 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[28]),
+	.B(n_35930),
+	.X(n_35883), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g646963 (
+	.A(n_35897),
+	.B(brqrv_top_brqrv_exu_i0_rs1_d[6]),
+	.X(n_35861), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g646964 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[15]),
+	.B(n_10251),
+	.X(n_35870), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g646965 (
+	.A_N(n_37978),
+	.B(n_33992),
+	.Y(n_33895), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g646966 (
+	.A(n_35931),
+	.B(brqrv_top_brqrv_exu_i0_rs1_d[29]),
+	.X(n_35884), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g646967 (
+	.A_N(n_37977),
+	.B(n_33887),
+	.Y(n_33993), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g646969 (
+	.A_N(n_33887),
+	.B(n_37977),
+	.Y(n_35680), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g646970 (
+	.A(n_37962),
+	.B(n_37973),
+	.X(n_33889), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g646971 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[9]),
+	.B(n_35903),
+	.X(n_35864), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_2 g646972 (
+	.A_N(n_33992),
+	.B(n_37978),
+	.Y(n_35679), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g646973 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[16]),
+	.B(n_10250),
+	.X(n_35871), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g646974 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[17]),
+	.B(n_35915),
+	.X(n_35872), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g646976 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[4]),
+	.B(brqrv_top_brqrv_exu_i0_rs2_d[4]),
+	.X(n_35859), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g646977 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[8]),
+	.B(n_35901),
+	.X(n_35863), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g646978 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[18]),
+	.B(n_35916),
+	.X(n_35873), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g646980 (
+	.A(n_37338),
+	.B(n_494),
+	.X(n_15), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g646981 (
+	.A(n_37339),
+	.B(n_408),
+	.Y(n_14), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g646982 (
+	.A(n_37335),
+	.B(n_493),
+	.Y(n_13), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g646983 (
+	.A_N(n_37952),
+	.B(n_92),
+	.Y(n_12), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g646984 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpme3[0]),
+	.B_N(brqrv_top_brqrv_dec_tlu_mhpme3[3]),
+	.Y(n_11), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g646985 (
+	.A_N(brqrv_top_brqrv_dec_tlu_mhpme6[9]),
+	.B(brqrv_top_brqrv_dec_tlu_mhpme6[5]),
+	.C(brqrv_top_brqrv_dec_tlu_mhpme6[4]),
+	.Y(n_10), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g646986 (
+	.A(n_1053),
+	.B_N(n_36912),
+	.Y(n_9), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g646987 (
+	.A(n_35908),
+	.B(n_43042),
+	.X(n_35867), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g646988 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[19]),
+	.B(n_10249),
+	.X(n_35874), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g646989 (
+	.A(n_37976),
+	.B(n_37965),
+	.X(n_33888), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g646990 (
+	.A(n_10224),
+	.B(brqrv_top_brqrv_exu_i0_rs1_d[24]),
+	.X(n_35879), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 g646991 (
+	.A0(n_130),
+	.A1(brqrv_top_brqrv_exu_i0_rs1_d[31]),
+	.S(n_10219),
+	.Y(n_35886), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g646992 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[5]),
+	.B(n_35896),
+	.X(n_35860), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g646993 (
+	.A_N(brqrv_top_brqrv_exu_i0_rs2_d[0]),
+	.B(brqrv_top_brqrv_exu_i0_rs1_d[0]),
+	.Y(n_8), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g646994 (
+	.A(n_35909),
+	.B(brqrv_top_brqrv_exu_i0_rs1_d[13]),
+	.X(n_35868), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g646995 (
+	.A_N(n_37983),
+	.B(n_33899),
+	.Y(n_33898), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g646996 (
+	.A_N(n_33890),
+	.B(n_37981),
+	.Y(n_35676), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g646997 (
+	.A_N(brqrv_top_brqrv_exu_i0_rs1_d[0]),
+	.B(brqrv_top_brqrv_exu_i0_rs2_d[0]),
+	.Y(n_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g646998 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[20]),
+	.B(n_35919),
+	.X(n_35875), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_2 g646999 (
+	.A_N(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_79),
+	.B(n_37982),
+	.Y(n_35675), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g647000 (
+	.A_N(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_68),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_n_4),
+	.Y(n_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g647001 (
+	.A_N(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_62),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_n_4),
+	.Y(n_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g647002 (
+	.A(n_497),
+	.B(n_37343),
+	.X(n_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g647003 (
+	.A_N(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_58),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_n_4),
+	.Y(n_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g647004 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpme4[0]),
+	.B_N(brqrv_top_brqrv_dec_tlu_mhpme4[3]),
+	.Y(n_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g647005 (
+	.A_N(brqrv_top_brqrv_dec_tlu_mhpme5[9]),
+	.B(brqrv_top_brqrv_dec_tlu_mhpme5[5]),
+	.C(brqrv_top_brqrv_dec_tlu_mhpme5[4]),
+	.Y(n_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g647006 (
+	.A(n_33852),
+	.B_N(brqrv_top_brqrv_ifu_ifc_fetch_req_f),
+	.Y(n_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1318 (
+	.A1_N(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_16),
+	.A2_N(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_360),
+	.B1(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_16),
+	.B2(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_360),
+	.Y(n_37346), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_2 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1319 (
+	.A1_N(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_195),
+	.A2_N(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_358),
+	.B1(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_195),
+	.B2(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_358),
+	.Y(n_37351), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_2 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1320 (
+	.A1_N(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_200),
+	.A2_N(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_356),
+	.B1(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_200),
+	.B2(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_356),
+	.Y(n_37350), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_2 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1321 (
+	.A1(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_181),
+	.A2(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_364),
+	.B1(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_370),
+	.Y(n_37349), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_2 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1322 (
+	.A1_N(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_11),
+	.A2_N(n_43611),
+	.B1(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_11),
+	.B2(n_43611),
+	.Y(n_37348), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1323 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_18),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_372),
+	.Y(n_37347), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1324 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_373),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_19),
+	.Y(n_37355), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1325 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_376),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_377),
+	.Y(n_37341), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1326 (
+	.A1_N(n_43074),
+	.A2_N(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_365),
+	.B1(n_43074),
+	.B2(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_365),
+	.Y(n_37344), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1327 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_374),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_375),
+	.Y(n_37343), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_2 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1328 (
+	.A1_N(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_168),
+	.A2_N(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_357),
+	.B1(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_168),
+	.B2(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_357),
+	.Y(n_37342), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1329 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_368),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_369),
+	.Y(n_37345), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1330 (
+	.A1_N(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_12),
+	.A2_N(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_361),
+	.B1(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_12),
+	.B2(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_361),
+	.Y(n_37340), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_2 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1331 (
+	.A1(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_180),
+	.A2(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_359),
+	.B1(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_371),
+	.Y(n_37353), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_2 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1332 (
+	.A1_N(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_184),
+	.A2_N(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_352),
+	.B1(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_184),
+	.B2(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_352),
+	.Y(n_37352), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1333 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_362),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_177),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_377), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1334 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_363),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_178),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_376), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1335 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_366),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_166),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_375), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1336 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_367),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_167),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_374), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1338 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_355),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_174),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_373), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1339 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_342),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_173),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_372), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1341 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_359),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_180),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_371), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1342 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_364),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_181),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_370), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1343 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_353),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_182),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_369), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1344 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_354),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_183),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_368), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1345 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_366),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_367), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1346 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_362),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_363), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1347 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_337),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_249),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_366), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1348 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_327),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_275),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_365), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1349 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_295),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_332),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_364), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1350 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_335),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_210),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_362), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1351 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_336),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_46),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_361), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1352 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_292),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_331),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_355), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1353 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_328),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_264),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_360), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1355 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_288),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_324),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_359), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1356 (
+	.A(n_43084),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_323),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_358), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1357 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_326),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_252),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_357), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1358 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_325),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_290),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_356), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1359 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_353),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_354), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_2 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1360 (
+	.A1_N(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_8),
+	.A2_N(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_317),
+	.B1(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_8),
+	.B2(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_317),
+	.Y(n_37337), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_2 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1362 (
+	.A1(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_13),
+	.A2(n_43436),
+	.B1(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_339),
+	.Y(n_37332), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1364 (
+	.A1(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_176),
+	.A2(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_318),
+	.B1(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_334),
+	.Y(n_37339), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_2 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1365 (
+	.A1_N(n_43075),
+	.A2_N(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_321),
+	.B1(n_43075),
+	.B2(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_321),
+	.Y(n_37338), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1366 (
+	.A1_N(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_171),
+	.A2_N(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_316),
+	.B1(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_171),
+	.B2(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_316),
+	.Y(n_37334), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1367 (
+	.A1_N(n_43073),
+	.A2_N(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_320),
+	.B1(n_43073),
+	.B2(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_320),
+	.Y(n_37336), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1368 (
+	.A1(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_172),
+	.A2(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_319),
+	.B1(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_338),
+	.Y(n_37335), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1369 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_322),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_276),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_353), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1370 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_341),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_269),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_342), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1371 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_287),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_333),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_352), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1373 (
+	.A(n_43436),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_13),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_339), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1374 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_319),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_172),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_338), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1375 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_318),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_86),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_337), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1376 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_318),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_125),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_336), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1377 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_318),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_76),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_335), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1378 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_318),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_238),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_341), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1379 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_318),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_176),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_334), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1380 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_318),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_255),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_333), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1381 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_318),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_20),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_332), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1382 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_318),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_34),
+	.C(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_238),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_330), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1383 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_257),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_318),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_331), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1384 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_318),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_256),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_329), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1385 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_318),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_35),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_328), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1386 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_318),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_235),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_327), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1387 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_318),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_21),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_326), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1388 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_318),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_244),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_325), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1389 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_26),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_318),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_324), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1390 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_318),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_245),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_323), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1391 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_318),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_225),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_322), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_2 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1393 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_303),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_36),
+	.C(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_259),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_321), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1394 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_37),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_302),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_320), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1397 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_308),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_91),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_319), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_4 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1398 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_304),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_273),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_318), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1400 (
+	.A1_N(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_14),
+	.A2_N(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_298),
+	.B1(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_14),
+	.B2(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_298),
+	.Y(n_37328), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1401 (
+	.A1(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_197),
+	.A2(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_291),
+	.B1(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_305),
+	.Y(n_37331), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1402 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_306),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_23),
+	.Y(n_37330), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1403 (
+	.A1(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_29),
+	.A2(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_297),
+	.B1(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_310),
+	.Y(n_37329), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1404 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_274),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_300),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_317), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1405 (
+	.A(n_43440),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_301),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_316), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1406 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_297),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_29),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_310), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1408 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_33),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_291),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_308), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1410 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_293),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_196),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_306), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1412 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_291),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_197),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_305), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_2 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1413 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_33),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_291),
+	.C(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_220),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_304), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1414 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_33),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_291),
+	.C(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_223),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_303), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1415 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_291),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_33),
+	.C(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_137),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_302), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1416 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_291),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_22),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_301), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1417 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_33),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_291),
+	.C(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_74),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_300), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1422 (
+	.A1(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_270),
+	.A2(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_75),
+	.B1(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_81),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_295), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1425 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_279),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_230),
+	.C(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_71),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_293), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1426 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_284),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_50),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_298), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1427 (
+	.A1(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_271),
+	.A2(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_78),
+	.B1(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_84),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_297), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1428 (
+	.A1(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_270),
+	.A2(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_219),
+	.B1(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_258),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_290), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1429 (
+	.A1(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_34),
+	.A2(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_270),
+	.B1(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_266),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_289), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1430 (
+	.A1(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_88),
+	.A2(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_270),
+	.B1(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_25),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_288), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1431 (
+	.A1(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_227),
+	.A2(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_270),
+	.B1(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_277),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_287), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_2 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1432 (
+	.A1(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_229),
+	.A2(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_270),
+	.B1(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_265),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_292), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1433 (
+	.A1_N(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_165),
+	.A2_N(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_271),
+	.B1(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_165),
+	.B2(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_271),
+	.Y(n_37327), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1434 (
+	.A1_N(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_169),
+	.A2_N(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_278),
+	.B1(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_169),
+	.B2(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_278),
+	.Y(n_37325), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_4 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1435 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_280),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_32),
+	.C(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_232),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_291), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1436 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_271),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_160),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_284), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_2 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1440 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_271),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_79),
+	.C(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_78),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_280), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1441 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_271),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_78),
+	.C(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_63),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_279), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1443 (
+	.A1(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_61),
+	.A2(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_248),
+	.B1(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_51),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_277), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1444 (
+	.A1(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_89),
+	.A2(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_189),
+	.B1(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_80),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_276), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1445 (
+	.A1(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_141),
+	.A2(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_249),
+	.B1(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_66),
+	.X(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_275), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1446 (
+	.A1(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_90),
+	.A2(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_74),
+	.B1(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_82),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_274), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1449 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_260),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_52),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_278), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1450 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_267),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_273), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1454 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_270),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_269), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1456 (
+	.A1_N(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_179),
+	.A2_N(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_251),
+	.B1(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_179),
+	.B2(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_251),
+	.Y(n_37324), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1457 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_262),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_242),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_267), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1458 (
+	.A1(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_222),
+	.A2(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_248),
+	.B1(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_246),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_266), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1459 (
+	.A1(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_216),
+	.A2(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_248),
+	.B1(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_253),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_265), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1460 (
+	.A1(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_89),
+	.A2(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_217),
+	.B1(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_254),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_264), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1461 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_9),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_205),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_271), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1462 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_263),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_243),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_270), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1463 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_89),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_224),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_263), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1464 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_90),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_220),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_262), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1465 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_90),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_137),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_261), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1466 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_251),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_45),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_260), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1467 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_90),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_223),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_259), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1473 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_90),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_91), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1474 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_233),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_118),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_258), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1476 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_239),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_228),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_257), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1477 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_140),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_239),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_256), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1479 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_239),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_226),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_255), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1480 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_236),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_44),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_254), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1481 (
+	.A1(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_87),
+	.A2(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_0),
+	.B1_N(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_154),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_253), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1482 (
+	.A1(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_83),
+	.A2(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_43),
+	.B1_N(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_134),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_252), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1484 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_7),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_31),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_90), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1486 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_89),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_249), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1491 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_240),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_248), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1492 (
+	.A(brqrv_top_brqrv_i0_ap[7]),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_163),
+	.X(n_37260), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1494 (
+	.A1(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_194),
+	.A2(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_87),
+	.B1(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_208),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_246), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1495 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_214),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_239),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_245), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1496 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_218),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_239),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_244), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1497 (
+	.A1(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_80),
+	.A2(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_201),
+	.B1(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_204),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_243), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1498 (
+	.A1(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_82),
+	.A2(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_192),
+	.B1(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_206),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_242), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1499 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_131),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_132),
+	.C(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_52),
+	.D(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_161),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_241), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1500 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_131),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_132),
+	.C(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_161),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_251), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1501 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_231),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_207),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_89), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1502 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_237),
+	.B(n_43439),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_240), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1503 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_239),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_238), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1504 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_199),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_81),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_237), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1505 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_80),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_59),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_236), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1506 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_141),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_85),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_235), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1508 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_81),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_116),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_233), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1509 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_79),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_84),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_232), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1510 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_10),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_83),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_231), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1511 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_86),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_224),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_239), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1514 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_228),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_229), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1517 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_226),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_227), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1518 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_188),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_85),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_225), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1520 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_84),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_63),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_230), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1523 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_213),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_215),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_228), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1524 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_191),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_214),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_88), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1525 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_213),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_62),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_226), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1526 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_221),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_222), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1527 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_212),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_220), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1528 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_218),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_219), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1529 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_215),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_216), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1534 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_86),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_85), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1538 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_214),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_213), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_2 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1541 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_188),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_202),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_224), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1542 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_42),
+	.B(n_41860),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_223), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1543 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_191),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_193),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_221), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1544 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_192),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_74),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_212), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1545 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_75),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_116),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_218), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1546 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_58),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_188),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_217), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_2 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1547 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_135),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_191),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_215), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1548 (
+	.A1(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_51),
+	.A2(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_150),
+	.B1(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_1),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_87), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1549 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_10),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_76),
+	.X(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_86), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1551 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_199),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_75),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_214), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1556 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_83),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_210), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1559 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_82),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_209), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1563 (
+	.A1(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_154),
+	.A2(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_157),
+	.B1(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_129),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_208), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1565 (
+	.A1(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_156),
+	.A2(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_122),
+	.B1_N(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_127),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_207), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1566 (
+	.A1(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_68),
+	.A2(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_119),
+	.B1(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_126),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_206), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1567 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_4),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_185),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_205), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1569 (
+	.A1(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_44),
+	.A2(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_158),
+	.B1(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_55),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_204), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1570 (
+	.A1(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_40),
+	.A2(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_41),
+	.B1(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_65),
+	.X(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_211), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_2 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1571 (
+	.A1(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_50),
+	.A2(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_147),
+	.B1(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_56),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_84), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_2 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1572 (
+	.A1(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_46),
+	.A2(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_133),
+	.B1(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_57),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_83), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1573 (
+	.A1(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_70),
+	.A2(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_149),
+	.B1(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_162),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_82), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_2 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1574 (
+	.A1(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_123),
+	.A2(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_47),
+	.B1(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_54),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_81), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_2 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1575 (
+	.A1(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_145),
+	.A2(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_66),
+	.B1(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_128),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_80), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1576 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_201),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_202), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1578 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_198),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_79), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1581 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_193),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_194), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1592 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_188),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_189), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1596 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_40),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_65),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_186), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1597 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_53),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_48),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_185), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1600 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_58),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_158),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_201), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1601 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_64),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_6),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_200), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1602 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_144),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_115),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_199), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1604 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_3),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_63),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_198), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1606 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_153),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_40),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_197), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1608 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_3),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_130),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_196), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1609 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_62),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_51),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_195), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1610 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_2),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_0),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_193), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1611 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_42),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_119),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_192), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_2 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1612 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_159),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_147),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_78), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1613 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_152),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_41),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_77), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1614 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_143),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_124),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_76), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_2 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1615 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_123),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_140),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_75), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1616 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_62),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_151),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_191), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1617 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_136),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_149),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_74), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_2 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1618 (
+	.A1(brqrv_top_brqrv_exu_i0_rs1_d[21]),
+	.A2(n_42108),
+	.B1(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_142),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_188), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1619 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_182),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_183), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1621 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_177),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_178), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1625 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_166),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_167), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1626 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_151),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_1),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_184), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1628 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_59),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_44),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_182), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1629 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_116),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_118),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_181), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1630 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_0),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_154),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_180), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1631 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_45),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_52),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_179), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1633 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_43),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_134),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_177), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1635 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_125),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_46),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_176), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1637 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_2),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_129),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_174), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1638 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_139),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_47),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_173), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1639 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_137),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_70),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_172), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1640 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_69),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_5),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_171), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1644 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_49),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_53),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_169), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1646 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_122),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_127),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_168), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1647 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_142),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_66),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_166), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1648 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_160),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_50),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_165), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1649 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_48),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_4),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_164), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1650 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[0]),
+	.B(n_37356),
+	.X(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_163), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1653 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_159),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_160), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1655 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_2),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_157), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1658 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_134),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_156), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1665 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_69),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_155), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1666 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_152),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_153), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1671 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_151),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_150), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1679 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_64),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_144), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1686 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_142),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_141), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1690 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_61),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_62), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1691 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_140),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_139), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1693 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_60),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_138), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1696 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_136),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_137), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1697 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_0),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_135), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1700 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_58),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_59), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1702 (
+	.A(n_37369),
+	.B(brqrv_top_brqrv_exu_i0_rs1_d[13]),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_162), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1704 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[0]),
+	.B(brqrv_top_brqrv_i0_ap[7]),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_161), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1706 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[4]),
+	.B(n_37360),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_159), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_2 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1707 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[23]),
+	.B(n_37379),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_158), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1709 (
+	.A(n_37374),
+	.B(brqrv_top_brqrv_exu_i0_rs1_d[18]),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_134), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1710 (
+	.A(n_37362),
+	.B(brqrv_top_brqrv_exu_i0_rs1_d[6]),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_71), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1711 (
+	.A(n_37368),
+	.B(n_43042),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_70), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1712 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_108),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_109),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_69), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1713 (
+	.A(n_42111),
+	.B(brqrv_top_brqrv_exu_i0_rs1_d[30]),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_154), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1714 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[8]),
+	.B(n_37364),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_152), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1715 (
+	.A(n_37370),
+	.B(brqrv_top_brqrv_exu_i0_rs1_d[14]),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_68), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1716 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[10]),
+	.B(n_37366),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_67), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1717 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_112),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_96),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_151), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_2 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1718 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[13]),
+	.B(n_37369),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_149), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1719 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[20]),
+	.B(n_37376),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_66), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_2 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1720 (
+	.A(n_37361),
+	.B(brqrv_top_brqrv_exu_i0_rs1_d[5]),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_147), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1721 (
+	.A(n_37365),
+	.B(brqrv_top_brqrv_exu_i0_rs1_d[9]),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_65), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_2 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1722 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[21]),
+	.B(n_42108),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_145), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1723 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_110),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_100),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_64), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1724 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[17]),
+	.B(n_37373),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_133), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1725 (
+	.A(n_37373),
+	.B(brqrv_top_brqrv_exu_i0_rs1_d[17]),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_143), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1726 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_111),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_93),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_63), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1727 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_102),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_114),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_142), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1728 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[28]),
+	.B(n_37384),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_61), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_2 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1729 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[24]),
+	.B(n_37380),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_140), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1730 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_106),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_95),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_60), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_2 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1731 (
+	.A(n_43042),
+	.B(n_37368),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_136), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_2 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1733 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[22]),
+	.B(n_37378),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_58), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1741 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_124),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_125), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1753 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_3),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_121), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1764 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_117),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_43), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1769 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_115),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_116), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1774 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[17]),
+	.B(n_37373),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_57), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1775 (
+	.A(n_37361),
+	.B(brqrv_top_brqrv_exu_i0_rs1_d[5]),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_56), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1776 (
+	.A(n_37356),
+	.B(brqrv_top_brqrv_i0_ap[7]),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_132), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1777 (
+	.A(n_37356),
+	.B(brqrv_top_brqrv_exu_i0_rs1_d[0]),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_131), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1778 (
+	.A(n_37379),
+	.B(brqrv_top_brqrv_exu_i0_rs1_d[23]),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_55), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1779 (
+	.A(n_37363),
+	.B(brqrv_top_brqrv_exu_i0_rs1_d[7]),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_130), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1780 (
+	.A(n_37387),
+	.B(brqrv_top_brqrv_exu_i0_rs1_d[31]),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_129), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1781 (
+	.A(n_42110),
+	.B(brqrv_top_brqrv_exu_i0_rs1_d[25]),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_54), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1782 (
+	.A(n_42108),
+	.B(brqrv_top_brqrv_exu_i0_rs1_d[21]),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_128), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1783 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[19]),
+	.B(n_42109),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_127), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1784 (
+	.A(n_37371),
+	.B(brqrv_top_brqrv_exu_i0_rs1_d[15]),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_126), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1786 (
+	.A(n_37358),
+	.B(brqrv_top_brqrv_exu_i0_rs1_d[2]),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_53), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1787 (
+	.A(n_37357),
+	.B(brqrv_top_brqrv_exu_i0_rs1_d[1]),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_52), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1788 (
+	.A(n_37372),
+	.B(brqrv_top_brqrv_exu_i0_rs1_d[16]),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_124), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1789 (
+	.A(n_37384),
+	.B(brqrv_top_brqrv_exu_i0_rs1_d[28]),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1790 (
+	.A(n_37360),
+	.B(brqrv_top_brqrv_exu_i0_rs1_d[4]),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_50), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_2 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1791 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[25]),
+	.B(n_42110),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_123), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1792 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_98),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_101),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_122), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1793 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_99),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_105),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_49), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1794 (
+	.A(n_37359),
+	.B(brqrv_top_brqrv_exu_i0_rs1_d[3]),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_48), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1796 (
+	.A(n_37380),
+	.B(brqrv_top_brqrv_exu_i0_rs1_d[24]),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_47), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1797 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[15]),
+	.B(n_37371),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_119), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1798 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[16]),
+	.B(n_37372),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_46), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1799 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_97),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_113),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_45), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1800 (
+	.A(n_37378),
+	.B(brqrv_top_brqrv_exu_i0_rs1_d[22]),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_44), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1801 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[26]),
+	.B(n_37382),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_118), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1802 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[18]),
+	.B(n_37374),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_117), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_2 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1803 (
+	.A(n_37370),
+	.B(brqrv_top_brqrv_exu_i0_rs1_d[14]),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_42), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1804 (
+	.A(n_37382),
+	.B(brqrv_top_brqrv_exu_i0_rs1_d[26]),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_115), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1805 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[9]),
+	.B(n_37365),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_41), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1806 (
+	.A(n_37364),
+	.B(brqrv_top_brqrv_exu_i0_rs1_d[8]),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_40), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1807 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[20]),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_114), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1808 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[1]),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_113), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1809 (
+	.A(n_42112),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_112), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1810 (
+	.A(n_37362),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_111), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1812 (
+	.A(n_37383),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_110), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1813 (
+	.A(n_37367),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_109), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1815 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[11]),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_108), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1817 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[10]),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_106), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1824 (
+	.A(n_37376),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_102), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1826 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[19]),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_101), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1828 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[27]),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_100), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1829 (
+	.A(n_37358),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_99), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1831 (
+	.A(n_42109),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_98), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1832 (
+	.A(n_37357),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_97), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1834 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[29]),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_96), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1837 (
+	.A(n_37366),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_95), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1839 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[6]),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_93), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1841 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_261),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_70),
+	.X(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1842 (
+	.A1(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_42),
+	.A2(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_209),
+	.B1(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_68),
+	.X(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_36), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1843 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_217),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_86),
+	.X(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_35), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1844 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_221),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_213),
+	.X(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_34), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1845 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_77),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_30),
+	.X(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_33), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1846 (
+	.A1(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_71),
+	.A2(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_121),
+	.B1(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_130),
+	.X(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_32), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1847 (
+	.A1(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_155),
+	.A2(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_67),
+	.B1(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_5),
+	.X(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_31), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1848 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_60),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_69),
+	.X(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_30), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_0 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1849 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_63),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_71),
+	.X(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_29), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_0 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1850 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_67),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_60),
+	.X(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_28), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1852 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_239),
+	.B_N(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_88),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_26), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1853 (
+	.A1(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_191),
+	.A2(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_248),
+	.B1_N(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_87),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_25), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1854 (
+	.A1(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_291),
+	.A2(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_77),
+	.B1_N(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_211),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_24), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4b_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1855 (
+	.A_N(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_196),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_279),
+	.C(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_230),
+	.D(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_71),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_23), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1856 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_138),
+	.B_N(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_77),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_22), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1857 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_43),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_76),
+	.X(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_21), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1858 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_239),
+	.B_N(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_75),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_20), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1859 (
+	.A_N(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_174),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_292),
+	.C(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_331),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_19), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1860 (
+	.A_N(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_173),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_341),
+	.C(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_269),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_18), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1862 (
+	.A_N(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_158),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_55),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_16), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1864 (
+	.A_N(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_147),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_56),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_14), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1865 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_41),
+	.B_N(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_65),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_13), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1866 (
+	.A_N(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_143),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_57),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_12), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1867 (
+	.A_N(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_123),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_54),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_11), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_2 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1868 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_117),
+	.B_N(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_122),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_10), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4b_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1869 (
+	.A_N(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_48),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_241),
+	.C(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_49),
+	.D(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_45),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_9), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1870 (
+	.A_N(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_42),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_68),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_8), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1871 (
+	.A_N(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_41),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_186),
+	.C(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_30),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1872 (
+	.A_N(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_110),
+	.B(brqrv_top_brqrv_exu_i0_rs1_d[27]),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1873 (
+	.A_N(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_108),
+	.B(n_37367),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_0 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1874 (
+	.A(n_37359),
+	.B(brqrv_top_brqrv_exu_i0_rs1_d[3]),
+	.X(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1875 (
+	.A(n_37363),
+	.B(brqrv_top_brqrv_exu_i0_rs1_d[7]),
+	.X(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_4 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1876 (
+	.A(n_37387),
+	.B(brqrv_top_brqrv_exu_i0_rs1_d[31]),
+	.X(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1877 (
+	.A_N(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_96),
+	.B(n_42112),
+	.Y(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_4 addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_g1878 (
+	.A(n_42111),
+	.B(brqrv_top_brqrv_exu_i0_rs1_d[30]),
+	.X(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_dbg_add_5313_143_g394 (
+	.A(brqrv_top_brqrv_dbg_sbaddress0_reg[31]),
+	.B(brqrv_top_brqrv_dbg_add_5313_143_n_60),
+	.Y(n_38196), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 brqrv_top_brqrv_dbg_add_5313_143_g395 (
+	.A1(brqrv_top_brqrv_dbg_sbaddress0_reg[30]),
+	.A2(brqrv_top_brqrv_dbg_add_5313_143_n_59),
+	.B1(brqrv_top_brqrv_dbg_add_5313_143_n_60),
+	.X(n_38195), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_dbg_add_5313_143_g396 (
+	.A(brqrv_top_brqrv_dbg_add_5313_143_n_59),
+	.B(brqrv_top_brqrv_dbg_sbaddress0_reg[30]),
+	.Y(brqrv_top_brqrv_dbg_add_5313_143_n_60), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_brqrv_dbg_add_5313_143_g397 (
+	.A(brqrv_top_brqrv_dbg_sbaddress0_reg[29]),
+	.B(brqrv_top_brqrv_dbg_add_5313_143_n_56),
+	.COUT(brqrv_top_brqrv_dbg_add_5313_143_n_59),
+	.SUM(n_38194), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_brqrv_dbg_add_5313_143_g398 (
+	.A(brqrv_top_brqrv_dbg_sbaddress0_reg[28]),
+	.B(brqrv_top_brqrv_dbg_add_5313_143_n_54),
+	.COUT(brqrv_top_brqrv_dbg_add_5313_143_n_56),
+	.SUM(n_38193), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_brqrv_dbg_add_5313_143_g399 (
+	.A(brqrv_top_brqrv_dbg_sbaddress0_reg[27]),
+	.B(brqrv_top_brqrv_dbg_add_5313_143_n_52),
+	.COUT(brqrv_top_brqrv_dbg_add_5313_143_n_54),
+	.SUM(n_38192), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_brqrv_dbg_add_5313_143_g400 (
+	.A(brqrv_top_brqrv_dbg_sbaddress0_reg[26]),
+	.B(brqrv_top_brqrv_dbg_add_5313_143_n_50),
+	.COUT(brqrv_top_brqrv_dbg_add_5313_143_n_52),
+	.SUM(n_38191), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_brqrv_dbg_add_5313_143_g401 (
+	.A(brqrv_top_brqrv_dbg_sbaddress0_reg[25]),
+	.B(brqrv_top_brqrv_dbg_add_5313_143_n_48),
+	.COUT(brqrv_top_brqrv_dbg_add_5313_143_n_50),
+	.SUM(n_38190), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_brqrv_dbg_add_5313_143_g402 (
+	.A(brqrv_top_brqrv_dbg_sbaddress0_reg[24]),
+	.B(brqrv_top_brqrv_dbg_add_5313_143_n_46),
+	.COUT(brqrv_top_brqrv_dbg_add_5313_143_n_48),
+	.SUM(n_38189), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_brqrv_dbg_add_5313_143_g403 (
+	.A(brqrv_top_brqrv_dbg_sbaddress0_reg[23]),
+	.B(brqrv_top_brqrv_dbg_add_5313_143_n_44),
+	.COUT(brqrv_top_brqrv_dbg_add_5313_143_n_46),
+	.SUM(n_38188), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_brqrv_dbg_add_5313_143_g404 (
+	.A(brqrv_top_brqrv_dbg_sbaddress0_reg[22]),
+	.B(brqrv_top_brqrv_dbg_add_5313_143_n_42),
+	.COUT(brqrv_top_brqrv_dbg_add_5313_143_n_44),
+	.SUM(n_38187), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_brqrv_dbg_add_5313_143_g405 (
+	.A(brqrv_top_brqrv_dbg_sbaddress0_reg[21]),
+	.B(brqrv_top_brqrv_dbg_add_5313_143_n_40),
+	.COUT(brqrv_top_brqrv_dbg_add_5313_143_n_42),
+	.SUM(n_38186), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_brqrv_dbg_add_5313_143_g406 (
+	.A(brqrv_top_brqrv_dbg_sbaddress0_reg[20]),
+	.B(brqrv_top_brqrv_dbg_add_5313_143_n_38),
+	.COUT(brqrv_top_brqrv_dbg_add_5313_143_n_40),
+	.SUM(n_38185), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_brqrv_dbg_add_5313_143_g407 (
+	.A(brqrv_top_brqrv_dbg_sbaddress0_reg[19]),
+	.B(brqrv_top_brqrv_dbg_add_5313_143_n_36),
+	.COUT(brqrv_top_brqrv_dbg_add_5313_143_n_38),
+	.SUM(n_38184), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_brqrv_dbg_add_5313_143_g408 (
+	.A(brqrv_top_brqrv_dbg_sbaddress0_reg[18]),
+	.B(brqrv_top_brqrv_dbg_add_5313_143_n_34),
+	.COUT(brqrv_top_brqrv_dbg_add_5313_143_n_36),
+	.SUM(n_38183), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_brqrv_dbg_add_5313_143_g409 (
+	.A(brqrv_top_brqrv_dbg_sbaddress0_reg[17]),
+	.B(brqrv_top_brqrv_dbg_add_5313_143_n_32),
+	.COUT(brqrv_top_brqrv_dbg_add_5313_143_n_34),
+	.SUM(n_38182), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_brqrv_dbg_add_5313_143_g410 (
+	.A(brqrv_top_brqrv_dbg_sbaddress0_reg[16]),
+	.B(brqrv_top_brqrv_dbg_add_5313_143_n_30),
+	.COUT(brqrv_top_brqrv_dbg_add_5313_143_n_32),
+	.SUM(n_38181), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_brqrv_dbg_add_5313_143_g411 (
+	.A(brqrv_top_brqrv_dbg_sbaddress0_reg[15]),
+	.B(brqrv_top_brqrv_dbg_add_5313_143_n_28),
+	.COUT(brqrv_top_brqrv_dbg_add_5313_143_n_30),
+	.SUM(n_38180), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_brqrv_dbg_add_5313_143_g412 (
+	.A(brqrv_top_brqrv_dbg_sbaddress0_reg[14]),
+	.B(brqrv_top_brqrv_dbg_add_5313_143_n_26),
+	.COUT(brqrv_top_brqrv_dbg_add_5313_143_n_28),
+	.SUM(n_38179), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_brqrv_dbg_add_5313_143_g413 (
+	.A(brqrv_top_brqrv_dbg_sbaddress0_reg[13]),
+	.B(brqrv_top_brqrv_dbg_add_5313_143_n_24),
+	.COUT(brqrv_top_brqrv_dbg_add_5313_143_n_26),
+	.SUM(n_38178), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_brqrv_dbg_add_5313_143_g414 (
+	.A(brqrv_top_brqrv_dbg_sbaddress0_reg[12]),
+	.B(brqrv_top_brqrv_dbg_add_5313_143_n_22),
+	.COUT(brqrv_top_brqrv_dbg_add_5313_143_n_24),
+	.SUM(n_38177), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_brqrv_dbg_add_5313_143_g415 (
+	.A(brqrv_top_brqrv_dbg_sbaddress0_reg[11]),
+	.B(brqrv_top_brqrv_dbg_add_5313_143_n_20),
+	.COUT(brqrv_top_brqrv_dbg_add_5313_143_n_22),
+	.SUM(n_38176), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_brqrv_dbg_add_5313_143_g416 (
+	.A(brqrv_top_brqrv_dbg_sbaddress0_reg[10]),
+	.B(brqrv_top_brqrv_dbg_add_5313_143_n_18),
+	.COUT(brqrv_top_brqrv_dbg_add_5313_143_n_20),
+	.SUM(n_38175), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_brqrv_dbg_add_5313_143_g417 (
+	.A(brqrv_top_brqrv_dbg_sbaddress0_reg[9]),
+	.B(brqrv_top_brqrv_dbg_add_5313_143_n_16),
+	.COUT(brqrv_top_brqrv_dbg_add_5313_143_n_18),
+	.SUM(n_38174), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_brqrv_dbg_add_5313_143_g418 (
+	.A(brqrv_top_brqrv_dbg_sbaddress0_reg[8]),
+	.B(brqrv_top_brqrv_dbg_add_5313_143_n_14),
+	.COUT(brqrv_top_brqrv_dbg_add_5313_143_n_16),
+	.SUM(n_38173), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_brqrv_dbg_add_5313_143_g419 (
+	.A(brqrv_top_brqrv_dbg_sbaddress0_reg[7]),
+	.B(brqrv_top_brqrv_dbg_add_5313_143_n_12),
+	.COUT(brqrv_top_brqrv_dbg_add_5313_143_n_14),
+	.SUM(n_38172), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_brqrv_dbg_add_5313_143_g420 (
+	.A(brqrv_top_brqrv_dbg_sbaddress0_reg[6]),
+	.B(brqrv_top_brqrv_dbg_add_5313_143_n_10),
+	.COUT(brqrv_top_brqrv_dbg_add_5313_143_n_12),
+	.SUM(n_38171), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_brqrv_dbg_add_5313_143_g421 (
+	.A(brqrv_top_brqrv_dbg_sbaddress0_reg[5]),
+	.B(brqrv_top_brqrv_dbg_add_5313_143_n_8),
+	.COUT(brqrv_top_brqrv_dbg_add_5313_143_n_10),
+	.SUM(n_38170), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_brqrv_dbg_add_5313_143_g422 (
+	.A(brqrv_top_brqrv_dbg_sbaddress0_reg[4]),
+	.B(brqrv_top_brqrv_dbg_add_5313_143_n_6),
+	.COUT(brqrv_top_brqrv_dbg_add_5313_143_n_8),
+	.SUM(n_38169), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_dbg_add_5313_143_g423 (
+	.A(brqrv_top_brqrv_dbg_sbaddress0_reg[3]),
+	.B(brqrv_top_brqrv_dbg_sbaddress0_incr[3]),
+	.CIN(brqrv_top_brqrv_dbg_add_5313_143_n_4),
+	.COUT(brqrv_top_brqrv_dbg_add_5313_143_n_6),
+	.SUM(n_38168), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_dbg_add_5313_143_g424 (
+	.A(brqrv_top_brqrv_dbg_sbaddress0_reg[2]),
+	.B(brqrv_top_brqrv_dbg_sbaddress0_incr[2]),
+	.CIN(brqrv_top_brqrv_dbg_add_5313_143_n_2),
+	.COUT(brqrv_top_brqrv_dbg_add_5313_143_n_4),
+	.SUM(n_38167), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_dbg_add_5313_143_g425 (
+	.A(brqrv_top_brqrv_dbg_sbaddress0_reg[1]),
+	.B(brqrv_top_brqrv_dbg_sbaddress0_incr[1]),
+	.CIN(brqrv_top_brqrv_dbg_add_5313_143_n_0),
+	.COUT(brqrv_top_brqrv_dbg_add_5313_143_n_2),
+	.SUM(n_38166), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_brqrv_dbg_add_5313_143_g426 (
+	.A(brqrv_top_brqrv_dbg_sbaddress0_reg[0]),
+	.B(brqrv_top_brqrv_dbg_sbaddress0_incr[0]),
+	.COUT(brqrv_top_brqrv_dbg_add_5313_143_n_0),
+	.SUM(n_38165), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_dbg_add_5612_59_g394 (
+	.A1_N(n_38164),
+	.A2_N(brqrv_top_brqrv_dbg_add_5612_59_n_60),
+	.B1(n_38164),
+	.B2(brqrv_top_brqrv_dbg_add_5612_59_n_60),
+	.Y(n_38140), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 brqrv_top_brqrv_dbg_add_5612_59_g395 (
+	.A1(n_38163),
+	.A2(brqrv_top_brqrv_dbg_add_5612_59_n_59),
+	.B1(brqrv_top_brqrv_dbg_add_5612_59_n_60),
+	.X(n_38139), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_dbg_add_5612_59_g396 (
+	.A(brqrv_top_brqrv_dbg_add_5612_59_n_59),
+	.B(n_38163),
+	.Y(brqrv_top_brqrv_dbg_add_5612_59_n_60), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_brqrv_dbg_add_5612_59_g397 (
+	.A(n_38162),
+	.B(brqrv_top_brqrv_dbg_add_5612_59_n_56),
+	.COUT(brqrv_top_brqrv_dbg_add_5612_59_n_59),
+	.SUM(n_38138), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_brqrv_dbg_add_5612_59_g398 (
+	.A(n_38161),
+	.B(brqrv_top_brqrv_dbg_add_5612_59_n_54),
+	.COUT(brqrv_top_brqrv_dbg_add_5612_59_n_56),
+	.SUM(n_38137), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_brqrv_dbg_add_5612_59_g399 (
+	.A(n_38160),
+	.B(brqrv_top_brqrv_dbg_add_5612_59_n_52),
+	.COUT(brqrv_top_brqrv_dbg_add_5612_59_n_54),
+	.SUM(n_38136), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_brqrv_dbg_add_5612_59_g400 (
+	.A(n_38159),
+	.B(brqrv_top_brqrv_dbg_add_5612_59_n_50),
+	.COUT(brqrv_top_brqrv_dbg_add_5612_59_n_52),
+	.SUM(n_38135), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_brqrv_dbg_add_5612_59_g401 (
+	.A(n_38158),
+	.B(brqrv_top_brqrv_dbg_add_5612_59_n_48),
+	.COUT(brqrv_top_brqrv_dbg_add_5612_59_n_50),
+	.SUM(n_38134), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_brqrv_dbg_add_5612_59_g402 (
+	.A(n_38157),
+	.B(brqrv_top_brqrv_dbg_add_5612_59_n_46),
+	.COUT(brqrv_top_brqrv_dbg_add_5612_59_n_48),
+	.SUM(n_38133), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_brqrv_dbg_add_5612_59_g403 (
+	.A(n_38156),
+	.B(brqrv_top_brqrv_dbg_add_5612_59_n_44),
+	.COUT(brqrv_top_brqrv_dbg_add_5612_59_n_46),
+	.SUM(n_38132), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_brqrv_dbg_add_5612_59_g404 (
+	.A(n_38155),
+	.B(brqrv_top_brqrv_dbg_add_5612_59_n_42),
+	.COUT(brqrv_top_brqrv_dbg_add_5612_59_n_44),
+	.SUM(n_38131), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_brqrv_dbg_add_5612_59_g405 (
+	.A(n_38154),
+	.B(brqrv_top_brqrv_dbg_add_5612_59_n_40),
+	.COUT(brqrv_top_brqrv_dbg_add_5612_59_n_42),
+	.SUM(n_38130), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_brqrv_dbg_add_5612_59_g406 (
+	.A(n_38153),
+	.B(brqrv_top_brqrv_dbg_add_5612_59_n_38),
+	.COUT(brqrv_top_brqrv_dbg_add_5612_59_n_40),
+	.SUM(n_38129), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_brqrv_dbg_add_5612_59_g407 (
+	.A(n_38152),
+	.B(brqrv_top_brqrv_dbg_add_5612_59_n_36),
+	.COUT(brqrv_top_brqrv_dbg_add_5612_59_n_38),
+	.SUM(n_38128), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_brqrv_dbg_add_5612_59_g408 (
+	.A(n_38151),
+	.B(brqrv_top_brqrv_dbg_add_5612_59_n_34),
+	.COUT(brqrv_top_brqrv_dbg_add_5612_59_n_36),
+	.SUM(n_38127), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_brqrv_dbg_add_5612_59_g409 (
+	.A(n_38150),
+	.B(brqrv_top_brqrv_dbg_add_5612_59_n_32),
+	.COUT(brqrv_top_brqrv_dbg_add_5612_59_n_34),
+	.SUM(n_38126), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_brqrv_dbg_add_5612_59_g410 (
+	.A(n_38149),
+	.B(brqrv_top_brqrv_dbg_add_5612_59_n_30),
+	.COUT(brqrv_top_brqrv_dbg_add_5612_59_n_32),
+	.SUM(n_38125), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_brqrv_dbg_add_5612_59_g411 (
+	.A(n_38148),
+	.B(brqrv_top_brqrv_dbg_add_5612_59_n_28),
+	.COUT(brqrv_top_brqrv_dbg_add_5612_59_n_30),
+	.SUM(n_38124), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_brqrv_dbg_add_5612_59_g412 (
+	.A(n_38147),
+	.B(brqrv_top_brqrv_dbg_add_5612_59_n_26),
+	.COUT(brqrv_top_brqrv_dbg_add_5612_59_n_28),
+	.SUM(n_38123), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_brqrv_dbg_add_5612_59_g413 (
+	.A(n_38146),
+	.B(brqrv_top_brqrv_dbg_add_5612_59_n_24),
+	.COUT(brqrv_top_brqrv_dbg_add_5612_59_n_26),
+	.SUM(n_38122), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_brqrv_dbg_add_5612_59_g414 (
+	.A(n_38145),
+	.B(brqrv_top_brqrv_dbg_add_5612_59_n_22),
+	.COUT(brqrv_top_brqrv_dbg_add_5612_59_n_24),
+	.SUM(n_38121), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_brqrv_dbg_add_5612_59_g415 (
+	.A(brqrv_top_brqrv_dbg_cmd_addr[11]),
+	.B(brqrv_top_brqrv_dbg_add_5612_59_n_20),
+	.COUT(brqrv_top_brqrv_dbg_add_5612_59_n_22),
+	.SUM(n_38120), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_brqrv_dbg_add_5612_59_g416 (
+	.A(brqrv_top_brqrv_dbg_cmd_addr[10]),
+	.B(brqrv_top_brqrv_dbg_add_5612_59_n_18),
+	.COUT(brqrv_top_brqrv_dbg_add_5612_59_n_20),
+	.SUM(n_38119), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_brqrv_dbg_add_5612_59_g417 (
+	.A(brqrv_top_brqrv_dbg_cmd_addr[9]),
+	.B(brqrv_top_brqrv_dbg_add_5612_59_n_16),
+	.COUT(brqrv_top_brqrv_dbg_add_5612_59_n_18),
+	.SUM(n_38118), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_brqrv_dbg_add_5612_59_g418 (
+	.A(brqrv_top_brqrv_dbg_cmd_addr[8]),
+	.B(brqrv_top_brqrv_dbg_add_5612_59_n_14),
+	.COUT(brqrv_top_brqrv_dbg_add_5612_59_n_16),
+	.SUM(n_38117), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_brqrv_dbg_add_5612_59_g419 (
+	.A(brqrv_top_brqrv_dbg_cmd_addr[7]),
+	.B(brqrv_top_brqrv_dbg_add_5612_59_n_12),
+	.COUT(brqrv_top_brqrv_dbg_add_5612_59_n_14),
+	.SUM(n_38116), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_brqrv_dbg_add_5612_59_g420 (
+	.A(brqrv_top_brqrv_dbg_cmd_addr[6]),
+	.B(brqrv_top_brqrv_dbg_add_5612_59_n_10),
+	.COUT(brqrv_top_brqrv_dbg_add_5612_59_n_12),
+	.SUM(n_38115), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_brqrv_dbg_add_5612_59_g421 (
+	.A(brqrv_top_brqrv_dbg_cmd_addr[5]),
+	.B(brqrv_top_brqrv_dbg_add_5612_59_n_8),
+	.COUT(brqrv_top_brqrv_dbg_add_5612_59_n_10),
+	.SUM(n_38114), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_brqrv_dbg_add_5612_59_g422 (
+	.A(brqrv_top_brqrv_dbg_cmd_addr[4]),
+	.B(brqrv_top_brqrv_dbg_add_5612_59_n_6),
+	.COUT(brqrv_top_brqrv_dbg_add_5612_59_n_8),
+	.SUM(n_38113), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_dbg_add_5612_59_g423 (
+	.A(brqrv_top_brqrv_dbg_cmd_addr[3]),
+	.B(n_38144),
+	.CIN(brqrv_top_brqrv_dbg_add_5612_59_n_4),
+	.COUT(brqrv_top_brqrv_dbg_add_5612_59_n_6),
+	.SUM(n_38112), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_dbg_add_5612_59_g424 (
+	.A(n_41919),
+	.B(n_38143),
+	.CIN(brqrv_top_brqrv_dbg_add_5612_59_n_2),
+	.COUT(brqrv_top_brqrv_dbg_add_5612_59_n_4),
+	.SUM(n_38111), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_dbg_add_5612_59_g425 (
+	.A(brqrv_top_brqrv_dbg_cmd_addr[1]),
+	.B(n_38142),
+	.CIN(brqrv_top_brqrv_dbg_add_5612_59_n_0),
+	.COUT(brqrv_top_brqrv_dbg_add_5612_59_n_2),
+	.SUM(n_38110), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_brqrv_dbg_add_5612_59_g426 (
+	.A(brqrv_top_brqrv_dbg_cmd_addr[0]),
+	.B(n_38141),
+	.COUT(brqrv_top_brqrv_dbg_add_5612_59_n_0),
+	.SUM(n_38109), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_dec_tlu_add_9264_51_g386 (
+	.A(brqrv_top_brqrv_dec_tlu_mtvec[30]),
+	.B(brqrv_top_brqrv_dec_tlu_add_9264_51_n_71),
+	.X(n_37924), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_brqrv_dec_tlu_add_9264_51_g387 (
+	.A(brqrv_top_brqrv_dec_tlu_mtvec[29]),
+	.B(brqrv_top_brqrv_dec_tlu_add_9264_51_n_69),
+	.COUT(brqrv_top_brqrv_dec_tlu_add_9264_51_n_71),
+	.SUM(n_37923), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_brqrv_dec_tlu_add_9264_51_g388 (
+	.A(brqrv_top_brqrv_dec_tlu_mtvec[28]),
+	.B(brqrv_top_brqrv_dec_tlu_add_9264_51_n_67),
+	.COUT(brqrv_top_brqrv_dec_tlu_add_9264_51_n_69),
+	.SUM(n_37922), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_brqrv_dec_tlu_add_9264_51_g389 (
+	.A(brqrv_top_brqrv_dec_tlu_mtvec[27]),
+	.B(brqrv_top_brqrv_dec_tlu_add_9264_51_n_65),
+	.COUT(brqrv_top_brqrv_dec_tlu_add_9264_51_n_67),
+	.SUM(n_37921), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_brqrv_dec_tlu_add_9264_51_g390 (
+	.A(brqrv_top_brqrv_dec_tlu_mtvec[26]),
+	.B(brqrv_top_brqrv_dec_tlu_add_9264_51_n_63),
+	.COUT(brqrv_top_brqrv_dec_tlu_add_9264_51_n_65),
+	.SUM(n_37920), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_brqrv_dec_tlu_add_9264_51_g391 (
+	.A(brqrv_top_brqrv_dec_tlu_mtvec[25]),
+	.B(brqrv_top_brqrv_dec_tlu_add_9264_51_n_61),
+	.COUT(brqrv_top_brqrv_dec_tlu_add_9264_51_n_63),
+	.SUM(n_37919), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_brqrv_dec_tlu_add_9264_51_g392 (
+	.A(brqrv_top_brqrv_dec_tlu_mtvec[24]),
+	.B(brqrv_top_brqrv_dec_tlu_add_9264_51_n_59),
+	.COUT(brqrv_top_brqrv_dec_tlu_add_9264_51_n_61),
+	.SUM(n_37918), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_brqrv_dec_tlu_add_9264_51_g393 (
+	.A(brqrv_top_brqrv_dec_tlu_mtvec[23]),
+	.B(brqrv_top_brqrv_dec_tlu_add_9264_51_n_57),
+	.COUT(brqrv_top_brqrv_dec_tlu_add_9264_51_n_59),
+	.SUM(n_37917), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_brqrv_dec_tlu_add_9264_51_g394 (
+	.A(brqrv_top_brqrv_dec_tlu_mtvec[22]),
+	.B(brqrv_top_brqrv_dec_tlu_add_9264_51_n_55),
+	.COUT(brqrv_top_brqrv_dec_tlu_add_9264_51_n_57),
+	.SUM(n_37916), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_brqrv_dec_tlu_add_9264_51_g395 (
+	.A(brqrv_top_brqrv_dec_tlu_mtvec[21]),
+	.B(brqrv_top_brqrv_dec_tlu_add_9264_51_n_53),
+	.COUT(brqrv_top_brqrv_dec_tlu_add_9264_51_n_55),
+	.SUM(n_37915), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_brqrv_dec_tlu_add_9264_51_g396 (
+	.A(brqrv_top_brqrv_dec_tlu_mtvec[20]),
+	.B(brqrv_top_brqrv_dec_tlu_add_9264_51_n_51),
+	.COUT(brqrv_top_brqrv_dec_tlu_add_9264_51_n_53),
+	.SUM(n_37914), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_brqrv_dec_tlu_add_9264_51_g397 (
+	.A(brqrv_top_brqrv_dec_tlu_mtvec[19]),
+	.B(brqrv_top_brqrv_dec_tlu_add_9264_51_n_48),
+	.COUT(brqrv_top_brqrv_dec_tlu_add_9264_51_n_51),
+	.SUM(n_37913), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_dec_tlu_add_9264_51_g398 (
+	.A(brqrv_top_brqrv_dec_tlu_add_9264_51_n_49),
+	.B(brqrv_top_brqrv_dec_tlu_add_9264_51_n_48),
+	.Y(n_37912), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_dec_tlu_add_9264_51_g399 (
+	.A(brqrv_top_brqrv_dec_tlu_mtvec[18]),
+	.B(brqrv_top_brqrv_dec_tlu_add_9264_51_n_46),
+	.Y(brqrv_top_brqrv_dec_tlu_add_9264_51_n_49), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 brqrv_top_brqrv_dec_tlu_add_9264_51_g400 (
+	.A(brqrv_top_brqrv_dec_tlu_add_9264_51_n_46),
+	.B(brqrv_top_brqrv_dec_tlu_mtvec[18]),
+	.X(brqrv_top_brqrv_dec_tlu_add_9264_51_n_48), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_dec_tlu_add_9264_51_g401 (
+	.A1(brqrv_top_brqrv_dec_tlu_add_9264_51_n_44),
+	.A2(brqrv_top_brqrv_dec_tlu_add_9264_51_n_1),
+	.B1(brqrv_top_brqrv_dec_tlu_add_9264_51_n_46),
+	.Y(n_37911), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_dec_tlu_add_9264_51_g402 (
+	.A(brqrv_top_brqrv_dec_tlu_add_9264_51_n_1),
+	.B(brqrv_top_brqrv_dec_tlu_add_9264_51_n_44),
+	.Y(brqrv_top_brqrv_dec_tlu_add_9264_51_n_46), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 brqrv_top_brqrv_dec_tlu_add_9264_51_g403 (
+	.A1(brqrv_top_brqrv_dec_tlu_mtvec[16]),
+	.A2(brqrv_top_brqrv_dec_tlu_add_9264_51_n_42),
+	.B1(brqrv_top_brqrv_dec_tlu_add_9264_51_n_44),
+	.X(n_37910), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_dec_tlu_add_9264_51_g404 (
+	.A(brqrv_top_brqrv_dec_tlu_add_9264_51_n_42),
+	.B(brqrv_top_brqrv_dec_tlu_mtvec[16]),
+	.Y(brqrv_top_brqrv_dec_tlu_add_9264_51_n_44), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_dec_tlu_add_9264_51_g405 (
+	.A1(brqrv_top_brqrv_dec_tlu_add_9264_51_n_40),
+	.A2(brqrv_top_brqrv_dec_tlu_add_9264_51_n_3),
+	.B1(brqrv_top_brqrv_dec_tlu_add_9264_51_n_42),
+	.Y(n_37909), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_dec_tlu_add_9264_51_g406 (
+	.A(brqrv_top_brqrv_dec_tlu_add_9264_51_n_3),
+	.B(brqrv_top_brqrv_dec_tlu_add_9264_51_n_40),
+	.Y(brqrv_top_brqrv_dec_tlu_add_9264_51_n_42), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 brqrv_top_brqrv_dec_tlu_add_9264_51_g407 (
+	.A1(brqrv_top_brqrv_dec_tlu_mtvec[14]),
+	.A2(brqrv_top_brqrv_dec_tlu_add_9264_51_n_39),
+	.B1(brqrv_top_brqrv_dec_tlu_add_9264_51_n_40),
+	.X(n_37908), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_dec_tlu_add_9264_51_g408 (
+	.A(brqrv_top_brqrv_dec_tlu_add_9264_51_n_39),
+	.B(brqrv_top_brqrv_dec_tlu_mtvec[14]),
+	.Y(brqrv_top_brqrv_dec_tlu_add_9264_51_n_40), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_brqrv_dec_tlu_add_9264_51_g409 (
+	.A(brqrv_top_brqrv_dec_tlu_mtvec[13]),
+	.B(brqrv_top_brqrv_dec_tlu_add_9264_51_n_36),
+	.COUT(brqrv_top_brqrv_dec_tlu_add_9264_51_n_39),
+	.SUM(n_37907), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_brqrv_dec_tlu_add_9264_51_g410 (
+	.A(brqrv_top_brqrv_dec_tlu_mtvec[12]),
+	.B(brqrv_top_brqrv_dec_tlu_add_9264_51_n_34),
+	.COUT(brqrv_top_brqrv_dec_tlu_add_9264_51_n_36),
+	.SUM(n_37906), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_dec_tlu_add_9264_51_g411 (
+	.A1(brqrv_top_brqrv_dec_tlu_add_9264_51_n_32),
+	.A2(brqrv_top_brqrv_dec_tlu_add_9264_51_n_0),
+	.B1(brqrv_top_brqrv_dec_tlu_add_9264_51_n_34),
+	.Y(n_37905), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_dec_tlu_add_9264_51_g412 (
+	.A(brqrv_top_brqrv_dec_tlu_add_9264_51_n_0),
+	.B(brqrv_top_brqrv_dec_tlu_add_9264_51_n_32),
+	.Y(brqrv_top_brqrv_dec_tlu_add_9264_51_n_34), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 brqrv_top_brqrv_dec_tlu_add_9264_51_g413 (
+	.A1(brqrv_top_brqrv_dec_tlu_mtvec[10]),
+	.A2(brqrv_top_brqrv_dec_tlu_add_9264_51_n_30),
+	.B1(brqrv_top_brqrv_dec_tlu_add_9264_51_n_32),
+	.X(n_37904), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_dec_tlu_add_9264_51_g414 (
+	.A(brqrv_top_brqrv_dec_tlu_add_9264_51_n_30),
+	.B(brqrv_top_brqrv_dec_tlu_mtvec[10]),
+	.Y(brqrv_top_brqrv_dec_tlu_add_9264_51_n_32), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_dec_tlu_add_9264_51_g416 (
+	.A(brqrv_top_brqrv_dec_tlu_add_9264_51_n_4),
+	.B(brqrv_top_brqrv_dec_tlu_add_9264_51_n_28),
+	.Y(brqrv_top_brqrv_dec_tlu_add_9264_51_n_30), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_dec_tlu_add_9264_51_g418 (
+	.A(brqrv_top_brqrv_dec_tlu_add_9264_51_n_26),
+	.B(brqrv_top_brqrv_dec_tlu_mtvec[8]),
+	.Y(brqrv_top_brqrv_dec_tlu_add_9264_51_n_28), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_dec_tlu_add_9264_51_g420 (
+	.A(brqrv_top_brqrv_dec_tlu_add_9264_51_n_2),
+	.B(brqrv_top_brqrv_dec_tlu_add_9264_51_n_24),
+	.Y(brqrv_top_brqrv_dec_tlu_add_9264_51_n_26), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_dec_tlu_add_9264_51_g422 (
+	.A(brqrv_top_brqrv_dec_tlu_add_9264_51_n_23),
+	.B(brqrv_top_brqrv_dec_tlu_mtvec[6]),
+	.Y(brqrv_top_brqrv_dec_tlu_add_9264_51_n_24), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_dec_tlu_add_9264_51_g423 (
+	.A(brqrv_top_brqrv_dec_tlu_mtvec[5]),
+	.B(brqrv_top_brqrv_dec_tlu_exc_cause_r[4]),
+	.CIN(n_42216),
+	.COUT(brqrv_top_brqrv_dec_tlu_add_9264_51_n_23),
+	.SUM(n_37899), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 brqrv_top_brqrv_dec_tlu_add_9264_51_g430 (
+	.A1(brqrv_top_brqrv_dec_tlu_add_9264_51_n_8),
+	.A2(brqrv_top_brqrv_dec_tlu_add_9264_51_n_9),
+	.B1(brqrv_top_brqrv_dec_tlu_add_9264_51_n_6),
+	.Y(brqrv_top_brqrv_dec_tlu_add_9264_51_n_15), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 brqrv_top_brqrv_dec_tlu_add_9264_51_g432 (
+	.A1(brqrv_top_brqrv_dec_tlu_mtvec[1]),
+	.A2(brqrv_top_brqrv_dec_tlu_exc_cause_r[0]),
+	.B1(brqrv_top_brqrv_dec_tlu_add_9264_51_n_8),
+	.X(n_37895), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_dec_tlu_add_9264_51_g433 (
+	.A(brqrv_top_brqrv_dec_tlu_mtvec[4]),
+	.B(brqrv_top_brqrv_dec_tlu_exc_cause_r[3]),
+	.X(brqrv_top_brqrv_dec_tlu_add_9264_51_n_12), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_dec_tlu_add_9264_51_g434 (
+	.A(brqrv_top_brqrv_dec_tlu_mtvec[2]),
+	.B(brqrv_top_brqrv_dec_tlu_exc_cause_r[1]),
+	.X(brqrv_top_brqrv_dec_tlu_add_9264_51_n_11), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_dec_tlu_add_9264_51_g435 (
+	.A(brqrv_top_brqrv_dec_tlu_mtvec[3]),
+	.B(brqrv_top_brqrv_dec_tlu_exc_cause_r[2]),
+	.X(brqrv_top_brqrv_dec_tlu_add_9264_51_n_10), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_dec_tlu_add_9264_51_g436 (
+	.A(brqrv_top_brqrv_dec_tlu_mtvec[2]),
+	.B(brqrv_top_brqrv_dec_tlu_exc_cause_r[1]),
+	.Y(brqrv_top_brqrv_dec_tlu_add_9264_51_n_9), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_dec_tlu_add_9264_51_g437 (
+	.A(brqrv_top_brqrv_dec_tlu_exc_cause_r[0]),
+	.B(brqrv_top_brqrv_dec_tlu_mtvec[1]),
+	.Y(brqrv_top_brqrv_dec_tlu_add_9264_51_n_8), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_dec_tlu_add_9264_51_g439 (
+	.A(brqrv_top_brqrv_dec_tlu_exc_cause_r[1]),
+	.B(brqrv_top_brqrv_dec_tlu_mtvec[2]),
+	.Y(brqrv_top_brqrv_dec_tlu_add_9264_51_n_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 brqrv_top_brqrv_dec_tlu_add_9264_51_g441 (
+	.A(brqrv_top_brqrv_dec_tlu_mtvec[9]),
+	.Y(brqrv_top_brqrv_dec_tlu_add_9264_51_n_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 brqrv_top_brqrv_dec_tlu_add_9264_51_g442 (
+	.A(brqrv_top_brqrv_dec_tlu_mtvec[15]),
+	.Y(brqrv_top_brqrv_dec_tlu_add_9264_51_n_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 brqrv_top_brqrv_dec_tlu_add_9264_51_g443 (
+	.A(brqrv_top_brqrv_dec_tlu_mtvec[7]),
+	.Y(brqrv_top_brqrv_dec_tlu_add_9264_51_n_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 brqrv_top_brqrv_dec_tlu_add_9264_51_g444 (
+	.A(brqrv_top_brqrv_dec_tlu_mtvec[17]),
+	.Y(brqrv_top_brqrv_dec_tlu_add_9264_51_n_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 brqrv_top_brqrv_dec_tlu_add_9264_51_g445 (
+	.A(brqrv_top_brqrv_dec_tlu_mtvec[11]),
+	.Y(brqrv_top_brqrv_dec_tlu_add_9264_51_n_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_g703 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[31]),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_66),
+	.C(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[31]),
+	.X(brqrv_top_brqrv_dec_tlu_dec_timer_t0_pulse), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_g704 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[30]),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_65),
+	.C(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[30]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_66), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_g705 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[29]),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_64),
+	.C(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[29]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_65), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_g706 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[28]),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_63),
+	.C(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[28]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_64), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_g707 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[27]),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_62),
+	.C(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[27]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_63), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_g708 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[26]),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_61),
+	.C(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[26]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_62), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_g709 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[25]),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_60),
+	.C(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[25]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_61), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_g710 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[24]),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_59),
+	.C(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[24]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_60), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_g711 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[23]),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_58),
+	.C(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[23]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_59), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_g712 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[22]),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_57),
+	.C(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[22]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_58), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_g713 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[21]),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_56),
+	.C(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[21]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_57), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_g714 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[20]),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_55),
+	.C(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[20]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_56), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_g715 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[19]),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_54),
+	.C(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[19]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_55), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_g716 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[18]),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_53),
+	.C(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[18]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_54), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_g717 (
+	.A1(brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_29),
+	.A2(brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_52),
+	.B1(n_37802),
+	.B2(brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_18),
+	.Y(brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_53), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_g718 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_20),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_51),
+	.C(n_37800),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_52), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_g719 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_19),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_50),
+	.C(n_37798),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_g720 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_17),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_49),
+	.C(n_37796),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_50), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_g721 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_5),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_48),
+	.C(n_37794),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_49), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_g722 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_2),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_47),
+	.C(n_37792),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_48), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_g723 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_28),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_46),
+	.C(n_37790),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_47), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_g724 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_24),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_45),
+	.C(n_37788),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_46), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_g725 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_16),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_44),
+	.C(n_37786),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_45), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_g726 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_14),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_43),
+	.C(n_37784),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_44), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_g727 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_3),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_42),
+	.C(n_37782),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_43), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_g728 (
+	.A1(brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_41),
+	.A2(brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_35),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_36),
+	.Y(brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_42), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_g729 (
+	.A1(brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_34),
+	.A2(brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_40),
+	.B1(n_37778),
+	.B2(brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_8),
+	.Y(brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_41), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_g730 (
+	.A1(brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_39),
+	.A2(brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_32),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_33),
+	.Y(brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_40), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_g731 (
+	.A1(brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_30),
+	.A2(brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_38),
+	.B1(n_37774),
+	.B2(brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_7),
+	.Y(brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_39), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_g732 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_23),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_37),
+	.C(n_37773),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_38), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_g733 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_13),
+	.B(n_43035),
+	.C(n_37772),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_g734 (
+	.A(n_37780),
+	.B_N(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[6]),
+	.Y(brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_36), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_g735 (
+	.A_N(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[6]),
+	.B(n_37780),
+	.Y(brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_35), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_g736 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[5]),
+	.B_N(n_37778),
+	.Y(brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_34), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_g737 (
+	.A(n_37776),
+	.B_N(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[4]),
+	.Y(brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_33), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_g738 (
+	.A_N(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[4]),
+	.B(n_37776),
+	.Y(brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_32), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_g740 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[3]),
+	.B_N(n_37774),
+	.Y(brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_30), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_g741 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[17]),
+	.B_N(n_37802),
+	.Y(brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_29), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_g742 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[11]),
+	.Y(brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_28), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_g746 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[10]),
+	.Y(brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_24), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_g747 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[2]),
+	.Y(brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_23), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_g750 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[16]),
+	.Y(brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_20), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_g751 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[15]),
+	.Y(brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_19), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_g752 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[17]),
+	.Y(brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_18), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_g753 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[14]),
+	.Y(brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_17), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_g754 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[9]),
+	.Y(brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_16), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_g756 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[8]),
+	.Y(brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_14), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_g757 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[1]),
+	.Y(brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_13), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_g762 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[5]),
+	.Y(brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_8), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_g763 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[3]),
+	.Y(brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_g765 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[13]),
+	.Y(brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_g767 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[7]),
+	.Y(brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_g768 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[12]),
+	.Y(brqrv_top_brqrv_dec_tlu_int_timers_gte_10347_39_n_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_g703 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[31]),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_n_66),
+	.C(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[31]),
+	.X(brqrv_top_brqrv_dec_tlu_dec_timer_t1_pulse), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_g704 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[30]),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_n_65),
+	.C(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[30]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_n_66), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_g705 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[29]),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_n_64),
+	.C(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[29]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_n_65), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_g706 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[28]),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_n_63),
+	.C(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[28]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_n_64), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_g707 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[27]),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_n_62),
+	.C(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[27]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_n_63), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_g708 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[26]),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_n_61),
+	.C(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[26]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_n_62), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_g709 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[25]),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_n_60),
+	.C(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[25]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_n_61), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_g710 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[24]),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_n_59),
+	.C(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[24]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_n_60), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_g711 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[23]),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_n_58),
+	.C(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[23]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_n_59), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_g712 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[22]),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_n_57),
+	.C(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[22]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_n_58), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_g713 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[21]),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_n_56),
+	.C(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[21]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_n_57), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_g714 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[20]),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_n_55),
+	.C(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[20]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_n_56), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_g715 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[19]),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_n_54),
+	.C(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[19]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_n_55), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_g716 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[18]),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_n_53),
+	.C(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[18]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_n_54), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_g717 (
+	.A1(brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_n_29),
+	.A2(brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_n_52),
+	.B1(n_37801),
+	.B2(brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_n_18),
+	.Y(brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_n_53), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_g718 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_n_20),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_n_51),
+	.C(n_37799),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_n_52), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_g719 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_n_19),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_n_50),
+	.C(n_37797),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_g720 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_n_17),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_n_49),
+	.C(n_37795),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_n_50), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_g721 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_n_5),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_n_48),
+	.C(n_37793),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_n_49), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_g722 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_n_2),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_n_47),
+	.C(n_37791),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_n_48), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_g723 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_n_28),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_n_46),
+	.C(n_37789),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_n_47), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_g724 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_n_24),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_n_45),
+	.C(n_37787),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_n_46), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_g725 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_n_16),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_n_44),
+	.C(n_37785),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_n_45), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_g726 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_n_14),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_n_43),
+	.C(n_37783),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_n_44), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_g727 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_n_3),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_n_42),
+	.C(n_37781),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_n_43), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_g728 (
+	.A1(n_43613),
+	.A2(brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_n_35),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_n_36),
+	.Y(brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_n_42), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_g731 (
+	.A1(brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_n_30),
+	.A2(brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_n_38),
+	.B1(n_37770),
+	.B2(brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_n_7),
+	.Y(brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_n_39), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_g732 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_n_23),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_n_37),
+	.C(n_37769),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_n_38), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_g733 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_n_13),
+	.B(n_43034),
+	.C(n_37768),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_n_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_g734 (
+	.A(n_37779),
+	.B_N(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[6]),
+	.Y(brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_n_36), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_g735 (
+	.A_N(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[6]),
+	.B(n_37779),
+	.Y(brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_n_35), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_g737 (
+	.A(n_37775),
+	.B_N(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[4]),
+	.Y(brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_n_33), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_g738 (
+	.A_N(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[4]),
+	.B(n_37775),
+	.Y(brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_n_32), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_g740 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[3]),
+	.B_N(n_37770),
+	.Y(brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_n_30), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_g741 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[17]),
+	.B_N(n_37801),
+	.Y(brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_n_29), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_g742 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[11]),
+	.Y(brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_n_28), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_g746 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[10]),
+	.Y(brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_n_24), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_g747 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[2]),
+	.Y(brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_n_23), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_g750 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[16]),
+	.Y(brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_n_20), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_g751 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[15]),
+	.Y(brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_n_19), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_g752 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[17]),
+	.Y(brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_n_18), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_g753 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[14]),
+	.Y(brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_n_17), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_g754 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[9]),
+	.Y(brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_n_16), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_g756 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[8]),
+	.Y(brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_n_14), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_g757 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[1]),
+	.Y(brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_n_13), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_g763 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[3]),
+	.Y(brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_n_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_g765 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[13]),
+	.Y(brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_n_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_g767 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[7]),
+	.Y(brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_n_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_g768 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[12]),
+	.Y(brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_n_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2708 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_207),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_4),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_220),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[3]),
+	.X(n_37269), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2709 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_211),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_4),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_204),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[3]),
+	.X(n_37291), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2710 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_218),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_4),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_206),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[3]),
+	.X(n_37289), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2711 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_213),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_4),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_201),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[3]),
+	.X(n_37279), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2712 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_212),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_4),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_193),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[3]),
+	.X(n_37278), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2713 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_220),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_4),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_200),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[3]),
+	.X(n_37277), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2714 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_219),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_4),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_199),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[3]),
+	.X(n_37276), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2715 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_217),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_4),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_197),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[3]),
+	.X(n_37275), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2716 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_216),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_4),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_196),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[3]),
+	.X(n_37274), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2717 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_215),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_4),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_195),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[3]),
+	.X(n_37273), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2718 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_214),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_4),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_194),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[3]),
+	.X(n_37272), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2719 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_208),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_4),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_202),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[3]),
+	.X(n_37288), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2720 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_201),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_4),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_191),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[3]),
+	.X(n_37287), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2721 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_210),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_4),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_213),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[3]),
+	.X(n_37271), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2722 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_209),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_4),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_212),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[3]),
+	.X(n_37270), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2723 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_221),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_4),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_205),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[3]),
+	.X(n_37292), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2724 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_194),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_4),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_208),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[3]),
+	.X(n_37280), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2725 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_193),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_4),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_186),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[3]),
+	.X(n_37286), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2726 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_189),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_4),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_217),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[3]),
+	.X(n_37267), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2727 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_188),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_4),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_216),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[3]),
+	.X(n_37266), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2728 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_187),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_4),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_215),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[3]),
+	.X(n_37265), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2729 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_185),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_4),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_214),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[3]),
+	.X(n_37264), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2730 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_198),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_4),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_184),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[3]),
+	.X(n_37290), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2731 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_200),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_4),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_190),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[3]),
+	.X(n_37285), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2732 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_182),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_4),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_210),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[3]),
+	.X(n_37263), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2733 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_183),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_4),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_209),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[3]),
+	.X(n_37262), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2734 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_203),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_4),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_207),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[3]),
+	.X(n_37261), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2735 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_199),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_4),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_221),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[3]),
+	.X(n_37284), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2736 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_197),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_4),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_211),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[3]),
+	.X(n_37283), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2737 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_196),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_4),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_198),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[3]),
+	.X(n_37282), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2738 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_195),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_4),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_218),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[3]),
+	.X(n_37281), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2739 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_192),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_4),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_219),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[3]),
+	.X(n_37268), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2740 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[4]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_176),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_3),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_169),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_206), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2741 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[4]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_180),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_3),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_131),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_205), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2742 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[4]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_177),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_3),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_170),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_204), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2743 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[4]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_132),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_3),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_175),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_203), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2744 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[4]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_173),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_3),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_156),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_202), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2745 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[4]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_153),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_3),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_164),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_221), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2746 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[4]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_175),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_3),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_150),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_220), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2747 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[4]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_167),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_3),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_153),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_219), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2748 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[4]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_178),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_3),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_163),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_218), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2749 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[4]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_165),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_3),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_152),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_217), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2750 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[4]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_162),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_3),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_141),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_216), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2751 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[4]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_159),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_3),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_178),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_215), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2752 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[4]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_158),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_3),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_179),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_214), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2753 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[4]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_174),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_3),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_139),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_213), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2754 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[4]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_181),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_3),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_140),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_212), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2755 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[4]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_152),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_3),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_160),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_211), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2756 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[4]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_157),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_3),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_149),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_210), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2757 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[4]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_154),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_3),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_151),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_209), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2758 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[4]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_179),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_3),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_155),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_208), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2759 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[4]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_161),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_3),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_148),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_207), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2760 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[4]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_168),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_3),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_146),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_192), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2761 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[4]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_139),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_3),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_172),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_191), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2762 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[4]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_150),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_3),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_130),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_190), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2763 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[4]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_171),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_3),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_145),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_189), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2764 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[4]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_138),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_3),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_144),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_188), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2765 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[4]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_137),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_3),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_142),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_187), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2766 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[4]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_140),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_3),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_136),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_186), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2767 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[4]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_166),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_3),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_143),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_185), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2768 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[4]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_147),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_3),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_129),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_184), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2769 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[4]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_133),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_3),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_181),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_183), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2770 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[4]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_135),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_3),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_174),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_182), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2771 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[4]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_149),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_3),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_126),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_201), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2772 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[4]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_148),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_3),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_128),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_200), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2773 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[4]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_146),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_3),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_180),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_199), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2774 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[4]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_141),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_3),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_127),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_198), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2775 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[4]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_145),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_3),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_177),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_197), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2776 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[4]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_144),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_3),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_147),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_196), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2777 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[4]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_142),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_3),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_176),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_195), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2778 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[4]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_143),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_3),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_173),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_194), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2779 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[4]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_151),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_3),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_134),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_193), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2780 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_86),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_2),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_117),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[2]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_172), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2781 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_118),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_2),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_85),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[2]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_171), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2782 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_117),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_2),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_97),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[2]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_170), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2783 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_122),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_2),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_98),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[2]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_169), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2784 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_91),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_2),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_106),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[2]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_168), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2785 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_120),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_2),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_69),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[2]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_167), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2786 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_100),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_2),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_91),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[2]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_166), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2787 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_115),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_2),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_107),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[2]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_165), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2788 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_103),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_2),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_113),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[2]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_164), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2789 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_112),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_2),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_125),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[2]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_163), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2790 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_114),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_2),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_124),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[2]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_162), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2791 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_121),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_2),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_93),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[2]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_161), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2792 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_94),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_2),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_86),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[2]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_160), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2793 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_93),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_2),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_75),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[2]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_159), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2794 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_106),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_2),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_120),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[2]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_158), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2795 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_85),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_2),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_115),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[2]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_157), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2796 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_113),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_2),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_81),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[2]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_156), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2797 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_95),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_2),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_103),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[2]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_155), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2798 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_104),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_2),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_114),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[2]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_154), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2799 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_124),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_2),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_72),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[2]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_181), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2800 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_78),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_2),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_95),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[2]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_180), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2801 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_68),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_2),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_73),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[2]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_179), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2802 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_109),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_2),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_110),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[2]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_178), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2803 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_105),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_2),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_111),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[2]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_177), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2804 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_116),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_2),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_119),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[2]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_176), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2805 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_75),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_2),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_70),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[2]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_175), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2806 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_107),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_2),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_76),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[2]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_174), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2807 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_84),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_2),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_78),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[2]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_173), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2808 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_74),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_2),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_104),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[2]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_138), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2809 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_90),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_2),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_121),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[2]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_137), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2810 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_71),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_2),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_82),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[2]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_136), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2811 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_96),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_2),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_118),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[2]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_135), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2812 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_79),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_2),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_88),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[2]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_134), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2813 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_67),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_2),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_74),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[2]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_133), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2814 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_99),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_2),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_90),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[2]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_132), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2815 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_81),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_2),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_101),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[2]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_131), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2816 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_125),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_2),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_122),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[2]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_130), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2817 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_82),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_2),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_66),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[2]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_129), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2818 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_119),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_2),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_112),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[2]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_128), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2819 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_88),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_2),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_71),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[2]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_127), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2820 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_111),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_2),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_94),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[2]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_126), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2821 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_73),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_2),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_84),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[2]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_153), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2822 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_108),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_2),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_89),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[2]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_152), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2823 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_87),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_2),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_123),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[2]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_151), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2824 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_110),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_2),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_116),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[2]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_150), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2825 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_92),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_2),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_108),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[2]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_149), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2826 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_83),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_2),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_109),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[2]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_148), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2827 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_77),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_2),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_79),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[2]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_147), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2828 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_80),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_2),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_68),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[2]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_146), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2829 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_76),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_2),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_92),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[2]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_145), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2830 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_72),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_2),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_87),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[2]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_144), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2831 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_69),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_2),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_80),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[2]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_143), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2832 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_70),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_2),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_83),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[2]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_142), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2833 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_123),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_2),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_102),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[2]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_141), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2834 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_102),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_2),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_77),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[2]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_140), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2835 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_89),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_2),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_105),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[2]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_139), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2836 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[0]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_32),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_0),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_5),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_101), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2837 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[0]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_35),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_0),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_47),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_100), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2838 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[0]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_36),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_0),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_39),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_99), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2839 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[0]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_19),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_0),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_24),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_98), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2840 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[0]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_27),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_0),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_32),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_97), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2841 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[0]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_31),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_0),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_35),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_96), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2842 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[0]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_30),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_0),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_10),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_125), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2843 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[0]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_58),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_0),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_62),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_124), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2844 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[0]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_55),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_0),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_21),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_123), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2845 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[0]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_28),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_0),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_59),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_122), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2846 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[0]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_41),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_0),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_46),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_121), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2847 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[0]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_33),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_0),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_20),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_120), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2848 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[0]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_15),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_0),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_17),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_119), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2849 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[0]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_60),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_0),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_44),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_118), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2850 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[0]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_54),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_0),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_57),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_117), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2851 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[0]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_56),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_0),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_26),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_116), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2852 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[0]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_64),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_0),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_33),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_115), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2853 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[0]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_12),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_0),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_64),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_114), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2854 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[0]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_42),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_0),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_28),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_113), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2855 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[0]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_37),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_0),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_8),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_112), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2856 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[0]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_51),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_0),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_29),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_111), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2857 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[0]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_38),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_0),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_65),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_110), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2858 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[0]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_53),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_0),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_55),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_109), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2859 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[0]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_21),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_0),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_6),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_108), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2860 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[0]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_62),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_0),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_7),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_107), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2861 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[0]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_50),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_0),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_52),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_106), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2862 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[0]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_48),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_0),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_63),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_105), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2863 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[0]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_46),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_0),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_34),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_104), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2864 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[0]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_45),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_0),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_30),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_103), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2865 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[0]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_65),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_0),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_25),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_102), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2866 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[0]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_39),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_0),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_31),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_67), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2867 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[0]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_24),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_0),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_27),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_66), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2868 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[0]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_29),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_0),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_37),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_95), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2869 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[0]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_11),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_0),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_45),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_94), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2870 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[0]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_52),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_0),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_12),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_93), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2871 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[0]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_49),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_0),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_23),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_92), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2872 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[0]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_44),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_0),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_41),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_91), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2873 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[0]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_47),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_0),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_40),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_90), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2874 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[0]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_25),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_0),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_18),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_89), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2875 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[0]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_8),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_0),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_11),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_88), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2876 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[0]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_14),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_0),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_49),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_87), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2877 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[0]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_43),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_0),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_42),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_86), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2878 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[0]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_34),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_0),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_50),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_85), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2879 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[0]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_18),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_0),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_56),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_84), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2880 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[0]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_22),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_0),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_14),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_83), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2881 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[0]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_59),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_0),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_54),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_82), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2882 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[0]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_57),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_0),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_19),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_81), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2883 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[0]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_13),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_0),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_22),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_80), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2884 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[0]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_17),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_0),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_51),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_79), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2885 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[0]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_63),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_0),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_15),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_78), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2886 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[0]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_26),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_0),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_48),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_77), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2887 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[0]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_16),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_0),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_13),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_76), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2888 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[0]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_20),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_0),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_58),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_75), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2889 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[0]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_40),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_0),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_60),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_74), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2890 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[0]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_6),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_0),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_38),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_73), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2891 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[0]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_61),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_0),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_16),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_72), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2892 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[0]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_10),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_0),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_43),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_71), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2893 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[0]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_9),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_0),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_61),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_70), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2894 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[0]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_7),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_0),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_9),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_69), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2895 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[0]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_23),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_0),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_53),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_68), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2896 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_1),
+	.A2(brqrv_top_brqrv_exu_i0_rs1_d[0]),
+	.B1(brqrv_top_brqrv_exu_i_alu_shift_amount[1]),
+	.B2(brqrv_top_brqrv_exu_i0_rs1_d[2]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_36), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2897 (
+	.A1(n_37294),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_1),
+	.B1(n_37296),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[1]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_65), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2898 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_1),
+	.A2(brqrv_top_brqrv_exu_i0_rs1_d[14]),
+	.B1(brqrv_top_brqrv_exu_i_alu_shift_amount[1]),
+	.B2(brqrv_top_brqrv_exu_i0_rs1_d[16]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_64), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2899 (
+	.A1(n_37300),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_1),
+	.B1(n_37302),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[1]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_63), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2900 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_1),
+	.A2(brqrv_top_brqrv_exu_i0_rs1_d[18]),
+	.B1(brqrv_top_brqrv_exu_i_alu_shift_amount[1]),
+	.B2(brqrv_top_brqrv_exu_i0_rs1_d[20]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_62), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2901 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_1),
+	.A2(brqrv_top_brqrv_exu_i0_rs1_d[21]),
+	.B1(brqrv_top_brqrv_exu_i_alu_shift_amount[1]),
+	.B2(brqrv_top_brqrv_exu_i0_rs1_d[23]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_61), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2902 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_1),
+	.A2(brqrv_top_brqrv_exu_i0_rs1_d[6]),
+	.B1(brqrv_top_brqrv_exu_i_alu_shift_amount[1]),
+	.B2(brqrv_top_brqrv_exu_i0_rs1_d[8]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_60), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2903 (
+	.A1(n_37314),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_1),
+	.B1(n_37316),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[1]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_59), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2904 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_1),
+	.A2(brqrv_top_brqrv_exu_i0_rs1_d[17]),
+	.B1(brqrv_top_brqrv_exu_i_alu_shift_amount[1]),
+	.B2(brqrv_top_brqrv_exu_i0_rs1_d[19]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_58), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2905 (
+	.A1(n_37316),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_1),
+	.B1(n_37318),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[1]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_57), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2906 (
+	.A1(n_37297),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_1),
+	.B1(n_37299),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[1]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_56), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2907 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_1),
+	.A2(brqrv_top_brqrv_exu_i0_rs1_d[29]),
+	.B1(brqrv_top_brqrv_exu_i_alu_shift_amount[1]),
+	.B2(brqrv_top_brqrv_exu_i0_rs1_d[31]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_55), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2908 (
+	.A1(n_37315),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_1),
+	.B1(n_37317),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[1]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_54), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2909 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_1),
+	.A2(brqrv_top_brqrv_exu_i0_rs1_d[28]),
+	.B1(brqrv_top_brqrv_exu_i_alu_shift_amount[1]),
+	.B2(brqrv_top_brqrv_exu_i0_rs1_d[30]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_53), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2910 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_1),
+	.A2(n_43042),
+	.B1(brqrv_top_brqrv_exu_i_alu_shift_amount[1]),
+	.B2(brqrv_top_brqrv_exu_i0_rs1_d[14]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_52), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2911 (
+	.A1(n_37303),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_1),
+	.B1(n_37305),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[1]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2912 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_1),
+	.A2(brqrv_top_brqrv_exu_i0_rs1_d[11]),
+	.B1(brqrv_top_brqrv_exu_i_alu_shift_amount[1]),
+	.B2(brqrv_top_brqrv_exu_i0_rs1_d[13]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_50), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2913 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_1),
+	.A2(brqrv_top_brqrv_exu_i0_rs1_d[26]),
+	.B1(brqrv_top_brqrv_exu_i_alu_shift_amount[1]),
+	.B2(brqrv_top_brqrv_exu_i0_rs1_d[28]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_49), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2914 (
+	.A1(n_37299),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_1),
+	.B1(n_37301),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[1]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_48), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2915 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_1),
+	.A2(brqrv_top_brqrv_exu_i0_rs1_d[4]),
+	.B1(brqrv_top_brqrv_exu_i_alu_shift_amount[1]),
+	.B2(brqrv_top_brqrv_exu_i0_rs1_d[6]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_47), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2916 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_1),
+	.A2(brqrv_top_brqrv_exu_i0_rs1_d[9]),
+	.B1(brqrv_top_brqrv_exu_i_alu_shift_amount[1]),
+	.B2(brqrv_top_brqrv_exu_i0_rs1_d[11]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_46), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2917 (
+	.A1(n_37308),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_1),
+	.B1(n_37310),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[1]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_45), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2918 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_1),
+	.A2(brqrv_top_brqrv_exu_i0_rs1_d[7]),
+	.B1(brqrv_top_brqrv_exu_i_alu_shift_amount[1]),
+	.B2(brqrv_top_brqrv_exu_i0_rs1_d[9]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_44), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2919 (
+	.A1(n_37311),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_1),
+	.B1(n_37313),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[1]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_43), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2920 (
+	.A1(n_37312),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_1),
+	.B1(n_37314),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[1]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_42), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2921 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_1),
+	.A2(brqrv_top_brqrv_exu_i0_rs1_d[8]),
+	.B1(brqrv_top_brqrv_exu_i_alu_shift_amount[1]),
+	.B2(brqrv_top_brqrv_exu_i0_rs1_d[10]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_41), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2922 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_1),
+	.A2(brqrv_top_brqrv_exu_i0_rs1_d[5]),
+	.B1(brqrv_top_brqrv_exu_i_alu_shift_amount[1]),
+	.B2(brqrv_top_brqrv_exu_i0_rs1_d[7]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_40), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2923 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_1),
+	.A2(brqrv_top_brqrv_exu_i0_rs1_d[1]),
+	.B1(brqrv_top_brqrv_exu_i_alu_shift_amount[1]),
+	.B2(brqrv_top_brqrv_exu_i0_rs1_d[3]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_39), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2924 (
+	.A1(n_37293),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_1),
+	.B1(n_37295),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[1]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_38), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2925 (
+	.A1(n_37305),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_1),
+	.B1(n_37307),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[1]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2926 (
+	.A1(n_37321),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_1),
+	.B1(n_37323),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[1]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2927 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_1),
+	.A2(brqrv_top_brqrv_exu_i0_rs1_d[3]),
+	.B1(brqrv_top_brqrv_exu_i_alu_shift_amount[1]),
+	.B2(brqrv_top_brqrv_exu_i0_rs1_d[5]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_35), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2928 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_1),
+	.A2(brqrv_top_brqrv_exu_i0_rs1_d[10]),
+	.B1(brqrv_top_brqrv_exu_i_alu_shift_amount[1]),
+	.B2(n_43042),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_34), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2929 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_1),
+	.A2(brqrv_top_brqrv_exu_i0_rs1_d[15]),
+	.B1(brqrv_top_brqrv_exu_i_alu_shift_amount[1]),
+	.B2(brqrv_top_brqrv_exu_i0_rs1_d[17]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_33), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2930 (
+	.A1(n_37320),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_1),
+	.B1(n_37322),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[1]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_32), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2931 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_1),
+	.A2(brqrv_top_brqrv_exu_i0_rs1_d[2]),
+	.B1(brqrv_top_brqrv_exu_i_alu_shift_amount[1]),
+	.B2(brqrv_top_brqrv_exu_i0_rs1_d[4]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_31), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2932 (
+	.A1(n_37309),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_1),
+	.B1(n_37311),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[1]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_30), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2933 (
+	.A1(n_37304),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_1),
+	.B1(n_37306),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[1]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_29), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2934 (
+	.A1(n_37313),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_1),
+	.B1(n_37315),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[1]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_28), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2935 (
+	.A1(n_37319),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_1),
+	.B1(n_37321),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[1]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_27), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2936 (
+	.A1(n_37298),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_1),
+	.B1(n_37300),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[1]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_26), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2937 (
+	.A1(n_37295),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_1),
+	.B1(n_37297),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[1]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_25), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2938 (
+	.A1(n_37318),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_1),
+	.B1(n_37320),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[1]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_24), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2939 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_1),
+	.A2(brqrv_top_brqrv_exu_i0_rs1_d[27]),
+	.B1(brqrv_top_brqrv_exu_i_alu_shift_amount[1]),
+	.B2(brqrv_top_brqrv_exu_i0_rs1_d[29]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_23), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2940 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_1),
+	.A2(brqrv_top_brqrv_exu_i0_rs1_d[24]),
+	.B1(brqrv_top_brqrv_exu_i_alu_shift_amount[1]),
+	.B2(brqrv_top_brqrv_exu_i0_rs1_d[26]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_22), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2941 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_1),
+	.A2(brqrv_top_brqrv_exu_i0_rs1_d[30]),
+	.B1(n_37293),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[1]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_21), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2942 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_1),
+	.A2(brqrv_top_brqrv_exu_i0_rs1_d[16]),
+	.B1(brqrv_top_brqrv_exu_i_alu_shift_amount[1]),
+	.B2(brqrv_top_brqrv_exu_i0_rs1_d[18]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_20), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2943 (
+	.A1(n_37317),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_1),
+	.B1(n_37319),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[1]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_19), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2944 (
+	.A1(n_37296),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_1),
+	.B1(n_37298),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[1]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_18), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2945 (
+	.A1(n_37302),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_1),
+	.B1(n_37304),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[1]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_17), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2946 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_1),
+	.A2(brqrv_top_brqrv_exu_i0_rs1_d[22]),
+	.B1(brqrv_top_brqrv_exu_i_alu_shift_amount[1]),
+	.B2(brqrv_top_brqrv_exu_i0_rs1_d[24]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_16), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2947 (
+	.A1(n_37301),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_1),
+	.B1(n_37303),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[1]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_15), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2948 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_1),
+	.A2(brqrv_top_brqrv_exu_i0_rs1_d[25]),
+	.B1(brqrv_top_brqrv_exu_i_alu_shift_amount[1]),
+	.B2(brqrv_top_brqrv_exu_i0_rs1_d[27]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_14), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2949 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_1),
+	.A2(brqrv_top_brqrv_exu_i0_rs1_d[23]),
+	.B1(brqrv_top_brqrv_exu_i_alu_shift_amount[1]),
+	.B2(brqrv_top_brqrv_exu_i0_rs1_d[25]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_13), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2950 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_1),
+	.A2(brqrv_top_brqrv_exu_i0_rs1_d[13]),
+	.B1(brqrv_top_brqrv_exu_i_alu_shift_amount[1]),
+	.B2(brqrv_top_brqrv_exu_i0_rs1_d[15]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_12), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2951 (
+	.A1(n_37307),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_1),
+	.B1(n_37309),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[1]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_11), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2952 (
+	.A1(n_37310),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_1),
+	.B1(n_37312),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[1]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_10), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2953 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_1),
+	.A2(brqrv_top_brqrv_exu_i0_rs1_d[20]),
+	.B1(brqrv_top_brqrv_exu_i_alu_shift_amount[1]),
+	.B2(brqrv_top_brqrv_exu_i0_rs1_d[22]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_9), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2954 (
+	.A1(n_37306),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_1),
+	.B1(n_37308),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[1]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_8), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2955 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_1),
+	.A2(brqrv_top_brqrv_exu_i0_rs1_d[19]),
+	.B1(brqrv_top_brqrv_exu_i_alu_shift_amount[1]),
+	.B2(brqrv_top_brqrv_exu_i0_rs1_d[21]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2956 (
+	.A1(brqrv_top_brqrv_exu_i0_rs1_d[31]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_1),
+	.B1(n_37294),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[1]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_2 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2957 (
+	.A(brqrv_top_brqrv_exu_i_alu_shift_amount[3]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_2 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2958 (
+	.A(brqrv_top_brqrv_exu_i_alu_shift_amount[4]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_6 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2959 (
+	.A(brqrv_top_brqrv_exu_i_alu_shift_amount[2]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_6 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2960 (
+	.A(brqrv_top_brqrv_exu_i_alu_shift_amount[1]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_12 brqrv_top_brqrv_exu_i_alu_srl_11800_47_g2961 (
+	.A(brqrv_top_brqrv_exu_i_alu_shift_amount[0]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11800_47_n_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_g798  (
+	.A1_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_62 ),
+	.A2_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_150 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_62 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_150 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_adder1_out [33]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_g799  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [29]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [33]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_147 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_150 ),
+	.SUM(n_37172), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_g800  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [28]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [31]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_145 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_147 ),
+	.SUM(n_37171), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_g801  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [27]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [30]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_143 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_145 ),
+	.SUM(n_37170), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_g802  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [26]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [29]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_141 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_143 ),
+	.SUM(n_37169), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_g803  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [25]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [28]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_139 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_141 ),
+	.SUM(n_37168), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_g804  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [24]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [27]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_137 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_139 ),
+	.SUM(n_37167), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_g805  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [23]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [26]),
+	.CIN(n_43634),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_137 ),
+	.SUM(n_37166), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_g812  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_128 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_8 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_130 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_g813  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_54 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_127 ),
+	.X(n_37163), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_g814  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [20]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [23]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_127 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_128 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_g815  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_125 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_19 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_127 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_g816  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_57 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_124 ),
+	.X(n_37162), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_g817  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [19]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [22]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_124 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_125 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_g818  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_122 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_13 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_124 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_g819  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_56 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_121 ),
+	.X(n_37161), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_g820  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [18]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [21]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_121 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_122 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_g821  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_119 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_12 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_121 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_g822  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_55 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_118 ),
+	.X(n_37160), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_g823  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [17]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [20]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_118 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_119 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_g824  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_116 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_23 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_118 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_g825  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_60 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_115 ),
+	.X(n_37159), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_g826  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [16]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [19]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_115 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_116 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_g827  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_113 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_6 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_115 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_g828  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_52 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_112 ),
+	.X(n_37158), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_g829  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [15]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [18]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_112 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_113 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_g830  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_110 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_4 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_112 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_g831  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_50 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_109 ),
+	.X(n_37157), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_g832  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [14]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [17]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_109 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_110 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_g833  (
+	.A(n_43441),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_5 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_109 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_g834  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_48 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_106 ),
+	.X(n_37156), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_g836  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_104 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_16 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_106 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_g837  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_47 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_103 ),
+	.X(n_37155), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_g838  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [12]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [15]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_103 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_104 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_g839  (
+	.A(n_43999),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_2 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_103 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_g840  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_46 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_100 ),
+	.X(n_37154), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_g842  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_98 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_20 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_100 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_g843  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_36 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_97 ),
+	.X(n_37153), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_g844  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [10]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [13]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_97 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_98 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_g845  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_95 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_22 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_97 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_g846  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_45 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_94 ),
+	.X(n_37152), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_g847  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_94 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_25 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_95 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_g848  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_92 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_11 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_94 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_g849  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_43 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_91 ),
+	.X(n_37151), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_g850  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_91 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_33 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_92 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_g851  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_89 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_24 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_91 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_g852  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_51 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_88 ),
+	.X(n_37150), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_g853  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [7]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [10]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_88 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_89 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_g854  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_86 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_10 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_88 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_g855  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_59 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_85 ),
+	.X(n_37149), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_g856  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_85 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_32 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_86 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_g857  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_83 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_1 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_85 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_g858  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_58 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_82 ),
+	.X(n_37148), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_g859  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [5]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [8]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_82 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_83 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_g860  (
+	.A(n_43446),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_3 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_82 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_g861  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_39 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_79 ),
+	.X(n_37147), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_g863  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_77 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_18 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_79 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_g864  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_42 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_76 ),
+	.X(n_37146), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_g865  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_76 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_30 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_77 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_g866  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_74 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_14 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_76 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_g867  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_38 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_73 ),
+	.X(n_37145), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_g868  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [2]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [5]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_73 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_74 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_g869  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_71 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_21 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_73 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_g870  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_40 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_70 ),
+	.X(n_37144), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_g871  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_70 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_29 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_71 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_g872  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_68 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_17 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_70 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_g873  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_41 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_67 ),
+	.X(n_37143), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_g874  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [0]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [3]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_67 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_68 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_g875  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_65 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_9 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_67 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_g876  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_44 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_64 ),
+	.X(n_37142), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_g877  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_64 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_28 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_65 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_g878  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_35 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_27 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_15 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_64 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_g879  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_35 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_53 ),
+	.Y(n_37141), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_g880  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [30]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [0]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_35 ),
+	.X(n_37140), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_g881  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [16]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [19]),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_60 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_g882  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [6]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [9]),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_59 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_g883  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [5]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [8]),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_58 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_g884  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [19]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [22]),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_57 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_g885  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [18]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [21]),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_56 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_g886  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [17]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [20]),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_55 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_g887  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [20]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [23]),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_54 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_g888  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [31]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [1]),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_53 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_g889  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [15]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [18]),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_52 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_g890  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [7]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [10]),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_51 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_g891  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [14]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [17]),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_50 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_g892  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [30]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [33]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_62 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_g894  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [13]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [16]),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_48 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_g895  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [12]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [15]),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_47 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_g896  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [11]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [14]),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_46 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_g897  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [9]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [12]),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_45 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_g898  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [32]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [2]),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_44 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_g899  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [8]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [11]),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_43 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_g900  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [3]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [6]),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_42 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_g901  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [0]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [3]),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_41 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_g902  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [1]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [4]),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_40 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_g903  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [4]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [7]),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_39 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_g904  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [2]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [5]),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_38 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_g906  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [10]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [13]),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_36 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_g908  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [8]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [11]),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_33 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_g909  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [6]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [9]),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_32 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_g911  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [3]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [6]),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_30 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_g912  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [1]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [4]),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_29 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_g913  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [32]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [2]),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_28 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_g914  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [1]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [31]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_27 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_g916  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [9]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [12]),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_25 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_g917  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [10]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [7]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_24 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_g918  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [19]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [16]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_23 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_g919  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [12]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [9]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_22 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_g920  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [4]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [1]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_21 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_g921  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [13]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [10]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_20 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_g922  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [22]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [19]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_19 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_g923  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [6]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [3]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_18 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_g924  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [0]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [30]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_35 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_g925  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [3]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [0]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_17 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_g926  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [15]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [12]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_16 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_g927  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [31]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [1]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_15 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_g928  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [5]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [2]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_14 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_g929  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [21]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [18]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_13 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_g930  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [20]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [17]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_12 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_g931  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [11]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [8]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_11 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_g932  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [9]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [6]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_10 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_g933  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [2]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [32]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_9 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_g934  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [23]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [20]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_8 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_g936  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [18]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [15]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_g937  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [16]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [13]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_5 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_g938  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [17]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [14]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_g939  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [7]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [4]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_g940  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [14]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [11]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_g941  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [8]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [5]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_1 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_g804  (
+	.A1_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_63 ),
+	.A2_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_151 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_63 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_151 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_adder2_out [34]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_g805  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [30]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [33]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_148 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_151 ),
+	.SUM(n_37139), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_g806  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [29]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [31]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_146 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_148 ),
+	.SUM(n_37138), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_g807  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [28]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [30]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_144 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_146 ),
+	.SUM(n_37137), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_g808  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [27]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [29]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_142 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_144 ),
+	.SUM(n_37136), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_g809  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [26]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [28]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_140 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_142 ),
+	.SUM(n_37135), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_g810  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [25]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [27]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_138 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_140 ),
+	.SUM(n_37134), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_g811  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [24]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [26]),
+	.CIN(n_43638),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_138 ),
+	.SUM(n_37133), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_g821  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_126 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_19 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_128 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_g822  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_58 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_125 ),
+	.X(n_37129), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_g823  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [20]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [22]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_125 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_126 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_g824  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_123 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_13 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_125 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_g825  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_57 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_122 ),
+	.X(n_37128), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_g826  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [19]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [21]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_122 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_123 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_g827  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_120 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_12 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_122 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_g828  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_56 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_119 ),
+	.X(n_37127), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_g829  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [18]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [20]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_119 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_120 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_g830  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_117 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_23 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_119 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_g831  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_61 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_116 ),
+	.X(n_37126), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_g832  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [17]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [19]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_116 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_117 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_g833  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_114 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_6 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_116 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_g834  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_53 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_113 ),
+	.X(n_37125), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_g835  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [16]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [18]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_113 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_114 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_g836  (
+	.A(n_44000),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_4 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_113 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_g837  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_51 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_110 ),
+	.X(n_37124), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_g839  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_108 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_5 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_110 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_g840  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_49 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_107 ),
+	.X(n_37123), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_g841  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_107 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_35 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_108 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_g842  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_105 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_16 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_107 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_g843  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_48 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_104 ),
+	.X(n_37122), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_g844  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [13]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [15]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_104 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_105 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_g845  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_102 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_2 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_104 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_g846  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_47 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_101 ),
+	.X(n_37121), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_g847  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_101 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_25 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_102 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_g848  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_99 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_20 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_101 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_g849  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_37 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_98 ),
+	.X(n_37120), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_g850  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [11]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [13]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_98 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_99 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_g851  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_96 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_22 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_98 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_g852  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_46 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_95 ),
+	.X(n_37119), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_g853  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_95 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_26 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_96 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_g854  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_93 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_11 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_95 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_g855  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_44 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_92 ),
+	.X(n_37118), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_g856  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_92 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_34 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_93 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_g857  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_90 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_9 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_92 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_g858  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_52 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_89 ),
+	.X(n_37117), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_g859  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [8]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [10]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_89 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_90 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_g860  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_87 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_10 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_89 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_g861  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_60 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_86 ),
+	.X(n_37116), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_g862  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_86 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_33 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_87 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_g863  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_84 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_1 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_86 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_g864  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_59 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_83 ),
+	.X(n_37115), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_g865  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [6]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [8]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_83 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_84 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_g866  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_81 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_3 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_83 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_g867  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_40 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_80 ),
+	.X(n_37114), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_g868  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_80 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_32 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_81 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_g869  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_78 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_24 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_80 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_g870  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_43 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_77 ),
+	.X(n_37113), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_g871  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_77 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_31 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_78 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_g872  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_75 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_14 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_77 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_g873  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_39 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_74 ),
+	.X(n_37112), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_g874  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [3]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [5]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_74 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_75 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_g875  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_72 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_21 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_74 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_g876  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_41 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_71 ),
+	.X(n_37111), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_g877  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_71 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_30 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_72 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_g878  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_69 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_8 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_71 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_g879  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_42 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_68 ),
+	.X(n_37110), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_g880  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [1]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [3]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_68 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_69 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_g881  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_66 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_18 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_68 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_g882  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_45 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_65 ),
+	.X(n_37109), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_g883  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_65 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_29 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_66 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_g884  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_36 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_28 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_15 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_65 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_g885  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_36 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_54 ),
+	.Y(n_37108), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_g886  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [0]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [31]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_36 ),
+	.X(n_37107), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_g887  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [17]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [19]),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_61 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_g888  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [7]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [9]),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_60 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_g889  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [6]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [8]),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_59 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_g890  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [20]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [22]),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_58 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_g891  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [19]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [21]),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_57 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_g892  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [18]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [20]),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_56 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_g894  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [32]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [1]),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_54 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_g895  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [16]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [18]),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_53 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_g896  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [8]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [10]),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_52 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_g897  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [15]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [17]),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_51 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_g898  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [31]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [33]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_63 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_g900  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [14]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [16]),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_49 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_g901  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [13]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [15]),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_48 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_g902  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [12]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [14]),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_47 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_g903  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [10]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [12]),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_46 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_g904  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [0]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [2]),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_45 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_g905  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [9]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [11]),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_44 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_g906  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [4]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [6]),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_43 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_g907  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [1]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [3]),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_42 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_g908  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [2]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [4]),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_41 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_g909  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [5]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [7]),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_40 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_g910  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [3]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [5]),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_39 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_g912  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [11]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [13]),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_37 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_g913  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [14]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [16]),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_35 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_g914  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [9]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [11]),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_34 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_g915  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [7]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [9]),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_33 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_g916  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [5]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [7]),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_32 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_g917  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [4]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [6]),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_31 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_g918  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [2]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [4]),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_30 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_g919  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [0]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [2]),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_29 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_g920  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [32]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [1]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_28 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_g922  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [10]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [12]),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_26 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_g923  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [12]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [14]),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_25 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_g924  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [6]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [4]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_24 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_g925  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [19]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [17]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_23 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_g926  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [12]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [10]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_22 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_g927  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [4]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [2]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_21 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_g928  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [13]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [11]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_20 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_g929  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [22]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [20]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_19 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_g930  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [31]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [0]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_36 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_g931  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [2]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [0]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_18 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_g933  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [15]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [13]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_16 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_g934  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [1]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [32]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_15 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_g935  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [5]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [3]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_14 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_g936  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [21]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [19]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_13 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_g937  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [20]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [18]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_12 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_g938  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [11]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [9]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_11 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_g939  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [9]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [7]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_10 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_g940  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [10]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [8]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_9 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_g941  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [3]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [1]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_8 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_g943  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [18]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [16]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_g944  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [16]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [14]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_5 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_g945  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [17]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [15]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_g946  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [7]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [5]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_g947  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [14]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [12]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_g948  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [8]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [6]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_1 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3268  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_98 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_201 ),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_adder4_out [36]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3269  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_200 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_100 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_201 ),
+	.X(n_37241), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3270  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_100 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_200 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_201 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3271  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [31]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [33]),
+	.CIN(n_43644),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_200 ),
+	.SUM(n_37240), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3281  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_187 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_61 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_189 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3282  (
+	.A1_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_67 ),
+	.A2_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_186 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_67 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_186 ),
+	.Y(n_37236), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3283  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_186 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_11 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_187 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3284  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_184 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_18 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_186 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3285  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_74 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_182 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_183 ),
+	.Y(n_37235), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3286  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_182 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_38 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_184 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3287  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_182 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_74 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_183 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3288  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_180 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_17 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_182 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3289  (
+	.A1_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_78 ),
+	.A2_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_179 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_78 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_179 ),
+	.Y(n_37234), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3290  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_179 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_25 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_180 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3291  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_177 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_22 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_179 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3292  (
+	.A1_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_77 ),
+	.A2_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_176 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_77 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_176 ),
+	.Y(n_37233), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3293  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_176 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_7 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_177 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3294  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_174 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_52 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_176 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3295  (
+	.A1_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_75 ),
+	.A2_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_173 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_75 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_173 ),
+	.Y(n_37232), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3296  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_173 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_57 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_174 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3297  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_171 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_3 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_173 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3298  (
+	.A1_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_73 ),
+	.A2_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_170 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_73 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_170 ),
+	.Y(n_37231), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3299  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_170 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_35 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_171 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3300  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_168 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_64 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_170 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3301  (
+	.A1_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_71 ),
+	.A2_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_167 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_71 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_167 ),
+	.Y(n_37230), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3302  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_167 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_48 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_168 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3303  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_165 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_9 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_167 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3304  (
+	.A1_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_70 ),
+	.A2_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_164 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_70 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_164 ),
+	.Y(n_37229), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3305  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_164 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_46 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_165 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3306  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_162 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_41 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_164 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3307  (
+	.A1_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_95 ),
+	.A2_N(n_43666),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_95 ),
+	.B2(n_43666),
+	.Y(n_37228), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3308  (
+	.A(n_43666),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_39 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_162 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3318  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_150 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_19 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_152 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3319  (
+	.A1_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_90 ),
+	.A2_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_149 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_90 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_149 ),
+	.Y(n_37224), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3320  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_149 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_15 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_150 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3321  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_146 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_51 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_149 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3322  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_69 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_145 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_147 ),
+	.Y(n_37223), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3323  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_145 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_69 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_147 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3324  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_145 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_58 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_146 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3325  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_142 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_8 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_145 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3326  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_91 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_141 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_143 ),
+	.Y(n_37222), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3327  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_141 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_91 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_143 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3328  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_141 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_10 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_142 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3329  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_138 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_5 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_141 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3330  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_72 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_137 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_139 ),
+	.Y(n_37221), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3331  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_137 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_72 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_139 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3332  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_137 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_59 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_138 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3333  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_135 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_63 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_137 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3334  (
+	.A1_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_81 ),
+	.A2_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_134 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_81 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_134 ),
+	.Y(n_37220), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3335  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_134 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_60 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_135 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3336  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_132 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_13 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_134 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3337  (
+	.A1_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_76 ),
+	.A2_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_131 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_76 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_131 ),
+	.Y(n_37219), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3338  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_131 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_4 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_132 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3339  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_129 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_47 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_131 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3340  (
+	.A1_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_86 ),
+	.A2_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_128 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_86 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_128 ),
+	.Y(n_37218), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3341  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_128 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_55 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_129 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3342  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_126 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_20 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_128 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3343  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_94 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_124 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_125 ),
+	.Y(n_37217), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3344  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_124 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_12 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_126 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3345  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_124 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_94 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_125 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3346  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_122 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_6 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_124 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3347  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_80 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_120 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_121 ),
+	.Y(n_37216), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3348  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_120 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_53 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_122 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3349  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_120 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_80 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_121 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3350  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_117 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_14 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_120 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3351  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_79 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_116 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_118 ),
+	.Y(n_37215), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3352  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_116 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_79 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_118 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3353  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_116 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_33 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_117 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3354  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_113 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_62 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_116 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3355  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_84 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_112 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_114 ),
+	.Y(n_37214), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3356  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_112 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_84 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_114 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3357  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_112 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_40 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_113 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3358  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_110 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_65 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_112 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3359  (
+	.A1_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_68 ),
+	.A2_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_109 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_68 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_109 ),
+	.Y(n_37213), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3360  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_109 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_36 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_110 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3361  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_107 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_34 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_109 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3362  (
+	.A1_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_93 ),
+	.A2_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_106 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_93 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_106 ),
+	.Y(n_37212), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3363  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_106 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_32 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_107 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3364  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_104 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_43 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_106 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3365  (
+	.A1_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_85 ),
+	.A2_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_103 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_85 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_103 ),
+	.Y(n_37211), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3366  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_103 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_29 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_104 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3367  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_27 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_0 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_28 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_103 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3368  (
+	.A1_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_88 ),
+	.A2_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_0 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_88 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_0 ),
+	.Y(n_37210), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3371  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_2 ),
+	.B(n_43076),
+	.X(n_37209), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3372  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_99 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_100 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3373  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [32]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [33]),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_98 ),
+	.SUM(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_99 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3376  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_39 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_41 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_95 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3377  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_12 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_20 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_94 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3378  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_32 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_34 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_93 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3380  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_10 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_8 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_91 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3381  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_15 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_19 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_90 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3384  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_27 ),
+	.B_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_28 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_88 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3386  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_55 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_47 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_86 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3387  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_29 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_43 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_85 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3388  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_40 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_62 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_84 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3390  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [32]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [0]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_2 ),
+	.X(n_37208), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3391  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_60 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_63 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_81 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3392  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_53 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_6 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_80 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3393  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_33 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_14 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_79 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3394  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_25 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_17 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_78 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3395  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_7 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_22 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_77 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3396  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_4 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_13 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_76 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3397  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_57 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_52 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_75 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3398  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_38 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_18 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_74 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3399  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_35 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_3 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_73 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3400  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_59 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_5 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_72 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3401  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_48 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_64 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_71 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3402  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_46 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_9 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_70 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3403  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_58 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_51 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_69 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3404  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_36 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_65 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_68 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3405  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_11 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_61 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_67 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3407  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [5]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [4]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_65 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3408  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [22]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [21]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_64 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3409  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [12]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [11]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_63 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3410  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [6]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [5]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_62 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3411  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [28]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [27]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_61 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3412  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [11]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [12]),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_60 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3413  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [12]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [13]),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_59 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3414  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [14]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [15]),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_58 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3415  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [23]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [24]),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_57 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3417  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [9]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [10]),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_55 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3419  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [7]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [8]),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_53 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3420  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [24]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [23]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_52 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3421  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [15]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [14]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_51 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3424  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [21]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [22]),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_48 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3425  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [10]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [9]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_47 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3426  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [20]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [21]),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_46 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3427  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [0]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [1]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_44 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3428  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [3]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [2]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_43 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3430  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [20]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [19]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_41 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3431  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [5]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [6]),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_40 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3432  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [19]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [20]),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_39 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3433  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [26]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [27]),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_38 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3435  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [4]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [5]),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_36 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3436  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [22]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [23]),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_35 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3437  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [4]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [3]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_34 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3438  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [6]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [7]),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_33 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3439  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [3]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [4]),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_32 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3442  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [2]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [3]),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_29 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3443  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [2]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [1]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_28 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3444  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [1]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [2]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_27 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3446  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [25]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [26]),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_25 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3448  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [1]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [0]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_23 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3449  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [25]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [24]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_22 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3451  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [9]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [8]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_20 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3452  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [16]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [15]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_19 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3453  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [27]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [26]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_18 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3454  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [26]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [25]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_17 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3456  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [15]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [16]),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_15 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3457  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [7]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [6]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_14 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3458  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [11]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [10]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_13 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3459  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [8]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [9]),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_12 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3460  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [27]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [28]),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_11 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3461  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [13]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [14]),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_10 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3462  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [21]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [20]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_9 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3463  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [14]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [13]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_8 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3464  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [24]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [25]),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3465  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [8]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [7]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3466  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [13]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [12]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_5 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3467  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [10]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [11]),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3468  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [23]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [22]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g3469  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [0]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [32]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_g2  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_23 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_2 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_44 ),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g870  (
+	.A1_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_72 ),
+	.A2_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_173 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_72 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_173 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_adder5_out [36]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g871  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [33]),
+	.B(n_37241),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_170 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_173 ),
+	.SUM(n_37071), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g872  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [33]),
+	.B(n_37240),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_168 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_170 ),
+	.SUM(n_37070), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g873  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [33]),
+	.B(n_43643),
+	.CIN(n_43672),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_168 ),
+	.SUM(n_37069), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g880  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_159 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_13 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_161 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g881  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_39 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_158 ),
+	.X(n_37066), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g882  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [30]),
+	.A2(n_37236),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_158 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_159 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g883  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_156 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_16 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_158 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g884  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_70 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_155 ),
+	.X(n_37065), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g885  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [29]),
+	.A2(n_37235),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_155 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_156 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g886  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_153 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_29 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_155 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g887  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_152 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_69 ),
+	.X(n_37064), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g888  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [28]),
+	.A2(n_37234),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_152 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_153 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g889  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_150 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_27 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_152 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g890  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_68 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_149 ),
+	.X(n_37063), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g891  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [27]),
+	.A2(n_37233),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_149 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_150 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g892  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_147 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_6 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_149 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g893  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_146 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_67 ),
+	.X(n_37062), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g894  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [26]),
+	.A2(n_37232),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_146 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_147 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g895  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_144 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_7 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_146 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g896  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_143 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_66 ),
+	.X(n_37061), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g897  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [25]),
+	.A2(n_37231),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_143 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_144 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g898  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_141 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_18 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_143 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g899  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_65 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_140 ),
+	.X(n_37060), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g900  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [24]),
+	.A2(n_37230),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_140 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_141 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g901  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_138 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_26 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_140 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g902  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_137 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_64 ),
+	.X(n_37059), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g903  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [23]),
+	.A2(n_37229),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_137 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_138 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g904  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_135 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_24 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_137 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g905  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_63 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_134 ),
+	.X(n_37058), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g906  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [22]),
+	.A2(n_37228),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_134 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_135 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g907  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_132 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_15 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_134 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g908  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_62 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_131 ),
+	.X(n_37057), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g909  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [21]),
+	.A2(n_43665),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_131 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_132 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g910  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_129 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_33 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_131 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g911  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_61 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_128 ),
+	.X(n_37056), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g912  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [20]),
+	.A2(n_43667),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_128 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_129 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g913  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_126 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_28 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_128 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g914  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_125 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_60 ),
+	.X(n_37055), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g915  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [19]),
+	.A2(n_43669),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_125 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_126 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g916  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_123 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_12 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_125 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g917  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_59 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_122 ),
+	.X(n_37054), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g918  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [18]),
+	.A2(n_37224),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_122 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_123 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g919  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_120 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_31 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_122 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g920  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_119 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_58 ),
+	.X(n_37053), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g921  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [17]),
+	.A2(n_37223),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_119 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_120 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g922  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_117 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_32 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_119 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g923  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_116 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_57 ),
+	.X(n_37052), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g924  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [16]),
+	.A2(n_37222),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_116 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_117 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g925  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_114 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_5 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_116 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g926  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_113 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_55 ),
+	.X(n_37051), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g927  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [15]),
+	.A2(n_37221),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_113 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_114 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g928  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_111 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_11 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_113 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g929  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_110 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_54 ),
+	.X(n_37050), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g930  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [14]),
+	.A2(n_37220),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_110 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_111 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g931  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_108 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_30 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_110 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g932  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_107 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_53 ),
+	.X(n_37049), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g933  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [13]),
+	.A2(n_37219),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_107 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_108 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g934  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_105 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_14 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_107 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g935  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_104 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_52 ),
+	.X(n_37048), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g936  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [12]),
+	.A2(n_37218),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_104 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_105 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g937  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_102 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_17 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_104 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g938  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_101 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_51 ),
+	.X(n_37047), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g939  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [11]),
+	.A2(n_37217),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_101 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_102 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g940  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_99 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_25 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_101 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g941  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_50 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_98 ),
+	.X(n_37046), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g942  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_98 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_0 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_99 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g943  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_96 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_22 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_98 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g944  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_95 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_49 ),
+	.X(n_37045), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g945  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [9]),
+	.A2(n_37215),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_95 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_96 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g946  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_93 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_8 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_95 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g947  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_92 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_48 ),
+	.X(n_37044), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g948  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_92 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_4 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_93 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g949  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_90 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_37 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_92 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g950  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_89 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_47 ),
+	.X(n_37043), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g951  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_89 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_1 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_90 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g952  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_87 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_35 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_89 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g953  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_86 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_46 ),
+	.X(n_37042), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g954  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [6]),
+	.A2(n_37212),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_86 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_87 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g955  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_84 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_20 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_86 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g956  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_45 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_83 ),
+	.X(n_37041), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g957  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_83 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_2 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_84 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g958  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_81 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_10 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_83 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g959  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_44 ),
+	.B(n_42225),
+	.X(n_37040), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g960  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [4]),
+	.A2(n_37210),
+	.B1(n_42225),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_81 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g962  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_77 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_43 ),
+	.X(n_37039), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g964  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_75 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_9 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_77 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g965  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_74 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_42 ),
+	.X(n_37038), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g966  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [2]),
+	.A2(n_37208),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_74 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_75 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g967  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_38 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_36 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_21 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_74 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g968  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_38 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_41 ),
+	.Y(n_37037), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g969  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [30]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [0]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_38 ),
+	.X(n_37036), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g970  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [33]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_adder4_out [36]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_72 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g971  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [29]),
+	.B(n_37235),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_70 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g972  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [28]),
+	.B(n_37234),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_69 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g973  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [27]),
+	.B(n_37233),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_68 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g974  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [26]),
+	.B(n_37232),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_67 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g975  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [25]),
+	.B(n_37231),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_66 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g976  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [24]),
+	.B(n_37230),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_65 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g977  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [23]),
+	.B(n_37229),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_64 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g978  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [22]),
+	.B(n_37228),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_63 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g979  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [21]),
+	.B(n_43665),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_62 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g980  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [20]),
+	.B(n_43667),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_61 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g981  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [19]),
+	.B(n_43669),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_60 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g982  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [18]),
+	.B(n_37224),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_59 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g983  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [17]),
+	.B(n_37223),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_58 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g984  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [16]),
+	.B(n_37222),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_57 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g986  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [15]),
+	.B(n_37221),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_55 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g987  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [14]),
+	.B(n_37220),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_54 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g988  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [13]),
+	.B(n_37219),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_53 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g989  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [12]),
+	.B(n_37218),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_52 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g990  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [11]),
+	.B(n_37217),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_51 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g991  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [10]),
+	.B(n_37216),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_50 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g992  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [9]),
+	.B(n_37215),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_49 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g993  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [8]),
+	.B(n_37214),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_48 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g994  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [7]),
+	.B(n_37213),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_47 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g995  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [6]),
+	.B(n_37212),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_46 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g996  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [5]),
+	.B(n_37211),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_45 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g997  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [4]),
+	.B(n_37210),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_44 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g998  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [3]),
+	.B(n_37209),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_43 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g999  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [2]),
+	.B(n_37208),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_42 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g1000  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [31]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [1]),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_41 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g1002  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [30]),
+	.B(n_37236),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_39 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g1003  (
+	.A(n_37213),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [7]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_37 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g1008  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [1]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [31]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_36 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g1009  (
+	.A(n_37212),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [6]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_35 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g1011  (
+	.A(n_43667),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [20]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_33 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g1013  (
+	.A(n_37222),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [16]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_32 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g1014  (
+	.A(n_37223),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [17]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_31 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g1015  (
+	.A(n_37219),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [13]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_30 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g1016  (
+	.A(n_37234),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [28]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_29 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g1017  (
+	.A(n_43669),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [19]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_28 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g1018  (
+	.A(n_37233),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [27]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_27 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g1019  (
+	.A(n_37229),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [23]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_26 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g1020  (
+	.A(n_37216),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [10]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_25 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g1021  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [0]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [30]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_38 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g1022  (
+	.A(n_37228),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [22]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_24 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g1024  (
+	.A(n_37215),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [9]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_22 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g1025  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [31]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [1]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_21 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g1026  (
+	.A(n_37211),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [5]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_20 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g1028  (
+	.A(n_37230),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [24]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_18 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g1029  (
+	.A(n_37217),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [11]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_17 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g1030  (
+	.A(n_37235),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [29]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_16 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g1031  (
+	.A(n_43665),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [21]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_15 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g1032  (
+	.A(n_37218),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [12]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_14 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g1033  (
+	.A(n_37236),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [30]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_13 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g1034  (
+	.A(n_37224),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [18]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_12 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g1035  (
+	.A(n_37220),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [14]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_11 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g1036  (
+	.A(n_37210),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [4]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_10 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g1037  (
+	.A(n_37208),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [2]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_9 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g1038  (
+	.A(n_37214),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [8]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_8 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g1039  (
+	.A(n_37231),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [25]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g1040  (
+	.A(n_37232),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [26]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g1041  (
+	.A(n_37221),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [15]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_5 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g2  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [8]),
+	.B(n_37214),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g1048  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [5]),
+	.B(n_37211),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g1049  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [7]),
+	.B(n_37213),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_1 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_g1050  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [10]),
+	.B(n_37216),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3098  (
+	.A1_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_74 ),
+	.A2_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_196 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_74 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_196 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_adder6_out [36]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3099  (
+	.A1_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_80 ),
+	.A2_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_195 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_80 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_195 ),
+	.Y(n_37207), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3100  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_3 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_193 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_1 ),
+	.C1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_12 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_196 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3101  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_193 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_1 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_195 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3102  (
+	.A1_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_79 ),
+	.A2_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_192 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_79 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_192 ),
+	.Y(n_37206), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3103  (
+	.A_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_56 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_192 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_193 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3104  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [33]),
+	.B(n_43643),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_189 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_192 ),
+	.SUM(n_37205), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3105  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [31]),
+	.B(n_43645),
+	.CIN(n_43676),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_189 ),
+	.SUM(n_37204), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3112  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_180 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_37 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_182 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3113  (
+	.A1_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_76 ),
+	.A2_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_179 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_76 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_179 ),
+	.Y(n_37201), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3114  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_179 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_34 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_180 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3115  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_177 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_20 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_179 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3116  (
+	.A1_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_75 ),
+	.A2_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_176 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_75 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_176 ),
+	.Y(n_37200), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3117  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_176 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_44 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_177 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3118  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_174 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_13 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_176 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3119  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_173 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_69 ),
+	.Y(n_37199), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3120  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_173 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_43 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_174 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3121  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_171 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_51 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_173 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3122  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_170 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_67 ),
+	.Y(n_37198), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3123  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_170 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_53 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_171 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3124  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_168 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_21 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_170 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3125  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_167 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_94 ),
+	.Y(n_37197), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3126  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_167 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_30 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_168 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3127  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_165 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_33 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_167 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3128  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_164 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_93 ),
+	.Y(n_37196), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3129  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_164 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_10 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_165 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3130  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_162 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_47 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_164 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3131  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_161 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_91 ),
+	.Y(n_37195), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3132  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_161 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_49 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_162 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3133  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_159 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_16 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_161 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3134  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_158 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_90 ),
+	.Y(n_37194), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3135  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_158 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_41 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_159 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3136  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_156 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_39 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_158 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3137  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_155 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_89 ),
+	.Y(n_37193), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3138  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_155 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_55 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_156 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3139  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_153 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_22 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_155 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3140  (
+	.A1_N(n_43680),
+	.A2_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_97 ),
+	.B1(n_43680),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_97 ),
+	.Y(n_37192), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3141  (
+	.A(n_43680),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_31 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_153 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3145  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_147 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_15 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_149 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3147  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_145 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_63 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_147 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3149  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_143 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_48 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_145 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3150  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_142 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_88 ),
+	.Y(n_37189), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3151  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_142 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_4 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_143 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3152  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_140 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_60 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_142 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3153  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_139 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_87 ),
+	.Y(n_37188), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3154  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_139 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_2 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_140 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3155  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_137 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_18 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_139 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3156  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_136 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_92 ),
+	.Y(n_37187), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3157  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_136 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_8 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_137 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3158  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_134 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_59 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_136 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3159  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_133 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_86 ),
+	.Y(n_37186), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3160  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_133 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_58 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_134 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3161  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_131 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_46 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_133 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3162  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_130 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_66 ),
+	.Y(n_37185), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3163  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_130 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_62 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_131 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3164  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_128 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_61 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_130 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3165  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_127 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_68 ),
+	.Y(n_37184), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3166  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_127 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_45 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_128 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3167  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_125 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_6 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_127 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3168  (
+	.A1_N(n_43682),
+	.A2_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_96 ),
+	.B1(n_43682),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_96 ),
+	.Y(n_37183), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3169  (
+	.A(n_43682),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_7 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_125 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3173  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_119 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_54 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_121 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3174  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_118 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_84 ),
+	.Y(n_37181), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3175  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_118 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_11 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_119 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3176  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_116 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_52 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_118 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3177  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_115 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_83 ),
+	.Y(n_37180), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3178  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_115 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_64 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_116 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3179  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_113 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_14 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_115 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3180  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_112 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_82 ),
+	.Y(n_37179), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3181  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_112 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_42 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_113 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3182  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_110 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_40 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_112 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3183  (
+	.A(n_43684),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_70 ),
+	.Y(n_37178), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3184  (
+	.A(n_43684),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_35 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_110 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3188  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_104 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_23 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_106 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3189  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_65 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_103 ),
+	.Y(n_37176), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3190  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_103 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_38 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_104 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3191  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_19 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_101 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_25 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_103 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3192  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_100 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_72 ),
+	.Y(n_37175), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3193  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_100 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_101 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3194  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_0 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_17 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_28 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_100 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3195  (
+	.A1_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_0 ),
+	.A2_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_81 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_0 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_81 ),
+	.Y(n_37174), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3196  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_30 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_21 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_94 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3197  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_10 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_33 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_93 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3198  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_8 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_18 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_92 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3199  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_49 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_47 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_91 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3200  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_63 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_15 ),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_98 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3201  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_41 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_16 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_90 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3202  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_55 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_39 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_89 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3203  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_31 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_22 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_97 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3204  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_4 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_48 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_88 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3205  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_2 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_60 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_87 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3206  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_58 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_59 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_86 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3207  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_7 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_6 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_96 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3209  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_11 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_54 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_84 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3210  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_64 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_52 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_83 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3211  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_42 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_14 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_82 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3213  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [0]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [31]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_0 ),
+	.X(n_37173), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3214  (
+	.A_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_19 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_25 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_72 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3215  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_17 ),
+	.B_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_28 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_81 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3217  (
+	.A_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_3 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_12 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_80 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3218  (
+	.A_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_56 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_1 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_79 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3219  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_35 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_40 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_70 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3222  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_34 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_37 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_76 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3223  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_44 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_20 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_75 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3224  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_43 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_13 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_69 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3225  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_45 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_61 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_68 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3226  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_53 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_51 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_67 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3227  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_62 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_46 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_66 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3228  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_38 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_23 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_65 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3229  (
+	.A1_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [33]),
+	.A2_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_adder4_out [36]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [33]),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_adder4_out [36]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_74 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3230  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [7]),
+	.B(n_37214),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_64 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3231  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [17]),
+	.B(n_37224),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_63 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3232  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [12]),
+	.B(n_37219),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_62 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3233  (
+	.A(n_37218),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [11]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_61 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3234  (
+	.A(n_37222),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [15]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_60 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3235  (
+	.A(n_37220),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [13]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_59 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3236  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [13]),
+	.B(n_37220),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_58 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3238  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [33]),
+	.B(n_37240),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_56 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3239  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [20]),
+	.B(n_43665),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_55 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3240  (
+	.A(n_37215),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [8]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_54 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3241  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [25]),
+	.B(n_37232),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_53 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3242  (
+	.A(n_37214),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [7]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_52 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3243  (
+	.A(n_37232),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [25]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_51 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3245  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [22]),
+	.B(n_37229),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_49 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3246  (
+	.A(n_37223),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [16]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_48 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3247  (
+	.A(n_37229),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [22]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_47 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3248  (
+	.A(n_37219),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [12]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_46 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3249  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [11]),
+	.B(n_37218),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_45 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3250  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [27]),
+	.B(n_37234),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_44 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3251  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [26]),
+	.B(n_37233),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_43 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3252  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [6]),
+	.B(n_37213),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_42 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3253  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [21]),
+	.B(n_37228),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_41 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3254  (
+	.A(n_37212),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [5]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_40 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3255  (
+	.A(n_43665),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [20]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_39 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3256  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [3]),
+	.B(n_37210),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_38 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3257  (
+	.A(n_37235),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [28]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_37 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3259  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [5]),
+	.B(n_37212),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_35 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3260  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [28]),
+	.B(n_37235),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_34 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3261  (
+	.A(n_37230),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [23]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_33 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3263  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [19]),
+	.B(n_43667),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_31 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3264  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [24]),
+	.B(n_37231),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_30 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3266  (
+	.A(n_37208),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [1]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_28 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3269  (
+	.A(n_37209),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [2]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_25 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3271  (
+	.A(n_37210),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [3]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_23 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3272  (
+	.A(n_43667),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [19]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_22 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3273  (
+	.A(n_37231),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [24]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_21 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3274  (
+	.A(n_37234),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [27]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_20 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3275  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [2]),
+	.B(n_37209),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_19 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3276  (
+	.A(n_37221),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [14]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_18 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3277  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [1]),
+	.B(n_37208),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_17 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3278  (
+	.A(n_37228),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [21]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_16 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3279  (
+	.A(n_37224),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [17]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_15 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3280  (
+	.A(n_37213),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [6]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_14 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3281  (
+	.A(n_37233),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [26]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_13 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3282  (
+	.A(n_37241),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [33]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_12 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3283  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [8]),
+	.B(n_37215),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_11 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3284  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [23]),
+	.B(n_37230),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_10 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3286  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [14]),
+	.B(n_37221),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_8 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3287  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [10]),
+	.B(n_37217),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3288  (
+	.A(n_37217),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [10]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3290  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [16]),
+	.B(n_37223),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3291  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [33]),
+	.B(n_37241),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3292  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [15]),
+	.B(n_37222),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3293  (
+	.A(n_37240),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [33]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_1 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_g3294  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [31]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [0]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3246  (
+	.A1_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_74 ),
+	.A2_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_196 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_74 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_196 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_adder7_out [36]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3247  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_193 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_60 ),
+	.Y(n_37035), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3248  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_192 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_30 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_97 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_196 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3249  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_191 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_67 ),
+	.Y(n_37034), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3250  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_92 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_190 ),
+	.X(n_37033), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3251  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_96 ),
+	.B_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_192 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_193 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3252  (
+	.A_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_21 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_188 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_192 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3253  (
+	.A_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_188 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_95 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_191 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3254  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_37 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_187 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_31 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_190 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3255  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_65 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_186 ),
+	.Y(n_37032), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3256  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_187 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_73 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_188 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3257  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_186 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_187 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3258  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [31]),
+	.B(n_43675),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_183 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_186 ),
+	.SUM(n_37031), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3259  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [30]),
+	.B(n_43677),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_182 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_183 ),
+	.SUM(n_37030), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3260  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_180 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_45 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_182 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3261  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_179 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_63 ),
+	.Y(n_37029), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3262  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_179 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_49 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_180 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3263  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_177 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_52 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_179 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3264  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_176 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_61 ),
+	.Y(n_37028), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3265  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_176 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_11 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_177 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3266  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_174 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_0 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_176 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3267  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_173 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_75 ),
+	.Y(n_37027), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3268  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_173 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_28 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_174 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3269  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_171 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_3 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_173 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3270  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_170 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_72 ),
+	.Y(n_37026), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3271  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_170 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_56 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_171 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3272  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_168 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_58 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_170 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3273  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_167 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_86 ),
+	.Y(n_37025), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3274  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_167 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_46 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_168 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3275  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_165 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_7 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_167 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3276  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_164 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_83 ),
+	.Y(n_37024), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3277  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_164 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_25 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_165 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3278  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_162 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_51 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_164 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3279  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_161 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_81 ),
+	.Y(n_37023), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3280  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_161 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_15 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_162 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3281  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_159 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_55 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_161 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3282  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_158 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_80 ),
+	.Y(n_37022), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3283  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_158 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_5 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_159 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3284  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_156 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_32 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_158 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3285  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_155 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_90 ),
+	.Y(n_37021), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3286  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_155 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_24 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_156 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3287  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_153 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_20 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_155 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3288  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_152 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_77 ),
+	.Y(n_37020), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3289  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_152 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_27 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_153 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3290  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_150 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_8 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_152 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3291  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_149 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_76 ),
+	.Y(n_37019), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3292  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_149 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_22 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_150 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3293  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [18]),
+	.B(n_42226),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_147 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_149 ),
+	.SUM(n_37018), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3294  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_145 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_4 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_147 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3295  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_144 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_78 ),
+	.Y(n_37017), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3296  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_144 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_36 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_145 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3297  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_142 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_2 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_144 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3298  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_141 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_79 ),
+	.Y(n_37016), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3299  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_141 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_40 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_142 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3300  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_139 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_29 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_141 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3301  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_138 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_59 ),
+	.Y(n_37015), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3302  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_138 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_57 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_139 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3303  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_136 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_54 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_138 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3304  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_135 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_82 ),
+	.Y(n_37014), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3305  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_135 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_43 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_136 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3306  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_133 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_44 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_135 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3307  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_132 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_85 ),
+	.Y(n_37013), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3308  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_132 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_33 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_133 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3309  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_130 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_47 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_132 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3310  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_129 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_84 ),
+	.Y(n_37012), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3311  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_129 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_50 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_130 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3312  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_127 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_16 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_129 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3313  (
+	.A(n_43686),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_87 ),
+	.Y(n_37011), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3314  (
+	.A(n_43686),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_48 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_127 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3318  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_121 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_1 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_123 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3319  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_120 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_88 ),
+	.Y(n_37009), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3320  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_120 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_13 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_121 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3321  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_118 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_38 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_120 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3322  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_117 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_62 ),
+	.Y(n_37008), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3323  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_117 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_42 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_118 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3324  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_115 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_39 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_117 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3325  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_114 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_89 ),
+	.Y(n_37007), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3326  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_114 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_53 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_115 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3327  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_112 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_41 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_114 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3328  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_111 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_64 ),
+	.Y(n_37006), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3329  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_111 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_35 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_112 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3330  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_109 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_23 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_111 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3331  (
+	.A(n_43688),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_70 ),
+	.Y(n_37005), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3332  (
+	.A(n_43688),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_18 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_109 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3336  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_103 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_14 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_105 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3337  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_102 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_71 ),
+	.Y(n_37003), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3338  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_26 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_102 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_103 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3339  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_100 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_10 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_19 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_102 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3340  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_99 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_66 ),
+	.Y(n_37002), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3341  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_99 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_100 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3342  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [1]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_93 ),
+	.CIN(n_37173),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_99 ),
+	.SUM(n_37001), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3343  (
+	.A1(n_37207),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [33]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_96 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_97 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3344  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_34 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_95 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_96 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3345  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_91 ),
+	.B_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_31 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_95 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3346  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [30]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [0]),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_93 ),
+	.SUM(n_37000), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3347  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [33]),
+	.B(n_37205),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_91 ),
+	.SUM(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_92 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3348  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_24 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_32 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_90 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3349  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_53 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_39 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_89 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3350  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_13 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_1 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_88 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3351  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_48 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_16 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_87 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3352  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_46 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_58 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_86 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3353  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_33 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_44 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_85 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3354  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_50 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_47 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_84 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3355  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_25 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_7 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_83 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3356  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_43 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_54 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_82 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3357  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_15 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_51 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_81 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3358  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_5 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_55 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_80 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3359  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_40 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_2 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_79 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3360  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_36 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_4 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_78 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3361  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_27 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_20 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_77 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3362  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_22 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_8 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_76 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3363  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_28 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_0 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_75 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3364  (
+	.A1(n_37205),
+	.A2(n_37204),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [33]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_73 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3365  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_56 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_3 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_72 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3366  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_26 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_14 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_71 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3367  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_18 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_23 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_70 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3370  (
+	.A_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_21 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_34 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_67 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3371  (
+	.A_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_10 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_19 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_66 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3372  (
+	.A_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_37 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_31 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_65 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3373  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_35 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_41 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_64 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3374  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_49 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_45 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_63 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3375  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_42 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_38 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_62 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3376  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_11 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_52 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_61 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3377  (
+	.A1_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [33]),
+	.A2_N(n_37207),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [33]),
+	.B2(n_37207),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_60 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3378  (
+	.A1_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [33]),
+	.A2_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_adder6_out [36]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [33]),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_adder6_out [36]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_74 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3379  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_57 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_29 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_59 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3380  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [33]),
+	.B(n_37207),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_30 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3381  (
+	.A(n_37197),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [25]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_58 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3382  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [15]),
+	.B(n_37187),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_57 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3383  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [26]),
+	.B(n_37198),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_56 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3384  (
+	.A(n_37194),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [22]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_55 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3385  (
+	.A(n_37186),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [14]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_54 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3386  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [7]),
+	.B(n_37179),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_53 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3387  (
+	.A(n_37200),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [28]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_52 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3388  (
+	.A(n_37195),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [23]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_51 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3389  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [12]),
+	.B(n_37184),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_50 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3390  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [29]),
+	.B(n_37201),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_49 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3391  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [11]),
+	.B(n_37183),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_48 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3392  (
+	.A(n_37184),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [12]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_47 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3393  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [25]),
+	.B(n_37197),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_46 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3394  (
+	.A(n_37201),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [29]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_45 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3395  (
+	.A(n_37185),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [13]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_44 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3396  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [14]),
+	.B(n_37186),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_43 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3397  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [8]),
+	.B(n_37180),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_42 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3398  (
+	.A(n_37178),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [6]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_41 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3399  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [16]),
+	.B(n_37188),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_40 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3400  (
+	.A(n_37179),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [7]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_39 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3401  (
+	.A(n_37180),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [8]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_38 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3402  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [33]),
+	.B(n_37204),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_37 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3403  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [17]),
+	.B(n_37189),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_36 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3404  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [6]),
+	.B(n_37178),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_35 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3405  (
+	.A(n_37206),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [33]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_34 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3406  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [13]),
+	.B(n_37185),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_33 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3407  (
+	.A(n_37193),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [21]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_32 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3408  (
+	.A(n_37204),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [33]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_31 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3409  (
+	.A(n_37187),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [15]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_29 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3410  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [27]),
+	.B(n_37199),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_28 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3411  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [20]),
+	.B(n_37192),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_27 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3412  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [3]),
+	.B(n_37175),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_26 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3413  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [24]),
+	.B(n_37196),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_25 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3414  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [21]),
+	.B(n_37193),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_24 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3415  (
+	.A(n_43683),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [5]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_23 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3416  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [19]),
+	.B(n_43679),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_22 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3417  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [33]),
+	.B(n_37206),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_21 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3418  (
+	.A(n_37192),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [20]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_20 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3419  (
+	.A(n_37174),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [2]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_19 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3420  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [5]),
+	.B(n_43683),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_18 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3422  (
+	.A(n_37183),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [11]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_16 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3423  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [23]),
+	.B(n_37195),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_15 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3424  (
+	.A(n_37175),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [3]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_14 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3425  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [9]),
+	.B(n_37181),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_13 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3427  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [28]),
+	.B(n_37200),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_11 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3428  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [2]),
+	.B(n_37174),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_10 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3430  (
+	.A(n_43679),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [19]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_8 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3431  (
+	.A(n_37196),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [24]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3433  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [22]),
+	.B(n_37194),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_5 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3434  (
+	.A(n_37189),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [17]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3435  (
+	.A(n_37198),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [26]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3436  (
+	.A(n_37188),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [16]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3437  (
+	.A(n_37181),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [9]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_1 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_g3438  (
+	.A(n_37199),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [27]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3755  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_182 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_9 ),
+	.Y(n_36958), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3756  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_196 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_9 ),
+	.Y(n_36957), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3757  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_195 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_9 ),
+	.Y(n_36956), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3758  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_187 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_9 ),
+	.Y(n_36955), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3759  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_186 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_9 ),
+	.Y(n_36954), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3760  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_189 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_9 ),
+	.Y(n_36953), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3761  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_184 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_9 ),
+	.Y(n_36952), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3762  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_183 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_9 ),
+	.Y(n_36951), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3763  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_1 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_180 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_9 ),
+	.Y(n_36961), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3764  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_1 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_179 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_9 ),
+	.Y(n_36960), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3765  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_1 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_181 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_9 ),
+	.Y(n_36962), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3766  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_1 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_178 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_9 ),
+	.Y(n_36959), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3767  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_1 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_177 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_9 ),
+	.Y(n_36964), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3768  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_1 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_172 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_9 ),
+	.Y(n_36966), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3769  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_1 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_175 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_9 ),
+	.Y(n_36963), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3770  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_1 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_173 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_9 ),
+	.Y(n_36965), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3771  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_165 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [4]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_176 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_1 ),
+	.X(n_36948), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3772  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_165 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_1 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_169 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [4]),
+	.X(n_36996), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3773  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_146 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_1 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_168 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [4]),
+	.X(n_36995), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3774  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_143 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_1 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_166 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [4]),
+	.X(n_36994), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3775  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_146 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [4]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_174 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_1 ),
+	.X(n_36947), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3776  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_156 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_1 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_164 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [4]),
+	.X(n_36993), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3777  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_154 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_1 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_163 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [4]),
+	.X(n_36992), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3778  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_153 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_1 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_162 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [4]),
+	.X(n_36991), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3779  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_151 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_1 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_132 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [4]),
+	.X(n_36990), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3780  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_150 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_1 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_135 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [4]),
+	.X(n_36989), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3781  (
+	.A1_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [4]),
+	.A2_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_143 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [4]),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_181 ),
+	.Y(n_36946), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3782  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_148 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_1 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_134 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [4]),
+	.X(n_36988), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3783  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_157 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_1 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_133 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [4]),
+	.X(n_36987), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3784  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_144 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_1 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_120 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [4]),
+	.X(n_36986), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3785  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_141 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_1 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_129 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [4]),
+	.X(n_36985), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3786  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_140 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_1 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_86 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [4]),
+	.X(n_36984), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3787  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_137 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_1 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_83 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [4]),
+	.X(n_36983), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3788  (
+	.A1_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [4]),
+	.A2_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_156 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [4]),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_180 ),
+	.Y(n_36945), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3789  (
+	.A1_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [4]),
+	.A2_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_154 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [4]),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_179 ),
+	.Y(n_36944), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3790  (
+	.A1_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [4]),
+	.A2_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_153 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [4]),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_178 ),
+	.Y(n_36943), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3791  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_151 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [4]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_139 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_1 ),
+	.X(n_36942), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3792  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_150 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [4]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_167 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_1 ),
+	.X(n_36941), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3793  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_148 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [4]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_147 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_1 ),
+	.X(n_36940), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3794  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_157 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [4]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_155 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_1 ),
+	.X(n_36939), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3795  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_144 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [4]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_152 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_1 ),
+	.X(n_36938), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3796  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_141 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [4]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_149 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_1 ),
+	.X(n_36937), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3797  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_145 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_1 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_140 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [4]),
+	.X(n_36936), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3798  (
+	.A1_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [4]),
+	.A2_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_138 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [4]),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_172 ),
+	.Y(n_36950), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3799  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_142 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_1 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_137 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [4]),
+	.X(n_36999), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3800  (
+	.A1_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [4]),
+	.A2_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_171 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [4]),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_173 ),
+	.Y(n_36949), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3801  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_138 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_1 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_136 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [4]),
+	.X(n_36998), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3802  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_171 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_1 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_170 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [4]),
+	.X(n_36997), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3803  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [4]),
+	.B_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_170 ),
+	.Y(n_36981), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3804  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_167 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [4]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_196 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3805  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_147 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [4]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_195 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3806  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [4]),
+	.B_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_136 ),
+	.Y(n_36982), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3807  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [4]),
+	.B_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_166 ),
+	.Y(n_36978), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3808  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [4]),
+	.B_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_169 ),
+	.Y(n_36980), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3809  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [4]),
+	.B_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_168 ),
+	.Y(n_36979), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3810  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [4]),
+	.B_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_164 ),
+	.Y(n_36977), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3811  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_149 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [4]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_189 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3812  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [4]),
+	.B_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_162 ),
+	.Y(n_36975), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3813  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_155 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [4]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_187 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3814  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_152 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [4]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_186 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3815  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [4]),
+	.B_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_163 ),
+	.Y(n_36976), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3816  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_145 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [4]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_184 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3817  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_142 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [4]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_183 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3818  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_139 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [4]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_182 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3819  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_176 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_177 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3820  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_174 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_175 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3821  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [4]),
+	.B_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_132 ),
+	.Y(n_36974), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3823  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [4]),
+	.B_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_134 ),
+	.Y(n_36972), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3824  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [4]),
+	.B_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_135 ),
+	.Y(n_36973), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3825  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_128 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [3]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_10 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_181 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3826  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_116 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [3]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_10 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_180 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3827  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_106 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [3]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_10 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_179 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3828  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_98 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [3]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_10 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_178 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3829  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_2 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_127 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_11 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_176 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3830  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_2 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_125 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_11 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_174 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3831  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_124 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [3]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_10 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_173 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3832  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_126 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [3]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_10 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_172 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3833  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [3]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_118 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_2 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_121 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_171 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3834  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [3]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_104 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_2 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_122 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_170 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3835  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [3]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_101 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_2 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_102 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_169 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3836  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [3]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_100 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_2 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_111 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_168 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3837  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_2 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_118 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [3]),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_123 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_167 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3838  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [3]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_97 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_2 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_88 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_166 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3839  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [3]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_105 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_2 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_119 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_165 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3840  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [3]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_95 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_2 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_89 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_164 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3841  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [3]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_93 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_2 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_75 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_163 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3842  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [3]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_114 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_2 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_47 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_162 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3843  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [3]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_117 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_2 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_100 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_157 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3844  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [3]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_103 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_2 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_115 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_156 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3845  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_2 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_113 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [3]),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_125 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_155 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3846  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [3]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_99 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_2 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_112 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_154 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3847  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [3]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_94 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_2 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_109 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_153 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3848  (
+	.A1_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_2 ),
+	.A2_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_128 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_2 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_108 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_152 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3849  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [3]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_92 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_2 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_107 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_151 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3850  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [3]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_121 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_2 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_104 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_150 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3851  (
+	.A1_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_2 ),
+	.A2_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_116 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_2 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_103 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_149 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3852  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [3]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_119 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_2 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_101 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_148 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3853  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_2 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_105 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [3]),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_127 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_147 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3854  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [3]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_113 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_2 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_117 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_146 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3855  (
+	.A1_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_2 ),
+	.A2_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_106 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_2 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_99 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_145 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3856  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [3]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_96 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_2 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_97 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_144 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3857  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [3]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_108 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_2 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_96 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_143 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3858  (
+	.A1_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_2 ),
+	.A2_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_98 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_2 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_94 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_142 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3859  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [3]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_115 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_2 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_95 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_141 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3860  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [3]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_112 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_2 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_93 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_140 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3861  (
+	.A1_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_2 ),
+	.A2_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_126 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_2 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_91 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_139 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3862  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [3]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_91 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_2 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_92 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_138 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3863  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [3]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_109 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_2 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_114 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_137 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3864  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [3]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_107 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_2 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_90 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_136 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3865  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [3]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_122 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_135 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3866  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [3]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_102 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_134 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3867  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [3]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_111 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_133 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3868  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [3]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_90 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_132 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3870  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_129 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_1 ),
+	.X(n_36969), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3871  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_123 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_124 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3872  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_86 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_1 ),
+	.X(n_36968), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3873  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [3]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_89 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_129 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3874  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_73 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [2]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_82 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_4 ),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_128 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3875  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_68 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [2]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_8 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_127 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3876  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_87 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_7 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_126 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3877  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_55 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [2]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_8 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_125 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3878  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_81 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [2]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_8 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_123 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3879  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_60 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_4 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_80 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [2]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_122 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3880  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_58 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_4 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_65 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [2]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_121 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3881  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [3]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_88 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_120 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3882  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_64 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_4 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_74 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [2]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_119 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3883  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_69 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_4 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_77 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [2]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_118 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3884  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_52 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_4 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_61 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [2]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_117 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3885  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_69 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [2]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_81 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_4 ),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_116 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3886  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_65 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_4 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_70 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [2]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_115 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3887  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_56 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_4 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_71 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [2]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_114 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3888  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_54 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_4 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_67 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [2]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_113 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3889  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_74 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_4 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_57 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [2]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_112 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3890  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_71 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_4 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_46 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [2]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_111 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3891  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_61 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_4 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_79 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [2]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_109 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3892  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_50 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_4 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_63 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [2]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_108 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3893  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_76 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_4 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_62 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [2]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_107 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3894  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_68 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_4 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_53 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [2]),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_106 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3895  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_53 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_4 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_72 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [2]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_105 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3896  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_70 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_4 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_59 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [2]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_104 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3897  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_77 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_4 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_58 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [2]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_103 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3898  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_51 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_4 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_48 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [2]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_102 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3899  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_57 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_4 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_66 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [2]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_101 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3900  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_79 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_4 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_56 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [2]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_100 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3901  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_72 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_4 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_64 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [2]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_99 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3902  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_55 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_4 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_54 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [2]),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_98 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3903  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_62 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_4 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_78 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [2]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_97 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3904  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_84 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_4 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_76 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [2]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_96 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3905  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_59 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_4 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_60 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [2]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_95 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3906  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_67 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_4 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_52 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [2]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_94 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3907  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_66 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_4 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_51 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [2]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_93 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3908  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_63 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_4 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_84 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [2]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_92 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3909  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_73 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_4 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_50 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [2]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_91 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3910  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_78 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_4 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_49 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [2]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_90 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3911  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_80 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_4 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_89 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3912  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_49 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_4 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_88 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3913  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_82 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [2]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_87 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3914  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [3]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_75 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_86 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3915  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_83 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_1 ),
+	.X(n_36967), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3916  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [1]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_36 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_5 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_34 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_84 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3917  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [3]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_47 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_83 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3918  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_5 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_27 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_6 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_82 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3919  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_5 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_37 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_6 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_81 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3920  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [1]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_14 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_5 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_12 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_80 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3921  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [1]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_17 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_5 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_18 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_79 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3922  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [1]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_43 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_5 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_22 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_78 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3923  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [1]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_15 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_5 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_31 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_77 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3924  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [1]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_38 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_5 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_33 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_76 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3925  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_48 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_4 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_75 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3926  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [1]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_34 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_5 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_38 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_74 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3927  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [1]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_24 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_5 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_16 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_73 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3928  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [1]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_23 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_5 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_32 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_72 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3929  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [1]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_25 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_5 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_14 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_71 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3930  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [1]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_44 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_5 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_17 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_70 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3931  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [1]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_42 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_5 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_29 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_69 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3932  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [1]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_27 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_5 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_24 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_68 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3933  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [1]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_31 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_5 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_30 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_67 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3934  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [1]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_39 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_5 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_43 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_66 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3935  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [1]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_40 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_5 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_21 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_65 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3936  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [1]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_35 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_5 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_36 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_64 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3937  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [1]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_32 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_5 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_35 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_63 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3938  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [1]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_13 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_5 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_39 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_62 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3939  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [1]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_21 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_5 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_44 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_61 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3940  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [1]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_19 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_5 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_25 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_60 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3941  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [1]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_18 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_5 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_45 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_59 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3942  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [1]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_30 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_5 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_41 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_58 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3943  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [1]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_33 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_5 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_13 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_57 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3944  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [1]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_45 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_5 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_19 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_56 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3945  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [1]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_37 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_5 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_42 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_55 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3946  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [1]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_29 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_5 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_15 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_54 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3947  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [1]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_16 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_5 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_28 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_53 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3948  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [1]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_41 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_5 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_40 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_52 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3949  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [1]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_22 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_5 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_20 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_51 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3950  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [1]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_28 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_5 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_23 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_50 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3951  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [1]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_20 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_5 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_26 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_49 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3952  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [1]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_26 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_48 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3953  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_46 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_4 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_47 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3954  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [1]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_12 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_46 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3955  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_3 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [8]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [0]),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [7]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_45 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3956  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_3 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [14]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [0]),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [13]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_44 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3957  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_3 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [7]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [0]),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [6]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_43 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3958  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_3 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [30]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [0]),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [29]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_42 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3959  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_3 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [20]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [0]),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [19]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_41 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3960  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_3 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [18]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [0]),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [17]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_40 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3961  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_3 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [9]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [0]),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [8]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_39 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3962  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_3 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [15]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [0]),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [14]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_38 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3963  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_3 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [32]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [0]),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [31]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_37 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3964  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_3 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [19]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [0]),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [18]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_36 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3965  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_3 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [21]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [0]),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [20]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_35 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3966  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_3 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [17]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [0]),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [16]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_34 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3967  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_3 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [13]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [0]),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [12]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_33 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3968  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_3 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [23]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [0]),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [22]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_32 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3969  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_3 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [24]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [0]),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [23]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_31 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3970  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_3 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [22]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [0]),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [21]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_30 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3971  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_3 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [28]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [0]),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [27]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_29 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3972  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_3 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [27]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [0]),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [26]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_28 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3973  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_3 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_control_ff [2]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [0]),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [32]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_27 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3974  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_3 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [1]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [0]),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [0]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_26 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3975  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_3 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [4]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [0]),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [3]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_25 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3976  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_3 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [31]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [0]),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [30]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_24 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3977  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_3 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [25]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [0]),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [24]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_23 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3978  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_3 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [5]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [0]),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [4]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_22 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3979  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_3 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [16]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [0]),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [15]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_21 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3980  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_3 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [3]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [0]),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [2]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_20 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3981  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_3 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [6]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [0]),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [5]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_19 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3982  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_3 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [10]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [0]),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [9]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_18 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3983  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_3 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [12]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [0]),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [11]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_17 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3984  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_3 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [29]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [0]),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [28]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_16 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3985  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_3 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [26]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [0]),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [25]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_15 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3986  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_3 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [2]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [0]),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [1]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_14 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3987  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_3 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [11]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [0]),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [10]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_13 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3988  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_3 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [0]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_12 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3989  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_11 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_10 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3990  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_2 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_control_ff [2]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_11 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3991  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_1 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_control_ff [2]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_9 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3992  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_7 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_8 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3993  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_4 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_control_ff [2]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3994  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_5 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_control_ff [2]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3995  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [1]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_5 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3996  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [2]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3997  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [0]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3998  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [3]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_g3999  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [4]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_1 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24281 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3179),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4332),
+	.Y(n_38047), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24282 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3347),
+	.A2(n_43963),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3368),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4332), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24283 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3409),
+	.B(n_43963),
+	.Y(n_38014), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24286 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3408),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4325),
+	.Y(n_38013), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24289 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4324),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4325), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24290 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4322),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3537),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4324), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24292 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4319),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3536),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4322), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24294 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4319),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4320), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24295 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4317),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3685),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4319), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24297 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4313),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3687),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4317), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24298 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4313),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4316), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24299 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3871),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4310),
+	.Y(n_38007), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24300 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3779),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4305),
+	.Y(n_38009), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24301 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4311),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3686),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4313), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24302 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3722),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4307),
+	.Y(n_38010), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24303 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4306),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_82),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4311), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24304 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4302),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3884),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3887),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4310), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24305 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3915),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4302),
+	.Y(n_38006), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24306 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4009),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4301),
+	.Y(n_38003), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24307 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4306),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4307), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24308 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4300),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3878),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4306), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24309 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4296),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3815),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3816),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4305), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24310 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3852),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4296),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3852),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4296),
+	.Y(n_38008), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24311 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3914),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4297),
+	.Y(n_38005), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24312 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3882),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4293),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3978),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4302), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24313 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4291),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3980),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3991),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4301), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24314 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4296),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3750),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3815),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4300), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24315 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4010),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4291),
+	.Y(n_38002), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24316 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4058),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4290),
+	.Y(n_37999), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24317 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3921),
+	.B_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4293),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4297), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24318 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4292),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4082),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4296), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24319 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3943),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4286),
+	.Y(n_38004), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24320 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4008),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4287),
+	.Y(n_38001), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24321 (
+	.A_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4286),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3923),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4293), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24322 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4285),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3966),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4292), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24323 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3984),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4281),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4032),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4291), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24324 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4279),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4084),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4087),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4290), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24325 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4105),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4279),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4105),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4279),
+	.Y(n_37998), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24326 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4119),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4278),
+	.Y(n_37991), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24327 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3989),
+	.B_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4281),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4287), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24328 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4285),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4286), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24329 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4280),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4121),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4285), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24330 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4006),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4273),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4006),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4273),
+	.Y(n_38000), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24331 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4098),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4275),
+	.Y(n_37997), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24332 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4117),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4274),
+	.Y(n_37995), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24333 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4273),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3986),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4281), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24334 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4273),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4026),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3986),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4280), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24335 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4086),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4268),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4149),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4279), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24336 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4266),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4107),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4111),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4278), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24337 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4120),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4266),
+	.Y(n_37990), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24338 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4145),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4265),
+	.Y(n_37987), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24339 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4103),
+	.B_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4268),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4275), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24340 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4262),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4155),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4160),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4274), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24341 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4267),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4205),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4273), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24342 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4126),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4260),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4126),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4260),
+	.Y(n_37996), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24343 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4171),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4262),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4171),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4262),
+	.Y(n_37994), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24344 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4167),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4261),
+	.Y(n_37993), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24345 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4146),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4263),
+	.Y(n_37989), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24346 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4260),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4102),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4268), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24347 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4260),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4147),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4267), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24348 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4129),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4255),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4170),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4266), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24349 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4254),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4153),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4159),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4265), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24350 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4166),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4254),
+	.Y(n_37986), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24351 (
+	.A_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4135),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4255),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4263), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24352 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4177),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4247),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4183),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4262), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24353 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4248),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4136),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4131),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4261), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24354 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4253),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4221),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4260), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24355 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4143),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4247),
+	.Y(n_37992), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24356 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4151),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4250),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4151),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4250),
+	.Y(n_37988), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24357 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4165),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4251),
+	.Y(n_37985), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24358 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4187),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4249),
+	.Y(n_38046), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24359 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4250),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4132),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4255), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24360 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4156),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4242),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4185),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4254), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24361 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4248),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4188),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4253), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24362 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4001),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4241),
+	.Y(n_38038), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24363 (
+	.A_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4137),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4242),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4251), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24364 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4184),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4237),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4224),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4250), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24365 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4236),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4174),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4175),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4249), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24366 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4248),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4247), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24367 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4240),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4228),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4210),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4248), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24368 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4144),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4237),
+	.Y(n_37984), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24369 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4191),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4236),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4191),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4236),
+	.Y(n_38045), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24370 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4118),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4235),
+	.Y(n_38044), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24371 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4080),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4234),
+	.Y(n_38042), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24372 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4238),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4133),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4242), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24373 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4226),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3985),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3987),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4241), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24374 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4238),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4195),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4240), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24375 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4016),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4226),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4016),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4226),
+	.Y(n_38037), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24376 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4238),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4237), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24377 (
+	.A(n_43451),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4209),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4238), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24378 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4140),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4217),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4150),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4236), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24379 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4218),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4063),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4064),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4235), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24380 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4222),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4035),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4037),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4234), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24381 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4000),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4220),
+	.Y(n_38036), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24382 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4088),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4217),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4088),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4217),
+	.Y(n_38043), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24383 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4057),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4222),
+	.Y(n_38041), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24384 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4042),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4223),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4042),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4223),
+	.Y(n_38040), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24385 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3944),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4219),
+	.Y(n_38034), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24386 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4225),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4169),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4228), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24388 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3982),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4211),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4031),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4226), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24389 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4224),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4225), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24390 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4199),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4109),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4113),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4221), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24391 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4206),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4134),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4139),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4224), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24392 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3924),
+	.B_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4211),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4220), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24393 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4207),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3886),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3888),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4219), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24394 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4201),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4015),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_222),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4223), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24395 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4038),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4200),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4067),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4222), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24396 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4218),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4217), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24397 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3928),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4207),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3928),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4207),
+	.Y(n_38033), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24398 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3956),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4202),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3956),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4202),
+	.Y(n_38035), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24399 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4039),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4200),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4039),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4200),
+	.Y(n_38039), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24400 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3872),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4204),
+	.Y(n_38032), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24401 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3806),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4203),
+	.Y(n_38030), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24402 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4148),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4208),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4218), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24403 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4202),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3922),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4211), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24404 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4193),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4108),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4112),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4210), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24405 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4194),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4176),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4178),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4209), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24406 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4201),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4099),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4208), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24407 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4152),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4185),
+	.B1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4159),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4206), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24408 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4180),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4036),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4040),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4205), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24409 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4182),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3850),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3849),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4204), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24410 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4190),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3745),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3749),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4203), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24411 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3890),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4181),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3926),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4207), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24412 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4201),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4200), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24413 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4154),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4183),
+	.B1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4160),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4199), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24414 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3780),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4186),
+	.Y(n_38028), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24415 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3793),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4190),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3793),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4190),
+	.Y(n_38029), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24416 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3891),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4182),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3891),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4182),
+	.Y(n_38031), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24417 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3955),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4181),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4041),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4202), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24418 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4192),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4072),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4101),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4201), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24419 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4168),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4184),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4195), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24420 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4150),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4173),
+	.B1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4175),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4194), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24421 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4106),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4170),
+	.B1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4111),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4193), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24422 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4061),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4182),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4192), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24424 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4172),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4177),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4188), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24425 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4178),
+	.B_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4176),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4187), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24426 (
+	.A_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4175),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4174),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4191), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24427 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4163),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3746),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3748),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4186), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24428 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3783),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4125),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3817),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4190), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24429 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4182),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4181), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24430 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4083),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4149),
+	.B1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4087),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4180), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24431 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3781),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4163),
+	.Y(n_38027), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24432 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4157),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4137),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4162),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4185), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24433 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4134),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4153),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4133),
+	.D(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4157),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4184), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24434 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4131),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4158),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4161),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4183), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24435 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3830),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4125),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3949),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4182), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24436 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4174),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4173), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24437 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4155),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4109),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4172), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24438 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4128),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4049),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4178), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24439 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4136),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4158),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4177), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24440 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4049),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4128),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4176), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24441 (
+	.A(n_42229),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4127),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4175), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24442 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4127),
+	.B(n_42229),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4174), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24443 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4168),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4169), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24444 (
+	.A_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4160),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4155),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4171), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24445 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4161),
+	.B_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4158),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4167), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24446 (
+	.A_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4159),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4153),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4166), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24447 (
+	.A_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4162),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4157),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4165), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24448 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4135),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4130),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4138),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4170), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24449 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4107),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4108),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4130),
+	.D(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4132),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4168), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24451 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4125),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4163), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24452 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4157),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4156), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24453 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4155),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4154), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24454 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4153),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4152), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24455 (
+	.A(n_42227),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4104),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4162), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24456 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4115),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4142),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4161), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24457 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4141),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4093),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4160), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24458 (
+	.A(n_42230),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_85),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4159), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24459 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4142),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4115),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4158), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24460 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4104),
+	.B(n_42227),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4157), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24461 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4093),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4141),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4155), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24462 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_85),
+	.B(n_42230),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4153), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24463 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4069),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4124),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4148), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24464 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4086),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4122),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4147), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24465 (
+	.A_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4138),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4130),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4146), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24466 (
+	.A_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4135),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4132),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4151), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24467 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4139),
+	.B_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4134),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4145), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24468 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4137),
+	.B_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4133),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4144), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24469 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4110),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4064),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4114),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4150), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24470 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4103),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4085),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4089),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4149), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24471 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4131),
+	.B_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4136),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4143), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24472 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4130),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4129), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24473 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3758),
+	.B(n_42244),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3933),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4141),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4142), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24474 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4110),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4063),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4140), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24475 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4076),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4075),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4139), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24476 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4050),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4097),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4138), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24477 (
+	.A(n_43452),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4078),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4137), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24478 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4116),
+	.B(n_42234),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4136), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24479 (
+	.A(n_42231),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4074),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4135), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24480 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4075),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4076),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4134), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24481 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4078),
+	.B(n_43452),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4133), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24482 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4074),
+	.B(n_42231),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4132), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24483 (
+	.A(n_42234),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4116),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4131), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24484 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4097),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4050),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4130), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24485 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4066),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4100),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4124), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24487 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4084),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4102),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4036),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4122), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24488 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4073),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3988),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3994),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4121), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24489 (
+	.A(n_42235),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3973),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3798),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4128), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24490 (
+	.A_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4111),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4107),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4120), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24491 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4112),
+	.B_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4108),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4119), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24492 (
+	.A(n_42235),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4045),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4127), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24493 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4114),
+	.B_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4110),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4118), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24494 (
+	.A_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4103),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4102),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4126), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24495 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4113),
+	.B_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4109),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4117), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24496 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4094),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3689),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3688),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4125), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24497 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4107),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4106), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24498 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3879),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3898),
+	.CIN(n_42243),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4115),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4116), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24499 (
+	.A(n_43692),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4054),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4114), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24500 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4092),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4091),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4113), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24501 (
+	.A(n_42228),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4048),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4112), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24502 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4096),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4051),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4111), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24503 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4054),
+	.B(n_43692),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4110), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24504 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4091),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4092),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4109), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24505 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4048),
+	.B(n_42228),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4108), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24506 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4051),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4096),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4107), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24507 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3987),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4060),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3990),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4101), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24508 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4068),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4035),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4037),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4100), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24509 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4038),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4081),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4099), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24510 (
+	.A_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4087),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4084),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4105), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24511 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4086),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4089),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4098), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor3_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24512 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3897),
+	.B(n_42237),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3976),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4104), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24513 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4090),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4044),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4103), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24514 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4044),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4090),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4102), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24516 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4047),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4094), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24517 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4085),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4086), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24518 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4084),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4083), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fah_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24519 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3759),
+	.B(n_42241),
+	.CI(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3899),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4096),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4097), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24520 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3814),
+	.B(n_42239),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3857),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4092),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4093), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24521 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3684),
+	.B(n_42251),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3951),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4090),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4091), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24522 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4029),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3848),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3853),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4082), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24523 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4065),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4035),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4081), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24524 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4066),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4069),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4080), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24525 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4043),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4071),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4089), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24526 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4064),
+	.B_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4063),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4088), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24527 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4070),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4019),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4087), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24528 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4071),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4043),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4085), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24529 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4019),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4070),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4084), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24530 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3979),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4032),
+	.B1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3991),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4073), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24531 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3995),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4062),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4072), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24533 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3998),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3939),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4078), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24535 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3972),
+	.B(n_42236),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3799),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4076), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24536 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3935),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4024),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4075), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24538 (
+	.A(n_42240),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4023),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4074), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24539 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4067),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4068), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24540 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4065),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4066), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24541 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3585),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3825),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3843),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4070),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4071), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24542 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4030),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4041),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4062), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24543 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3955),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4030),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4061), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24544 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4031),
+	.B_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3985),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4060), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24546 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4040),
+	.B_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4036),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4058), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24547 (
+	.A_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4037),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4035),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4057), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24548 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4005),
+	.B(n_42238),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4069), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24549 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_222),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4014),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4017),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4067), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24550 (
+	.A(n_42238),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4005),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4065), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24551 (
+	.A(n_42233),
+	.B(n_43691),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4064), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24552 (
+	.A(n_43691),
+	.B(n_42233),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4063), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24556 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3974),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3964),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4054), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24559 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3905),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3965),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4051), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24560 (
+	.A(n_42240),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3938),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3764),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4050), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24561 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3971),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3997),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4049), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24562 (
+	.A(n_42250),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3999),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4048), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24563 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3798),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3973),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4045), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24564 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4021),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3600),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3599),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4047), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24565 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3683),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3844),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3950),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4043),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4044), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24568 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4017),
+	.B_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4014),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4042), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24569 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3969),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3925),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3927),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4041), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24570 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4018),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3962),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4040), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24571 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_222),
+	.B_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4015),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4039), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24572 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4015),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4014),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4038), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24573 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3941),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3977),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4037), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24574 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3962),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4018),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4036), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24575 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3977),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3941),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4035), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24576 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3883),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3978),
+	.B1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3887),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4029), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24579 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3979),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3984),
+	.C_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3988),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4026), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24580 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3989),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3983),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3993),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4032), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24581 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3981),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3924),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3992),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4031), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24582 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3990),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3985),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3922),
+	.D(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3981),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4030), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24584 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3786),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3937),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4024), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24585 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3764),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3938),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4023), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24586 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4013),
+	.Y(n_38024), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24587 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4020),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4021), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24590 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3102),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3226),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3858),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4020),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4013), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24591 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3636),
+	.B(n_42254),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3812),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4018),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4019), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24594 (
+	.A_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3991),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3980),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4010), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24595 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3870),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_84),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4017), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24596 (
+	.A_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3987),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3985),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4016), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24597 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3994),
+	.B_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3988),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4009), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24598 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3984),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3993),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4008), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24599 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3913),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3942),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_222), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24600 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3942),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3913),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4015), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24601 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_84),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3870),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4014), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24603 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3995),
+	.B_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3990),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4001), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24604 (
+	.A_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3989),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3986),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4006), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24605 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3801),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3903),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4005), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24607 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3982),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3992),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4000), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24609 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3763),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3907),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3999), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24610 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3864),
+	.B(n_42245),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3998), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24612 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3832),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3906),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3997), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24614 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3983),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3984), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24615 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3981),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3982), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24616 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3980),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3979), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24617 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3909),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3908),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3995), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24618 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3957),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3893),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3994), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24619 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3929),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3960),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3993), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24620 (
+	.A(n_42253),
+	.B(n_42242),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3992), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24621 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3959),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3958),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3991), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24622 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3908),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3909),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3990), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24623 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3961),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3930),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3989), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24624 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3893),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3957),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3988), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24625 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3912),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3911),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3987), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24626 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3930),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3961),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3986), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24627 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3911),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3912),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3985), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24628 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3960),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3929),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3983), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24629 (
+	.A(n_42242),
+	.B(n_42253),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3981), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24630 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3958),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3959),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3980), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24632 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3885),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3926),
+	.B1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3888),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3969), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4b_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24635 (
+	.A_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3882),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3923),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3884),
+	.D(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3848),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3966), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24636 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3921),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3881),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3889),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3978), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24637 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3711),
+	.B(n_43453),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3965), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24638 (
+	.A(n_42269),
+	.B(n_42249),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3964), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24639 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3902),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3800),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3977), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24640 (
+	.A(n_42248),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3827),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3976), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24642 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3709),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3868),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3974), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24643 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3869),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3761),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3973), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24644 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3712),
+	.B(n_42248),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3603),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3972), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24645 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3637),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3869),
+	.C(n_43696),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3971), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24647 (
+	.A(n_42270),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3587),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3813),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3950),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3951), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24648 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3583),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3811),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3785),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3961),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3962), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24649 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3579),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3741),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3742),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3959),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3960), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24650 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3577),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3739),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3740),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3957),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3958), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24651 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3861),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3788),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3794),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3949), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24656 (
+	.A_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3924),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3922),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3956), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24657 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3927),
+	.B_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3925),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3944), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24658 (
+	.A_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3890),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3925),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3886),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3955), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24659 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3921),
+	.B_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3923),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3943), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24662 (
+	.A(n_43454),
+	.B(n_42246),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3942), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24664 (
+	.A(n_42259),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_81),
+	.C(n_43701),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3941), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24666 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3833),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3708),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3939), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24667 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3789),
+	.B(n_42252),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3938), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24668 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3766),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3829),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3937), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24671 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3894),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3719),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3894),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3719),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3933), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24673 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3880),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3896),
+	.B1(n_42272),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3660),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3935), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24675 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3582),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3784),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3743),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3929),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3930), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24681 (
+	.A_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3888),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3886),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3928), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24682 (
+	.A_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3887),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3884),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3915), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24683 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3773),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3836),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3927), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24684 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3882),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3889),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3914), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24685 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3847),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3849),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3851),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3926), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24686 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3836),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3773),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3925), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24687 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3834),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3837),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3924), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24688 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3821),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3892),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3923), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24689 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3837),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3834),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3922), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24690 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3892),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3821),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3921), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24691 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3691),
+	.B(n_42257),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3903), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24692 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3546),
+	.B(n_42260),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3902), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24693 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3845),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3717),
+	.B1(n_42291),
+	.B2(n_42268),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3913), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24694 (
+	.A(n_42267),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_79),
+	.C(n_42279),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3912), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24695 (
+	.A(n_42265),
+	.B(n_42255),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3911), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24697 (
+	.A(n_42265),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3661),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3507),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3909), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24698 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3846),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3717),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3908), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24700 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3704),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3803),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3907), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24702 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3770),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3777),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3906), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24703 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3802),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3790),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3802),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3790),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3899), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24704 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3550),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3802),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3616),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3905), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24705 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3771),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3698),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3898), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24707 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3886),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3885), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24708 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3884),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3883), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24709 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3881),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3882), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fah_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24710 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3501),
+	.B(n_42271),
+	.CI(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3500),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3896),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3897), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24711 (
+	.A(n_42272),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3660),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3880),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3895), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24712 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3222),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3540),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3502),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3894),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3879), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24713 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3576),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3634),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3738),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3892),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3893), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24714 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3816),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3750),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3751),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3878), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24720 (
+	.A_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3849),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3850),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3891), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24721 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3851),
+	.B_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3847),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3872), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24722 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3853),
+	.B_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3848),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3871), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24723 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3847),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3850),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3890), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24724 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3820),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3855),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3889), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24725 (
+	.A(n_42258),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3804),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3888), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24726 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3854),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3819),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3887), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24727 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3804),
+	.B(n_42258),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3886), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24728 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3819),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3854),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3884), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24729 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3855),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3820),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3881), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24731 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3744),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3817),
+	.B1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3749),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3861), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24733 (
+	.A(n_43454),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3768),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3590),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3870), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24735 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3728),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3842),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3869), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24736 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3769),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3366),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3367),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3858), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24737 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3463),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3782),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3868), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24739 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3690),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3760),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3866), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24740 (
+	.A(n_42266),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3776),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3857), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24742 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3618),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3778),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3864), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24747 (
+	.A(n_42291),
+	.B(n_42268),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3845),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3846), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24748 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3541),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3586),
+	.CIN(n_43703),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3843),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3844), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24749 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3284),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3573),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3601),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3854),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3855), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24750 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3159),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3639),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3463),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3842), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24755 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3818),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3796),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3853), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24756 (
+	.A_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3816),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3815),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3852), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24757 (
+	.A(n_42256),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3774),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3851), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24758 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3792),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3753),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3850), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24759 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3753),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3792),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3849), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24760 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3796),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3818),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3848), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24761 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3774),
+	.B(n_42256),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3847), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24763 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3788),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3745),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3746),
+	.D(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3747),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3830), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24764 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3657),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3715),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3829), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24765 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3663),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3700),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3837), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24766 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3557),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3699),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3836), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24769 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3603),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3712),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3827), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24770 (
+	.A(n_42290),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3557),
+	.C(n_43707),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3834), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24772 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3656),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3810),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3833), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24773 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3640),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3707),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3832), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24774 (
+	.A1_N(n_43704),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3718),
+	.B1(n_43704),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3718),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3825), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24779 (
+	.A(n_42287),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3177),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3254),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3813),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3814), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24780 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3524),
+	.B(n_43709),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3584),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3811),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3812), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24781 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3261),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3633),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3602),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3820),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3821), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24782 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3269),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3526),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3572),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3818),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3819), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24783 (
+	.A1(n_43695),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3369),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3640),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3810), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24787 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3794),
+	.B_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3788),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3806), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24789 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3747),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3748),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3752),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3817), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24790 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3795),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3695),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3816), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24791 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3695),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3795),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3815), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24793 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3710),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3545),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3804), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24794 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3547),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3706),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3803), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24795 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3697),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3508),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3802), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24796 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3531),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3703),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3801), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24797 (
+	.A(n_43702),
+	.B(n_42261),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3800), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24798 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3455),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3705),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3799), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24799 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3641),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3696),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3798), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24801 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3268),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3481),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3525),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3795),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3796), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24802 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3438),
+	.B(n_43710),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3635),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3784),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3785), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24803 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3747),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3746),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3783), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24804 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3639),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3159),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3782), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24805 (
+	.A(n_42280),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3754),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3794), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24806 (
+	.A_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3748),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3746),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3781), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24807 (
+	.A_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3749),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3745),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3793), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24808 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3752),
+	.B_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3747),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3780), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24809 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3751),
+	.B_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3750),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3779), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24810 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3621),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3535),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3621),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3535),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3792), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24812 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3490),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3615),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3778), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24813 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3616),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3550),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3790), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24814 (
+	.A(n_42273),
+	.B(n_43697),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3777), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24815 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3638),
+	.B(n_43700),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3776), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24816 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3567),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3725),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3789), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24817 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3754),
+	.B(n_42280),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3788), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24819 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3512),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3610),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3786), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24822 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3549),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3652),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3774), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24823 (
+	.A(n_42276),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3545),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3288),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3773), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24824 (
+	.A(n_43696),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3637),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3761), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24825 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3614),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3506),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3760), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24826 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3594),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3651),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3772), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24827 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3671),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3734),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3771), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24828 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3674),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3735),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3770), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24829 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3727),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3365),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3769), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24830 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3591),
+	.A2_N(n_42264),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3591),
+	.B2(n_42264),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3768), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24832 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3678),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3732),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3766), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24834 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3646),
+	.B(n_42281),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3511),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3759), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24835 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3489),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3667),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3489),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3667),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3758), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24836 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3646),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3650),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3764), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24837 (
+	.A(n_42289),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3594),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3293),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3763), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24841 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3745),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3744), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24842 (
+	.A(n_42303),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3277),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3467),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3753),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3754), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24843 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3437),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3362),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3581),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3742),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3743), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24844 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3361),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3360),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3580),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3740),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3741), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24845 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3267),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3359),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3578),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3738),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3739), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24848 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3641),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3155),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3558),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3735), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24849 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3547),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3232),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3555),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3734), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24851 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3455),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3593),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3460),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3732), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24855 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3639),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3159),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3728), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24856 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3619),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3344),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3727), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24858 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3452),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3488),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3512),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3725), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24860 (
+	.A_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3688),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3689),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3723), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24861 (
+	.A(n_43694),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3561),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3752), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24862 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_82),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3686),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3722), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24863 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3694),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3559),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3751), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24864 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3687),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3685),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3721), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24865 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3559),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3694),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3750), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24866 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3560),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3623),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3749), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24867 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3647),
+	.B(n_43693),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3748), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24868 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3561),
+	.B(n_43694),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3747), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24869 (
+	.A(n_43693),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3647),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3746), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24870 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3623),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3560),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3745), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24871 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3288),
+	.B(n_42276),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3710), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24872 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3642),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3548),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3709), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24873 (
+	.A(n_43698),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3604),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3708), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24874 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3369),
+	.B(n_43695),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3707), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24875 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3232),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3555),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3706), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24876 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3460),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3593),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3705), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24877 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3552),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3530),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3704), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24878 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3528),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3459),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3703), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24881 (
+	.A(n_43708),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3592),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3700), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24882 (
+	.A(n_43707),
+	.B(n_42290),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3699), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24883 (
+	.A(n_42282),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3543),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3698), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24884 (
+	.A(n_42302),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3645),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3697), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24885 (
+	.A(n_42278),
+	.B(n_43455),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3720), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24886 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3155),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3558),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3696), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24887 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3504),
+	.B(n_43699),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3719), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24888 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3538),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3456),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3718), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24889 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3542),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3598),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3717), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24891 (
+	.A(n_42277),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3471),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3715), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24893 (
+	.A1(n_42277),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3429),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3430),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3713), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24894 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3169),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3626),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3712), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24895 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3645),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3508),
+	.C(n_42302),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3711), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24898 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3278),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3364),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3480),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3694),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3695), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24899 (
+	.A(n_44020),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3176),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3255),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3690),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3691), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24900 (
+	.A(n_42309),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3273),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3274),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3683),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3684), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24905 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3593),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3455),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3678), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24909 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3155),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3641),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3674), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24912 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3232),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3547),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3671), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24916 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3648),
+	.B(n_43706),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3689), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24917 (
+	.A(n_43706),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3648),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3688), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24918 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3498),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3643),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3687), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24919 (
+	.A_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3644),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3562),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3686), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24920 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3643),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3498),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3685), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24921 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3369),
+	.B(n_43695),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3656), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24925 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3286),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3534),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3652), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24927 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3293),
+	.B(n_42289),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3651), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24928 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3511),
+	.B(n_42281),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3650), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24929 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3294),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3514),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3667), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24933 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3442),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3533),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3663), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24936 (
+	.A(n_42288),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3461),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3661), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24937 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3462),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3443),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3660), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24939 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3448),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3513),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3658), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24940 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3434),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_80),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3657), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24944 (
+	.A(n_42414),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3201),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3225),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3647),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3648), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24945 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3137),
+	.B(n_42338),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3224),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3645),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3646), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24946 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2798),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3281),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2916),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3643),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3644), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24947 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3099),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3071),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3138),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3635),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3636), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24948 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3263),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3266),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3310),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3633),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3634), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24949 (
+	.A(n_42413),
+	.B(n_42336),
+	.CIN(n_43461),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3641),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3642), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24957 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3493),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3142),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3493),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3142),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3626), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24958 (
+	.A_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3599),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3600),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3625), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24959 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3243),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3468),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3640), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24961 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2690),
+	.B(n_43457),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2971),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3639), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24962 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3087),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3470),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3638), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24963 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3244),
+	.B(n_42285),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3637), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24965 (
+	.A(n_42313),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3503),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3623), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24967 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3166),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3486),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3621), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24968 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3488),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3452),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3610), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24970 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3570),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_74),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3619), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24971 (
+	.A1_N(n_42328),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3464),
+	.B1(n_42328),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3464),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3618), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24973 (
+	.A1_N(n_42286),
+	.A2_N(n_42296),
+	.B1(n_42286),
+	.B2(n_42296),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3617), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24974 (
+	.A(n_42286),
+	.B(n_42316),
+	.C(n_43713),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3616), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24975 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3243),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3239),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3370),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3615), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24976 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2971),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3449),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3614), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24983 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3575),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3602), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24984 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3574),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3601), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fah_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24985 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2483),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2915),
+	.CI(n_42321),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3605),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3606), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24986 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3070),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3223),
+	.CIN(n_42320),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3586),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3587), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24987 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3272),
+	.B(n_42331),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3136),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3584),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3585), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24988 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3111),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3073),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3271),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3582),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3583), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24989 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3110),
+	.B(n_43715),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3270),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3580),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3581), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24990 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2628),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3276),
+	.CIN(n_43716),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3578),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3579), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24991 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2784),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3064),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3275),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3576),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3577), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fah_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24992 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3363),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3178),
+	.CI(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3282),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3603),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3604), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24993 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3200),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3264),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3309),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3574),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3575), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24994 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3109),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3218),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3260),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3572),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3573), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24996 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3492),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3217),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3570), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g24999 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3488),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3452),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3567), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25003 (
+	.A(n_43705),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3250),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3600), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25004 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3250),
+	.B(n_43705),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3599), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25005 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3536),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3537),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3563), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25006 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3213),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3483),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3598), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25010 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3086),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3390),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3594), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25011 (
+	.A(n_42322),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3387),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3593), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25013 (
+	.A(n_42304),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3154),
+	.C(n_42356),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3592), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25014 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3213),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3482),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_78),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3591), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25015 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3216),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3384),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3590), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25018 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3066),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3143),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3373),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3337),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3562), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25019 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3246),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3377),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3561), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25020 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3207),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3246),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3085),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3560), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25021 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3298),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3400),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3542), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25022 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3373),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3392),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3559), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25023 (
+	.A(n_42339),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3153),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3173),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3558), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25024 (
+	.A1_N(n_42304),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3403),
+	.B1(n_42304),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3403),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3557), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25026 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3086),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3147),
+	.C(n_42323),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3555), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25029 (
+	.A(n_42293),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3161),
+	.C(n_42333),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3552), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25031 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3401),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3391),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3550), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25032 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3096),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3380),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3549), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25033 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3173),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3376),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3548), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25034 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3394),
+	.B(n_42330),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3547), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25035 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3205),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3441),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3546), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25036 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3170),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3473),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3545), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25038 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3447),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3312),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3541), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25039 (
+	.A(n_42334),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3446),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3543), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25040 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3349),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3521),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3540), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25042 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2634),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3108),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3283),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3525),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3526), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25043 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2626),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2914),
+	.CIN(n_43711),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3524),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3538), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25046 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3081),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2956),
+	.B1(n_42330),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3521), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25051 (
+	.A_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3497),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3317),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3537), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25052 (
+	.A_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3317),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3497),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3536), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25053 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3318),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3335),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3535), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25054 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3258),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3474),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3534), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25055 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3093),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3334),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3533), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25056 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3300),
+	.B(n_42298),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3532), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25057 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3299),
+	.B(n_42299),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3531), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25058 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3235),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3395),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3530), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25060 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3423),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3479),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3528), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25063 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3202),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3343),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3503), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25065 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3325),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3236),
+	.C(n_43718),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3514), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25066 (
+	.A(n_43460),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3299),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3118),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3513), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25067 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3236),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3402),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3236),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3402),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3502), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25068 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3285),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3379),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3512), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25070 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3051),
+	.B(n_42292),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3511), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25073 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3211),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3378),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3508), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25074 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3320),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3382),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3507), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25075 (
+	.A(n_42315),
+	.B(n_42297),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3501), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25076 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3115),
+	.B(n_42295),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3506), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25077 (
+	.A(n_42326),
+	.B(n_42300),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3500), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25080 (
+	.A(n_42334),
+	.B(n_42311),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3238),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3504), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25082 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3203),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3119),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3482),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3483), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25083 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2633),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2643),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3279),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3480),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3481), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25084 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2797),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3280),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2832),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3497),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3498), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25085 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3300),
+	.A2(n_42324),
+	.B1(n_42317),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3479), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25090 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3083),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2950),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3318),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3474), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25091 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3078),
+	.B(n_42305),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3473), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25094 (
+	.A1_N(n_42308),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3146),
+	.B1(n_42308),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3146),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3471), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25095 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3287),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3148),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3470), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25098 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3370),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3239),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3468), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25099 (
+	.A(n_42314),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3229),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3467), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25101 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2864),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3420),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3493), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25102 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3427),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2911),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3492), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25104 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3303),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2991),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3490), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25105 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3249),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3230),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3489), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25106 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3133),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3228),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3488), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25108 (
+	.A(n_42314),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2962),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2507),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3486), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25110 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3248),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3013),
+	.Y(n_38020), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25111 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2690),
+	.B(n_43457),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3449), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25112 (
+	.A(n_42312),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3160),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3448), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25113 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2952),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3247),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3447), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25114 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3238),
+	.B(n_42311),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3446), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25118 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3156),
+	.A2_N(n_42306),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3156),
+	.B2(n_42306),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3443), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25119 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3149),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3292),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3442), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25120 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3240),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3167),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3464), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25121 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3206),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3302),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3441), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25123 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3341),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3417),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3463), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25124 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2508),
+	.A2(n_42315),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3412),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3462), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25125 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3354),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3413),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3461), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25126 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3144),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3328),
+	.C(n_42326),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3460), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25127 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3357),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3415),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3459), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25130 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3247),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3312),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2952),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3456), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25131 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3145),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3336),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3455), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25134 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3145),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3124),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2961),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3452), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25136 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2627),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2787),
+	.CIN(n_43564),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3437),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3438), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25139 (
+	.A(n_42306),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3319),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3434), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25143 (
+	.A_N(n_42308),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3146),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3430), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25144 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3146),
+	.B_N(n_42308),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3429), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25146 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3248),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2913),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3427), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25150 (
+	.A(n_42324),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3300),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3423), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25153 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2502),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2657),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3303),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3420), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25156 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3115),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3150),
+	.B1(n_43078),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3417), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25158 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3205),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3206),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3302),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3415), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25160 (
+	.A1(n_43459),
+	.A2(n_42355),
+	.B1(n_42318),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3413), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25161 (
+	.A1(n_42315),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2508),
+	.B1(n_42327),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3412), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25163 (
+	.A_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3367),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3366),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3410), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25164 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3347),
+	.B_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3368),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3409), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25165 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3346),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3345),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3408), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25166 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3344),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3365),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3407), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25172 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3326),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3172),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3395), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25173 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2956),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3081),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3394), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25175 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3066),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3143),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3392), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25176 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3117),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3141),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3391), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25177 (
+	.A(n_42333),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3161),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3404), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25178 (
+	.A(n_42323),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3147),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3390), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25181 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2954),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3120),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3387), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25182 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2960),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3210),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2960),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3210),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3386), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25184 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3204),
+	.B(n_42325),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3384), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25186 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3151),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3129),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3382), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25187 (
+	.A(n_42356),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3154),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3403), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25189 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3079),
+	.B(n_43458),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3380), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25190 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3165),
+	.B(n_42329),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3379), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25191 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3209),
+	.B(n_43714),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3378), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25192 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3085),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3207),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3377), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25193 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3153),
+	.B(n_42339),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3376), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25194 (
+	.A(n_43718),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3325),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3402), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25195 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2841),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3089),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3401), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25196 (
+	.A(n_42332),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3113),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3400), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25199 (
+	.A1(n_42332),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3112),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2699),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2539),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3399), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25202 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2642),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2790),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3067),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3373),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3364), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25203 (
+	.A(n_43468),
+	.B(n_42415),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2498),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3370),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3372), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25204 (
+	.A(n_42378),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2917),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2796),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3363),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3369), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25205 (
+	.A(n_42412),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2485),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2629),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3361),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3362), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25206 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2793),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2755),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2638),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3359),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3360), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25208 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3206),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3205),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3357), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25212 (
+	.A(n_42355),
+	.B(n_43459),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3354), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25217 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2956),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3081),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3349), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25219 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3307),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3065),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3368), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25220 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3098),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3174),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3367), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25221 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3174),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3098),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3366), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25222 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2977),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3175),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3365), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25224 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3150),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3115),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3341), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25229 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3066),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3143),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3337), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25230 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3065),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3307),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3347), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25231 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2961),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3124),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3336), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25232 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3308),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3316),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3346), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25233 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3316),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3308),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3345), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25234 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3175),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2977),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3344), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25235 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2950),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3083),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3335), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25236 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2503),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3220),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3334), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25237 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2970),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2992),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3343), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25239 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3210),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3332), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25250 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3156),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3319), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25253 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3265),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3310), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25254 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3262),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3309), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25256 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3142),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3306), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25258 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2646),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_89),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2789),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3283),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3284), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25259 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2608),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2609),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2614),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3328),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3282), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25260 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1732),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2330),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2639),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3280),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3281), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25261 (
+	.A(n_43465),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2604),
+	.CIN(n_42374),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3325),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3326), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fah_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25262 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2494),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2610),
+	.CI(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2611),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3320),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3322), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25263 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1433),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2794),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2775),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3278),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3279), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25264 (
+	.A(n_42408),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2352),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2495),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3318),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3277), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25265 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1435),
+	.B(n_42410),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2791),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3275),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3276), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25266 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2632),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2649),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2833),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3316),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3317), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25267 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2314),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2355),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2491),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3315),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3274), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25268 (
+	.A(n_42406),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2615),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2478),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3314),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3273), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25269 (
+	.A(n_42420),
+	.B(n_42403),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2493),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3272),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3312), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25270 (
+	.A(n_42407),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2354),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2497),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3270),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3271), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25271 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2328),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2788),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2795),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3268),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3269), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25272 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2792),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2637),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2636),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3266),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3267), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25273 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2353),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2641),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3047),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3264),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3265), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25274 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2783),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2635),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2607),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3262),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3263), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25275 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2315),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2786),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2647),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3260),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3261), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25276 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1440),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2648),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2645),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3307),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3308), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25278 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2950),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3083),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3258), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25280 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3217),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_74),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3256), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25281 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2965),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3057),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2965),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3057),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3255), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25284 (
+	.A(n_42398),
+	.B(n_44052),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2654),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3303), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25285 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3055),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3060),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3055),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3060),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3254), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25286 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2562),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2924),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3302), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25287 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2737),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2923),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3301), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25288 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2653),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2737),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2655),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3300), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25289 (
+	.A(n_42358),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2995),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3299), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25290 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2403),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2969),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2526),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3298), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25292 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2761),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2947),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3297), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25295 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2762),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2665),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2667),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3294), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25296 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2968),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2921),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3293), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25297 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2716),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2989),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3292), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25301 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2967),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2942),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3288), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25302 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2671),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2761),
+	.C(n_42375),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3287), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25303 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2576),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2988),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3286), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25304 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2598),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2939),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3285), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25306 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3054),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3012),
+	.Y(n_38019), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25307 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2691),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3052),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3230), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25308 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2507),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2962),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3229), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25310 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2963),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3053),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3228), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25312 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2400),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2964),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2506),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3250), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25313 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2964),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2993),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3226), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25314 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2858),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3193),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3249), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25315 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3192),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_71),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3248), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25316 (
+	.A(n_42383),
+	.B(n_42370),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1442),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3247), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25317 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2966),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2837),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3246), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25319 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2594),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2980),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2594),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2980),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3225), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25320 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2573),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2929),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3244), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25321 (
+	.A(n_42398),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2936),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3243), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25322 (
+	.A(n_42385),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2719),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2337),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3242), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25324 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2880),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3184),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3224), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25325 (
+	.A(n_42370),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2997),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3223), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25326 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2349),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2566),
+	.C(n_42416),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3240), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25327 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2566),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3003),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3239), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25328 (
+	.A(n_42359),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3005),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3238), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25330 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2719),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3006),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3236), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25331 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2596),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3001),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3235), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25333 (
+	.A(n_42409),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2594),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2518),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3233), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25334 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2549),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2968),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2556),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3232), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25335 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2762),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2974),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2762),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2974),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3222), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25337 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3199),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3218), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25338 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2225),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2606),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2316),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3220),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3221), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25339 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1959),
+	.B(n_42421),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2479),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3201),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3219), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25340 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1728),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2605),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2640),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3199),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3200), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25346 (
+	.A1(n_42384),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1432),
+	.B1(n_42359),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3193), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25347 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3054),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2912),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3192), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25355 (
+	.A1(n_43470),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2696),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2598),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3184), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25361 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2356),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3049),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3179), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25362 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2978),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2601),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3217), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25363 (
+	.A1_N(n_43464),
+	.A2_N(n_42353),
+	.B1(n_43464),
+	.B2(n_42353),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3216), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25365 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2574),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2838),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3214), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25366 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2702),
+	.B(n_42342),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3213), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25368 (
+	.A(n_44051),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2998),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3211), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25369 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3059),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2826),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3059),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2826),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3210), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25370 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2994),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2705),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3209), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25371 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2669),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3010),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3208), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25372 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2769),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2399),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2574),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3207), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25373 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2708),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2996),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3206), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25374 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2803),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2922),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3205), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25375 (
+	.A1_N(n_42376),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2986),
+	.B1(n_42376),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2986),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3204), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25376 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2531),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2987),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3178), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25377 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2533),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3058),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2533),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3058),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3177), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25378 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2527),
+	.A2_N(n_42341),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2527),
+	.B2(n_42341),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3203), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25379 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2568),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2835),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3202), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25380 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2815),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2920),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3176), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25381 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3062),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2534),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3062),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2534),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3175), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25382 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2500),
+	.B(n_42340),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3174), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25384 (
+	.A(n_43472),
+	.B(n_42350),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3173), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25385 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2546),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2979),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2546),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2979),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3138), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25386 (
+	.A(n_43717),
+	.B(n_42349),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3172), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25388 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2396),
+	.B(n_42344),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3170), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25389 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2830),
+	.B(n_42345),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3169), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25391 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2827),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2940),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3167), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25392 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2656),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2925),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3166), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25393 (
+	.A(n_42402),
+	.B(n_42346),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3165), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor3_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25396 (
+	.A(n_42382),
+	.B(n_42369),
+	.C(n_42419),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3162), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25397 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2334),
+	.B(n_42354),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3161), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25398 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2808),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2926),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3160), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25399 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2709),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2927),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3159), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25400 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2505),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2928),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3158), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25402 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2678),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2983),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2678),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2983),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3156), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25403 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2554),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2930),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3155), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25404 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2670),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2945),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3154), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25405 (
+	.A(n_43467),
+	.B(n_42351),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3153), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25406 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2543),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2918),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3152), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25407 (
+	.A(n_43463),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3008),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3151), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25408 (
+	.A(n_43466),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3000),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3150), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25409 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2680),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2944),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3149), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25410 (
+	.A(n_42379),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3009),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3148), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25411 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2816),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3004),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3137), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25412 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2540),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3002),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3147), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25413 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2413),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2975),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2413),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2975),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3146), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25414 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2404),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2414),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3103),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3145), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25415 (
+	.A1_N(n_42404),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3061),
+	.B1(n_42404),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3061),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3136), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25417 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2278),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2946),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3144), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25418 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2765),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3063),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2765),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3063),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3143), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25419 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2724),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2873),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2724),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2873),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3142), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25420 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2544),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3011),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3141), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25421 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2692),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3007),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3140), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25424 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2699),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2539),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3112),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3113), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25425 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1190),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1437),
+	.CIN(n_42396),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3110),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3111), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25426 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1205),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1728),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2785),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3108),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3109), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25431 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2724),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2782),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3103), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25432 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2395),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2500),
+	.C(n_42371),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3102), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25434 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2881),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2342),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2882),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3133), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25438 (
+	.A(n_44043),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2684),
+	.C(n_42400),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3129), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25444 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2800),
+	.B(n_42376),
+	.C(n_43462),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3125), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25445 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2687),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2834),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3124), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25449 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2532),
+	.B(n_44040),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2729),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3120), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25451 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2865),
+	.A2(n_42369),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2866),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3119), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25452 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2902),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3038),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3118), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25453 (
+	.A(n_42381),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2703),
+	.C(n_42360),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3117), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25455 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2511),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2744),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2815),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3115), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25456 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2890),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3045),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3114), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25457 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2537),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2874),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2537),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2874),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3099), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25458 (
+	.A(n_42399),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2534),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2351),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3098), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25460 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2714),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2656),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2522),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3096), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25464 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2893),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3029),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3093), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25465 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2597),
+	.B(n_44050),
+	.C(n_42402),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3092), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25469 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2546),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2547),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2580),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3073), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25470 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2816),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2338),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3042),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3089), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25472 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2767),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2726),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2692),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3087), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25473 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2804),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2410),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3015),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3086), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25475 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2324),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2839),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3085), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25477 (
+	.A(n_42390),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2840),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3083), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25478 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2411),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2831),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3030),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3071), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25480 (
+	.A(n_42368),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2335),
+	.C(n_42379),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3070), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25481 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2540),
+	.B(n_42393),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2333),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3081), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25483 (
+	.A(n_42422),
+	.B(n_42390),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2027),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3079), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25485 (
+	.A(n_42389),
+	.B(n_42388),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2569),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3078), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25489 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3050),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3067), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25490 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3048),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3064), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25491 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1187),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1733),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2650),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3066),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3050), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25492 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1253),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1683),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2644),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3049),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3065), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25493 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1694),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1734),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2630),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3047),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3048), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25495 (
+	.A1(n_43467),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2512),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2806),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3045), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25498 (
+	.A1(n_42418),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2756),
+	.B1(n_44047),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3042), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25502 (
+	.A1(n_42377),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2708),
+	.B1(n_42366),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3038), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25510 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2584),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2907),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3030), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25511 (
+	.A1(n_42387),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2670),
+	.B1(n_42372),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3029), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25525 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2544),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2766),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2579),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3015), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25527 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2913),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2911),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3013), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25528 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2912),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_71),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3012), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25529 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2410),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2579),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2410),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2579),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3011), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25530 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2280),
+	.B(n_42364),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3010), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25531 (
+	.A(n_42368),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2335),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3009), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25532 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_67),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2728),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_67),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2728),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3008), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25533 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2394),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2726),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2394),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2726),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3007), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25534 (
+	.A(n_42385),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2337),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3006), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25535 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2810),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2407),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2810),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2407),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3063), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25536 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1432),
+	.B(n_42384),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3005), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25537 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2338),
+	.A2_N(n_44047),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2338),
+	.B2(n_44047),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3004), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25538 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2277),
+	.A2_N(n_42399),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2277),
+	.B2(n_42399),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3062), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25539 (
+	.A1_N(n_42416),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2284),
+	.B1(n_42416),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2284),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3003), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25540 (
+	.A(n_42393),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2333),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3002), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25541 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2412),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2523),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2412),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2523),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3001), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25542 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2411),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2584),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2411),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2584),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3061), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25543 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2025),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2582),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3000), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25545 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2408),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2552),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3060), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25546 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1730),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2581),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1730),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2581),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2998), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25547 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1442),
+	.B(n_42383),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2997), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25548 (
+	.A(n_42366),
+	.B(n_42377),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2996), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25549 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2802),
+	.B(n_44045),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2995), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25550 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2530),
+	.B(n_42362),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3059), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25551 (
+	.A(n_42357),
+	.B(n_42417),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2994), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25552 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2688),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2741),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3058), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25553 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2510),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2698),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3057), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25554 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2506),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2400),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2993), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25555 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2652),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2501),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2992), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25556 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2657),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2502),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2991), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25558 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2526),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2403),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3056), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25559 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2524),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2402),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2989), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25560 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2401),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2660),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2988), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25561 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2722),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2683),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2987), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25562 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1432),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1259),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2853),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3055), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25563 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2875),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_58),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3054), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25564 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2236),
+	.B(n_42391),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3053), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25565 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2294),
+	.A2_N(n_42394),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2294),
+	.B2(n_42394),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3052), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25566 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1729),
+	.B(n_42391),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1583),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3051), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25567 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2713),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2616),
+	.Y(n_38018), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25568 (
+	.A1_N(n_43462),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2712),
+	.B1(n_43462),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2712),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2986), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25571 (
+	.A(n_42375),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2671),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2947), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25572 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1843),
+	.B(n_42367),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2946), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25574 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2771),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2675),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2771),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2675),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2983), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25575 (
+	.A(n_42372),
+	.B(n_42387),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2945), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25576 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2681),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2721),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2944), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25579 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2538),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2666),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2942), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25581 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2569),
+	.B(n_42389),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2981), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25582 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2663),
+	.B(n_42373),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2940), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25583 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2696),
+	.B(n_43470),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2939), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25585 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2518),
+	.B(n_42409),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2980), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25587 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2580),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2547),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2979), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25588 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2654),
+	.B(n_44052),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2936), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25594 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2619),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2565),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2978), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25595 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2023),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1565),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2850),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2977), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25596 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2514),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2583),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2930), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25597 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2555),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2557),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2929), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25598 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2587),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2504),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2928), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25599 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2664),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2748),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2927), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25600 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2513),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2746),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2926), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25602 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2659),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2415),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2975), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25603 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2667),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2665),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2974), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25604 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2522),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2714),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2925), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25607 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2695),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2693),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2924), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25608 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2655),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2653),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2923), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25609 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2825),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2528),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2922), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25610 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2556),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2549),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2921), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25611 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2511),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2744),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2920), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25613 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2563),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2545),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2918), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25614 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2030),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1826),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2847),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2917), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25615 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2279),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1774),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2857),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2971), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25616 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2776),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2872),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2970), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25617 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2282),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1789),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2856),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2969), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25618 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2303),
+	.A2_N(n_42392),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2303),
+	.B2(n_42392),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2968), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25619 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2765),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2770),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2810),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2916), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25620 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2622),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2720),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2967), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25621 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2028),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1549),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2842),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2966), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25622 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2572),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2624),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2965), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25623 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2325),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2620),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2964), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25624 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2022),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1729),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2843),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2963), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25625 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2567),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2621),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2962), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25626 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2829),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2617),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2961), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25627 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2024),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1828),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2846),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2960), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25628 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2026),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1587),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2844),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2959), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25629 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2281),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1739),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2854),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2958), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25630 (
+	.A(n_44046),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2625),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2957), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25631 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2623),
+	.B(n_42361),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2915), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25632 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1431),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1264),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2848),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2956), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25633 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2618),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2591),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2955), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25634 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2278),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1844),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2845),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2954), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25635 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1443),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1257),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2849),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2914), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25637 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2259),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2585),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2952), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25639 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2283),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1596),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2855),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2950), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25643 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2831),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2411),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2907), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25648 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2708),
+	.B(n_42377),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2902), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25658 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2670),
+	.B(n_42387),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2893), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25661 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2512),
+	.B(n_43467),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2890), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25669 (
+	.A(n_42423),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2687),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2882), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25670 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2687),
+	.B(n_42423),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2881), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25673 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2696),
+	.B(n_43470),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2880), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25678 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_69),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2713),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2875), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25679 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2602),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2599),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2913), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25681 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2600),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2348),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2912), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25682 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2599),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2602),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2911), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25683 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2324),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2344),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2768),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2872), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25689 (
+	.A(n_42382),
+	.B(n_42419),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2866), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25690 (
+	.A(n_42419),
+	.B(n_42382),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2865), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25691 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2657),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2502),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2864), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25695 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2537),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1438),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2860), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25697 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1432),
+	.B(n_42384),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2858), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25698 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2454),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2572),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2857), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25699 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2431),
+	.B(n_43475),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2856), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25700 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2567),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2371),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2855), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25701 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2388),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2325),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2854), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25702 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2003),
+	.B(n_42394),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2853), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25705 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1565),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2023),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2565),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2850), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25706 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1974),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2585),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2849), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25707 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1973),
+	.B(n_42392),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2848), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25708 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2471),
+	.B(n_44046),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2847), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25709 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2470),
+	.B(n_42361),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2846), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25710 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2345),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1843),
+	.B1(n_42367),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2845), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25711 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2469),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2720),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2844), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25712 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2465),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2829),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2843), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25713 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2466),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2591),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2842), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25714 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2758),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2406),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2841), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25715 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2027),
+	.B(n_42422),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2840), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25716 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2285),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2768),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2285),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2768),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2839), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25717 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1438),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2339),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1438),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2339),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2874), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25718 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2769),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2399),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2838), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25719 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2398),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2397),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2837), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25720 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2414),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2404),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2873), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25722 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2322),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2323),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2835), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25723 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2342),
+	.A2_N(n_42423),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2342),
+	.B2(n_42423),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2834), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25724 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1732),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2763),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1820),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2833), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25725 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2235),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2763),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2832), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25726 (
+	.A(n_42404),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2831), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25736 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2675),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2818), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25739 (
+	.A(n_42418),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2816), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25745 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2544),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2804), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25746 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2712),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2800), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25750 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1702),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1701),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1709),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2829),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2830), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25751 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1198),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1699),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1427),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2797),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2798), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25752 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1414),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1409),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1430),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2827),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2796), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25753 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1698),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1700),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1710),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2825),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2826), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25754 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1398),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1411),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1421),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2794),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2795), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25755 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1688),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1679),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1711),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2792),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2793), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25756 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1416),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1429),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1404),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2814),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2815), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25757 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1704),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1689),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1721),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2791),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2812), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25758 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1696),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1401),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1719),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2810),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2790), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25759 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1425),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1410),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1399),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2806),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2808), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25760 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1706),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1703),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1707),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2788),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2789), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fah_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25761 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1397),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1420),
+	.CI(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1693),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2802),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2803), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25762 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1415),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1426),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1684),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2801),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2787), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25763 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1681),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1695),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1717),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2785),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2786), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25764 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1403),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1687),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1718),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2783),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2784), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25765 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2414),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2404),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2782), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25771 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2344),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2324),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2776), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25772 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2651),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2775), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25776 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2407),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2770), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25777 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2394),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2767), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25778 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2410),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2766), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25781 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2413),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2757), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25782 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2338),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2756), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25783 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2631),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2755), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25784 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2412),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2753), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25785 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1407),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1408),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1712),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2650),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2651), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25786 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1194),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1439),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1714),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2648),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2649), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25787 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1195),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1691),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1720),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2646),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2647), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25788 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1197),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1405),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1726),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2644),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2645), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fah_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25789 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1202),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1705),
+	.CI(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1708),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2771),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2773), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25790 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1095),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1428),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1400),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2768),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2769), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25791 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1196),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1413),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1419),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2642),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2643), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25792 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1406),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1682),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1725),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2640),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2641), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25793 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1204),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1733),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1716),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2639),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2765), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25794 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1200),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1680),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1423),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2637),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2638), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25795 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1199),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1692),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1715),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2635),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2636), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25796 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1191),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1434),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1418),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2633),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2634), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25797 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1189),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1412),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1724),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2632),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2763), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25798 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1203),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1713),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1697),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2761),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2762), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25799 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1201),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1730),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1722),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2758),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2759), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25800 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1685),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1402),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1723),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2630),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2631), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25801 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1192),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1436),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1417),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2628),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2629), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25802 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1193),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1686),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1422),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2627),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2754), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25803 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1188),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1443),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1424),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2752),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2626), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25804 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1826),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2030),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2625), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25805 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1774),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2279),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2624), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25806 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1828),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2024),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2623), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25807 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1788),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2282),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1788),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2282),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2751), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25808 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1587),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2026),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2622), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25809 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1596),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2283),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2621), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25810 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1739),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2281),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2620), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25811 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1565),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2023),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2619), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25812 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1549),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2028),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2618), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25813 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1729),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2022),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2617), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25814 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_69),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_58),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2616), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25816 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1644),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2257),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2749), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25817 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1955),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2230),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2748), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25819 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1917),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2226),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2746), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25821 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1621),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2250),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2744), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25823 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1606),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2198),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1606),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2198),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2615), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25825 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1893),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2258),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2741), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25829 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1879),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2197),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2737), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25834 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1913),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2182),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2732), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25835 (
+	.A(n_43481),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2193),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2731), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25837 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2100),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2434),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2729), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25838 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2099),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2435),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2728), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25840 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1909),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2191),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2726), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25842 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1660),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2189),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2724), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25844 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1929),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1767),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1766),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2722), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25845 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2087),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2426),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2721), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25846 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1892),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2185),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2614), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25847 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2082),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2425),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2720), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25848 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1632),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2181),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2719), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25849 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1944),
+	.B(n_42432),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2718), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25851 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1926),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2174),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2716), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25853 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1672),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2167),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2714), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25855 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2029),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2037),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2036),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2713), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25856 (
+	.A1(n_43484),
+	.A2(n_43483),
+	.B1(n_43473),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2712), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25859 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1667),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2227),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2709), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25860 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1879),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1869),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1870),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2708), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25864 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1627),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2234),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2705), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25866 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1945),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2249),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2703), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25867 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1894),
+	.A2_N(n_42429),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1894),
+	.B2(n_42429),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2702), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25870 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1888),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2219),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1888),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2219),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2699), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25871 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1611),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2253),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2698), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25873 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1913),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1746),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1255),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2696), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25874 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1948),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2254),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2695), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25876 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1903),
+	.B(n_42425),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2693), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25877 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1901),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2216),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1901),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2216),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2692), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25878 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1923),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2292),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1923),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2292),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2691), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25879 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1617),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_56),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2690), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25881 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1741),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1787),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1923),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2688), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25882 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1908),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2214),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1908),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2214),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2611), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25883 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1914),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2194),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2610), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25884 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1782),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1660),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1781),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2687), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25887 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1910),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2188),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2684), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25888 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1911),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2187),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2683), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25889 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1885),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1967),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2122),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2682), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25890 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2089),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2428),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2681), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25891 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2088),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2427),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2680), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25892 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1653),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2186),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2609), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25893 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1880),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2213),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1880),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2213),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2608), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25894 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1942),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2332),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2607), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25895 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1877),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2261),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2679), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25896 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1642),
+	.B(n_42431),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2678), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25899 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1936),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2212),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1936),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2212),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2675), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25900 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1935),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2183),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2674), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25901 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1992),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1940),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2081),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2606), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25904 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1567),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1872),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1886),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2671), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25906 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1931),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2178),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2670), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25907 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1802),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1874),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1876),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2669), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25909 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1613),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2177),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2667), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25910 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1939),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2146),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2666), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25911 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1886),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2176),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2665), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25912 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1622),
+	.B(n_42427),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2664), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25913 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1994),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1634),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2075),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2663), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25914 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1942),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2331),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1754),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1750),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2605), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25917 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2070),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2418),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2604), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25918 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1937),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2171),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2660), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25919 (
+	.A1_N(n_43479),
+	.A2_N(n_43476),
+	.B1(n_43479),
+	.B2(n_43476),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2659), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25922 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1551),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1554),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1662),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2657), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25923 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1674),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2206),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1674),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2206),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2656), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25924 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1881),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2196),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2655), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25925 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2064),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2467),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2654), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25926 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1884),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2195),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2653), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25927 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1658),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2165),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2652), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25928 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2029),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2260),
+	.Y(n_38017), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25929 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2149),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2289),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2602), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25930 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2289),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1998),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2050),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2601), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25931 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1930),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2241),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2600), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25932 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1592),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_12),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2377),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2599), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25933 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1885),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2156),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2598), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25934 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2130),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2385),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2597), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25935 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1938),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2155),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2596), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25937 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1671),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2202),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1671),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2202),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2594), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25940 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1581),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1580),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1671),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2591), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25943 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2044),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2372),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2498), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25945 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1947),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2144),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2587), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25947 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1451),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1606),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1457),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2585), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25948 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1887),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2143),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2584), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25949 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1651),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2138),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2583), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25950 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1607),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2199),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1607),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2199),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2497), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25951 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2018),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2364),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2582), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25952 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2017),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2461),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2581), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25954 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1801),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1887),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1540),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2580), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25955 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1628),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2134),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2579), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25957 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1510),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1511),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1626),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2577), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25958 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2287),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2170),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2576), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25960 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1665),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2162),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2495), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25961 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2286),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1960),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2047),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2574), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25962 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2005),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2290),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2039),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2573), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25963 (
+	.A1(n_43497),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1171),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2455),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2572), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25966 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1464),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1172),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2419),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2569), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25967 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1648),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2242),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2568), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25968 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1598),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1180),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2391),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2567), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25969 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2012),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2390),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2566), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25970 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1624),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2295),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1624),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2295),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2565), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25972 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1662),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2158),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2563), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25973 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1990),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2033),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2094),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2494), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25974 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1485),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1845),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2358),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2493), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25975 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2034),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2256),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2562), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25979 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1655),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2205),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1655),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2205),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2491), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25982 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1647),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2142),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2557), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25983 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1670),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2141),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2556), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25984 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1916),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2147),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2555), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25985 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1654),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2140),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2554), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25986 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1922),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2139),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2553), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25987 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1620),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2153),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2552), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25992 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1656),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2251),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2549), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25996 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1915),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2166),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2547), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25997 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1608),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2137),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2546), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25998 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1929),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2160),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2545), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g25999 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1928),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2135),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2544), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26000 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1673),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2159),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2543), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26003 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1604),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2133),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2485), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26005 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2115),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2376),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2540), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26007 (
+	.A1(n_42428),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1963),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2111),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2483), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26008 (
+	.A(n_42428),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2192),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2539), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26009 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2287),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2001),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2079),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2538), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26010 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1813),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1922),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1486),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2537), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26013 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1645),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2157),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2535), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26014 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1641),
+	.B(n_42433),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2534), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26015 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1902),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2252),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2533), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26016 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1983),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1905),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2010),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2532), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26017 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1904),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2237),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2531), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26019 (
+	.A1(n_43487),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1178),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2451),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2530), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26021 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1912),
+	.B(n_42426),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2528), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26022 (
+	.A1(n_43488),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1185),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2442),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2527), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26023 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1895),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2245),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2526), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26026 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1646),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2243),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2524), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26027 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1618),
+	.B(n_42434),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2523), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26028 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1924),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2239),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2522), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26031 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1475),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1514),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1619),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2519), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26032 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1631),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2296),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1631),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2296),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2479), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26033 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1738),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1182),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2383),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2518), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26036 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1617),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_54),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2042),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2515), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26037 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1612),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2240),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2514), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26038 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1921),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2238),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2513), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26039 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1875),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1184),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2359),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2512), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26040 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1605),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2248),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2511), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26041 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1610),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2307),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1610),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2307),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2478), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26042 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1969),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2034),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2086),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2510), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26044 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2031),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1993),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2078),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2508), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26045 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1971),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2032),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2057),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2507), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26046 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2035),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1997),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2054),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2506), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26047 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1619),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2201),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1619),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2201),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2505), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26048 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1626),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2145),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2504), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26049 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2033),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2175),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2503), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26050 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2168),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2031),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2502), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26051 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2032),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2164),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2501), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26052 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2035),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2151),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2500), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26059 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1826),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2030),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2471), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26060 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1828),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2024),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2470), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26061 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1587),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2026),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2469), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26063 (
+	.A1(n_43509),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1537),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1916),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2467), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26064 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1549),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2028),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2466), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26065 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1729),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2022),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2465), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26069 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1469),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1465),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1877),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2461), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26075 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1970),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1912),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2455), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26076 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1774),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2279),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2454), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26079 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1889),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1968),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2451), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26089 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1895),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1962),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2442), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26096 (
+	.A1(n_43491),
+	.A2(n_43490),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1910),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2435), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26097 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1808),
+	.A2(n_43492),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1911),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2434), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26100 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1789),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2282),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2431), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26103 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1765),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1763),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1931),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2428), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26104 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1760),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1759),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1935),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2427), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26105 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1521),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1758),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1932),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2426), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26106 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1748),
+	.A2(n_43515),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1937),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2425), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26112 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1924),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1972),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2419), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26113 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1757),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1584),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1670),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2418), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26120 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1648),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1989),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2391), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26121 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1463),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1547),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1647),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2390), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26123 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1739),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2281),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2388), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26126 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1556),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1736),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1949),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2385), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26128 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1631),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1964),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2383), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26134 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1999),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1930),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2377), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26135 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1552),
+	.A2(n_43498),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1928),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2376), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26139 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1525),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1873),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1955),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2372), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26140 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1596),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2283),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2371), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26147 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1472),
+	.A2(n_43517),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1605),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2364), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26152 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1921),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2011),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2359), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26153 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1610),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1988),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2358), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26155 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1253),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2310),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1253),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2310),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2356), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26156 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1260),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2224),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1260),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2224),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2355), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26157 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1254),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2169),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2415), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26158 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1795),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2308),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1795),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2308),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2414), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26160 (
+	.A1_N(n_43516),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2313),
+	.B1(n_43516),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2313),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2413), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26161 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1595),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2312),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1595),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2312),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2412), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26162 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1492),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2304),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1492),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2304),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2411), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26163 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1770),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2302),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1770),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2302),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2354), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26164 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1566),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2305),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1566),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2305),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2410), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26165 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1734),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2229),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2353), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26167 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1442),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2154),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2408), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26168 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1793),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2311),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1793),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2311),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2407), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26169 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1258),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2228),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2406), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26171 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1526),
+	.A2_N(n_42430),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1526),
+	.B2(n_42430),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2404), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26172 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1832),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2190),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2403), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26173 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1786),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2173),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2402), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26174 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1737),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2232),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2401), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26175 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1550),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2163),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2352), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26176 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1773),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2152),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2400), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26177 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1500),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2172),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2399), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26178 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1601),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2231),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2398), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26179 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1445),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2148),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2397), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26180 (
+	.A(n_43499),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2244),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2396), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26181 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1585),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2247),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2395), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26182 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1768),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2309),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1768),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2309),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2394), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26183 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2277),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2351), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26185 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2284),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2349), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26187 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2038),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2348), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26189 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2025),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2346), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26190 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2278),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2345), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26191 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2285),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2344), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26192 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_67),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2343), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26193 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1754),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1750),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2331),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2332), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26194 (
+	.A1(n_43521),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1795),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2271),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2342), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26195 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2108),
+	.A2(n_43480),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2109),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2341), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26196 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2120),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2274),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2340), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26197 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1571),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1492),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2263),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2339), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26198 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1545),
+	.A2(n_43516),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2262),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2338), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26199 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1793),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_47),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1448),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2330), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26200 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2084),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1957),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2090),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2337), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26201 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2077),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1950),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2052),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2336), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26202 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2103),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1956),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2105),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2335), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26204 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1652),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1530),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1258),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2334), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26205 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1520),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_48),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1806),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2328), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26206 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2049),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1954),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2046),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2333), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26210 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2007),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2269),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2316), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26211 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1585),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1176),
+	.B1(n_43566),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2325), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26212 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1500),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1497),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1615),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2324), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26213 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2059),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2267),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2323), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26214 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2058),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2266),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2322), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26216 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1669),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1734),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1256),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2315), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26218 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1762),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1737),
+	.C(n_43477),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2319), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26219 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1442),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1263),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2276),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2314), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26221 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1441),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1262),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1633),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2276), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26222 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1676),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1086),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2029),
+	.Y(n_38016), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26223 (
+	.A1(n_44058),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1254),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1878),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2274), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26226 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2093),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1891),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2271), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26228 (
+	.A1(n_43499),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1177),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1941),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2269), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26230 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1445),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1444),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1650),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2267), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26231 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1601),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1600),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1649),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2266), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26235 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2015),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1951),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2263), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26236 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2041),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1952),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2262), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26237 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1465),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1469),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2261), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26238 (
+	.A_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2036),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2037),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2260), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26239 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1952),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1545),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1952),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1545),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2313), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26240 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1957),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1764),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1957),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1764),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2312), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26241 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_47),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1448),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2311), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26242 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1206),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1727),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2310), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26243 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1956),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1816),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1956),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1816),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2309), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26244 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1891),
+	.A2_N(n_43521),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1891),
+	.B2(n_43521),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2308), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26245 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1845),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1485),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2307), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26246 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_48),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1806),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2306), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26247 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1257),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1443),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2259), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26248 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1954),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1459),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1954),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1459),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2305), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26249 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1951),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1571),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1571),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1951),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2304), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26250 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1264),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1431),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2303), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26251 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1950),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1479),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1950),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1479),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2302), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26252 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1858),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1849),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2258), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26253 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1769),
+	.A2_N(n_43503),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1769),
+	.B2(n_43503),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2257), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26254 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1794),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1519),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2256), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26256 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1452),
+	.B(n_43507),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2254), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26258 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1482),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1480),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2253), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26259 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1822),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1821),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2252), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26261 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1539),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1528),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2251), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26262 (
+	.A(n_43520),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1792),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2250), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26263 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1542),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1796),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2249), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26264 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1329),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1637),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1329),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1637),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2299), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26265 (
+	.A(n_43517),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1472),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2248), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26267 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1175),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1640),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1175),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1640),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2247), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26268 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1178),
+	.B(n_43487),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2297), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26270 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1185),
+	.B(n_43488),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2245), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26271 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1177),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1941),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2244), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26272 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1181),
+	.B(n_43496),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2243), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26273 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1180),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1598),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2242), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26274 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1182),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1738),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2296), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26275 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1183),
+	.B(n_43504),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2295), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26276 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_12),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1591),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_12),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1591),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2241), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26277 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1179),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1597),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2240), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26278 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1172),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1464),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2239), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26279 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1184),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1875),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2238), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26280 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1174),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_220),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1174),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_220),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2237), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26281 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1583),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1729),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2236), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26282 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1820),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1732),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2235), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26283 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1259),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1432),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2294), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26284 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1491),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1577),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2293), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26285 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1741),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1787),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2292), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26286 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1483),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1548),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2234), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26288 (
+	.A(n_43477),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1762),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2232), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26289 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1600),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1649),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2231), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26290 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1494),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1487),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2291), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26291 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1873),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1525),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2230), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26292 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1256),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1669),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2229), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26294 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1652),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1530),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2228), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26295 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1840),
+	.B(n_43518),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2227), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26296 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1503),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1508),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2226), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26297 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1319),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1625),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1319),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1625),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2290), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26298 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1324),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1639),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1324),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1639),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2289), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26300 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1328),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1934),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1328),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1934),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2287), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26301 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1323),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1638),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1323),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1638),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2286), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26302 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1331),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1657),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1331),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1657),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2285), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26303 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1327),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1636),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1327),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1636),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2284), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26304 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1320),
+	.A2_N(n_43500),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1320),
+	.B2(n_43500),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2283), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26305 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1332),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1925),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2225), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26306 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1330),
+	.A2_N(n_43478),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1330),
+	.B2(n_43478),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2282), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26307 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1318),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1953),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1318),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1953),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2281), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26308 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1321),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1900),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2280), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26309 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1333),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1629),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1333),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1629),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2279), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26310 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1334),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1958),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2022),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2278), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26311 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1322),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1643),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1322),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1643),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2277), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26312 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1663),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1574),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2224), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26314 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1870),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1869),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2197), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26315 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1471),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1800),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2222), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26316 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1865),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1864),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2196), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26317 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1862),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1501),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2195), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26319 (
+	.A1_N(n_43493),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1799),
+	.B1(n_43493),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1799),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2194), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26321 (
+	.A1_N(n_43565),
+	.A2_N(n_43486),
+	.B1(n_43565),
+	.B2(n_43486),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2193), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26322 (
+	.A(n_43484),
+	.B(n_43483),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2219), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26323 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1447),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1842),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2192), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26326 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1827),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1825),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2216), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26327 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1810),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1812),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2191), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26329 (
+	.A1_N(n_43480),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1829),
+	.B1(n_43480),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1829),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2190), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26330 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1781),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1782),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2189), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26331 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1809),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1807),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2214), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26332 (
+	.A(n_43490),
+	.B(n_43491),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2188), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26333 (
+	.A(n_43492),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1808),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2187), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26334 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1833),
+	.A2_N(n_43489),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1833),
+	.B2(n_43489),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2186), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26335 (
+	.A1_N(n_44062),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1817),
+	.B1(n_44062),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1817),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2213), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26336 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1561),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1848),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1561),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1848),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2185), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26338 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1474),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1745),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2212), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26339 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1759),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1760),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2183), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26340 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1255),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1746),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2182), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26341 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1857),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1852),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2181), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26342 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1977),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1987),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2211), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26344 (
+	.A1_N(n_43519),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1461),
+	.B1(n_43519),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1461),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2179), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26345 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1763),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1765),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2178), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26347 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1518),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1538),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2177), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26348 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1567),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1872),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2176), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26349 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1780),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1779),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2175), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26350 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1776),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1775),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2174), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26351 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1659),
+	.A2_N(n_43590),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1659),
+	.B2(n_43590),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2173), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26352 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1615),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1497),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2172), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26353 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1563),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1502),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2209), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26354 (
+	.A(n_43515),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1748),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2171), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26355 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1558),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1498),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2170), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26356 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1866),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1878),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1866),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1878),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2169), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26358 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1871),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1868),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2207), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26359 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1543),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1824),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2206), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26360 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1603),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1529),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2168), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26361 (
+	.A(n_43514),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1513),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2167), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26362 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1467),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1466),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2166), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26363 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1532),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1531),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2165), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26364 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1517),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1484),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2164), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26365 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1664),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1850),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2163), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26366 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1453),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1489),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2205), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26367 (
+	.A(n_43505),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1570),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2162), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26370 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1766),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1767),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2160), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26371 (
+	.A(n_43510),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1527),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2159), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26372 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1551),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1554),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2158), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26373 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1499),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1586),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2157), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26374 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1594),
+	.B(n_43485),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2156), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26375 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1751),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1747),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2155), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26376 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1263),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1633),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1263),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1633),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2154), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26377 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1575),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1582),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2203), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26378 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1846),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1523),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2153), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26379 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1581),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1580),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2202), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26380 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1568),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1630),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2152), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26381 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1578),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1579),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2151), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26383 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1559),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1560),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2149), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26384 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1444),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1650),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2148), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26385 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1537),
+	.B(n_43509),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2147), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26386 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1544),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_219),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2146), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26387 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1514),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1475),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2201), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26388 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1847),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1512),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2200), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26389 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1510),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1511),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2145), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26390 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1505),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1504),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2144), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26391 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1540),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1801),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2143), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26392 (
+	.A1_N(n_43508),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1463),
+	.B1(n_43508),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1463),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2142), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26393 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1584),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1757),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2141), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26394 (
+	.A(n_43512),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1524),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2140), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26395 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1486),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1813),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2139), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26396 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1490),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1476),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2138), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26397 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1756),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1473),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2199), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26398 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1478),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1477),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2137), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26400 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1451),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1457),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2198), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26401 (
+	.A(n_43498),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1552),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2135), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26402 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1576),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1819),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2134), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26403 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1468),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1462),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2133), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26406 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1736),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1556),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2130), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26414 (
+	.A_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1594),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1856),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2122), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26416 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1254),
+	.B(n_44058),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2120), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26421 (
+	.A(n_43498),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1552),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2115), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26425 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1447),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1842),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2111), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26427 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1829),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1832),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2109), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26428 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1832),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1829),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2108), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26431 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1816),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1768),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2105), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26433 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1768),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1816),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2103), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26436 (
+	.A(n_43492),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1808),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2100), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26437 (
+	.A(n_43490),
+	.B(n_43491),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2099), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26441 (
+	.A(n_43590),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1786),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2095), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26442 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1780),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1779),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2094), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26443 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1795),
+	.B(n_43521),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2093), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26446 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1764),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1595),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2090), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26447 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1763),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1765),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2089), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26448 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1759),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1760),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2088), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26449 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1758),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1521),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2087), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26450 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1794),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1519),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2086), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26452 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1595),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1764),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2084), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26454 (
+	.A(n_43515),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1748),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2082), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26455 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1544),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_219),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2081), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26457 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1498),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1558),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2079), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26458 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1603),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1529),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2078), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26459 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1770),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1479),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2077), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26461 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1491),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1577),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2075), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26466 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1584),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1757),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2070), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26472 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1537),
+	.B(n_43509),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2064), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26477 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1444),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1445),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2059), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26478 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1600),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1601),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2058), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26479 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1484),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1517),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2057), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26482 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1578),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1579),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2054), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26484 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1479),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1770),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2052), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26486 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1559),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1560),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2050), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26487 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1566),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1459),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2049), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26489 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1575),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1582),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2047), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26490 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1459),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1566),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2046), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26492 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1873),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1525),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2044), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26494 (
+	.A_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1557),
+	.B(n_43501),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2042), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26495 (
+	.A(n_43516),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1545),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2041), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26497 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1494),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1487),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2039), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26501 (
+	.A(n_43517),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1472),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2018), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26502 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1465),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1469),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2017), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26504 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1492),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1571),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2015), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26507 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1547),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1463),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2012), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26508 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1184),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1875),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2011), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26509 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_220),
+	.B_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1174),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2010), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26512 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1177),
+	.B(n_43499),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2007), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26514 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1487),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1494),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2005), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26517 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1259),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1432),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2003), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26519 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1498),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1558),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2001), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26521 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_12),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1592),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1999), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26522 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1560),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1559),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1998), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26523 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1579),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1578),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1997), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26526 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1577),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1491),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1994), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26527 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1529),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1603),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1993), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26528 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_219),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1544),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1992), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26530 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1779),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1780),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1990), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26531 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1180),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1598),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1989), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26532 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1845),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1485),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1988), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26533 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1736),
+	.B(n_43506),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1987), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26544 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_220),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1173),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1983), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26551 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1556),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1735),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1977), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26558 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1257),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1443),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1974), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26559 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1264),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1431),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1973), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26560 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1172),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1464),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1972), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26561 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1484),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1517),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1971), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26562 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1171),
+	.B(n_43497),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1970), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26563 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1519),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1794),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1969), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26564 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1178),
+	.B(n_43487),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1968), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26565 (
+	.A(n_43502),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1856),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1967), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26568 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1182),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1738),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1964), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26569 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1842),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1447),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1963), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26570 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1185),
+	.B(n_43488),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1962), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26572 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1582),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1575),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1960), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26573 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1637),
+	.B_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1329),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2038), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26574 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1677),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1186),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2037), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26575 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1186),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1677),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2036), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26576 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1643),
+	.B_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1322),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2035), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26577 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1321),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1900),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2034), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26578 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1332),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1925),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2033), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26579 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1657),
+	.B_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1331),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2032), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26580 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1636),
+	.B_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1327),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2031), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26581 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1625),
+	.B_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1319),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2030), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26582 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1086),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1676),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2029), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26583 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1638),
+	.B_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1323),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2028), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26584 (
+	.A_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1320),
+	.B(n_43500),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2027), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26585 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1934),
+	.B_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1328),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2026), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26586 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1629),
+	.B_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1333),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2025), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26588 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1325),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1896),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2024), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26589 (
+	.A_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1953),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1318),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1959), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26590 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1639),
+	.B_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1324),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2023), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26591 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1958),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1334),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2022), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26593 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1939),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1940), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26597 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1904),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1905), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26601 (
+	.A(n_44058),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1866), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26605 (
+	.A(n_43485),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1856), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26606 (
+	.A(n_43486),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1853), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26607 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1843),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1844), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26610 (
+	.A(n_43489),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1831), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26612 (
+	.A(n_44062),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1815), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26615 (
+	.A(n_43493),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1798), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26616 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1788),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1789), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26624 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1735),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1736), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26625 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1731),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1730), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26626 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_793),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1145),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1066),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1727), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26627 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1149),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_526),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_859),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_801),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1958), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26628 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_795),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1167),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1072),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1726), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26629 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_797),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1147),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1069),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1725), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26630 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_209),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1165),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_759),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1957), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26632 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_790),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1163),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1073),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1724), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26633 (
+	.A1(n_43549),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1155),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1068),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1956), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26634 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1143),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_553),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_803),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_839),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1955), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26635 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_801),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1149),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1075),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1723), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26636 (
+	.A1(n_43547),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1157),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1076),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1954), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26637 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1169),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_669),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_712),
+	.B2(n_43551),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1953), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26638 (
+	.A1(n_43551),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1169),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1063),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1952), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26639 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_803),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1143),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1074),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1951), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26640 (
+	.A1(n_43546),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1151),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1061),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1950), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26642 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_813),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1144),
+	.B1(n_42441),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_521),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1949), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26643 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1155),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_619),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_844),
+	.B2(n_43549),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1948), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26644 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1145),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_960),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_971),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_793),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1947), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26645 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_745),
+	.A2(n_43546),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1308),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1946), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26646 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1151),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_923),
+	.B1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1109),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1945), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26647 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1153),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_545),
+	.B1(n_42440),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1039),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1944), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26649 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1161),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_550),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_552),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_799),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1942), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26650 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1159),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_999),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_814),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs2_x[0]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1941), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26651 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1170),
+	.A2(n_43553),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_200),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_622),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1939), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26652 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_218),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_620),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_695),
+	.B2(n_43550),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1938), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26653 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1149),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_422),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_801),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_23),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1937), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26654 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1147),
+	.A2(n_43556),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_797),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_905),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1936), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26655 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1006),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1156),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_206),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1018),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1935), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26656 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1145),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_610),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1048),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_793),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1722), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26657 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1159),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_680),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_999),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs2_x[0]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1934), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26658 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1167),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_925),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1081),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_795),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1933), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26659 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_881),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1144),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1093),
+	.B2(n_42441),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1932), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26660 (
+	.A1(n_43557),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_801),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1335),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1931), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26661 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1159),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_758),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_522),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs2_x[0]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1930), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26662 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1143),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_630),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_731),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_803),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1929), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26663 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1167),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_642),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1046),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_795),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1928), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26664 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1158),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_519),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_213),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_985),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1926), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26665 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1147),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_851),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_797),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_980),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1721), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26666 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1159),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_814),
+	.B1(n_43531),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs2_x[0]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1925), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26667 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1169),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_990),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_942),
+	.B2(n_43551),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1924), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26668 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1145),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_988),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_664),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_793),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1923), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26669 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1149),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1010),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_637),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_801),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1922), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26670 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_10),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1166),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_208),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_744),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1921), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26671 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1145),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_492),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1049),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_793),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1720), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26672 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1167),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_936),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_514),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_795),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1920), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26673 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1145),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1092),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_482),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_793),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1719), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26674 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_845),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1148),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_798),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_698),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1918), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26675 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1163),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1083),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_533),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_790),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1718), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26676 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1143),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_623),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_553),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_803),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1917), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26677 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1141),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_880),
+	.B1(n_43552),
+	.B2(n_42445),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1916), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26678 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1151),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_811),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1061),
+	.B2(n_43546),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1915), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26679 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_801),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_749),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1345),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1914), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26680 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1145),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_864),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_873),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_793),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1913), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26681 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1165),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_989),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_724),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_209),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1912), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26682 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1149),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_581),
+	.B1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1219),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1911), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26683 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1153),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_830),
+	.B1(n_43530),
+	.B2(n_42440),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1910), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26684 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1167),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_931),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1077),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_795),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1909), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26685 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1141),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_431),
+	.B1(n_42445),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_662),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1908), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26687 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_218),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_842),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_969),
+	.B2(n_43550),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1717), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26689 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_510),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1166),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_208),
+	.B2(n_43542),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1904), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26690 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1145),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_482),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1022),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_793),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1716), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26691 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1161),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_972),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_799),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_933),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1903), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26692 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1141),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_591),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_828),
+	.B2(n_42445),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1715), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26693 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1161),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_586),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1002),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_799),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1902), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26694 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1149),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_524),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_732),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_801),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1901), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26695 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1169),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_955),
+	.B1(n_43563),
+	.B2(n_43551),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1900), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26696 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1155),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_473),
+	.B1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1209),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1899), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26697 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1145),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_928),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_992),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_793),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1714), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26699 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_903),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_803),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1356),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1897), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26700 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_979),
+	.A2(n_43551),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_31),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1896), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26701 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1145),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_855),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_988),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_793),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1713), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26702 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1165),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_583),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_571),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_209),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1895), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26703 (
+	.A1(n_42445),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_932),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1378),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1894), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26704 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_218),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_983),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1047),
+	.B2(n_43550),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1893), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26705 (
+	.A1(n_43550),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_218),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1062),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1712), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26706 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1163),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_978),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_790),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1083),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1711), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26707 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1143),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_605),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_861),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_803),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1710), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26708 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1163),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_866),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_790),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_935),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1892), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26709 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[0]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1159),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1070),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1891), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26711 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_917),
+	.A2(n_43550),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1344),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1709), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26712 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_947),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1166),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_208),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_868),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1889), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26713 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1149),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_508),
+	.B1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1231),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1888), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26714 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1167),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_888),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_795),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_889),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1708), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26715 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1161),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1044),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_652),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_799),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1887), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26716 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1167),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1081),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_931),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_795),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1886), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26717 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1163),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_921),
+	.B1(n_43536),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_790),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1885), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26718 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1141),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1001),
+	.B1(n_42445),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_953),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1884), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26719 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_538),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1154),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_210),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_994),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1883), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26720 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1155),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_850),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_916),
+	.B2(n_43549),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1882), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26721 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1149),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_878),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_995),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_801),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1881), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26722 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_910),
+	.A2(n_42445),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1350),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1880), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26723 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_218),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_654),
+	.B1(n_43550),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_970),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1879), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26724 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1145),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1049),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_483),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_793),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1707), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26725 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1155),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_822),
+	.B1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1224),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1878), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26726 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1153),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1023),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_609),
+	.B2(n_42440),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1877), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26727 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1159),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_886),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_911),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs2_x[0]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1876), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26728 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_200),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_672),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1267),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1875), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26729 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_797),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1014),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_44),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1874), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26730 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1161),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_565),
+	.B1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1139),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1873), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26731 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1143),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_676),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_590),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_803),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1872), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26732 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_878),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_801),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_49),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1871), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26733 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_911),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1159),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_752),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs2_x[0]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1870), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26734 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1153),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_993),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_977),
+	.B2(n_42440),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1869), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26735 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1151),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_726),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_722),
+	.B2(n_43546),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1868), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26737 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_989),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_209),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1371),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1865), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26738 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_723),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1152),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_805),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1008),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1864), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26739 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1163),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_913),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_625),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_790),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1706), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26741 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1163),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_935),
+	.B1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1122),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1705), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26742 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1147),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1014),
+	.B1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1121),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1862), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26743 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1141),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_982),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_692),
+	.B2(n_42445),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1704), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26744 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1232),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1374),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1861), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26746 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1167),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_920),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_560),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_795),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1703), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26748 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1028),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_795),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1375),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1858), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26749 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1161),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_593),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_887),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_799),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1857), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26753 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1155),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_499),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1068),
+	.B2(n_43549),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1852), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26755 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_16),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1152),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_805),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1033),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1850), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26756 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1169),
+	.A2(n_43541),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1060),
+	.B2(n_43551),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1702), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26757 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_573),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1148),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_798),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1043),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1849), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26758 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_667),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_209),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1362),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1701), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26759 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1227),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_30),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1848), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26760 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1170),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_672),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_200),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1034),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1847), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26761 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_799),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_972),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1377),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1700), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26762 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1163),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_941),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1073),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_790),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1699), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26763 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_530),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_801),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1280),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1846), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26764 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1157),
+	.A2(n_43535),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_685),
+	.B2(n_43547),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1698), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26765 (
+	.A1(n_42440),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1153),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1065),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1845), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26766 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1145),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_536),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_864),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_793),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1843), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26767 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1153),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_901),
+	.B1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1108),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1842), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26768 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1147),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_757),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_589),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_797),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1697), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26770 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1158),
+	.A2(n_43555),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_213),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_704),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1840), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26771 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1161),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_823),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1064),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_799),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1696), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26772 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_901),
+	.A2(n_42440),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_46),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1839), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26773 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_850),
+	.A2(n_43549),
+	.B1(n_43079),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1838), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26774 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_487),
+	.A2(n_43547),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1357),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1836), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26775 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_797),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_939),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1380),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1835), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26776 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1131),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_37),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1833), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26777 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_663),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1142),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_202),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_958),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1832), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26779 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1134),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1355),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1829), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26780 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_946),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1144),
+	.B1(n_42441),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_606),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1828), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26781 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1161),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_552),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_962),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_799),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1695), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26782 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1161),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_887),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_586),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_799),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1827), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26783 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1167),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_650),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_795),
+	.B2(n_43562),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1826), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26784 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_218),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_691),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_983),
+	.B2(n_43550),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1825), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26785 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1151),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_24),
+	.B1(n_43546),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_497),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1824), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26786 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1210),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1353),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1823), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26787 (
+	.A1(n_43539),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1152),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_805),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_470),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_220), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26788 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1163),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_918),
+	.B1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1223),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1822), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26789 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1153),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_496),
+	.B1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1237),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1821), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26790 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1145),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_812),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_928),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_793),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1820), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26791 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_629),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1152),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_805),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_849),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1819), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26792 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_534),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_790),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_43),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1818), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26793 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1215),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_38),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1817), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26794 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1153),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1009),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_496),
+	.B2(n_42440),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1816), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26796 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_743),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1146),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_794),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1000),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1694), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26797 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1147),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_924),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_797),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_472),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1813), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26798 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1163),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_686),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_918),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_790),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1812), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26799 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_593),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_799),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1386),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1811), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26800 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1151),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_976),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1053),
+	.B2(n_43546),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1810), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26801 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_797),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_675),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1383),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1809), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26802 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1160),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_987),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_141),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1071),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1808), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26803 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_473),
+	.A2(n_43549),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1348),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1807), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26804 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1147),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_580),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_484),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_797),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1693), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26805 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_218),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_969),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_815),
+	.B2(n_43550),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1806), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26809 (
+	.A1(n_42445),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1001),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1396),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1802), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26810 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_218),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_520),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_614),
+	.B2(n_43550),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1801), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26811 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_961),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_801),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1372),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1800), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26812 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1132),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_28),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1799), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26814 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1236),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_26),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1796), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26815 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1153),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_670),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_725),
+	.B2(n_42440),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1795), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26816 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1167),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_668),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_548),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_795),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1692), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26817 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1157),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_685),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_940),
+	.B2(n_43547),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1794), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26818 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1167),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_607),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_920),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_795),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1691), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26819 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1167),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_690),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1089),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_795),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1793), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26820 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_934),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1162),
+	.B1(n_42443),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1040),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1792), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26821 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_963),
+	.A2(n_43546),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1340),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1791), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26823 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_803),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_837),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1341),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1788), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26824 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1147),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_589),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_572),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_797),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1787), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26825 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_684),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1150),
+	.B1(n_42442),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_948),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1786), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26827 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1244),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_29),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1784), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26828 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1149),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1032),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_801),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1075),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1689), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26829 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1141),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_910),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_810),
+	.B2(n_42445),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1782), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26830 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1161),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_991),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1029),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_799),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1688), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26831 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1161),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_832),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_806),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_799),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1781), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26832 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_218),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_588),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_587),
+	.B2(n_43550),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1687), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26833 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1213),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_39),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_219), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26834 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1163),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1059),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1045),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_790),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1686), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26835 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_645),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_803),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1361),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1780), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26836 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_895),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1154),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_210),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_831),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1779), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26837 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_981),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1148),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_798),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_710),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1685), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26839 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_998),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1148),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_798),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_954),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1776), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26840 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1018),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1156),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_206),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_838),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1775), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26841 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_218),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1042),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_897),
+	.B2(n_43550),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1684), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26842 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1143),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_964),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_623),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_803),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1774), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26843 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1159),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_604),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_539),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs2_x[0]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1773), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26844 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1145),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_501),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1066),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_793),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1683), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26846 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1157),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_480),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_531),
+	.B2(n_43547),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1771), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26847 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1147),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_957),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_851),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_797),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1770), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26848 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_925),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_795),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1291),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1769), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26849 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_803),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_493),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1352),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1768), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26850 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1161),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_512),
+	.B1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1214),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1767), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26851 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_547),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_217),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_204),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_926),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1766), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26852 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_509),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1152),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_805),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_689),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1765), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26853 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_499),
+	.A2(n_43549),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1336),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1764), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26854 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_595),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1166),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_208),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_659),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1763), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26855 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_706),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1156),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_206),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_843),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1762), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26856 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1153),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_977),
+	.B1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1115),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1761), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26857 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_425),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1148),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_798),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_998),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1760), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26858 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1170),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_622),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_200),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_884),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1759), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26859 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1157),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_531),
+	.B1(n_43561),
+	.B2(n_43547),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1758), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26860 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1147),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_854),
+	.B1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1241),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1757), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26861 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1161),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_618),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_532),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_799),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1756), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26862 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_540),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_797),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1385),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1755), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26863 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1167),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_548),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_607),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_795),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1754), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26864 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1211),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1265),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1753), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26865 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1149),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_498),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_846),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_801),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1751), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26866 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1163),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_533),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_549),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_790),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1750), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26867 (
+	.A1(n_43545),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1142),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_202),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_966),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1749), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26868 (
+	.A1(n_43546),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_833),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1290),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1748), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26869 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_676),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_803),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1315),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1747), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26870 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_829),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1142),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_202),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1091),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1682), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26871 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_826),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1158),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_213),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_808),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1746), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26872 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_582),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_209),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1310),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1745), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26874 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1141),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1090),
+	.B1(n_42445),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1067),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1681), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26876 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1141),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_687),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_678),
+	.B2(n_42445),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1741), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26878 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1157),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_506),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_938),
+	.B2(n_43547),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1739), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26879 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1169),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_712),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_517),
+	.B2(n_43551),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1738), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26880 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_218),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1031),
+	.B1(n_43550),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_588),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1680), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26881 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1157),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_708),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_480),
+	.B2(n_43547),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1737), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26882 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1167),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_707),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_668),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_795),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1679), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26883 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1309),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1116),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1735), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26884 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1161),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1029),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_799),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_550),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1734), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26885 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1163),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_896),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_863),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_790),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1733), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26886 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1167),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1089),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_576),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_795),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1732), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26887 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_541),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1148),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_798),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_742),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1731), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26888 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_860),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1150),
+	.B1(n_42442),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_715),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1729), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26889 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1163),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_549),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_913),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_790),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1728), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26896 (
+	.A(n_43502),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1594), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26897 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1591),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1592), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26898 (
+	.A(n_43503),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1589), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26899 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1561),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1562), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26901 (
+	.A(n_43506),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1556), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26902 (
+	.A(n_43508),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1547), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26906 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1495),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1496), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26909 (
+	.A(n_43519),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1456), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26912 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1442),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1441), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26913 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1439),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1440), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26914 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1437),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1438), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26915 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1435),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1436), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26916 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1433),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1434), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26917 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1169),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_430),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_22),
+	.B2(n_43551),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1678), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26918 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_141),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1079),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1051),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1159),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1677), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26919 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_141),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1052),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_14),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1159),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1676), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26920 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1153),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1021),
+	.B1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1217),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1430), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26921 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1145),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_502),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_855),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_793),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1675), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26922 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_706),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_206),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_657),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1155),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1674), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26923 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1147),
+	.A2(n_43533),
+	.B1(n_43543),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_797),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1673), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26924 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1143),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_655),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_608),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_803),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1672), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26925 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1159),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_562),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_556),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs2_x[0]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1671), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26926 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_218),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1035),
+	.B1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1212),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1429), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26927 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1143),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_751),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_803),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_495),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1670), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26928 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1000),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1146),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_492),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_793),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1669), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26929 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_529),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1154),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_210),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_481),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1667), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26931 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1153),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_564),
+	.B1(n_42440),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1054),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1665), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26932 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_641),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1169),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_990),
+	.B2(n_43551),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1664), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26933 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1145),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_740),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_960),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_793),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1663), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26934 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1145),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_433),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_952),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_793),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1662), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26935 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1141),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1038),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_612),
+	.B2(n_42445),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1661), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26936 (
+	.A1_N(n_42441),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_813),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_959),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1143),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1660), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26937 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1170),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_884),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_200),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_841),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1659), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26938 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1157),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_673),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_737),
+	.B2(n_43547),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1658), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26939 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1159),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_807),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1005),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs2_x[0]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1657), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26940 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1163),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_682),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_852),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_790),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1656), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26941 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1141),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_640),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_677),
+	.B2(n_42445),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1655), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26942 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_204),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_547),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_701),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_218),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1654), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26943 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_926),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_217),
+	.B1(n_43550),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_505),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1653), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26944 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1145),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1048),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_486),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_793),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1652), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26945 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1170),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1085),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_200),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_469),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1428), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26946 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1163),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_879),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_790),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_711),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1651), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26947 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1153),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_816),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_611),
+	.B2(n_42440),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1650), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26948 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1165),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1003),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_872),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_209),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1649), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26949 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_894),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1169),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_641),
+	.B2(n_43551),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1648), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26950 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1149),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_419),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1036),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_801),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1647), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26951 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_659),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1166),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_208),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_661),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1646), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26952 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1157),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_938),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_525),
+	.B2(n_43547),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1645), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26953 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1145),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_486),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_502),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_793),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1644), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26954 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1159),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_527),
+	.B1(n_43529),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs2_x[0]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1643), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26955 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1155),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_674),
+	.B1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1135),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1642), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26956 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1157),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_699),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_747),
+	.B2(n_43547),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1641), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26957 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1160),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1058),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1246),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1640), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26958 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1145),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1022),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_812),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_793),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1427), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26959 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1159),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_522),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_488),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs2_x[0]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1639), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26960 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1169),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_517),
+	.B1(n_43528),
+	.B2(n_43551),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1638), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26961 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1159),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1078),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1249),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1637), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26962 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1151),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_421),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1130),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1636), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26963 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1160),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_944),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_141),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_987),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1634), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26964 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1145),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_664),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_740),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_793),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1633), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26965 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_218),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_695),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_691),
+	.B2(n_43550),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1632), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26966 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1165),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_585),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1082),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_209),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1631), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26967 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_500),
+	.A2(n_43549),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1286),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1630), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26968 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1169),
+	.A2(n_43534),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_834),
+	.B2(n_43551),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1629), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26969 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1153),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_609),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_485),
+	.B2(n_42440),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1628), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26970 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1167),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_835),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_885),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_795),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1426), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26971 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1143),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_566),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_751),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_803),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1627), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26972 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1163),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_709),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_848),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_790),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1626), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26973 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_624),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1159),
+	.B1(n_43532),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs2_x[0]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1625), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26974 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_535),
+	.A2(n_43551),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1277),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1624), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26975 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_975),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_801),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1368),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1623), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26976 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1147),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_420),
+	.B1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1128),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1622), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26977 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1163),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_426),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_790),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_568),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1621), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26978 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1141),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_678),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_640),
+	.B2(n_42445),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1620), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26979 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1159),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_733),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_624),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs2_x[0]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1425), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26980 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1147),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_515),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_797),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_924),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1619), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26981 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_601),
+	.A2(n_43546),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1312),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1618), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26982 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_799),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_565),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1274),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1617), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26983 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1151),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_694),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_551),
+	.B2(n_43546),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1616), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26984 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_556),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1159),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_807),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs2_x[0]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1615), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26985 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_858),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1156),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_206),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_827),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1614), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26986 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1151),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_601),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_976),
+	.B2(n_43546),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1613), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26987 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1145),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_971),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1017),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_793),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1424), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26988 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1170),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1034),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_200),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_730),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1612), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26989 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1141),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_546),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_504),
+	.B2(n_42445),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1611), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26990 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1151),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_638),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_557),
+	.B2(n_43546),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1610), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26991 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_794),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_743),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_671),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1145),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1423), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26992 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1145),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1017),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1056),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_793),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1422), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26993 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_218),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_815),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1062),
+	.B2(n_43550),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1421), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26994 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_218),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_602),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_904),
+	.B2(n_43550),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1609), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26995 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1161),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_652),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_799),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_618),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1608), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26996 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1145),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1056),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1094),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_793),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1607), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26997 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1043),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1148),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_515),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_797),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1606), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26998 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1149),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_867),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_739),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_801),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1605), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g26999 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_141),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_636),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_752),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1159),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1420), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27000 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1145),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_713),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1092),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_793),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1419), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27001 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1145),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_483),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_713),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_793),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1418), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27002 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_218),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_897),
+	.B1(n_43550),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1031),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1604), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27003 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1145),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1094),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_671),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_793),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1417), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27004 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1145),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_952),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_536),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_793),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1603), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27006 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1155),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_847),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1080),
+	.B2(n_43549),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1601), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27007 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1169),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_468),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_894),
+	.B2(n_43551),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1600), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27008 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_694),
+	.A2(n_43546),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1351),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1599), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27009 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1160),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1004),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_141),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_555),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1598), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27010 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1160),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_974),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_141),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_944),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1597), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27011 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_627),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1144),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_656),
+	.B2(n_42441),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1596), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27012 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_574),
+	.A2(n_42440),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1337),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1595), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27014 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1169),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_22),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_563),
+	.B2(n_43551),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1591), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27015 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1159),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_635),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_733),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs2_x[0]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1416), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2a_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27016 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_508),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_801),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_750),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1150),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1590), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27018 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1141),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_824),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_982),
+	.B2(n_42445),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1415), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27019 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_818),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1144),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_881),
+	.B2(n_42441),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1587), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27020 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_795),
+	.A2(n_43544),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_27),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1414), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27021 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1155),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_500),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_476),
+	.B2(n_43549),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1586), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27022 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_666),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1166),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_208),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1041),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1585), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27023 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1149),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_596),
+	.B1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1106),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1584), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27024 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1145),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_873),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_610),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_793),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1583), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27025 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1153),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_427),
+	.B1(n_42440),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_621),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1582), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27026 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1165),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1082),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_693),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_209),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1581), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27027 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1155),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_476),
+	.B1(n_43549),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_862),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1580), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27028 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1157),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_747),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_506),
+	.B2(n_43547),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1579), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27029 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1169),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_746),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_669),
+	.B2(n_43551),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1578), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27030 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_729),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1166),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_208),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_510),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1577), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27031 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1163),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_534),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_682),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_790),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1576), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27032 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1157),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_525),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_643),
+	.B2(n_43547),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1575), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27033 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1163),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_705),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_896),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_790),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1413), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27034 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_218),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1047),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_717),
+	.B2(n_43550),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1574), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27035 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1169),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_535),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_746),
+	.B2(n_43551),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1573), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27036 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_417),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_209),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1395),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1572), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27037 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1151),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_914),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_811),
+	.B2(n_43546),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1571), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27038 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_632),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1156),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_206),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_658),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1570), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27040 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_585),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_209),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1287),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1568), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27041 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_871),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1142),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_687),
+	.B2(n_42445),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1567), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27042 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_594),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1156),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_206),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1012),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1566), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27043 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_899),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1158),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_213),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_700),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1565), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27045 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1123),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1301),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1563), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27046 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1359),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1129),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1561), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27047 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_898),
+	.A2(n_43547),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1283),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1560), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27048 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1169),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_563),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_900),
+	.B2(n_43551),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1559), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27049 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1143),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_608),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_803),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_817),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1558), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27050 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1167),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_576),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_734),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_795),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1412), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27051 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1220),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_33),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1557), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27053 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1163),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_711),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_790),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_866),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1554), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27055 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_943),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1162),
+	.B1(n_42443),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_592),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1552), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27056 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1157),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_633),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_600),
+	.B2(n_43547),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1551), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27057 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1163),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_625),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_705),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_790),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1411), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27058 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1111),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_41),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1410), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27059 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_543),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_209),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1302),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1550), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27060 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_603),
+	.A2(n_42445),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_35),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1409), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27061 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1161),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_956),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_823),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_799),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1408), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27062 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_644),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1158),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_213),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_559),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1549), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27063 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1038),
+	.A2(n_42445),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1273),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1548), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27064 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_721),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1168),
+	.B1(n_43548),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_754),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1407), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27066 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_808),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1158),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_213),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_937),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1545), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27067 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1207),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1314),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1544), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27068 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1165),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_543),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_919),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_209),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1543), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27069 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_642),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_795),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1338),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1542), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27071 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1163),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_848),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1059),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_790),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1540), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27072 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_967),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_799),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1300),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1539), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27073 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1163),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_577),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_686),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_790),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1538), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27074 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1026),
+	.A2(n_43549),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1317),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1537), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27075 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1234),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1275),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1536), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27078 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1165),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_872),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_567),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_209),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1532), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27079 (
+	.A1(n_43559),
+	.A2(n_43549),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1299),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1531), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27080 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1149),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_975),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_596),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_801),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1530), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27081 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1157),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_600),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_825),
+	.B2(n_43547),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1529), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27082 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_544),
+	.A2(n_43546),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1298),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1528), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27083 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1027),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1156),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_206),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_875),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1527), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27084 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_674),
+	.A2(n_43549),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_40),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1526), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27085 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_865),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_217),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_702),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_204),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1525), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27086 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_218),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_587),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_842),
+	.B2(n_43550),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1406), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27087 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1021),
+	.A2(n_42440),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1297),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1524), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27088 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_639),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_805),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1053),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1151),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1523), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27090 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1138),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_45),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1521), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27091 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1161),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_962),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_578),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_799),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1520), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27092 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1143),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_861),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_964),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_803),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1519), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27093 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1149),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_846),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_524),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_801),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1518), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27094 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1143),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_513),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_803),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_626),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1517), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27096 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1167),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_523),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1030),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_795),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1514), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27097 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1055),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1154),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_210),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_598),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1513), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27098 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1145),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_992),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_501),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_793),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1405), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27099 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_744),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1166),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_208),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_649),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1512), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27100 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1143),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_477),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_803),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1074),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1511), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27101 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_218),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_717),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_520),
+	.B2(n_43550),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1510), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27103 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1163),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_568),
+	.B1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1114),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1508), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27104 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1239),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1384),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1507), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27105 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1149),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_922),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1010),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_801),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1505), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27106 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1161),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_716),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1044),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_799),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1504), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27107 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1158),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_653),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_213),
+	.B2(n_43555),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1503), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27108 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1216),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1288),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1502), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27109 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1155),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_916),
+	.B1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1118),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1501), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27110 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1153),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_621),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_816),
+	.B2(n_42440),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1500), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27111 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1159),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_539),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_562),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs2_x[0]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1499), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27112 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1153),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_597),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_545),
+	.B2(n_42440),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1498), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27113 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1155),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_862),
+	.B1(n_43549),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_847),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1497), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27114 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_202),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_735),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1388),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1495), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27115 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1153),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_986),
+	.B1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1124),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1404), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27116 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1167),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_429),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_795),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_650),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1494), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27117 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_710),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1148),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1069),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_797),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1403), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27119 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1141),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_613),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_748),
+	.B2(n_42445),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1492), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27120 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1141),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_692),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_591),
+	.B2(n_42445),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1402), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27121 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1170),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_730),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_200),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_617),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1491), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27122 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_512),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_799),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_32),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1490), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27123 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1163),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_876),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_709),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_790),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1489), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27125 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1163),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_869),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_790),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_879),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1487), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27126 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1167),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_753),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_690),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_795),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1401), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27127 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1167),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1030),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_927),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_795),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1486), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27128 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_679),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1144),
+	.B1(n_42441),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_478),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1485), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27129 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1153),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_611),
+	.B1(n_42440),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_564),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1484), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27130 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_854),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_797),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1279),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1483), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27131 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_797),
+	.A2(n_43540),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1272),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1482), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27132 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_566),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_803),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1270),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1481), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27133 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_857),
+	.A2(n_43549),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1342),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1480), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27134 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1149),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_906),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1032),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_801),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1479), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27135 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1167),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_927),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_835),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_795),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1478), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27136 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1149),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_637),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_801),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_906),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1477), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27137 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_630),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_803),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1295),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1476), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27138 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1165),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_693),
+	.B1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1133),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1400), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27139 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1141),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_677),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_613),
+	.B2(n_42445),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1475), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27140 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1169),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1060),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1063),
+	.B2(n_43551),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1474), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27141 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1163),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1045),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_718),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_790),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1473), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27142 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_494),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1152),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_805),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_907),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1472), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27143 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_218),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_820),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_602),
+	.B2(n_43550),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1471), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27145 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_570),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1156),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_206),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_594),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1469), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27146 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1167),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_885),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_707),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_795),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1468), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27147 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1141),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_748),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_824),
+	.B2(n_42445),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1467), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27148 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1147),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_472),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_957),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_797),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1466), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27149 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1076),
+	.A2(n_43547),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1268),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1465), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27150 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1160),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_491),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1242),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1464), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27151 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1117),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1271),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1463), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27152 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1163),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_718),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_978),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_790),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1462), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27153 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_921),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_790),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_42),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1461), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27155 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_646),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_209),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1306),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1459), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27156 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1141),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_504),
+	.B1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1126),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1399), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27157 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1025),
+	.A2(n_42445),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1381),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1458), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27158 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1149),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_530),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_922),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_801),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1457), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27160 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1167),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_560),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_720),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_795),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1398), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27162 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1161),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1002),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_716),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_799),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1453), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27163 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_867),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_801),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1285),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1452), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27164 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1167),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1028),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_523),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_795),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1451), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27165 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1141),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_953),
+	.B1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1120),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1397), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27167 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_577),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_790),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1389),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1449), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27168 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1163),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_863),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_941),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_790),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1448), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27169 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1143),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_903),
+	.B1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1107),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1447), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27170 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_216),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_801),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_34),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1446), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27171 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1143),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_432),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_803),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_513),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1445), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27172 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1157),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_558),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_673),
+	.B2(n_43547),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1444), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27173 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_915),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_805),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_557),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1151),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1443), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27174 (
+	.A1(n_42441),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_679),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1305),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1442), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27175 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1167),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_734),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1072),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_795),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1439), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27176 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_218),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_614),
+	.B1(n_43550),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1042),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1437), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27177 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1161),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_532),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_799),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_991),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1435), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27178 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1161),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_578),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_956),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_799),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1433), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27179 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1153),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_574),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1009),
+	.B2(n_42440),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1432), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27180 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1155),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1011),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_479),
+	.B2(n_43549),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1431), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27182 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1142),
+	.B_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_932),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1396), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27183 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_584),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1166),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1395), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27192 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1164),
+	.B_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_852),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1389), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27193 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1141),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_965),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1388), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27195 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1162),
+	.B_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_967),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1386), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27196 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1148),
+	.B_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_905),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1385), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27197 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_217),
+	.B_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_970),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1384), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27198 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_954),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1148),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1383), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27200 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_735),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1142),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1381), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27201 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1087),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1148),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1380), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27203 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_958),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1142),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1378), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27204 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_424),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1162),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1377), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27206 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1168),
+	.B_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1077),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1375), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27207 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_516),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_217),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1374), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27212 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_715),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1150),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1372), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27213 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_868),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1166),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1371), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27216 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1150),
+	.B_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_961),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1368), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27222 (
+	.A(n_43542),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1166),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1362), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27223 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1093),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1144),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1361), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27226 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1143),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_731),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1359), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27229 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1158),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_615),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1357), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27230 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_836),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1144),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1356), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27232 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1148),
+	.B_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_675),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1355), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27234 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_755),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1152),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1353), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27235 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1144),
+	.B_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_590),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1352), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27236 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_907),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1152),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1351), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27237 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1142),
+	.B_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_603),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1350), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27239 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_838),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1156),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1348), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27243 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_948),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1150),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1345), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27244 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_217),
+	.B_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_505),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1344), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27246 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1156),
+	.B_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_844),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1342), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27247 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1144),
+	.B_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_645),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1341), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27248 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_470),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1152),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1340), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27251 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1168),
+	.B_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_514),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1338), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27253 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_475),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1154),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1337), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27254 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1156),
+	.B_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_479),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1336), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27255 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_507),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1150),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1335), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27258 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1013),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1156),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1317), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27260 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1144),
+	.B_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_495),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1315), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27261 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1150),
+	.B_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_23),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1314), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27264 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1152),
+	.B_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_544),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1312), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27266 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1166),
+	.B_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_667),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1310), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27267 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1167),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_889),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1309), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27268 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1152),
+	.B_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_963),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1308), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27270 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_352),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_411),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1166),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1306), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27271 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1143),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_493),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1305), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27274 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1166),
+	.B_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_567),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1302), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27275 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1166),
+	.B_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_646),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1301), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27276 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_592),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1162),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1300), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27277 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1156),
+	.B_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1080),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1299), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27278 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_849),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1152),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1298), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27280 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_481),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1154),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1297), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27283 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1144),
+	.B_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_839),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1295), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27287 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1168),
+	.B_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1046),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1291), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27288 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1152),
+	.B_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_497),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1290), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27290 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1154),
+	.B_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_485),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1288), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27291 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1041),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1166),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1287), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27292 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_423),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1156),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1286), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27293 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1150),
+	.B_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_995),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1285), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27296 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_13),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1158),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1283), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27299 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1150),
+	.B_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_732),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1280), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27300 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_742),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1148),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1279), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27302 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1170),
+	.B_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_900),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1277), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27304 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1150),
+	.B_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_216),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1275), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27305 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1040),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1162),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1274), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27306 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1142),
+	.B_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1025),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1273), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27307 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1148),
+	.B_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_484),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1272), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27308 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1152),
+	.B_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_551),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1271), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27311 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_968),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1144),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1270), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27313 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_937),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1158),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1268), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27315 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_834),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1169),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1267), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27318 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1154),
+	.B_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_725),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1265), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27319 (
+	.A(FE_DBTN38_brqrv_top_brqrv_exu_i_mul_rs2_x_31),
+	.B(brqrv_top_brqrv_exu_i_mul_rs2_x[32]),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_149),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1334), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27320 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_176),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1105),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1333), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27321 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_409),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_784),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs2_x[19]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1332), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27322 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_126),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1104),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1331), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27323 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_408),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_785),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs2_x[21]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1330), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27324 (
+	.A(FE_DBTN28_brqrv_top_brqrv_exu_i_mul_rs2_x_3),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1103),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1329), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27325 (
+	.A(FE_DBTN34_brqrv_top_brqrv_exu_i_mul_rs2_x_17),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1100),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1328), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27326 (
+	.A(FE_DBTN38_brqrv_top_brqrv_exu_i_mul_rs2_x_31),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1097),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1327), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27327 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_407),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_786),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs2_x[23]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1325), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27328 (
+	.A(FE_DBTN29_brqrv_top_brqrv_exu_i_mul_rs2_x_5),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1098),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1324), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27329 (
+	.A(FE_DBTN32_brqrv_top_brqrv_exu_i_mul_rs2_x_11),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1096),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1323), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27330 (
+	.A(FE_DBTN30_brqrv_top_brqrv_exu_i_mul_rs2_x_7),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1099),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1322), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27331 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_364),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_787),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs2_x[25]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1321), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27332 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_410),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_782),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs2_x[15]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1320), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27333 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_183),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1101),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1319), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27334 (
+	.A(FE_DBTN31_brqrv_top_brqrv_exu_i_mul_rs2_x_9),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1102),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1318), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27336 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1262),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1263), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27340 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_349),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_356),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_141),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1249), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27343 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_604),
+	.B(brqrv_top_brqrv_exu_i_mul_rs2_x[0]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1246), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27345 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_354),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_350),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_798),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1244), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27347 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_680),
+	.B(brqrv_top_brqrv_exu_i_mul_rs2_x[0]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1242), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27348 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_579),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_797),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1241), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27350 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_204),
+	.B_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1035),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1239), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27352 (
+	.A(n_42440),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1065),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1237), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27353 (
+	.A(n_42443),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_943),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1236), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27355 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_353),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_358),
+	.B1(n_42442),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1234), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27357 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_204),
+	.B_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_654),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1232), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27358 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1088),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_801),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1231), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27362 (
+	.A(n_42443),
+	.B_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_832),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1227), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27366 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_569),
+	.B(n_43549),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1224), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27367 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_876),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_790),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1223), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27370 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_204),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_865),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1220), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27371 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_526),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_801),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1219), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27373 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_11),
+	.B(n_42440),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1217), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27374 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_210),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_475),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1216), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27375 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_798),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_845),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1215), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27376 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_651),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_799),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1214), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27377 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_805),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_509),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1213), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27378 (
+	.A(n_43550),
+	.B(n_43554),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1212), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27379 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_347),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_351),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_210),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1211), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27380 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_416),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_413),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_805),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1210), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27381 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_891),
+	.B(n_43549),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1209), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27383 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_507),
+	.B(n_42442),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1207), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27384 (
+	.A(n_42446),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_452),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1206), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27385 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_438),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_466),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1264), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27386 (
+	.A(n_42446),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_446),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1205), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27387 (
+	.A(n_42446),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_451),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1204), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27388 (
+	.A(n_42446),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_457),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1203), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27389 (
+	.A(n_42446),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_458),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1202), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27390 (
+	.A(n_42446),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_444),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1201), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27391 (
+	.A(n_42446),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_465),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1200), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27392 (
+	.A(n_42446),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_459),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1199), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27393 (
+	.A(n_42446),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_439),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1262), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27394 (
+	.A(n_42446),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_450),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1198), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27395 (
+	.A(n_42446),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_447),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1261), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27396 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_442),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_466),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1197), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27397 (
+	.A(n_42446),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_455),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1196), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27398 (
+	.A(n_42446),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_454),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1195), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27399 (
+	.A(n_42446),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_437),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1260), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27400 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_441),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_466),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1259), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27401 (
+	.A(n_42446),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_449),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1258), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27402 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_440),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_466),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1194), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27403 (
+	.A(n_42446),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_464),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1193), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27404 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_462),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_466),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1257), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27405 (
+	.A(n_42446),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_456),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1256), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27406 (
+	.A(n_42446),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_443),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1192), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27407 (
+	.A(n_42446),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_436),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1191), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27408 (
+	.A(n_42446),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_453),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1190), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27409 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_435),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_466),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1189), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27410 (
+	.A(n_42446),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_445),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1255), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27411 (
+	.A(n_42446),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_460),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1254), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27412 (
+	.A(n_42446),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_461),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1188), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27413 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_448),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_466),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1187), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27414 (
+	.A(n_42446),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_463),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1253), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27416 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1175),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1176), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27417 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1173),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1174), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27418 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1170),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1169), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27419 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1168),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1167), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27420 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1166),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1165), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_6 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27421 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1164),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1163), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27433 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_217),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_218), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_2 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27440 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1162),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1161), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27441 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1160),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1159), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_6 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27442 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1158),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1157), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27443 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1156),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1155), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27444 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1154),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1153), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27445 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1152),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1151), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27446 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1150),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1149), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_2 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27447 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1148),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1147), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27448 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1146),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1145), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27449 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1144),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1143), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27450 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1142),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1141), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27453 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_799),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_696),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1139), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27454 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_210),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_895),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1138), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27457 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_822),
+	.B(n_43549),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1135), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27458 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_798),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1087),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1134), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27459 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1003),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_209),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1133), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27460 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_805),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_755),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1132), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27461 (
+	.A(n_43548),
+	.B_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_888),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1131), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27462 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_805),
+	.B(n_43539),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1130), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27463 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_959),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_803),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1129), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27464 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_902),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_797),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1128), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27466 (
+	.A(n_43537),
+	.B(n_42445),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1126), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27468 (
+	.A(n_43558),
+	.B(n_42440),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1124), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27469 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_415),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_414),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_208),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1123), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27470 (
+	.A(n_43538),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_790),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1122), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27471 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_580),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_797),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1121), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27472 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_546),
+	.B(n_42445),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1120), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27474 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_619),
+	.B(n_43549),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1118), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27475 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_357),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_348),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_805),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1117), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27476 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_936),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_795),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1116), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27477 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_986),
+	.B(n_42440),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1115), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27478 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_790),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_869),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1114), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27481 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_355),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_412),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_798),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1111), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27483 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_628),
+	.B(n_43546),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1109), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27484 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_537),
+	.B(n_42440),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1108), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27485 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_945),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_803),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1107), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27486 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_498),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_801),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1106), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27487 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_149),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_254),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_783),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1105), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27488 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_149),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_148),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_775),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1104), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27489 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_149),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_247),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_776),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1103), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27490 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_149),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_288),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_778),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1102), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27491 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_149),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_286),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_777),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1101), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27492 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_149),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_253),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_788),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1100), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27493 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_149),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_248),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_780),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1099), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27494 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_149),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_249),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_760),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1098), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27495 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_149),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_284),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_779),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1097), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27496 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_149),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_285),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_781),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1096), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27497 (
+	.A(n_43551),
+	.B(brqrv_top_brqrv_exu_i_mul_rs1_x[0]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1186), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27498 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_149),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_204),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1185), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27499 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_149),
+	.B(n_43548),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1184), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27500 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_209),
+	.B(brqrv_top_brqrv_exu_i_mul_rs1_x[0]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1183), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27501 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_149),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_210),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1182), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27503 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_803),
+	.B(brqrv_top_brqrv_exu_i_mul_rs1_x[0]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1095), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27504 (
+	.A(n_42445),
+	.B(brqrv_top_brqrv_exu_i_mul_rs1_x[0]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1181), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27505 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_149),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_805),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1180), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27506 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_793),
+	.B(brqrv_top_brqrv_exu_i_mul_rs1_x[0]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1179), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27507 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_149),
+	.B(n_42443),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1178), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27508 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_797),
+	.B(brqrv_top_brqrv_exu_i_mul_rs1_x[0]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1177), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27509 (
+	.A(n_43549),
+	.B(brqrv_top_brqrv_exu_i_mul_rs1_x[0]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1175), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27510 (
+	.A(n_42446),
+	.B(brqrv_top_brqrv_exu_i_mul_rs1_x[0]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1173), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27511 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_149),
+	.B(n_42442),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1172), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27512 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_149),
+	.B(n_42444),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1171), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27513 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_200),
+	.B(n_42435),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1170), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27514 (
+	.A(n_43548),
+	.B(n_43525),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1168), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27515 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_208),
+	.B(n_43526),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1166), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27516 (
+	.A(n_42444),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_767),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1164), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27517 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_204),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_765),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_217), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27518 (
+	.A(n_42443),
+	.B(n_43522),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1162), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27519 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs2_x[1]),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_141),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1160), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27520 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_213),
+	.B(n_43527),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1158), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_4 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27521 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_206),
+	.B(n_43523),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1156), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27522 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_210),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_764),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1154), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_4 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27523 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_805),
+	.B(n_43524),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1152), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27524 (
+	.A(n_42442),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_770),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1150), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27525 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_798),
+	.B(n_43080),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1148), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27526 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_794),
+	.B(n_42438),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1146), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27527 (
+	.A(n_42441),
+	.B(n_42436),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1144), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27528 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_202),
+	.B(n_42437),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1142), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27529 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1090),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1091), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27531 (
+	.A(n_43528),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1085), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27532 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1078),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1079), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27533 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1070),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1071), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27536 (
+	.A(n_43529),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1058), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27537 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1054),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1055), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27538 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1051),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1052), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27541 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1036),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1037), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27542 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_24),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1033), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27543 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1026),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1027), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27544 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1023),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1024), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27545 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1019),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1020), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27546 (
+	.A(n_43531),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1016), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27547 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1011),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1012), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27548 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1007),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1008), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27549 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1004),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1005), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27550 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_996),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_997), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27551 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_993),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_994), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27552 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_984),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_985), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27554 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_980),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_981), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27555 (
+	.A(n_43532),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_974), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27556 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_965),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_966), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27557 (
+	.A(n_43533),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_951), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27558 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_945),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_946), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27560 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_933),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_934), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27561 (
+	.A(n_43535),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_930), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27562 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_914),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_915), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27564 (
+	.A(n_43537),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_909), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27565 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_898),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_899), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27570 (
+	.A(n_43541),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_883), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27571 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_874),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_875), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27573 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_859),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_860), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27574 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_857),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_858), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27575 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_840),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_841), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27576 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_836),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_837), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27578 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_830),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_831), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27579 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_828),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_829), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27582 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_817),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_818), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27583 (
+	.A(n_43545),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_810), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27587 (
+	.A(n_43546),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_805), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27637 (
+	.A(n_43547),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_213), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27664 (
+	.A(n_42440),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_210), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27665 (
+	.A(n_42441),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_803), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27688 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_208),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_209), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27689 (
+	.A(n_42442),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_801), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27690 (
+	.A(n_42443),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_799), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27691 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_798),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_797), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27692 (
+	.A(n_43548),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_795), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27716 (
+	.A(n_43549),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_206), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27717 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_794),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_793), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27719 (
+	.A(n_43550),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_204), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27750 (
+	.A(n_42444),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_790), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27783 (
+	.A(n_42445),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_202), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27807 (
+	.A(n_43551),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_200), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27811 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs1_x[0]),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[16]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs2_x[15]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_788), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27812 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs1_x[0]),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[24]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs2_x[23]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_787), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27813 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs1_x[0]),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[22]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs2_x[21]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_786), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27814 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs1_x[0]),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[20]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs2_x[19]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_785), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27815 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs1_x[0]),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[18]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs2_x[17]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_784), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27816 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs1_x[0]),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[26]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs2_x[25]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_783), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27817 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs1_x[0]),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[14]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs2_x[13]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_782), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27818 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs1_x[0]),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[10]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs2_x[9]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_781), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27819 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs1_x[0]),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[6]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs2_x[5]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_780), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27820 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs1_x[0]),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[30]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs2_x[29]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_779), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27821 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs1_x[0]),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[8]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs2_x[7]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_778), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27822 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs1_x[0]),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[28]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs2_x[27]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_777), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27823 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs1_x[0]),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[2]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs2_x[1]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_776), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27824 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs1_x[0]),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[12]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs2_x[11]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_775), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27829 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_396),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_381),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_770), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27832 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_365),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_397),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_767), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27834 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_401),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_384),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_765), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27835 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_400),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_369),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_764), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27839 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs1_x[0]),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[4]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs2_x[3]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_760), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27840 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[31]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_282),
+	.B1(FE_DBTN38_brqrv_top_brqrv_exu_i_mul_rs2_x_31),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[17]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1094), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27841 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_126),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs1_x[7]),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_275),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs2_x[13]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1093), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27842 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[31]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_242),
+	.B1(FE_DBTN38_brqrv_top_brqrv_exu_i_mul_rs2_x_31),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[25]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1092), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27843 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[21]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_243),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_135),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[31]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1090), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27844 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[29]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_234),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_183),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[29]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1089), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27845 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[17]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_275),
+	.B1(FE_DBTN34_brqrv_top_brqrv_exu_i_mul_rs2_x_17),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[7]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1088), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27846 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_264),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[19]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[4]),
+	.B2(FE_DBTN35_brqrv_top_brqrv_exu_i_mul_rs2_x_19),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1087), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27847 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_141),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_149),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs2_x[1]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1086), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27849 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[27]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_240),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_176),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[23]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1083), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27850 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_264),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[7]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[4]),
+	.B2(FE_DBTN30_brqrv_top_brqrv_exu_i_mul_rs2_x_7),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1082), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27851 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[29]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_271),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_183),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[11]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1081), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27852 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_266),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[9]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[5]),
+	.B2(FE_DBTN31_brqrv_top_brqrv_exu_i_mul_rs2_x_9),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1080), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27853 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[1]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_231),
+	.B1(FE_DBTN27_brqrv_top_brqrv_exu_i_mul_rs2_x_1),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[2]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1078), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27855 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[29]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_245),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_183),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[13]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1077), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27856 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[5]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_283),
+	.B1(FE_DBTN29_brqrv_top_brqrv_exu_i_mul_rs2_x_5),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[32]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1076), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27857 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[17]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_283),
+	.B1(FE_DBTN34_brqrv_top_brqrv_exu_i_mul_rs2_x_17),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[32]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1075), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27858 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[13]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_283),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_126),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[32]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1074), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27859 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[27]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_283),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_176),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[32]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1073), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27860 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[29]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_283),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_183),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[32]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1072), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27861 (
+	.A1_N(FE_DBTN27_brqrv_top_brqrv_exu_i_mul_rs2_x_1),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_rs1_x[32]),
+	.B1(FE_DBTN27_brqrv_top_brqrv_exu_i_mul_rs2_x_1),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[32]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1070), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27862 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[19]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_283),
+	.B1(FE_DBTN35_brqrv_top_brqrv_exu_i_mul_rs2_x_19),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[32]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1069), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27863 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[9]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_283),
+	.B1(FE_DBTN31_brqrv_top_brqrv_exu_i_mul_rs2_x_9),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[32]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1068), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27864 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_415),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_414),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_759), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27865 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[21]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_283),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_135),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[32]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1067), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27866 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[31]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_283),
+	.B1(FE_DBTN38_brqrv_top_brqrv_exu_i_mul_rs2_x_31),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[32]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1066), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27867 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[11]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_283),
+	.B1(FE_DBTN32_brqrv_top_brqrv_exu_i_mul_rs2_x_11),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[32]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1065), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27868 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[25]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_283),
+	.B1(FE_DBTN37_brqrv_top_brqrv_exu_i_mul_rs2_x_25),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[32]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1064), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27869 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[3]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_283),
+	.B1(FE_DBTN28_brqrv_top_brqrv_exu_i_mul_rs2_x_3),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[32]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1063), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27870 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[23]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_283),
+	.B1(FE_DBTN36_brqrv_top_brqrv_exu_i_mul_rs2_x_23),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[32]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1062), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27871 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[15]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_283),
+	.B1(FE_DBTN33_brqrv_top_brqrv_exu_i_mul_rs2_x_15),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[32]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1061), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27872 (
+	.A1_N(FE_DBTN28_brqrv_top_brqrv_exu_i_mul_rs2_x_3),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_rs1_x[31]),
+	.B1(FE_DBTN28_brqrv_top_brqrv_exu_i_mul_rs2_x_3),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[31]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1060), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27873 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[27]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_274),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_176),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[19]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1059), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27875 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[31]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_268),
+	.B1(FE_DBTN38_brqrv_top_brqrv_exu_i_mul_rs2_x_31),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[16]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1056), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27876 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_266),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[11]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[5]),
+	.B2(FE_DBTN32_brqrv_top_brqrv_exu_i_mul_rs2_x_11),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1054), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27877 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[15]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_198),
+	.B1(FE_DBTN33_brqrv_top_brqrv_exu_i_mul_rs2_x_15),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[27]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1053), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27878 (
+	.A1_N(FE_DBTN27_brqrv_top_brqrv_exu_i_mul_rs2_x_1),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_rs1_x[1]),
+	.B1(FE_DBTN27_brqrv_top_brqrv_exu_i_mul_rs2_x_1),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[1]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1051), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27880 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[31]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_244),
+	.B1(FE_DBTN38_brqrv_top_brqrv_exu_i_mul_rs2_x_31),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[22]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1049), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27881 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[31]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_281),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[6]),
+	.B2(FE_DBTN38_brqrv_top_brqrv_exu_i_mul_rs2_x_31),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1048), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27882 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[23]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_279),
+	.B1(FE_DBTN36_brqrv_top_brqrv_exu_i_mul_rs2_x_23),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[20]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1047), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27883 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[29]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_236),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_183),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[9]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1046), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27884 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[27]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_279),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_176),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[20]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1045), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27885 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[25]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_279),
+	.B1(FE_DBTN37_brqrv_top_brqrv_exu_i_mul_rs2_x_25),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[20]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1044), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27886 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs1_x[24]),
+	.A2(FE_DBTN35_brqrv_top_brqrv_exu_i_mul_rs2_x_19),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_267),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs2_x[19]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1043), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27887 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[23]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_267),
+	.B1(FE_DBTN36_brqrv_top_brqrv_exu_i_mul_rs2_x_23),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[24]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1042), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27888 (
+	.A1(FE_DBTN30_brqrv_top_brqrv_exu_i_mul_rs2_x_7),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs1_x[2]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs2_x[7]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_231),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1041), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27889 (
+	.A1(FE_DBTN37_brqrv_top_brqrv_exu_i_mul_rs2_x_25),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs1_x[3]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs2_x[25]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_233),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1040), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27890 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_rs2_x[11]),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_235),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs2_x[11]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_235),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1039), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27891 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[21]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_282),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_135),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[17]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1038), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27892 (
+	.A1_N(FE_DBTN34_brqrv_top_brqrv_exu_i_mul_rs2_x_17),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_rs1_x[14]),
+	.B1(FE_DBTN34_brqrv_top_brqrv_exu_i_mul_rs2_x_17),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[14]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1036), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27893 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_264),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[23]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[4]),
+	.B2(FE_DBTN36_brqrv_top_brqrv_exu_i_mul_rs2_x_23),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1035), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27894 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs1_x[27]),
+	.A2(FE_DBTN28_brqrv_top_brqrv_exu_i_mul_rs2_x_3),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_198),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs2_x[3]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1034), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27896 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[17]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_243),
+	.B1(FE_DBTN34_brqrv_top_brqrv_exu_i_mul_rs2_x_17),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[31]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1032), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27897 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[23]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_241),
+	.B1(FE_DBTN36_brqrv_top_brqrv_exu_i_mul_rs2_x_23),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[26]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1031), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27898 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[29]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_268),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_183),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[16]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1030), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27899 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[25]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_242),
+	.B1(FE_DBTN37_brqrv_top_brqrv_exu_i_mul_rs2_x_25),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[25]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1029), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27900 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[29]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_276),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_183),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[14]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1028), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27901 (
+	.A1_N(FE_DBTN31_brqrv_top_brqrv_exu_i_mul_rs2_x_9),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_rs1_x[22]),
+	.B1(FE_DBTN31_brqrv_top_brqrv_exu_i_mul_rs2_x_9),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[22]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1026), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27902 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[21]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_268),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_135),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[16]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1025), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27903 (
+	.A1_N(FE_DBTN32_brqrv_top_brqrv_exu_i_mul_rs2_x_11),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_rs1_x[25]),
+	.B1(FE_DBTN32_brqrv_top_brqrv_exu_i_mul_rs2_x_11),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[25]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1023), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27904 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[31]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_198),
+	.B1(FE_DBTN38_brqrv_top_brqrv_exu_i_mul_rs2_x_31),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[27]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1022), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27905 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[11]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_279),
+	.B1(FE_DBTN32_brqrv_top_brqrv_exu_i_mul_rs2_x_11),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[20]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1021), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27906 (
+	.A1_N(FE_DBTN27_brqrv_top_brqrv_exu_i_mul_rs2_x_1),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_rs1_x[20]),
+	.B1(FE_DBTN27_brqrv_top_brqrv_exu_i_mul_rs2_x_1),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[20]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1019), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27907 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs2_x[9]),
+	.B(brqrv_top_brqrv_exu_i_mul_rs1_x[11]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1018), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27908 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[31]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_269),
+	.B1(FE_DBTN38_brqrv_top_brqrv_exu_i_mul_rs2_x_31),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[15]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1017), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27910 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[19]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_281),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[6]),
+	.B2(FE_DBTN35_brqrv_top_brqrv_exu_i_mul_rs2_x_19),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1014), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27911 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[9]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_143),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[21]),
+	.B2(FE_DBTN31_brqrv_top_brqrv_exu_i_mul_rs2_x_9),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1013), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27912 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[9]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_234),
+	.B1(FE_DBTN31_brqrv_top_brqrv_exu_i_mul_rs2_x_9),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[29]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1011), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27913 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[17]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_270),
+	.B1(FE_DBTN34_brqrv_top_brqrv_exu_i_mul_rs2_x_17),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[28]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1010), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27914 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[11]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_278),
+	.B1(FE_DBTN32_brqrv_top_brqrv_exu_i_mul_rs2_x_11),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[30]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1009), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27915 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[15]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_271),
+	.B1(FE_DBTN33_brqrv_top_brqrv_exu_i_mul_rs2_x_15),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[11]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1007), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27916 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[9]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_265),
+	.B1(FE_DBTN31_brqrv_top_brqrv_exu_i_mul_rs2_x_9),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[10]),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1006), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27917 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs1_x[13]),
+	.A2(FE_DBTN27_brqrv_top_brqrv_exu_i_mul_rs2_x_1),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_245),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs2_x[1]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1004), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27918 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[7]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_281),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[6]),
+	.B2(FE_DBTN30_brqrv_top_brqrv_exu_i_mul_rs2_x_7),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1003), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27919 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[25]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_280),
+	.B1(FE_DBTN37_brqrv_top_brqrv_exu_i_mul_rs2_x_25),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[18]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1002), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27920 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_264),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[21]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[4]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_135),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1001), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27921 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs1_x[20]),
+	.A2(FE_DBTN38_brqrv_top_brqrv_exu_i_mul_rs2_x_31),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_279),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs2_x[31]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1000), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27922 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[1]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_282),
+	.B1(FE_DBTN27_brqrv_top_brqrv_exu_i_mul_rs2_x_1),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[17]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_999), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27923 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs1_x[1]),
+	.B(brqrv_top_brqrv_exu_i_mul_rs2_x[19]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_998), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27924 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[23]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_269),
+	.B1(FE_DBTN36_brqrv_top_brqrv_exu_i_mul_rs2_x_23),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[15]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_996), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27925 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[17]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_236),
+	.B1(FE_DBTN34_brqrv_top_brqrv_exu_i_mul_rs2_x_17),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[9]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_995), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27926 (
+	.A1_N(FE_DBTN32_brqrv_top_brqrv_exu_i_mul_rs2_x_11),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_rs1_x[14]),
+	.B1(FE_DBTN32_brqrv_top_brqrv_exu_i_mul_rs2_x_11),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[14]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_993), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27927 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[31]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_278),
+	.B1(FE_DBTN38_brqrv_top_brqrv_exu_i_mul_rs2_x_31),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[30]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_992), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27928 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[25]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_267),
+	.B1(FE_DBTN37_brqrv_top_brqrv_exu_i_mul_rs2_x_25),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[24]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_991), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27929 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[3]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_245),
+	.B1(FE_DBTN28_brqrv_top_brqrv_exu_i_mul_rs2_x_3),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[13]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_990), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27930 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[7]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_274),
+	.B1(FE_DBTN30_brqrv_top_brqrv_exu_i_mul_rs2_x_7),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[19]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_989), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27931 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[31]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_265),
+	.B1(FE_DBTN38_brqrv_top_brqrv_exu_i_mul_rs2_x_31),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[10]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_988), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27932 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs2_x[1]),
+	.B(brqrv_top_brqrv_exu_i_mul_rs1_x[31]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_987), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27933 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[11]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_268),
+	.B1(FE_DBTN32_brqrv_top_brqrv_exu_i_mul_rs2_x_11),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[16]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_986), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27934 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[5]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_268),
+	.B1(FE_DBTN29_brqrv_top_brqrv_exu_i_mul_rs2_x_5),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[16]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_984), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27935 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_349),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_356),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_758), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27936 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[17]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_232),
+	.B1(FE_DBTN34_brqrv_top_brqrv_exu_i_mul_rs2_x_17),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[12]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_216), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27937 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[23]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_274),
+	.B1(FE_DBTN36_brqrv_top_brqrv_exu_i_mul_rs2_x_23),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[19]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_983), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27938 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[21]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_198),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_135),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[27]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_982), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27939 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[19]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_278),
+	.B1(FE_DBTN35_brqrv_top_brqrv_exu_i_mul_rs2_x_19),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[30]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_980), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27940 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_354),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_350),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_757), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27941 (
+	.A1_N(FE_DBTN28_brqrv_top_brqrv_exu_i_mul_rs2_x_3),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_rs1_x[21]),
+	.B1(FE_DBTN28_brqrv_top_brqrv_exu_i_mul_rs2_x_3),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[21]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_979), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27942 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[27]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_244),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_176),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[22]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_978), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27943 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[11]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_269),
+	.B1(FE_DBTN32_brqrv_top_brqrv_exu_i_mul_rs2_x_11),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[15]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_977), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27944 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[15]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_241),
+	.B1(FE_DBTN33_brqrv_top_brqrv_exu_i_mul_rs2_x_15),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[26]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_976), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27945 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[17]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_279),
+	.B1(FE_DBTN34_brqrv_top_brqrv_exu_i_mul_rs2_x_17),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[20]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_975), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27947 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_192),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[25]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[1]),
+	.B2(FE_DBTN37_brqrv_top_brqrv_exu_i_mul_rs2_x_25),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_972), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27948 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[31]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_276),
+	.B1(FE_DBTN38_brqrv_top_brqrv_exu_i_mul_rs2_x_31),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[14]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_971), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27949 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_233),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[23]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[3]),
+	.B2(FE_DBTN36_brqrv_top_brqrv_exu_i_mul_rs2_x_23),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_970), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27950 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[23]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_278),
+	.B1(FE_DBTN36_brqrv_top_brqrv_exu_i_mul_rs2_x_23),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[30]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_969), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27951 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[13]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_240),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_126),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[23]),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_968), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27952 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[25]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_276),
+	.B1(FE_DBTN37_brqrv_top_brqrv_exu_i_mul_rs2_x_25),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[14]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_967), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27953 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_135),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_rs1_x[14]),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_135),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[14]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_965), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27954 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[13]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_276),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_126),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[14]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_964), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27955 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[15]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_274),
+	.B1(FE_DBTN33_brqrv_top_brqrv_exu_i_mul_rs2_x_15),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[19]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_963), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27956 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[25]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_270),
+	.B1(FE_DBTN37_brqrv_top_brqrv_exu_i_mul_rs2_x_25),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[28]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_962), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27957 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[17]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_274),
+	.B1(FE_DBTN34_brqrv_top_brqrv_exu_i_mul_rs2_x_17),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[19]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_961), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27958 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[31]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_245),
+	.B1(FE_DBTN38_brqrv_top_brqrv_exu_i_mul_rs2_x_31),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[13]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_960), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27959 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[13]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_279),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_126),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[20]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_959), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27960 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_135),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs1_x[2]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs2_x[21]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_231),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_958), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27961 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[19]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_270),
+	.B1(FE_DBTN35_brqrv_top_brqrv_exu_i_mul_rs2_x_19),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[28]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_957), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27962 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[25]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_278),
+	.B1(FE_DBTN37_brqrv_top_brqrv_exu_i_mul_rs2_x_25),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[30]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_956), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27963 (
+	.A1_N(FE_DBTN28_brqrv_top_brqrv_exu_i_mul_rs2_x_3),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_rs1_x[22]),
+	.B1(FE_DBTN28_brqrv_top_brqrv_exu_i_mul_rs2_x_3),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[22]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_955), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27964 (
+	.A1(FE_DBTN35_brqrv_top_brqrv_exu_i_mul_rs2_x_19),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs1_x[2]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs2_x[19]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_231),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_954), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27965 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_266),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[21]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[5]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_135),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_953), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27966 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_192),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[31]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[1]),
+	.B2(FE_DBTN38_brqrv_top_brqrv_exu_i_mul_rs2_x_31),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_952), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27969 (
+	.A1(FE_DBTN34_brqrv_top_brqrv_exu_i_mul_rs2_x_17),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs1_x[4]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs2_x[17]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_264),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_948), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27970 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs1_x[17]),
+	.A2(FE_DBTN30_brqrv_top_brqrv_exu_i_mul_rs2_x_7),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_282),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs2_x[7]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_947), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27971 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_126),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_rs1_x[11]),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_126),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[11]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_945), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27972 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs1_x[30]),
+	.A2(FE_DBTN27_brqrv_top_brqrv_exu_i_mul_rs2_x_1),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_278),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs2_x[1]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_944), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27973 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[25]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_232),
+	.B1(FE_DBTN37_brqrv_top_brqrv_exu_i_mul_rs2_x_25),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[12]),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_943), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27974 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[3]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_276),
+	.B1(FE_DBTN28_brqrv_top_brqrv_exu_i_mul_rs2_x_3),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[14]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_942), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27975 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[27]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_243),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_176),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[31]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_941), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27976 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[5]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_244),
+	.B1(FE_DBTN29_brqrv_top_brqrv_exu_i_mul_rs2_x_5),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[22]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_940), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27978 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_266),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_rs2_x[19]),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_266),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs2_x[19]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_939), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27979 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_266),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[5]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[5]),
+	.B2(FE_DBTN29_brqrv_top_brqrv_exu_i_mul_rs2_x_5),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_938), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27980 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs2_x[5]),
+	.B(brqrv_top_brqrv_exu_i_mul_rs1_x[31]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_937), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27981 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[29]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_281),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_183),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[6]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_936), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27982 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[27]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_281),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_176),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[6]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_935), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27983 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_231),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[25]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[2]),
+	.B2(FE_DBTN37_brqrv_top_brqrv_exu_i_mul_rs2_x_25),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_933), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27984 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_233),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[21]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[3]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_135),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_932), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27985 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[29]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_232),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_183),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[12]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_931), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27987 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[31]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_234),
+	.B1(FE_DBTN38_brqrv_top_brqrv_exu_i_mul_rs2_x_31),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[29]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_928), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27988 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[29]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_282),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_183),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[17]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_927), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27989 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs1_x[9]),
+	.A2(FE_DBTN36_brqrv_top_brqrv_exu_i_mul_rs2_x_23),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_236),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs2_x[23]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_926), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27990 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[29]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_265),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_183),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[10]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_925), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27991 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[19]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_241),
+	.B1(FE_DBTN35_brqrv_top_brqrv_exu_i_mul_rs2_x_19),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[26]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_924), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27992 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[15]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_143),
+	.B1(FE_DBTN33_brqrv_top_brqrv_exu_i_mul_rs2_x_15),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[21]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_923), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27993 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[17]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_198),
+	.B1(FE_DBTN34_brqrv_top_brqrv_exu_i_mul_rs2_x_17),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[27]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_922), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27994 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[27]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_235),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_176),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[8]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_921), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27995 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[29]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_267),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_183),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[24]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_920), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27996 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[7]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_265),
+	.B1(FE_DBTN30_brqrv_top_brqrv_exu_i_mul_rs2_x_7),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[10]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_919), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27997 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[27]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_269),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_176),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[15]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_918), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27998 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[23]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_271),
+	.B1(FE_DBTN36_brqrv_top_brqrv_exu_i_mul_rs2_x_23),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[11]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_917), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g27999 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[9]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_268),
+	.B1(FE_DBTN31_brqrv_top_brqrv_exu_i_mul_rs2_x_9),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[16]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_916), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28000 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[15]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_278),
+	.B1(FE_DBTN33_brqrv_top_brqrv_exu_i_mul_rs2_x_15),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[30]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_914), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28001 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[27]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_241),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_176),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[26]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_913), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28003 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[1]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_267),
+	.B1(FE_DBTN27_brqrv_top_brqrv_exu_i_mul_rs2_x_1),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[24]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_911), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28004 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[21]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_232),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_135),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[12]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_910), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28006 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs1_x[13]),
+	.A2(FE_DBTN33_brqrv_top_brqrv_exu_i_mul_rs2_x_15),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_245),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs2_x[15]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_907), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28007 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[17]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_278),
+	.B1(FE_DBTN34_brqrv_top_brqrv_exu_i_mul_rs2_x_17),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[30]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_906), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28008 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[19]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_268),
+	.B1(FE_DBTN35_brqrv_top_brqrv_exu_i_mul_rs2_x_19),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[16]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_905), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28009 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[23]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_276),
+	.B1(FE_DBTN36_brqrv_top_brqrv_exu_i_mul_rs2_x_23),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[14]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_904), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28010 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[13]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_265),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_126),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[10]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_903), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28011 (
+	.A1_N(FE_DBTN35_brqrv_top_brqrv_exu_i_mul_rs2_x_19),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_rs1_x[11]),
+	.B1(FE_DBTN35_brqrv_top_brqrv_exu_i_mul_rs2_x_19),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[11]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_902), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28012 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[11]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_232),
+	.B1(FE_DBTN32_brqrv_top_brqrv_exu_i_mul_rs2_x_11),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[12]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_901), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28013 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[3]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_233),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[3]),
+	.B2(FE_DBTN28_brqrv_top_brqrv_exu_i_mul_rs2_x_3),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_900), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28014 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[5]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_192),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[1]),
+	.B2(FE_DBTN29_brqrv_top_brqrv_exu_i_mul_rs2_x_5),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_898), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28015 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[23]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_242),
+	.B1(FE_DBTN36_brqrv_top_brqrv_exu_i_mul_rs2_x_23),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[25]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_897), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28016 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[27]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_234),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_176),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[29]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_896), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28017 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[11]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_236),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[9]),
+	.B2(FE_DBTN32_brqrv_top_brqrv_exu_i_mul_rs2_x_11),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_895), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28018 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[3]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_271),
+	.B1(FE_DBTN28_brqrv_top_brqrv_exu_i_mul_rs2_x_3),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[11]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_894), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28020 (
+	.A1_N(FE_DBTN31_brqrv_top_brqrv_exu_i_mul_rs2_x_9),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_rs1_x[14]),
+	.B1(FE_DBTN31_brqrv_top_brqrv_exu_i_mul_rs2_x_9),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[14]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_891), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28023 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_416),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_413),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_756), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28024 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[29]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_266),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[5]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_183),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_889), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28025 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_264),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[29]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[4]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_183),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_888), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28026 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[25]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_268),
+	.B1(FE_DBTN37_brqrv_top_brqrv_exu_i_mul_rs2_x_25),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[16]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_887), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28027 (
+	.A1_N(FE_DBTN27_brqrv_top_brqrv_exu_i_mul_rs2_x_1),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_rs1_x[23]),
+	.B1(FE_DBTN27_brqrv_top_brqrv_exu_i_mul_rs2_x_1),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[23]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_886), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28028 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[29]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_274),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_183),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[19]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_885), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28029 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs1_x[17]),
+	.A2(FE_DBTN28_brqrv_top_brqrv_exu_i_mul_rs2_x_3),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_282),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs2_x[3]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_884), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28031 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs1_x[6]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_126),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_281),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs2_x[13]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_881), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28032 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[21]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_236),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_135),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[9]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_880), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28033 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_233),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[27]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[3]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_176),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_879), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28034 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[17]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_235),
+	.B1(FE_DBTN34_brqrv_top_brqrv_exu_i_mul_rs2_x_17),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[8]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_878), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28036 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[27]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_268),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_176),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[16]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_876), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28037 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[9]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_240),
+	.B1(FE_DBTN31_brqrv_top_brqrv_exu_i_mul_rs2_x_9),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[23]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_874), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28038 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_264),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[31]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[4]),
+	.B2(FE_DBTN38_brqrv_top_brqrv_exu_i_mul_rs2_x_31),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_873), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28039 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[7]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_275),
+	.B1(FE_DBTN30_brqrv_top_brqrv_exu_i_mul_rs2_x_7),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[7]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_872), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28040 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs1_x[19]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_135),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_274),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs2_x[21]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_871), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28041 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[9]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_267),
+	.B1(FE_DBTN31_brqrv_top_brqrv_exu_i_mul_rs2_x_9),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[24]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_870), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28042 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_231),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[27]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[2]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_176),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_869), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28043 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[7]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_280),
+	.B1(FE_DBTN30_brqrv_top_brqrv_exu_i_mul_rs2_x_7),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[18]),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_868), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28044 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[17]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_265),
+	.B1(FE_DBTN34_brqrv_top_brqrv_exu_i_mul_rs2_x_17),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[10]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_867), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28045 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_266),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[27]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[5]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_176),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_866), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28046 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[23]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_281),
+	.B1(FE_DBTN36_brqrv_top_brqrv_exu_i_mul_rs2_x_23),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[6]),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_865), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28047 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_233),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[31]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[3]),
+	.B2(FE_DBTN38_brqrv_top_brqrv_exu_i_mul_rs2_x_31),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_864), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28048 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[27]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_278),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_176),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[30]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_863), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28049 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_233),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[9]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[3]),
+	.B2(FE_DBTN31_brqrv_top_brqrv_exu_i_mul_rs2_x_9),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_862), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28050 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[13]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_245),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_126),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[13]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_861), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28051 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[17]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_282),
+	.B1(FE_DBTN34_brqrv_top_brqrv_exu_i_mul_rs2_x_17),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[17]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_859), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28052 (
+	.A1_N(FE_DBTN31_brqrv_top_brqrv_exu_i_mul_rs2_x_9),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_rs1_x[19]),
+	.B1(FE_DBTN31_brqrv_top_brqrv_exu_i_mul_rs2_x_9),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[19]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_857), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28053 (
+	.A1_N(FE_DBTN29_brqrv_top_brqrv_exu_i_mul_rs2_x_5),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_rs1_x[19]),
+	.B1(FE_DBTN29_brqrv_top_brqrv_exu_i_mul_rs2_x_5),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[19]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_856), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28054 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[31]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_236),
+	.B1(FE_DBTN38_brqrv_top_brqrv_exu_i_mul_rs2_x_31),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[9]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_855), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28055 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[19]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_274),
+	.B1(FE_DBTN35_brqrv_top_brqrv_exu_i_mul_rs2_x_19),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[19]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_854), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28056 (
+	.A1_N(FE_DBTN27_brqrv_top_brqrv_exu_i_mul_rs2_x_1),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_rs1_x[21]),
+	.B1(FE_DBTN27_brqrv_top_brqrv_exu_i_mul_rs2_x_1),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[21]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_853), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28057 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[27]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_232),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_176),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[12]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_852), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28058 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[19]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_234),
+	.B1(FE_DBTN35_brqrv_top_brqrv_exu_i_mul_rs2_x_19),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[29]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_851), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28059 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[9]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_269),
+	.B1(FE_DBTN31_brqrv_top_brqrv_exu_i_mul_rs2_x_9),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[15]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_850), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28060 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs1_x[23]),
+	.A2(FE_DBTN33_brqrv_top_brqrv_exu_i_mul_rs2_x_15),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_240),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs2_x[15]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_849), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28061 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[27]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_280),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_176),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[18]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_848), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28062 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_264),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[9]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[4]),
+	.B2(FE_DBTN31_brqrv_top_brqrv_exu_i_mul_rs2_x_9),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_847), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28063 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[17]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_240),
+	.B1(FE_DBTN34_brqrv_top_brqrv_exu_i_mul_rs2_x_17),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[23]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_846), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28064 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs1_x[14]),
+	.A2(FE_DBTN35_brqrv_top_brqrv_exu_i_mul_rs2_x_19),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_276),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs2_x[19]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_845), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28065 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[9]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_280),
+	.B1(FE_DBTN31_brqrv_top_brqrv_exu_i_mul_rs2_x_9),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[18]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_844), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28066 (
+	.A1(FE_DBTN31_brqrv_top_brqrv_exu_i_mul_rs2_x_9),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs1_x[9]),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_236),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs2_x[9]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_843), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28067 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[23]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_234),
+	.B1(FE_DBTN36_brqrv_top_brqrv_exu_i_mul_rs2_x_23),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[29]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_842), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28068 (
+	.A1_N(FE_DBTN28_brqrv_top_brqrv_exu_i_mul_rs2_x_3),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_rs1_x[18]),
+	.B1(FE_DBTN28_brqrv_top_brqrv_exu_i_mul_rs2_x_3),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[18]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_840), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28069 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[13]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_282),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_126),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[17]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_839), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28070 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[9]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_232),
+	.B1(FE_DBTN31_brqrv_top_brqrv_exu_i_mul_rs2_x_9),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[12]),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_838), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28071 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs1_x[9]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_126),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_236),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs2_x[13]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_836), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28072 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[29]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_280),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_183),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[18]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_835), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28073 (
+	.A1_N(FE_DBTN28_brqrv_top_brqrv_exu_i_mul_rs2_x_3),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_rs1_x[25]),
+	.B1(FE_DBTN28_brqrv_top_brqrv_exu_i_mul_rs2_x_3),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[25]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_834), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28074 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_233),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_rs2_x[15]),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_233),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs2_x[15]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_833), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28075 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[25]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_235),
+	.B1(FE_DBTN37_brqrv_top_brqrv_exu_i_mul_rs2_x_25),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[8]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_832), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28076 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[11]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_265),
+	.B1(FE_DBTN32_brqrv_top_brqrv_exu_i_mul_rs2_x_11),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[10]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_830), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28077 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[21]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_278),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_135),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[30]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_828), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28078 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs1_x[20]),
+	.A2(FE_DBTN31_brqrv_top_brqrv_exu_i_mul_rs2_x_9),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_279),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs2_x[9]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_827), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28079 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs1_x[29]),
+	.A2(FE_DBTN29_brqrv_top_brqrv_exu_i_mul_rs2_x_5),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_234),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs2_x[5]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_826), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28080 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[5]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_270),
+	.B1(FE_DBTN29_brqrv_top_brqrv_exu_i_mul_rs2_x_5),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[28]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_825), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28081 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[21]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_241),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_135),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[26]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_824), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28082 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[25]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_243),
+	.B1(FE_DBTN37_brqrv_top_brqrv_exu_i_mul_rs2_x_25),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[31]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_823), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28083 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[9]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_241),
+	.B1(FE_DBTN31_brqrv_top_brqrv_exu_i_mul_rs2_x_9),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[26]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_822), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28085 (
+	.A1_N(FE_DBTN36_brqrv_top_brqrv_exu_i_mul_rs2_x_23),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_rs1_x[12]),
+	.B1(FE_DBTN36_brqrv_top_brqrv_exu_i_mul_rs2_x_23),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[12]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_820), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28087 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_266),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[13]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[5]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_126),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_817), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28088 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_231),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[11]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[2]),
+	.B2(FE_DBTN32_brqrv_top_brqrv_exu_i_mul_rs2_x_11),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_816), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28089 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[23]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_243),
+	.B1(FE_DBTN36_brqrv_top_brqrv_exu_i_mul_rs2_x_23),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[31]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_815), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28090 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[1]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_280),
+	.B1(FE_DBTN27_brqrv_top_brqrv_exu_i_mul_rs2_x_1),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[18]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_814), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28091 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs1_x[21]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_126),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_143),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs2_x[13]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_813), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28092 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[31]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_270),
+	.B1(FE_DBTN38_brqrv_top_brqrv_exu_i_mul_rs2_x_31),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[28]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_812), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28093 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[15]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_243),
+	.B1(FE_DBTN33_brqrv_top_brqrv_exu_i_mul_rs2_x_15),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[31]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_811), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28095 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs1_x[30]),
+	.A2(FE_DBTN29_brqrv_top_brqrv_exu_i_mul_rs2_x_5),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_278),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs2_x[5]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_808), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28096 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[1]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_232),
+	.B1(FE_DBTN27_brqrv_top_brqrv_exu_i_mul_rs2_x_1),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[12]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_807), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28097 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[25]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_236),
+	.B1(FE_DBTN37_brqrv_top_brqrv_exu_i_mul_rs2_x_25),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[9]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_806), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28102 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[5]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_248),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs2_x[6]),
+	.B2(FE_DBTN29_brqrv_top_brqrv_exu_i_mul_rs2_x_5),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_208), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_0 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28105 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_17),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_25),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_798), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28108 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[29]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_284),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs2_x[30]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_183),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_794), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28113 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_753),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_754), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28114 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_749),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_750), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28118 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_728),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_729), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28119 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_726),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_727), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28120 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_722),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_723), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28121 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_720),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_721), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28123 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_703),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_704), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28124 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_701),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_702), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28125 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_699),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_700), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28126 (
+	.A(n_43556),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_698), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28128 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_688),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_689), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28129 (
+	.A(n_43557),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_684), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28131 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_665),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_666), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28132 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_662),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_663), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28133 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_660),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_661), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28134 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_657),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_658), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28135 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_655),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_656), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28137 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_648),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_649), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28138 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_643),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_644), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28139 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_638),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_639), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28140 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_635),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_636), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28141 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_633),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_634), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28142 (
+	.A(n_43559),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_632), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28143 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_628),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_629), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28144 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_626),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_627), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28145 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_616),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_617), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28146 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_605),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_606), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28147 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_597),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_598), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28149 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_572),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_573), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28150 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_569),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_570), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28151 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_558),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_559), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28152 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_554),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_555), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28154 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_540),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_541), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28155 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_537),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_538), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28156 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_528),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_529), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28157 (
+	.A(n_43561),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_519), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28160 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_490),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_491), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28161 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_488),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_489), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28162 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_477),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_478), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28163 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_468),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_469), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28164 (
+	.A(n_42446),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_466), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28165 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_282),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[32]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[17]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_190),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_465), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28166 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[32]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_276),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_190),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[14]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_464), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28167 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_278),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[32]),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_190),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[30]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_463), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28168 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs1_x[12]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_190),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_232),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs2_x[32]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_462), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28169 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[32]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_245),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_190),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[13]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_461), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28170 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_233),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[32]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[3]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_190),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_460), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28171 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_280),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[32]),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_190),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[18]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_459), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28172 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_192),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[32]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[1]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_190),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_458), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28173 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[32]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_235),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[8]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_190),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_457), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28174 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_274),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[32]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[19]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_190),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_456), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28175 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_240),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[32]),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_190),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[23]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_455), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28176 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_279),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[32]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[20]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_190),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_454), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28177 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_269),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[32]),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_190),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[15]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_453), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28178 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_243),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[32]),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_190),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[31]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_452), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28179 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_242),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[32]),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_190),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[25]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_451), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28180 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_241),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[32]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[26]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_190),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_450), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28181 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_266),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[32]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[5]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_190),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_449), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28182 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs1_x[24]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_190),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs2_x[32]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_267),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_448), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28183 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[32]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_275),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[7]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_190),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_447), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28184 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_143),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[32]),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_190),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[21]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_446), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28185 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_231),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[32]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[2]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_190),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_445), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28186 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_264),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[32]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[4]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_190),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_444), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28187 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[32]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_268),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_190),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[16]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_443), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28188 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs1_x[29]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_190),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs2_x[32]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_234),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_442), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28189 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_190),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs1_x[9]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs2_x[32]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_236),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_441), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28190 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs1_x[28]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_190),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs2_x[32]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_270),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_440), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28191 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[32]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_265),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_190),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[10]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_439), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28192 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_190),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs1_x[6]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs2_x[32]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_281),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_438), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28193 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[32]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_271),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_190),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[11]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_437), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28194 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_244),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[32]),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_190),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[22]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_436), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28195 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs1_x[27]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_190),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs2_x[32]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_198),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_435), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28197 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_149),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[31]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[0]),
+	.B2(FE_DBTN38_brqrv_top_brqrv_exu_i_mul_rs2_x_31),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_433), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28198 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[13]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_149),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[0]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_126),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_432), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28200 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_149),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[21]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[0]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_135),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_431), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28201 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[3]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_149),
+	.B1(FE_DBTN28_brqrv_top_brqrv_exu_i_mul_rs2_x_3),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[0]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_430), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28202 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[29]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_149),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_183),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[0]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_429), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28204 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs1_x[0]),
+	.A2(FE_DBTN36_brqrv_top_brqrv_exu_i_mul_rs2_x_23),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_149),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs2_x[23]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_428), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28206 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_149),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[11]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[0]),
+	.B2(FE_DBTN32_brqrv_top_brqrv_exu_i_mul_rs2_x_11),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_427), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28208 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[27]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_149),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[0]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_176),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_426), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28209 (
+	.A1(FE_DBTN35_brqrv_top_brqrv_exu_i_mul_rs2_x_19),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs1_x[0]),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_149),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs2_x[19]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_425), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28210 (
+	.A1(FE_DBTN37_brqrv_top_brqrv_exu_i_mul_rs2_x_25),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs1_x[0]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs2_x[25]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_149),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_424), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28211 (
+	.A1(FE_DBTN31_brqrv_top_brqrv_exu_i_mul_rs2_x_9),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs1_x[0]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs2_x[9]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_149),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_423), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28212 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_149),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[17]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[0]),
+	.B2(FE_DBTN34_brqrv_top_brqrv_exu_i_mul_rs2_x_17),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_422), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28213 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[15]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_275),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[7]),
+	.B2(FE_DBTN33_brqrv_top_brqrv_exu_i_mul_rs2_x_15),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_755), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28214 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[29]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_198),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_183),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[27]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_753), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28215 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[1]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_242),
+	.B1(FE_DBTN27_brqrv_top_brqrv_exu_i_mul_rs2_x_1),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[25]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_752), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28216 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[13]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_242),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_126),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[25]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_751), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28217 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_266),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[17]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[5]),
+	.B2(FE_DBTN34_brqrv_top_brqrv_exu_i_mul_rs2_x_17),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_749), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28218 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[21]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_242),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_135),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[25]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_748), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28219 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_357),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_348),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_421), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28220 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_355),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_412),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_420), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28221 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_233),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[5]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[3]),
+	.B2(FE_DBTN29_brqrv_top_brqrv_exu_i_mul_rs2_x_5),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_747), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28222 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[3]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_266),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[5]),
+	.B2(FE_DBTN28_brqrv_top_brqrv_exu_i_mul_rs2_x_3),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_746), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28223 (
+	.A1_N(FE_DBTN33_brqrv_top_brqrv_exu_i_mul_rs2_x_15),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_rs1_x[20]),
+	.B1(FE_DBTN33_brqrv_top_brqrv_exu_i_mul_rs2_x_15),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[20]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_745), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28224 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs1_x[22]),
+	.A2(FE_DBTN30_brqrv_top_brqrv_exu_i_mul_rs2_x_7),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_244),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs2_x[7]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_744), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28225 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs1_x[19]),
+	.A2(FE_DBTN38_brqrv_top_brqrv_exu_i_mul_rs2_x_31),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_274),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs2_x[31]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_743), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28226 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs1_x[18]),
+	.A2(FE_DBTN35_brqrv_top_brqrv_exu_i_mul_rs2_x_19),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_280),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs2_x[19]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_742), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28228 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[31]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_232),
+	.B1(FE_DBTN38_brqrv_top_brqrv_exu_i_mul_rs2_x_31),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[12]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_740), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28229 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_rs2_x[17]),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_271),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs2_x[17]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_271),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_739), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28230 (
+	.A1_N(FE_DBTN27_brqrv_top_brqrv_exu_i_mul_rs2_x_1),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_rs1_x[22]),
+	.B1(FE_DBTN27_brqrv_top_brqrv_exu_i_mul_rs2_x_1),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[22]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_738), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28231 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_353),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_358),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_419), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28233 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[5]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_265),
+	.B1(FE_DBTN29_brqrv_top_brqrv_exu_i_mul_rs2_x_5),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[10]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_737), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28235 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[21]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_269),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_135),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[15]),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_735), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28236 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[29]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_243),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_183),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[31]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_734), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28237 (
+	.A1_N(FE_DBTN27_brqrv_top_brqrv_exu_i_mul_rs2_x_1),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_rs1_x[27]),
+	.B1(FE_DBTN27_brqrv_top_brqrv_exu_i_mul_rs2_x_1),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[27]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_733), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28238 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[17]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_242),
+	.B1(FE_DBTN34_brqrv_top_brqrv_exu_i_mul_rs2_x_17),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[25]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_732), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28239 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[13]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_274),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_126),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[19]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_731), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28240 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs1_x[28]),
+	.A2(FE_DBTN28_brqrv_top_brqrv_exu_i_mul_rs2_x_3),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_270),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs2_x[3]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_730), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28241 (
+	.A1_N(FE_DBTN30_brqrv_top_brqrv_exu_i_mul_rs2_x_7),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_rs1_x[24]),
+	.B1(FE_DBTN30_brqrv_top_brqrv_exu_i_mul_rs2_x_7),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[24]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_728), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28242 (
+	.A1_N(FE_DBTN33_brqrv_top_brqrv_exu_i_mul_rs2_x_15),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_rs1_x[9]),
+	.B1(FE_DBTN33_brqrv_top_brqrv_exu_i_mul_rs2_x_15),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[9]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_726), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28243 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[11]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_240),
+	.B1(FE_DBTN32_brqrv_top_brqrv_exu_i_mul_rs2_x_11),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[23]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_725), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28244 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[7]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_279),
+	.B1(FE_DBTN30_brqrv_top_brqrv_exu_i_mul_rs2_x_7),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[20]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_724), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28245 (
+	.A1_N(FE_DBTN33_brqrv_top_brqrv_exu_i_mul_rs2_x_15),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_rs1_x[10]),
+	.B1(FE_DBTN33_brqrv_top_brqrv_exu_i_mul_rs2_x_15),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[10]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_722), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28246 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[29]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_241),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_183),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[26]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_720), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28248 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[27]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_143),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_176),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[21]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_718), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28249 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[23]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_143),
+	.B1(FE_DBTN36_brqrv_top_brqrv_exu_i_mul_rs2_x_23),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[21]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_717), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28250 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[25]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_274),
+	.B1(FE_DBTN37_brqrv_top_brqrv_exu_i_mul_rs2_x_25),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[19]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_716), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28251 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs1_x[18]),
+	.A2(FE_DBTN34_brqrv_top_brqrv_exu_i_mul_rs2_x_17),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_280),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs2_x[17]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_715), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28253 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[31]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_267),
+	.B1(FE_DBTN38_brqrv_top_brqrv_exu_i_mul_rs2_x_31),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[24]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_713), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28254 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[3]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_275),
+	.B1(FE_DBTN28_brqrv_top_brqrv_exu_i_mul_rs2_x_3),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[7]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_712), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28255 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_264),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[27]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[4]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_176),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_711), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28256 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs1_x[31]),
+	.A2(FE_DBTN35_brqrv_top_brqrv_exu_i_mul_rs2_x_19),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_243),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs2_x[19]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_710), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28257 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[27]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_282),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_176),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[17]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_709), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28258 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[5]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_232),
+	.B1(FE_DBTN29_brqrv_top_brqrv_exu_i_mul_rs2_x_5),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[12]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_708), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28259 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[29]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_279),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_183),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[20]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_707), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28260 (
+	.A(FE_DBTN31_brqrv_top_brqrv_exu_i_mul_rs2_x_9),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_235),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_706), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28261 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[27]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_270),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_176),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[28]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_705), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28262 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[5]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_242),
+	.B1(FE_DBTN29_brqrv_top_brqrv_exu_i_mul_rs2_x_5),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[25]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_703), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28263 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[23]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_275),
+	.B1(FE_DBTN36_brqrv_top_brqrv_exu_i_mul_rs2_x_23),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[7]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_701), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28264 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_231),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[5]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[2]),
+	.B2(FE_DBTN29_brqrv_top_brqrv_exu_i_mul_rs2_x_5),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_699), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28266 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[25]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_266),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[5]),
+	.B2(FE_DBTN37_brqrv_top_brqrv_exu_i_mul_rs2_x_25),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_696), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28267 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[23]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_282),
+	.B1(FE_DBTN36_brqrv_top_brqrv_exu_i_mul_rs2_x_23),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[17]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_695), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28268 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[15]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_276),
+	.B1(FE_DBTN33_brqrv_top_brqrv_exu_i_mul_rs2_x_15),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[14]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_694), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28269 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_266),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[7]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[5]),
+	.B2(FE_DBTN30_brqrv_top_brqrv_exu_i_mul_rs2_x_7),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_693), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28270 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[21]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_270),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_135),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[28]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_692), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28271 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[23]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_280),
+	.B1(FE_DBTN36_brqrv_top_brqrv_exu_i_mul_rs2_x_23),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[18]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_691), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28272 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[29]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_270),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_183),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[28]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_690), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28273 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_266),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[15]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[5]),
+	.B2(FE_DBTN33_brqrv_top_brqrv_exu_i_mul_rs2_x_15),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_688), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28274 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[21]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_279),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_135),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[20]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_687), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28275 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[27]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_276),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_176),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[14]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_686), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28277 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[5]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_143),
+	.B1(FE_DBTN29_brqrv_top_brqrv_exu_i_mul_rs2_x_5),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[21]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_685), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28279 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[27]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_271),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_176),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[11]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_682), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28280 (
+	.A1_N(FE_DBTN28_brqrv_top_brqrv_exu_i_mul_rs2_x_3),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_rs1_x[20]),
+	.B1(FE_DBTN28_brqrv_top_brqrv_exu_i_mul_rs2_x_3),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[20]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_681), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28281 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[1]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_268),
+	.B1(FE_DBTN27_brqrv_top_brqrv_exu_i_mul_rs2_x_1),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[16]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_680), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28282 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs1_x[30]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_126),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_278),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs2_x[13]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_679), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28283 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[21]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_143),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_135),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[21]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_678), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28284 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[21]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_240),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_135),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[23]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_677), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28285 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[13]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_198),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_126),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[27]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_676), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28286 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_233),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[19]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[3]),
+	.B2(FE_DBTN35_brqrv_top_brqrv_exu_i_mul_rs2_x_19),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_675), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28287 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[9]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_242),
+	.B1(FE_DBTN31_brqrv_top_brqrv_exu_i_mul_rs2_x_9),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[25]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_674), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28288 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[5]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_236),
+	.B1(FE_DBTN29_brqrv_top_brqrv_exu_i_mul_rs2_x_5),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[9]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_673), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28289 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs1_x[26]),
+	.A2(FE_DBTN28_brqrv_top_brqrv_exu_i_mul_rs2_x_3),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_241),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs2_x[3]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_672), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28290 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[31]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_280),
+	.B1(FE_DBTN38_brqrv_top_brqrv_exu_i_mul_rs2_x_31),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[18]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_671), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28291 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[11]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_244),
+	.B1(FE_DBTN32_brqrv_top_brqrv_exu_i_mul_rs2_x_11),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[22]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_670), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28292 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[3]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_281),
+	.B1(FE_DBTN28_brqrv_top_brqrv_exu_i_mul_rs2_x_3),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[6]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_669), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28293 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[29]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_143),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_183),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[21]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_668), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28294 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[7]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_198),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[27]),
+	.B2(FE_DBTN30_brqrv_top_brqrv_exu_i_mul_rs2_x_7),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_667), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28295 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_192),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[7]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[1]),
+	.B2(FE_DBTN30_brqrv_top_brqrv_exu_i_mul_rs2_x_7),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_665), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28296 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[31]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_271),
+	.B1(FE_DBTN38_brqrv_top_brqrv_exu_i_mul_rs2_x_31),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[11]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_664), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28297 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_192),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[21]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[1]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_135),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_662), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28298 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[7]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_276),
+	.B1(FE_DBTN30_brqrv_top_brqrv_exu_i_mul_rs2_x_7),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[14]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_660), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28299 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs1_x[13]),
+	.B(brqrv_top_brqrv_exu_i_mul_rs2_x[7]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_659), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28300 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_rs1_x[7]),
+	.A2_N(FE_DBTN31_brqrv_top_brqrv_exu_i_mul_rs2_x_9),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[7]),
+	.B2(FE_DBTN31_brqrv_top_brqrv_exu_i_mul_rs2_x_9),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_657), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28301 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[13]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_233),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[3]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_126),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_655), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28302 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[23]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_231),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[2]),
+	.B2(FE_DBTN36_brqrv_top_brqrv_exu_i_mul_rs2_x_23),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_654), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28303 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs1_x[23]),
+	.A2(FE_DBTN29_brqrv_top_brqrv_exu_i_mul_rs2_x_5),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_240),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs2_x[5]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_653), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28304 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[25]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_143),
+	.B1(FE_DBTN37_brqrv_top_brqrv_exu_i_mul_rs2_x_25),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[21]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_652), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28305 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[25]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_275),
+	.B1(FE_DBTN37_brqrv_top_brqrv_exu_i_mul_rs2_x_25),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[7]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_651), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28306 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_192),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[29]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[1]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_183),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_650), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28307 (
+	.A1_N(FE_DBTN30_brqrv_top_brqrv_exu_i_mul_rs2_x_7),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_rs1_x[23]),
+	.B1(FE_DBTN30_brqrv_top_brqrv_exu_i_mul_rs2_x_7),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[23]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_648), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28309 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[7]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_243),
+	.B1(FE_DBTN30_brqrv_top_brqrv_exu_i_mul_rs2_x_7),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[31]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_646), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28310 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[13]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_235),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_126),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[8]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_645), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28311 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[5]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_275),
+	.B1(FE_DBTN29_brqrv_top_brqrv_exu_i_mul_rs2_x_5),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[7]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_643), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28312 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[29]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_235),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_183),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[8]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_642), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28313 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[3]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_232),
+	.B1(FE_DBTN28_brqrv_top_brqrv_exu_i_mul_rs2_x_3),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[12]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_641), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28314 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[21]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_244),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_135),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[22]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_640), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28315 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[15]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_270),
+	.B1(FE_DBTN33_brqrv_top_brqrv_exu_i_mul_rs2_x_15),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[28]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_638), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28316 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[17]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_234),
+	.B1(FE_DBTN34_brqrv_top_brqrv_exu_i_mul_rs2_x_17),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[29]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_637), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28317 (
+	.A1_N(FE_DBTN27_brqrv_top_brqrv_exu_i_mul_rs2_x_1),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_rs1_x[26]),
+	.B1(FE_DBTN27_brqrv_top_brqrv_exu_i_mul_rs2_x_1),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[26]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_635), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28318 (
+	.A1_N(FE_DBTN29_brqrv_top_brqrv_exu_i_mul_rs2_x_5),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_rs1_x[26]),
+	.B1(FE_DBTN29_brqrv_top_brqrv_exu_i_mul_rs2_x_5),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[26]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_633), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28320 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[13]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_280),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_126),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[18]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_630), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28321 (
+	.A1_N(FE_DBTN33_brqrv_top_brqrv_exu_i_mul_rs2_x_15),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_rs1_x[22]),
+	.B1(FE_DBTN33_brqrv_top_brqrv_exu_i_mul_rs2_x_15),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[22]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_628), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28322 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_231),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[13]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[2]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_126),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_626), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28323 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[27]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_198),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_176),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[27]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_625), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28324 (
+	.A1_N(FE_DBTN27_brqrv_top_brqrv_exu_i_mul_rs2_x_1),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_rs1_x[28]),
+	.B1(FE_DBTN27_brqrv_top_brqrv_exu_i_mul_rs2_x_1),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[28]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_624), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28325 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[13]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_269),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_126),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[15]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_623), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28326 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs1_x[16]),
+	.A2(FE_DBTN28_brqrv_top_brqrv_exu_i_mul_rs2_x_3),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_268),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs2_x[3]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_622), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28327 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_192),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[11]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[1]),
+	.B2(FE_DBTN32_brqrv_top_brqrv_exu_i_mul_rs2_x_11),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_621), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28328 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[23]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_268),
+	.B1(FE_DBTN36_brqrv_top_brqrv_exu_i_mul_rs2_x_23),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[16]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_620), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28329 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[9]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_282),
+	.B1(FE_DBTN31_brqrv_top_brqrv_exu_i_mul_rs2_x_9),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[17]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_619), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28330 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[25]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_244),
+	.B1(FE_DBTN37_brqrv_top_brqrv_exu_i_mul_rs2_x_25),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[22]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_618), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28331 (
+	.A1_N(FE_DBTN28_brqrv_top_brqrv_exu_i_mul_rs2_x_3),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_rs1_x[29]),
+	.B1(FE_DBTN28_brqrv_top_brqrv_exu_i_mul_rs2_x_3),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[29]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_616), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28332 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs2_x[5]),
+	.B(brqrv_top_brqrv_exu_i_mul_rs1_x[17]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_615), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28333 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[23]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_240),
+	.B1(FE_DBTN36_brqrv_top_brqrv_exu_i_mul_rs2_x_23),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[23]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_614), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28334 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[21]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_267),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_135),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[24]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_613), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28335 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[21]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_280),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_135),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[18]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_612), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28336 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_233),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[11]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[3]),
+	.B2(FE_DBTN32_brqrv_top_brqrv_exu_i_mul_rs2_x_11),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_611), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28337 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_266),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[31]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[5]),
+	.B2(FE_DBTN38_brqrv_top_brqrv_exu_i_mul_rs2_x_31),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_610), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28338 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[11]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_241),
+	.B1(FE_DBTN32_brqrv_top_brqrv_exu_i_mul_rs2_x_11),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[26]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_609), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28339 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[13]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_264),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[4]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_126),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_608), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28340 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[29]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_240),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_183),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[23]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_607), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28341 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[13]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_232),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_126),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[12]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_605), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28342 (
+	.A1_N(FE_DBTN27_brqrv_top_brqrv_exu_i_mul_rs2_x_1),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_rs1_x[8]),
+	.B1(FE_DBTN27_brqrv_top_brqrv_exu_i_mul_rs2_x_1),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[8]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_604), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28343 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[21]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_271),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_135),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[11]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_603), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28344 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[23]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_245),
+	.B1(FE_DBTN36_brqrv_top_brqrv_exu_i_mul_rs2_x_23),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[13]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_602), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28345 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[15]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_242),
+	.B1(FE_DBTN33_brqrv_top_brqrv_exu_i_mul_rs2_x_15),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[25]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_601), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28346 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[5]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_198),
+	.B1(FE_DBTN29_brqrv_top_brqrv_exu_i_mul_rs2_x_5),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[27]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_600), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28348 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[11]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_281),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[6]),
+	.B2(FE_DBTN32_brqrv_top_brqrv_exu_i_mul_rs2_x_11),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_597), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28349 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[17]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_143),
+	.B1(FE_DBTN34_brqrv_top_brqrv_exu_i_mul_rs2_x_17),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[21]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_596), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28350 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs2_x[7]),
+	.B(brqrv_top_brqrv_exu_i_mul_rs1_x[12]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_595), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28351 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs1_x[28]),
+	.A2(FE_DBTN31_brqrv_top_brqrv_exu_i_mul_rs2_x_9),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_270),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs2_x[9]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_594), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28352 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[25]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_269),
+	.B1(FE_DBTN37_brqrv_top_brqrv_exu_i_mul_rs2_x_25),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[15]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_593), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28353 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs1_x[13]),
+	.A2(FE_DBTN37_brqrv_top_brqrv_exu_i_mul_rs2_x_25),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_245),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs2_x[25]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_592), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28354 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[21]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_234),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_135),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[29]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_591), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28355 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[13]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_270),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_126),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[28]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_590), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28356 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[19]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_244),
+	.B1(FE_DBTN35_brqrv_top_brqrv_exu_i_mul_rs2_x_19),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[22]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_589), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28357 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[23]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_198),
+	.B1(FE_DBTN36_brqrv_top_brqrv_exu_i_mul_rs2_x_23),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[27]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_588), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28358 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[23]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_270),
+	.B1(FE_DBTN36_brqrv_top_brqrv_exu_i_mul_rs2_x_23),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[28]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_587), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28359 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[25]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_282),
+	.B1(FE_DBTN37_brqrv_top_brqrv_exu_i_mul_rs2_x_25),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[17]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_586), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28360 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_233),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[7]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[3]),
+	.B2(FE_DBTN30_brqrv_top_brqrv_exu_i_mul_rs2_x_7),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_585), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28361 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs1_x[29]),
+	.A2(FE_DBTN30_brqrv_top_brqrv_exu_i_mul_rs2_x_7),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_234),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs2_x[7]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_584), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28362 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[7]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_269),
+	.B1(FE_DBTN30_brqrv_top_brqrv_exu_i_mul_rs2_x_7),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[15]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_583), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28363 (
+	.A1_N(FE_DBTN30_brqrv_top_brqrv_exu_i_mul_rs2_x_7),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_rs1_x[28]),
+	.B1(FE_DBTN30_brqrv_top_brqrv_exu_i_mul_rs2_x_7),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[28]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_582), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28364 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[17]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_269),
+	.B1(FE_DBTN34_brqrv_top_brqrv_exu_i_mul_rs2_x_17),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[15]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_581), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28365 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[19]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_275),
+	.B1(FE_DBTN35_brqrv_top_brqrv_exu_i_mul_rs2_x_19),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[7]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_580), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28366 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[19]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_279),
+	.B1(FE_DBTN35_brqrv_top_brqrv_exu_i_mul_rs2_x_19),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[20]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_579), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28367 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[25]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_234),
+	.B1(FE_DBTN37_brqrv_top_brqrv_exu_i_mul_rs2_x_25),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[29]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_578), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28368 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[27]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_245),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_176),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[13]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_577), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28369 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[29]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_278),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_183),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[30]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_576), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28370 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[25]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_265),
+	.B1(FE_DBTN37_brqrv_top_brqrv_exu_i_mul_rs2_x_25),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[10]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_575), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28371 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[11]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_234),
+	.B1(FE_DBTN32_brqrv_top_brqrv_exu_i_mul_rs2_x_11),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[29]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_574), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28372 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[19]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_240),
+	.B1(FE_DBTN35_brqrv_top_brqrv_exu_i_mul_rs2_x_19),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[23]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_572), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28373 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[7]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_268),
+	.B1(FE_DBTN30_brqrv_top_brqrv_exu_i_mul_rs2_x_7),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[16]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_571), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28374 (
+	.A1_N(FE_DBTN31_brqrv_top_brqrv_exu_i_mul_rs2_x_9),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_rs1_x[27]),
+	.B1(FE_DBTN31_brqrv_top_brqrv_exu_i_mul_rs2_x_9),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[27]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_569), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28375 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_192),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[27]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[1]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_176),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_568), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28376 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[7]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_235),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[8]),
+	.B2(FE_DBTN30_brqrv_top_brqrv_exu_i_mul_rs2_x_7),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_567), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28377 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[13]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_267),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_126),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[24]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_566), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28378 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_264),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[25]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[4]),
+	.B2(FE_DBTN37_brqrv_top_brqrv_exu_i_mul_rs2_x_25),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_565), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28379 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_264),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[11]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[4]),
+	.B2(FE_DBTN32_brqrv_top_brqrv_exu_i_mul_rs2_x_11),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_564), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28380 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[3]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_231),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[2]),
+	.B2(FE_DBTN28_brqrv_top_brqrv_exu_i_mul_rs2_x_3),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_563), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28381 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[1]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_265),
+	.B1(FE_DBTN27_brqrv_top_brqrv_exu_i_mul_rs2_x_1),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[10]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_562), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28382 (
+	.A1_N(FE_DBTN28_brqrv_top_brqrv_exu_i_mul_rs2_x_3),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_rs1_x[19]),
+	.B1(FE_DBTN28_brqrv_top_brqrv_exu_i_mul_rs2_x_3),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[19]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_561), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28383 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[29]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_242),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_183),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[25]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_560), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28384 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[5]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_235),
+	.B1(FE_DBTN29_brqrv_top_brqrv_exu_i_mul_rs2_x_5),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[8]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_558), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28385 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[15]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_234),
+	.B1(FE_DBTN33_brqrv_top_brqrv_exu_i_mul_rs2_x_15),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[29]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_557), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28386 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[1]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_271),
+	.B1(FE_DBTN27_brqrv_top_brqrv_exu_i_mul_rs2_x_1),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[11]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_556), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28387 (
+	.A1_N(FE_DBTN27_brqrv_top_brqrv_exu_i_mul_rs2_x_1),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_rs1_x[14]),
+	.B1(FE_DBTN27_brqrv_top_brqrv_exu_i_mul_rs2_x_1),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[14]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_554), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28388 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[13]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_268),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_126),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[16]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_553), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28389 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[25]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_198),
+	.B1(FE_DBTN37_brqrv_top_brqrv_exu_i_mul_rs2_x_25),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[27]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_552), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28390 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[15]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_269),
+	.B1(FE_DBTN33_brqrv_top_brqrv_exu_i_mul_rs2_x_15),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[15]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_551), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28391 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[25]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_241),
+	.B1(FE_DBTN37_brqrv_top_brqrv_exu_i_mul_rs2_x_25),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[26]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_550), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28392 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[27]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_242),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_176),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[25]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_549), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28393 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[29]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_244),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_183),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[22]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_548), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28394 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs1_x[8]),
+	.A2(FE_DBTN36_brqrv_top_brqrv_exu_i_mul_rs2_x_23),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_235),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs2_x[23]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_547), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28395 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[21]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_281),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[6]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_135),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_546), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28396 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[11]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_275),
+	.B1(FE_DBTN32_brqrv_top_brqrv_exu_i_mul_rs2_x_11),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[7]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_545), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28397 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[15]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_267),
+	.B1(FE_DBTN33_brqrv_top_brqrv_exu_i_mul_rs2_x_15),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[24]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_544), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28398 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[7]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_236),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[9]),
+	.B2(FE_DBTN30_brqrv_top_brqrv_exu_i_mul_rs2_x_7),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_543), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28399 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[15]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_281),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[6]),
+	.B2(FE_DBTN33_brqrv_top_brqrv_exu_i_mul_rs2_x_15),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_542), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28400 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[19]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_282),
+	.B1(FE_DBTN35_brqrv_top_brqrv_exu_i_mul_rs2_x_19),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[17]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_540), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28401 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[1]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_236),
+	.B1(FE_DBTN27_brqrv_top_brqrv_exu_i_mul_rs2_x_1),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[9]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_539), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28402 (
+	.A1_N(FE_DBTN32_brqrv_top_brqrv_exu_i_mul_rs2_x_11),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_rs1_x[13]),
+	.B1(FE_DBTN32_brqrv_top_brqrv_exu_i_mul_rs2_x_11),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[13]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_537), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28403 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_231),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[31]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[2]),
+	.B2(FE_DBTN38_brqrv_top_brqrv_exu_i_mul_rs2_x_31),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_536), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28404 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[3]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_264),
+	.B1(FE_DBTN28_brqrv_top_brqrv_exu_i_mul_rs2_x_3),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[4]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_535), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28405 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_176),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_rs1_x[10]),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_176),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[10]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_534), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28406 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[27]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_267),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_176),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[24]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_533), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28407 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[25]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_240),
+	.B1(FE_DBTN37_brqrv_top_brqrv_exu_i_mul_rs2_x_25),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[23]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_532), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28408 (
+	.A1_N(FE_DBTN29_brqrv_top_brqrv_exu_i_mul_rs2_x_5),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_rs1_x[14]),
+	.B1(FE_DBTN29_brqrv_top_brqrv_exu_i_mul_rs2_x_5),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[14]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_531), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28409 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[17]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_241),
+	.B1(FE_DBTN34_brqrv_top_brqrv_exu_i_mul_rs2_x_17),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[26]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_530), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28410 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[11]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_280),
+	.B1(FE_DBTN32_brqrv_top_brqrv_exu_i_mul_rs2_x_11),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[18]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_528), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28411 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[1]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_281),
+	.B1(FE_DBTN27_brqrv_top_brqrv_exu_i_mul_rs2_x_1),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[6]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_527), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28412 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[17]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_268),
+	.B1(FE_DBTN34_brqrv_top_brqrv_exu_i_mul_rs2_x_17),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[16]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_526), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28413 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[5]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_281),
+	.B1(FE_DBTN29_brqrv_top_brqrv_exu_i_mul_rs2_x_5),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[6]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_525), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28414 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[17]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_267),
+	.B1(FE_DBTN34_brqrv_top_brqrv_exu_i_mul_rs2_x_17),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[24]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_524), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28415 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[29]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_269),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_183),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[15]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_523), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28416 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[1]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_264),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[4]),
+	.B2(FE_DBTN27_brqrv_top_brqrv_exu_i_mul_rs2_x_1),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_522), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28417 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[13]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_244),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_126),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[22]),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_521), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28418 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[23]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_244),
+	.B1(FE_DBTN36_brqrv_top_brqrv_exu_i_mul_rs2_x_23),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[22]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_520), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28419 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_347),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_351),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_418), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28421 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[3]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_235),
+	.B1(FE_DBTN28_brqrv_top_brqrv_exu_i_mul_rs2_x_3),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[8]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_517), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28422 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_192),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[23]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[1]),
+	.B2(FE_DBTN36_brqrv_top_brqrv_exu_i_mul_rs2_x_23),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_516), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28423 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[19]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_242),
+	.B1(FE_DBTN35_brqrv_top_brqrv_exu_i_mul_rs2_x_19),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[25]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_515), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28424 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[29]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_275),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_183),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[7]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_514), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28425 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[13]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_192),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[1]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_126),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_513), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28426 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[25]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_281),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[6]),
+	.B2(FE_DBTN37_brqrv_top_brqrv_exu_i_mul_rs2_x_25),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_512), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28428 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[7]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_242),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[25]),
+	.B2(FE_DBTN30_brqrv_top_brqrv_exu_i_mul_rs2_x_7),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_510), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28429 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_264),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[15]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[4]),
+	.B2(FE_DBTN33_brqrv_top_brqrv_exu_i_mul_rs2_x_15),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_509), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28430 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[17]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_281),
+	.B1(FE_DBTN34_brqrv_top_brqrv_exu_i_mul_rs2_x_17),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[6]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_508), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28431 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_231),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[17]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[2]),
+	.B2(FE_DBTN34_brqrv_top_brqrv_exu_i_mul_rs2_x_17),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_507), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28432 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_264),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[5]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[4]),
+	.B2(FE_DBTN29_brqrv_top_brqrv_exu_i_mul_rs2_x_5),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_506), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28433 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[23]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_265),
+	.B1(FE_DBTN36_brqrv_top_brqrv_exu_i_mul_rs2_x_23),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[10]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_505), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28434 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[21]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_275),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_135),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[7]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_504), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28435 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[25]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_271),
+	.B1(FE_DBTN37_brqrv_top_brqrv_exu_i_mul_rs2_x_25),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[11]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_503), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28436 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[31]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_235),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[8]),
+	.B2(FE_DBTN38_brqrv_top_brqrv_exu_i_mul_rs2_x_31),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_502), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28437 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[31]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_243),
+	.B1(FE_DBTN38_brqrv_top_brqrv_exu_i_mul_rs2_x_31),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[31]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_501), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28438 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_192),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[9]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[1]),
+	.B2(FE_DBTN31_brqrv_top_brqrv_exu_i_mul_rs2_x_9),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_500), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28439 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[9]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_243),
+	.B1(FE_DBTN31_brqrv_top_brqrv_exu_i_mul_rs2_x_9),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[31]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_499), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28440 (
+	.A1_N(FE_DBTN34_brqrv_top_brqrv_exu_i_mul_rs2_x_17),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_rs1_x[22]),
+	.B1(FE_DBTN34_brqrv_top_brqrv_exu_i_mul_rs2_x_17),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[22]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_498), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28441 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_231),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[15]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[2]),
+	.B2(FE_DBTN33_brqrv_top_brqrv_exu_i_mul_rs2_x_15),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_497), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28442 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[11]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_243),
+	.B1(FE_DBTN32_brqrv_top_brqrv_exu_i_mul_rs2_x_11),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[31]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_496), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28443 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[13]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_241),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_126),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[26]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_495), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28444 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs1_x[12]),
+	.A2(FE_DBTN33_brqrv_top_brqrv_exu_i_mul_rs2_x_15),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_232),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs2_x[15]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_494), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28445 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[13]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_234),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_126),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[29]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_493), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28446 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[31]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_143),
+	.B1(FE_DBTN38_brqrv_top_brqrv_exu_i_mul_rs2_x_31),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[21]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_492), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28447 (
+	.A1_N(FE_DBTN27_brqrv_top_brqrv_exu_i_mul_rs2_x_1),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_rs1_x[15]),
+	.B1(FE_DBTN27_brqrv_top_brqrv_exu_i_mul_rs2_x_1),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[15]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_490), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28448 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_rs1_x[5]),
+	.A2_N(FE_DBTN27_brqrv_top_brqrv_exu_i_mul_rs2_x_1),
+	.B1(FE_DBTN27_brqrv_top_brqrv_exu_i_mul_rs2_x_1),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[5]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_488), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28449 (
+	.A1_N(FE_DBTN29_brqrv_top_brqrv_exu_i_mul_rs2_x_5),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_rs1_x[18]),
+	.B1(FE_DBTN29_brqrv_top_brqrv_exu_i_mul_rs2_x_5),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[18]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_487), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28450 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[31]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_275),
+	.B1(FE_DBTN38_brqrv_top_brqrv_exu_i_mul_rs2_x_31),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[7]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_486), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28451 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[11]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_198),
+	.B1(FE_DBTN32_brqrv_top_brqrv_exu_i_mul_rs2_x_11),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[27]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_485), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28452 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[19]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_235),
+	.B1(FE_DBTN35_brqrv_top_brqrv_exu_i_mul_rs2_x_19),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[8]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_484), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28453 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[31]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_240),
+	.B1(FE_DBTN38_brqrv_top_brqrv_exu_i_mul_rs2_x_31),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[23]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_483), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28454 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[31]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_241),
+	.B1(FE_DBTN38_brqrv_top_brqrv_exu_i_mul_rs2_x_31),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[26]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_482), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28455 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[11]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_274),
+	.B1(FE_DBTN32_brqrv_top_brqrv_exu_i_mul_rs2_x_11),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[19]),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_481), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28456 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[5]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_245),
+	.B1(FE_DBTN29_brqrv_top_brqrv_exu_i_mul_rs2_x_5),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[13]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_480), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28457 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[9]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_278),
+	.B1(FE_DBTN31_brqrv_top_brqrv_exu_i_mul_rs2_x_9),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[30]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_479), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28458 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[13]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_243),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_126),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[31]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_477), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28459 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_231),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[9]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[2]),
+	.B2(FE_DBTN31_brqrv_top_brqrv_exu_i_mul_rs2_x_9),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_476), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28460 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[11]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_270),
+	.B1(FE_DBTN32_brqrv_top_brqrv_exu_i_mul_rs2_x_11),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[28]),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_475), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28462 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[9]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_245),
+	.B1(FE_DBTN31_brqrv_top_brqrv_exu_i_mul_rs2_x_9),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[13]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_473), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28463 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_352),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_411),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_417), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28464 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[19]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_198),
+	.B1(FE_DBTN35_brqrv_top_brqrv_exu_i_mul_rs2_x_19),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[27]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_472), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28465 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs1_x[11]),
+	.A2(FE_DBTN29_brqrv_top_brqrv_exu_i_mul_rs2_x_5),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_271),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs2_x[5]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_471), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28466 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs1_x[18]),
+	.A2(FE_DBTN33_brqrv_top_brqrv_exu_i_mul_rs2_x_15),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_280),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs2_x[15]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_470), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28467 (
+	.A1_N(FE_DBTN28_brqrv_top_brqrv_exu_i_mul_rs2_x_3),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_rs1_x[10]),
+	.B1(FE_DBTN28_brqrv_top_brqrv_exu_i_mul_rs2_x_3),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[10]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_468), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28469 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_235),
+	.B(brqrv_top_brqrv_exu_i_mul_rs2_x[15]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_416), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28470 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs2_x[14]),
+	.B(brqrv_top_brqrv_exu_i_mul_rs1_x[0]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_410), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28471 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs2_x[18]),
+	.B(brqrv_top_brqrv_exu_i_mul_rs1_x[0]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_409), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28472 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs2_x[20]),
+	.B(brqrv_top_brqrv_exu_i_mul_rs1_x[0]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_408), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28473 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs2_x[22]),
+	.B(brqrv_top_brqrv_exu_i_mul_rs1_x[0]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_407), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28480 (
+	.A(FE_DBTN36_brqrv_top_brqrv_exu_i_mul_rs2_x_23),
+	.B(brqrv_top_brqrv_exu_i_mul_rs2_x[22]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_401), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28481 (
+	.A(FE_DBTN32_brqrv_top_brqrv_exu_i_mul_rs2_x_11),
+	.B(brqrv_top_brqrv_exu_i_mul_rs2_x[10]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_400), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28487 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_254),
+	.B(brqrv_top_brqrv_exu_i_mul_rs2_x[27]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_397), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28488 (
+	.A(FE_DBTN34_brqrv_top_brqrv_exu_i_mul_rs2_x_17),
+	.B(brqrv_top_brqrv_exu_i_mul_rs2_x[16]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_396), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28502 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_252),
+	.B(brqrv_top_brqrv_exu_i_mul_rs2_x[23]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_384), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28507 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_253),
+	.B(brqrv_top_brqrv_exu_i_mul_rs2_x[17]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_381), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28523 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_285),
+	.B(brqrv_top_brqrv_exu_i_mul_rs2_x[11]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_369), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28529 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_176),
+	.B(brqrv_top_brqrv_exu_i_mul_rs2_x[26]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_365), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28530 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs2_x[24]),
+	.B(brqrv_top_brqrv_exu_i_mul_rs1_x[0]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_364), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28531 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_283),
+	.B(brqrv_top_brqrv_exu_i_mul_rs2_x[7]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_415), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28532 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs1_x[32]),
+	.B(FE_DBTN30_brqrv_top_brqrv_exu_i_mul_rs2_x_7),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_414), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28537 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs1_x[8]),
+	.B(FE_DBTN33_brqrv_top_brqrv_exu_i_mul_rs2_x_15),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_413), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28538 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs1_x[10]),
+	.B(FE_DBTN35_brqrv_top_brqrv_exu_i_mul_rs2_x_19),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_412), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28539 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs1_x[30]),
+	.B(FE_DBTN30_brqrv_top_brqrv_exu_i_mul_rs2_x_7),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_411), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28540 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_141),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_149),
+	.Y(n_38015), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28548 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs1_x[13]),
+	.B(FE_DBTN34_brqrv_top_brqrv_exu_i_mul_rs2_x_17),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_358), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28551 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_268),
+	.B(brqrv_top_brqrv_exu_i_mul_rs2_x[15]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_357), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28568 (
+	.A(FE_DBTN27_brqrv_top_brqrv_exu_i_mul_rs2_x_1),
+	.B(brqrv_top_brqrv_exu_i_mul_rs1_x[3]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_356), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28569 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_265),
+	.B(brqrv_top_brqrv_exu_i_mul_rs2_x[19]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_355), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28573 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_143),
+	.B(brqrv_top_brqrv_exu_i_mul_rs2_x[19]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_354), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28576 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_245),
+	.B(brqrv_top_brqrv_exu_i_mul_rs2_x[17]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_353), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28587 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_278),
+	.B(brqrv_top_brqrv_exu_i_mul_rs2_x[7]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_352), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28593 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs1_x[24]),
+	.B(FE_DBTN32_brqrv_top_brqrv_exu_i_mul_rs2_x_11),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_351), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28594 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs1_x[21]),
+	.B(FE_DBTN35_brqrv_top_brqrv_exu_i_mul_rs2_x_19),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_350), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28595 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_233),
+	.B(brqrv_top_brqrv_exu_i_mul_rs2_x[1]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_349), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28596 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs1_x[16]),
+	.B(FE_DBTN33_brqrv_top_brqrv_exu_i_mul_rs2_x_15),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_348), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28600 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_267),
+	.B(brqrv_top_brqrv_exu_i_mul_rs2_x[11]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_347), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28613 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs2_x[8]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_288), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28618 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs2_x[28]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_286), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28619 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs2_x[10]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_285), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28620 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs2_x[30]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_284), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28621 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs1_x[32]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_283), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28622 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs1_x[17]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_282), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28623 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs1_x[6]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_281), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28624 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs1_x[18]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_280), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28625 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs1_x[20]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_279), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28626 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs1_x[30]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_278), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28654 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs1_x[27]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_198), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28655 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs1_x[14]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_276), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28656 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs1_x[7]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_275), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28657 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs1_x[19]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_274), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28680 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs1_x[1]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_192), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28681 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs1_x[11]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_271), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28682 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs1_x[28]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_270), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28683 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs1_x[15]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_269), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28684 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs1_x[16]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_268), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28685 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs1_x[24]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_267), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28686 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs1_x[5]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_266), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28687 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs1_x[10]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_265), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28688 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs1_x[4]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_264), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28727 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs2_x[32]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_190), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28882 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs2_x[29]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_183), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g28986 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs2_x[27]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_176), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g29195 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs1_x[0]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_149), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g29233 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs2_x[26]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_254), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g29234 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs2_x[16]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_253), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g29235 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs2_x[22]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_252), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g29236 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs2_x[14]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_251), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g29237 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs2_x[24]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_250), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g29238 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs2_x[4]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_249), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g29239 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs2_x[6]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_248), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g29240 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs2_x[2]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_247), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g29241 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs2_x[20]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_246), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g29246 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs2_x[12]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_148), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g29247 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs1_x[13]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_245), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g29248 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs1_x[22]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_244), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g29249 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs1_x[31]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_243), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g29250 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs1_x[25]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_242), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g29251 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs1_x[26]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_241), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g29252 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs1_x[23]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_240), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g29269 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs1_x[21]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_143), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g29279 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs1_x[9]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_236), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g29280 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs1_x[8]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_235), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g29281 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs1_x[29]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_234), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g29282 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs1_x[3]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_233), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g29283 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs1_x[12]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_232), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g29284 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs1_x[2]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_231), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g29313 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs2_x[0]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_141), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g29377 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs2_x[21]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_135), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g29486 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs2_x[13]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_126), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g29861 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1520),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2306),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_89), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g29865 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3972),
+	.B(n_42232),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_85), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g29866 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_81),
+	.B(n_42247),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_84), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g29868 (
+	.A_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3562),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3644),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_82), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g29869 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_76),
+	.B(n_42262),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_81), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_0 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g29870 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3319),
+	.A2(n_42306),
+	.B1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3462),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_80), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g29871 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_77),
+	.B(n_42283),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_79), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g29872 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3203),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3119),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_78), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g29873 (
+	.A(n_43459),
+	.B(n_42294),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_77), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g29874 (
+	.A(n_44030),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3386),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_76), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g29876 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2978),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2601),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_74), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g29879 (
+	.A_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2600),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2038),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_71), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g29881 (
+	.A_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2299),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1678),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_69), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g29882 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1946),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2179),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_68), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g29883 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1330),
+	.B_N(n_43478),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_67), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g29892 (
+	.A_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1678),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2299),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_58), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g29894 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1557),
+	.B(n_43501),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_56), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g29896 (
+	.A(n_43501),
+	.B_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1557),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_54), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g29901 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1150),
+	.B_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1088),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_49), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_0 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g29902 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1142),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_202),
+	.B1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1067),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_48), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_0 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g29903 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1162),
+	.A2(n_42443),
+	.B1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1064),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_47), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g29904 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1154),
+	.B_N(n_43530),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_46), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g29905 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1154),
+	.B_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1039),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_45), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g29906 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1148),
+	.B_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_939),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_44), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g29907 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1164),
+	.B_N(n_43536),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_43), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g29908 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1164),
+	.B_N(n_43538),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_42), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g29909 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1148),
+	.B_N(n_43540),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_41), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g29910 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1156),
+	.B_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_870),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_40), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g29911 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1152),
+	.B_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_833),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_39), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g29912 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1148),
+	.B_N(n_43543),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_38), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g29913 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1168),
+	.B_N(n_43544),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g29914 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1152),
+	.B_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_745),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_36), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g29915 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1142),
+	.B_N(n_43552),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_35), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g29916 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1150),
+	.B_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_739),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_34), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g29917 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_217),
+	.B_N(n_43554),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_33), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g29918 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1162),
+	.B_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_696),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_32), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g29919 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1170),
+	.B_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_681),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_31), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g29920 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1162),
+	.B_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_651),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_30), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g29921 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1148),
+	.B_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_579),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_29), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g29922 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1152),
+	.B_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_542),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_28), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g29923 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1168),
+	.B_N(n_43562),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_27), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g29924 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1162),
+	.B_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_503),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_26), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g29925 (
+	.A_N(brqrv_top_brqrv_exu_i_mul_rs2_x[18]),
+	.B(brqrv_top_brqrv_exu_i_mul_rs2_x[17]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_25), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g29926 (
+	.A0(FE_DBTN33_brqrv_top_brqrv_exu_i_mul_rs2_x_15),
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[15]),
+	.S(brqrv_top_brqrv_exu_i_mul_rs1_x[1]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_24), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g29927 (
+	.A0(FE_DBTN34_brqrv_top_brqrv_exu_i_mul_rs2_x_17),
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[17]),
+	.S(brqrv_top_brqrv_exu_i_mul_rs1_x[1]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_23), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g29928 (
+	.A0(FE_DBTN28_brqrv_top_brqrv_exu_i_mul_rs2_x_3),
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[3]),
+	.S(brqrv_top_brqrv_exu_i_mul_rs1_x[1]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_22), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g29933 (
+	.A_N(brqrv_top_brqrv_exu_i_mul_rs2_x[17]),
+	.B(brqrv_top_brqrv_exu_i_mul_rs2_x[18]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_17), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g29934 (
+	.A0(brqrv_top_brqrv_exu_i_mul_rs2_x[15]),
+	.A1(FE_DBTN33_brqrv_top_brqrv_exu_i_mul_rs2_x_15),
+	.S(brqrv_top_brqrv_exu_i_mul_rs1_x[0]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_16), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g29935 (
+	.A0(brqrv_top_brqrv_exu_i_mul_rs2_x[7]),
+	.A1(FE_DBTN30_brqrv_top_brqrv_exu_i_mul_rs2_x_7),
+	.S(brqrv_top_brqrv_exu_i_mul_rs1_x[0]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_15), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g29936 (
+	.A0(FE_DBTN27_brqrv_top_brqrv_exu_i_mul_rs2_x_1),
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[1]),
+	.S(brqrv_top_brqrv_exu_i_mul_rs1_x[0]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_14), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g29937 (
+	.A0(brqrv_top_brqrv_exu_i_mul_rs2_x[5]),
+	.A1(FE_DBTN29_brqrv_top_brqrv_exu_i_mul_rs2_x_5),
+	.S(brqrv_top_brqrv_exu_i_mul_rs1_x[0]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_13), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g29938 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_213),
+	.B_N(brqrv_top_brqrv_exu_i_mul_rs1_x[0]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_12), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g29939 (
+	.A0(FE_DBTN32_brqrv_top_brqrv_exu_i_mul_rs2_x_11),
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[11]),
+	.S(brqrv_top_brqrv_exu_i_mul_rs1_x[21]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_11), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 brqrv_top_brqrv_exu_i_mul_mul_13128_30_g29940 (
+	.A0(brqrv_top_brqrv_exu_i_mul_rs2_x[7]),
+	.A1(FE_DBTN30_brqrv_top_brqrv_exu_i_mul_rs2_x_7),
+	.S(brqrv_top_brqrv_exu_i_mul_rs1_x[21]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_10), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2068 (
+	.A1_N(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_6),
+	.A2_N(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_251),
+	.B1(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_6),
+	.B2(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_251),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_adder3_out [35]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2069 (
+	.A1(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_249),
+	.A2(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_4),
+	.B1(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_5),
+	.Y(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_251), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2070 (
+	.A1_N(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_11),
+	.A2_N(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_249),
+	.B1(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_11),
+	.B2(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_249),
+	.Y(n_37106), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2071 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [30]),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_27),
+	.CIN(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_246),
+	.COUT(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_249),
+	.SUM(n_37105), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2072 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_25),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_28),
+	.CIN(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_244),
+	.COUT(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_246),
+	.SUM(n_37104), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2073 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_20),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_26),
+	.CIN(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_242),
+	.COUT(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_244),
+	.SUM(n_37103), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2074 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_29),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_21),
+	.CIN(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_240),
+	.COUT(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_242),
+	.SUM(n_37102), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2075 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_24),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_30),
+	.CIN(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_239),
+	.COUT(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_240),
+	.SUM(n_37101), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2076 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_237),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_115),
+	.Y(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_239), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2077 (
+	.A1_N(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_160),
+	.A2_N(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_236),
+	.B1(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_160),
+	.B2(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_236),
+	.Y(n_37100), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2078 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_236),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_103),
+	.Y(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_237), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2079 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_234),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_101),
+	.Y(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_236), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2080 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_152),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_233),
+	.Y(n_37099), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2081 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_233),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_88),
+	.Y(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_234), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2082 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_231),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_85),
+	.Y(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_233), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2083 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_151),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_230),
+	.Y(n_37098), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2084 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_230),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_129),
+	.Y(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_231), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2085 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_228),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_127),
+	.Y(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_230), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2086 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_150),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_227),
+	.Y(n_37097), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2087 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_227),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_122),
+	.Y(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_228), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2088 (
+	.A1(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_118),
+	.A2(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_225),
+	.B1(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_120),
+	.Y(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_227), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2089 (
+	.A1_N(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_159),
+	.A2_N(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_225),
+	.B1(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_159),
+	.B2(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_225),
+	.Y(n_37096), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2090 (
+	.A1(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_119),
+	.A2(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_223),
+	.B1(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_117),
+	.X(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_225), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2091 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_149),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_222),
+	.Y(n_37095), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2092 (
+	.A1(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_108),
+	.A2(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_219),
+	.B1(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_109),
+	.X(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_223), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2093 (
+	.A1(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_108),
+	.A2(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_217),
+	.B1(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_109),
+	.Y(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_222), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2094 (
+	.A1_N(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_158),
+	.A2_N(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_217),
+	.B1(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_158),
+	.B2(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_217),
+	.Y(n_37094), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2095 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_147),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_218),
+	.Y(n_37093), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2096 (
+	.A1(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_215),
+	.A2(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_79),
+	.B1(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_162),
+	.Y(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_219), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2097 (
+	.A_N(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_215),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_80),
+	.Y(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_218), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2098 (
+	.A1(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_214),
+	.A2(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_82),
+	.A3(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_79),
+	.B1(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_162),
+	.Y(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_217), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2099 (
+	.A1_N(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_157),
+	.A2_N(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_214),
+	.B1(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_157),
+	.B2(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_214),
+	.Y(n_37092), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2100 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_214),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_82),
+	.X(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_215), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2101 (
+	.A1(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_83),
+	.A2(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_212),
+	.B1(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_90),
+	.Y(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_214), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2102 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_133),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_211),
+	.Y(n_37091), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2103 (
+	.A1(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_113),
+	.A2(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_208),
+	.B1(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_81),
+	.X(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_212), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2104 (
+	.A1(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_113),
+	.A2(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_205),
+	.B1(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_81),
+	.Y(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_211), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2105 (
+	.A1_N(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_146),
+	.A2_N(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_205),
+	.B1(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_146),
+	.B2(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_205),
+	.Y(n_37090), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2106 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_144),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_206),
+	.Y(n_37089), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2107 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_163),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_207),
+	.Y(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_208), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2108 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_106),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_203),
+	.Y(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_207), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2109 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_203),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_107),
+	.Y(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_206), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2110 (
+	.A1(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_202),
+	.A2(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_156),
+	.B1(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_163),
+	.Y(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_205), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2111 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_143),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_202),
+	.Y(n_37088), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2112 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_202),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_111),
+	.Y(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_203), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2113 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_200),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_121),
+	.Y(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_202), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2114 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_148),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_199),
+	.Y(n_37087), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2115 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_199),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_132),
+	.Y(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_200), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2116 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_197),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_125),
+	.Y(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_199), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2117 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_142),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_196),
+	.Y(n_37086), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2118 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_196),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_94),
+	.Y(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_197), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2119 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_194),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_131),
+	.Y(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_196), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2120 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_141),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_193),
+	.Y(n_37085), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2121 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_193),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_116),
+	.Y(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_194), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2122 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_22),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_23),
+	.CIN(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_191),
+	.COUT(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_193),
+	.SUM(n_37084), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2123 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_189),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_114),
+	.Y(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_191), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2124 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_154),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_188),
+	.Y(n_37083), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2125 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_188),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_123),
+	.Y(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_189), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2126 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_186),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_124),
+	.Y(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_188), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2127 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_140),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_185),
+	.Y(n_37082), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2128 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_185),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_126),
+	.Y(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_186), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2129 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_183),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_130),
+	.Y(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_185), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2130 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_139),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_182),
+	.Y(n_37081), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2131 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_182),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_104),
+	.Y(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_183), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2132 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_180),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_102),
+	.Y(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_182), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2133 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_138),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_179),
+	.Y(n_37080), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2134 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_179),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_100),
+	.Y(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_180), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2135 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_177),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_99),
+	.Y(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_179), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2136 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_153),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_176),
+	.Y(n_37079), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2137 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_176),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_97),
+	.Y(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_177), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2138 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_174),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_96),
+	.Y(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_176), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2139 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_137),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_173),
+	.Y(n_37078), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2140 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_173),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_95),
+	.Y(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_174), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2141 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_171),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_93),
+	.Y(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_173), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2142 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_136),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_170),
+	.Y(n_37077), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2143 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_170),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_89),
+	.Y(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_171), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2144 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_168),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_87),
+	.Y(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_170), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2145 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_135),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_167),
+	.Y(n_37076), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2146 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_167),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_86),
+	.Y(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_168), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2147 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_165),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_84),
+	.Y(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_167), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2148 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_155),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_161),
+	.Y(n_37075), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2149 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_161),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_91),
+	.Y(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_165), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2150 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_112),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_134),
+	.X(n_37074), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2151 (
+	.A1(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_107),
+	.A2(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_106),
+	.B1(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_128),
+	.Y(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_163), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2152 (
+	.A1(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_80),
+	.A2(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_78),
+	.B1(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_105),
+	.Y(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_162), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2153 (
+	.A1(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_112),
+	.A2(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_92),
+	.B1(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_98),
+	.Y(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_161), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2154 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_106),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_110),
+	.Y(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_156), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2155 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_91),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_84),
+	.Y(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_155), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2156 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_123),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_114),
+	.Y(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_154), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2157 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_97),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_99),
+	.Y(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_153), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2158 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_103),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_115),
+	.Y(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_160), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2159 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_88),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_101),
+	.Y(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_152), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2160 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_129),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_85),
+	.Y(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_151), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2161 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_122),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_127),
+	.Y(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_150), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2162 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_118),
+	.B_N(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_120),
+	.Y(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_159), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2163 (
+	.A_N(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_119),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_117),
+	.Y(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_149), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2164 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_132),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_121),
+	.Y(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_148), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2165 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_108),
+	.B_N(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_109),
+	.Y(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_158), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2166 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_79),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_105),
+	.Y(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_147), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2167 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_82),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_80),
+	.Y(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_157), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2168 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_3),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_19),
+	.Y(n_37073), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2169 (
+	.A_N(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_106),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_128),
+	.Y(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_144), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2170 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_111),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_107),
+	.Y(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_143), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2171 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_94),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_125),
+	.Y(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_142), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2172 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_116),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_131),
+	.Y(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_141), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2173 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_126),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_124),
+	.Y(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_140), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2174 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_104),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_130),
+	.Y(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_139), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2175 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_100),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_102),
+	.Y(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_138), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2176 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_95),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_96),
+	.Y(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_137), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2177 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_89),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_93),
+	.Y(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_136), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2178 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_86),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_87),
+	.Y(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_135), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2179 (
+	.A_N(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_92),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_98),
+	.Y(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_134), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2180 (
+	.A_N(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_83),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_90),
+	.Y(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_133), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2181 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_113),
+	.B_N(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_81),
+	.Y(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_146), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2182 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_110),
+	.Y(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_111), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2183 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_66),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_71),
+	.X(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_132), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2184 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_62),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_58),
+	.Y(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_131), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2185 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_50),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_45),
+	.Y(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_130), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2186 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_74),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_38),
+	.X(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_129), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2187 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_77),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_72),
+	.Y(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_128), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2188 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_75),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_68),
+	.Y(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_127), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2189 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_49),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_54),
+	.X(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_126), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2190 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_67),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_61),
+	.Y(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_125), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2191 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_54),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_49),
+	.Y(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_124), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2192 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_53),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_57),
+	.X(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_123), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2193 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_68),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_75),
+	.X(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_122), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2194 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_71),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_66),
+	.Y(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_121), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2195 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_69),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_59),
+	.Y(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_120), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2196 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_55),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_60),
+	.Y(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_119), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2197 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_59),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_69),
+	.Y(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_118), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2198 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_60),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_55),
+	.Y(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_117), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2199 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_58),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_62),
+	.X(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_116), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2200 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_65),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_51),
+	.Y(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_115), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2201 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_57),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_53),
+	.Y(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_114), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2202 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_76),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_32),
+	.Y(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_113), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2203 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_18),
+	.B_N(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_3),
+	.Y(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_112), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2204 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_70),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_73),
+	.Y(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_110), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2205 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_56),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_47),
+	.Y(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_109), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2206 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_47),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_56),
+	.Y(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_108), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2207 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_73),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_70),
+	.Y(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_107), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2208 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_72),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_77),
+	.Y(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_106), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2209 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_78),
+	.Y(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_79), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2210 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_48),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_41),
+	.Y(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_105), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2211 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_45),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_50),
+	.X(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_104), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2212 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_51),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_65),
+	.X(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_103), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2213 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_46),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_43),
+	.Y(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_102), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2214 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_52),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_37),
+	.Y(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_101), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2215 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_43),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_46),
+	.X(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_100), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2216 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_44),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_39),
+	.Y(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_99), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2217 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_14),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_1),
+	.Y(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_98), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2218 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_39),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_44),
+	.X(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_97), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2219 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_40),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_63),
+	.Y(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_96), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2220 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_63),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_40),
+	.X(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_95), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2221 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_61),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_67),
+	.X(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_94), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2222 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_64),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_33),
+	.Y(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_93), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2223 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_1),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_14),
+	.Y(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_92), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2224 (
+	.A(n_42448),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_15),
+	.X(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_91), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2225 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_36),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_31),
+	.Y(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_90), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2226 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_33),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_64),
+	.X(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_89), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2227 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_37),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_52),
+	.X(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_88), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2228 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_34),
+	.B(n_42447),
+	.Y(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_87), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2229 (
+	.A(n_42447),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_34),
+	.X(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_86), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2230 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_38),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_74),
+	.Y(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_85), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2231 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_15),
+	.B(n_42448),
+	.Y(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_84), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2232 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_31),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_36),
+	.Y(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_83), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2233 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_35),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_42),
+	.X(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_82), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2234 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_32),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_76),
+	.Y(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_81), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2235 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_42),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_35),
+	.Y(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_80), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2236 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_41),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_48),
+	.Y(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_78), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2237 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [26]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [29]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [28]),
+	.COUT(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_29),
+	.SUM(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_30), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2238 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [14]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [17]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [16]),
+	.COUT(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_76),
+	.SUM(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_77), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2239 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [22]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [25]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [24]),
+	.COUT(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_74),
+	.SUM(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_75), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2240 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [29]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [33]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [31]),
+	.COUT(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_27),
+	.SUM(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_28), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2241 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [13]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [16]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [15]),
+	.COUT(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_72),
+	.SUM(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_73), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2242 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [28]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [31]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [30]),
+	.COUT(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_25),
+	.SUM(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_26), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2243 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [12]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [15]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [14]),
+	.COUT(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_70),
+	.SUM(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_71), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2244 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [21]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [24]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [23]),
+	.COUT(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_68),
+	.SUM(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_69), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2245 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [11]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [14]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [13]),
+	.COUT(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_66),
+	.SUM(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_67), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2246 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [25]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [28]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [27]),
+	.COUT(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_24),
+	.SUM(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_65), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2247 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [2]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [5]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [4]),
+	.COUT(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_63),
+	.SUM(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_64), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2248 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [10]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [13]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [12]),
+	.COUT(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_61),
+	.SUM(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_62), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2249 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [20]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [23]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [22]),
+	.COUT(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_59),
+	.SUM(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_60), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2250 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [9]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [12]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [11]),
+	.COUT(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_58),
+	.SUM(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_23), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2251 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [8]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [11]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [10]),
+	.COUT(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_22),
+	.SUM(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_57), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2252 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [27]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [30]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [29]),
+	.COUT(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_20),
+	.SUM(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_21), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2253 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [19]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [22]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [21]),
+	.COUT(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_55),
+	.SUM(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_56), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2254 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [7]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [10]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [9]),
+	.COUT(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_53),
+	.SUM(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_54), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2255 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [24]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [27]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [26]),
+	.COUT(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_51),
+	.SUM(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_52), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2256 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [6]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [9]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [8]),
+	.COUT(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_49),
+	.SUM(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_50), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2257 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [18]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [21]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [20]),
+	.COUT(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_47),
+	.SUM(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_48), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2258 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [5]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [8]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [7]),
+	.COUT(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_45),
+	.SUM(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_46), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2259 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [4]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [7]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [6]),
+	.COUT(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_43),
+	.SUM(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_44), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2260 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [17]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [20]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [19]),
+	.COUT(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_41),
+	.SUM(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_42), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2261 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [3]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [6]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [5]),
+	.COUT(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_39),
+	.SUM(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_40), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2262 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [0]),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_7),
+	.COUT(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_18),
+	.SUM(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_19), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2263 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [23]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [26]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [25]),
+	.COUT(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_37),
+	.SUM(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_38), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2264 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [16]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [19]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [18]),
+	.COUT(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_35),
+	.SUM(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_36), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2265 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [1]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [4]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [3]),
+	.COUT(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_33),
+	.SUM(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_34), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2266 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [15]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [18]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [17]),
+	.COUT(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_31),
+	.SUM(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_32), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2269 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [2]),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_8),
+	.X(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_15), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2270 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [1]),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_9),
+	.X(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_14), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2273 (
+	.A_N(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_5),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_4),
+	.Y(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_11), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2274 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [30]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [0]),
+	.B1(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_3),
+	.X(n_37072), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2275 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [32]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [2]),
+	.X(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_9), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2276 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [0]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [3]),
+	.X(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_8), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2277 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [31]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [1]),
+	.X(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2278 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [32]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [31]),
+	.Y(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2279 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [31]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [33]),
+	.Y(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2280 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [33]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [31]),
+	.Y(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2281 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [0]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [30]),
+	.Y(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_g2283 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [1]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [31]),
+	.X(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12684_77_groupi_n_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_2 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2514 (
+	.A1_N(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_141),
+	.A2_N(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_312),
+	.B1(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_141),
+	.B2(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_312),
+	.Y(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_2 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2515 (
+	.A1_N(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_163),
+	.A2_N(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_314),
+	.B1(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_163),
+	.B2(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_314),
+	.Y(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2516 (
+	.A1_N(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_159),
+	.A2_N(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_311),
+	.B1(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_159),
+	.B2(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_311),
+	.Y(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2517 (
+	.A1_N(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_162),
+	.A2_N(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_313),
+	.B1(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_162),
+	.B2(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_313),
+	.Y(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_2 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2518 (
+	.A1_N(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_138),
+	.A2_N(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_300),
+	.B1(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_138),
+	.B2(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_300),
+	.Y(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2519 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_303),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_50),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_314), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2520 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_304),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_42),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_313), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2521 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_302),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_35),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_312), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2522 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_301),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_111),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_311), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2524 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_125),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_31),
+	.Y(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2525 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_148),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_299),
+	.Y(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2526 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_147),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_298),
+	.Y(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2527 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_126),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_30),
+	.Y(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2528 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_146),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_291),
+	.Y(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2529 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_297),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_49),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_304), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2530 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_299),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_101),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_303), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2531 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_297),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_152),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_302), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2532 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_298),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_39),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_301), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2533 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_32),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_17),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_300), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2537 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_18),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_167),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_299), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2538 (
+	.A(n_43959),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_168),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_298), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2539 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_289),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_225),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_297), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2541 (
+	.A1_N(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_156),
+	.A2_N(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_29),
+	.B1(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_156),
+	.B2(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_29),
+	.Y(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2543 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_144),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_284),
+	.Y(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2544 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_143),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_288),
+	.Y(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2545 (
+	.A1(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_83),
+	.A2(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_285),
+	.B1(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_43),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_291), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2549 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_287),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_169),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_289), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2550 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_279),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_41),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_288), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2552 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_276),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_237),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_287), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2556 (
+	.A1(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_273),
+	.A2(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_153),
+	.B1(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_173),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_285), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2557 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_277),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_87),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_284), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2558 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_273),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_145),
+	.Y(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2559 (
+	.A1_N(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_160),
+	.A2_N(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_28),
+	.B1(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_160),
+	.B2(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_28),
+	.Y(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2560 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_142),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_275),
+	.Y(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2561 (
+	.A1_N(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_203),
+	.A2_N(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_274),
+	.B1(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_203),
+	.B2(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_274),
+	.Y(brqrv_top_dccm_rd_addr_hi[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2562 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_28),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_44),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_279), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2563 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_273),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_172),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_278), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2564 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_273),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_47),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_277), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2565 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_273),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_19),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_276), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2567 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_269),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_15),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_275), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2568 (
+	.A1(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_188),
+	.A2(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_267),
+	.B1(n_43570),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_274), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2569 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_268),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_245),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_273), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2570 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_38),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_264),
+	.Y(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2571 (
+	.A1_N(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_201),
+	.A2_N(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_267),
+	.B1(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_201),
+	.B2(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_267),
+	.Y(brqrv_top_dccm_rd_addr_hi[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2572 (
+	.A1_N(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_202),
+	.A2_N(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_266),
+	.B1(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_202),
+	.B2(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_266),
+	.Y(brqrv_top_dccm_rd_addr_hi[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2573 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_265),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_52),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_269), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2574 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_265),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_214),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_268), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2575 (
+	.A1(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_55),
+	.A2(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_210),
+	.B1(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_218),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_267), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2576 (
+	.A1(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_183),
+	.A2(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_260),
+	.B1(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_192),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_266), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2577 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_265),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_264), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2578 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_262),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_244),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_265), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2579 (
+	.A1_N(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_206),
+	.A2_N(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_55),
+	.B1(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_206),
+	.B2(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_55),
+	.Y(brqrv_top_dccm_rd_addr_hi[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2580 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_55),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_215),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_262), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2582 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_55),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_260), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2584 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_258),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_20),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_55), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2585 (
+	.A1_N(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_207),
+	.A2_N(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_255),
+	.B1(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_207),
+	.B2(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_255),
+	.Y(brqrv_top_dccm_rd_addr_hi[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2586 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_255),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_21),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_258), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2588 (
+	.A1_N(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_208),
+	.A2_N(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_27),
+	.B1(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_208),
+	.B2(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_27),
+	.Y(brqrv_top_dccm_rd_addr_hi[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2589 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_253),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_22),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_255), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2590 (
+	.A1_N(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_211),
+	.A2_N(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_252),
+	.B1(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_211),
+	.B2(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_252),
+	.Y(brqrv_top_dccm_rd_addr_hi[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2591 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_251),
+	.B(n_43958),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_253), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2593 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_251),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_252), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2594 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_249),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_217),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_251), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2596 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_248),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_205),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_249), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2597 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_246),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_222),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_248), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2598 (
+	.A1_N(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_230),
+	.A2_N(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_243),
+	.B1(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_230),
+	.B2(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_243),
+	.Y(brqrv_top_dccm_rd_addr_hi[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2599 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_243),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_23),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_246), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2601 (
+	.A1(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_234),
+	.A2(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_88),
+	.B1(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_121),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_245), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2602 (
+	.A1(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_233),
+	.A2(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_182),
+	.B1(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_186),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_244), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2603 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_240),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_221),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_243), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2604 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_241),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_239),
+	.Y(brqrv_top_dccm_rd_addr_hi[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2605 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_229),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_236),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_241), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2606 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_54),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_220),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_240), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2607 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_228),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_54),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_239), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2608 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_235),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_35),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_238), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2609 (
+	.A1(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_227),
+	.A2(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_170),
+	.B1(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_226),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_237), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2610 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_54),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_236), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2612 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_224),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_152),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_235), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2613 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_231),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_41),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_234), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2614 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_232),
+	.B(n_43570),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_233), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2615 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_218),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_187),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_232), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2616 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_216),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_44),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_231), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2617 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_23),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_222),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_230), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2618 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_228),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_229), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2619 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_221),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_220),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_228), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2621 (
+	.A1(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_113),
+	.A2(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_198),
+	.B1(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_119),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_227), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2622 (
+	.A1(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_108),
+	.A2(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_199),
+	.B1(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_98),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_226), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2623 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_224),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_225), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2624 (
+	.A1(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_105),
+	.A2(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_200),
+	.B1(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_99),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_224), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2625 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_219),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_195),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_54), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2626 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_164),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_197),
+	.Y(brqrv_top_brqrv_lsu_end_addr_d[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2627 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_131),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_165),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_222), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2629 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_184),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_176),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_221), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2630 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_213),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_177),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_220), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2631 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_24),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_164),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_219), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2632 (
+	.A1(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_192),
+	.A2(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_189),
+	.B1(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_194),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_218), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2633 (
+	.A1(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_191),
+	.A2(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_193),
+	.B1(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_185),
+	.X(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_217), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2635 (
+	.A1(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_15),
+	.A2(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_112),
+	.B1(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_95),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_216), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2636 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_204),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_209),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_215), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2637 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_128),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_53),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_214), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2638 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_184),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_213), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2640 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_209),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_210), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2641 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_180),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_193),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_205), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2642 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_187),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_182),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_204), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2643 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_191),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_181),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_212), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2644 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_22),
+	.B(n_43958),
+	.X(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_211), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2645 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_190),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_26),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_209), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2646 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_193),
+	.B_N(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_185),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_208), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2647 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_21),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_20),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_207), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2648 (
+	.A_N(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_183),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_192),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_206), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2651 (
+	.A1(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_166),
+	.A2(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_101),
+	.B1_N(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_50),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_200), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2652 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_110),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_14),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_199), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2653 (
+	.A1(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_173),
+	.A2(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_84),
+	.B1_N(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_43),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_198), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2654 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_24),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_195),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_197), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2655 (
+	.A_N(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_186),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_182),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_203), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2656 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_194),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_190),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_202), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2657 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_52),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_51),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_53), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2658 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_188),
+	.B_N(n_43570),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_201), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2662 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_189),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_190), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2663 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_187),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_188), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2666 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_178),
+	.B(n_37973),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_195), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2667 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_5),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_37),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_194), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2668 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_13),
+	.B(n_36372),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_52), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2671 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_36),
+	.B(n_42462),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_193), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2672 (
+	.A(n_42468),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_175),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_192), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2673 (
+	.A(n_43569),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_96),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_191), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2674 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_37),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_5),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_189), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2675 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_33),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_123),
+	.C(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_93),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_187), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2676 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_26),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_183), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2677 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_180),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_181), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2678 (
+	.A1_N(n_37972),
+	.A2_N(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_133),
+	.B1(n_37972),
+	.B2(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_133),
+	.Y(brqrv_top_brqrv_lsu_end_addr_d[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2681 (
+	.A(n_42992),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_139),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_186), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2683 (
+	.A(n_42462),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_36),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_185), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2684 (
+	.A1_N(n_37974),
+	.A2_N(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_130),
+	.B1(n_37974),
+	.B2(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_130),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_184), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2688 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_139),
+	.B(n_42992),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_182), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2689 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_96),
+	.B(n_43569),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_180), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2695 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_176),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_177), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2700 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_90),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_175), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2703 (
+	.A(n_43567),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_172), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2705 (
+	.A(n_37944),
+	.B(n_37962),
+	.COUT(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_176),
+	.SUM(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_178), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2706 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_140),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_149),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_169), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2708 (
+	.A1(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_87),
+	.A2(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_102),
+	.B1(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_89),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_173), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2710 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_150),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_154),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_170), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2712 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_166),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_167), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2713 (
+	.A1(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_0),
+	.A2(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_115),
+	.B1(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_122),
+	.X(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_168), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2715 (
+	.A1(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_40),
+	.A2(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_104),
+	.B1(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_117),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_166), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2716 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_129),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_100),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_165), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2717 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_127),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_82),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_164), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2719 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_151),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_152), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2720 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_107),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_39),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_150), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2721 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_106),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_101),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_149), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2722 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_106),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_99),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_163), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2723 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_50),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_101),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_148), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2724 (
+	.A_N(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_86),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_94),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_162), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2725 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_48),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_40),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_161), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2726 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_41),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_44),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_160), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2727 (
+	.A_N(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_108),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_98),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_159), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2728 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_49),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_42),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_158), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2729 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_39),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_111),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_147), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2730 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_43),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_84),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_157), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2731 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_0),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_46),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_156), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2732 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_114),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_119),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_146), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2733 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_116),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_46),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_154), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2734 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_87),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_47),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_145), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2735 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_45),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_89),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_144), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2736 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_120),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_88),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_143), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2737 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_45),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_47),
+	.X(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_153), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2738 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_51),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_95),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_142), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2739 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_49),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_85),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_151), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2742 (
+	.A1(n_37963),
+	.A2(n_37974),
+	.B1(brqrv_top_brqrv_lsu_lsu_pkt_d[8]),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_129), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2743 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_88),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_44),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_128), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2745 (
+	.A1(n_37961),
+	.A2(n_37972),
+	.B1(n_37943),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_127), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2746 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_116),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_122),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_126), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2747 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_109),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_118),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_141), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2748 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_48),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_103),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_140), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2749 (
+	.A_N(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_104),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_117),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_125), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2750 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_12),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_13),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_139), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2751 (
+	.A1_N(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_65),
+	.A2_N(brqrv_top_brqrv_lsu_lsu_lsc_ctl_rs1_d[31]),
+	.B1(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_65),
+	.B2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_rs1_d[31]),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_138), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2758 (
+	.A(n_37943),
+	.B(n_37961),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_133), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2760 (
+	.A1(n_37964),
+	.A2(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_81),
+	.B1(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_64),
+	.B2(n_37975),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_131), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2761 (
+	.A(brqrv_top_brqrv_lsu_lsu_pkt_d[8]),
+	.B(n_37963),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_130), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2762 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_120),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_121), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2764 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_115),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_116), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2765 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_114),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_113), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2767 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_51),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_112), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2768 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_110),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_111), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2777 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_107),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_108), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2778 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_106),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_105), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2779 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_103),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_104), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2781 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_45),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_102), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2784 (
+	.A(n_37974),
+	.B(n_37963),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_100), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2786 (
+	.A(n_37982),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_79),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_123), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2792 (
+	.A(n_37953),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_57),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_122), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2793 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_59),
+	.B(n_36374),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_120), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2794 (
+	.A_N(n_37952),
+	.B(n_37951),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_119), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2795 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_65),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_rs1_d[29]),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_118), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2796 (
+	.A(n_37957),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_72),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_117), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2797 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_57),
+	.B(n_37953),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_115), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2798 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_62),
+	.B(n_37952),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_114), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2799 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_70),
+	.B(n_36373),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2801 (
+	.A(n_37955),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_57),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_110), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2802 (
+	.A(inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_n_16),
+	.B(n_37958),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_50), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2803 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_73),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_rs1_d[28]),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_49), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2804 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_71),
+	.B(n_37957),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_48), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2805 (
+	.A(n_88),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_59),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_47), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2806 (
+	.A(n_37953),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_76),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_46), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2807 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_77),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_rs1_d[30]),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_109), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2808 (
+	.A(n_37956),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_68),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_107), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2809 (
+	.A(n_37960),
+	.B(inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_n_16),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_106), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2810 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_58),
+	.B(n_37958),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_103), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2811 (
+	.A(inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_n_19),
+	.B(n_37950),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_45), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2812 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_72),
+	.B(n_37959),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_101), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2813 (
+	.A(FE_DBTN23_n_36373),
+	.B(n_36374),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_44), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2818 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_85),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_86), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2821 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_84),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_83), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2828 (
+	.A(n_37972),
+	.B(n_37961),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_82), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2831 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_73),
+	.B(n_37959),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_99), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2832 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_71),
+	.B(n_37955),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_98), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2833 (
+	.A(n_37977),
+	.B(n_37966),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_97), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2834 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_64),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_81),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_96), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2835 (
+	.A(n_36372),
+	.B(FE_DBTN23_n_36373),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_95), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2836 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_77),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_rs1_d[28]),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_94), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2837 (
+	.A(n_37981),
+	.B(n_37970),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_93), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2839 (
+	.A(n_37978),
+	.B(n_37967),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_91), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2841 (
+	.A(n_37979),
+	.B(n_42920),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_90), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2843 (
+	.A(n_88),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_78),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_89), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2844 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_74),
+	.B(n_36375),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_88), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2845 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_62),
+	.B(n_37950),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_43), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2846 (
+	.A(n_37960),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_56),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_42), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2847 (
+	.A(inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_n_19),
+	.B(n_36375),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_87), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2849 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_56),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_rs1_d[29]),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_85), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2850 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_74),
+	.B(n_36373),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_41), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2851 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_58),
+	.B(n_37956),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_40), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2852 (
+	.A(n_37951),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_78),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_84), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2853 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_57),
+	.B(n_37955),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_39), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2856 (
+	.A(n_37975),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_81), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2865 (
+	.A(n_37950),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_78), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2866 (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_rs1_d[29]),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_77), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2867 (
+	.A(n_37952),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_76), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2869 (
+	.A(n_36374),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_74), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2870 (
+	.A(n_37960),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_73), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2871 (
+	.A(n_37958),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_72), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2872 (
+	.A(n_37956),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_71), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2873 (
+	.A(n_36372),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_70), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2878 (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_rs1_d[30]),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_65), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2881 (
+	.A(n_37964),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_64), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2887 (
+	.A(n_37951),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_62), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2890 (
+	.A(n_36375),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_59), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2893 (
+	.A(n_37954),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_57), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2894 (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_rs1_d[28]),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_56), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_52),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_15),
+	.X(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_38), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2895 (
+	.A(n_37980),
+	.B(n_37969),
+	.X(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_0 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2896 (
+	.A(n_37976),
+	.B(n_37965),
+	.X(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_36), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2897 (
+	.A1(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_42),
+	.A2(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_86),
+	.B1(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_94),
+	.X(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_35), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2899 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_79),
+	.B(n_37982),
+	.X(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_33), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2900 (
+	.A_N(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_289),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_16),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_32), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21bo_2 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2901 (
+	.A1(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_48),
+	.A2(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_287),
+	.B1_N(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_40),
+	.X(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_31), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21bo_2 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2902 (
+	.A1(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_46),
+	.A2(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_29),
+	.B1_N(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_0),
+	.X(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_30), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_2 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2903 (
+	.A_N(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_227),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_278),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_29), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2904 (
+	.A1(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_53),
+	.A2(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_264),
+	.B1_N(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_216),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_28), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_0 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2905 (
+	.A1(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_248),
+	.A2(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_181),
+	.B1_N(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_191),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_27), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2906 (
+	.A_N(n_42468),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_90),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_26), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2908 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_178),
+	.B(n_37973),
+	.X(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_24), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2909 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_165),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_131),
+	.X(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_23), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2910 (
+	.A_N(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_97),
+	.B(n_43568),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_22), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2911 (
+	.A_N(n_42463),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_91),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_21), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2913 (
+	.A_N(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_91),
+	.B(n_42463),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_20), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2914 (
+	.A(n_43567),
+	.B_N(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_170),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_19), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2915 (
+	.A_N(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_140),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_287),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_18), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_0 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2917 (
+	.A1(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_238),
+	.A2(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_109),
+	.B1_N(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_118),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_17), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2918 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_151),
+	.B_N(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_109),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_16), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2919 (
+	.A_N(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_13),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_70),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_15), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2920 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_168),
+	.B_N(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_39),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_14), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2921 (
+	.A(n_37983),
+	.B_N(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_offset_d[11]),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_13), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2922 (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_offset_d[11]),
+	.B_N(n_37983),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_12), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2929 (
+	.A(n_37981),
+	.B(n_37970),
+	.X(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_g2934 (
+	.A_N(n_37953),
+	.B(n_37952),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 dec_brqrv_top_brqrv_dec_decode_sub_7413_71_g604 (
+	.A(brqrv_top_brqrv_dec_decode_write_csr_data[19]),
+	.B(dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_64),
+	.X(n_36838), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 dec_brqrv_top_brqrv_dec_decode_sub_7413_71_g605 (
+	.A(brqrv_top_brqrv_dec_decode_write_csr_data[31]),
+	.B(dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_60),
+	.X(n_36850), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 dec_brqrv_top_brqrv_dec_decode_sub_7413_71_g606 (
+	.A(brqrv_top_brqrv_dec_decode_write_csr_data[11]),
+	.B(dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_57),
+	.X(n_36830), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 dec_brqrv_top_brqrv_dec_decode_sub_7413_71_g607 (
+	.A1(dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_49),
+	.A2(brqrv_top_brqrv_dec_decode_write_csr_data[18]),
+	.B1(dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_64),
+	.X(n_36837), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 dec_brqrv_top_brqrv_dec_decode_sub_7413_71_g608 (
+	.A(brqrv_top_brqrv_dec_decode_write_csr_data[27]),
+	.B(dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_50),
+	.X(n_36846), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 dec_brqrv_top_brqrv_dec_decode_sub_7413_71_g609 (
+	.A(brqrv_top_brqrv_dec_decode_write_csr_data[29]),
+	.B(dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_51),
+	.X(n_36848), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 dec_brqrv_top_brqrv_dec_decode_sub_7413_71_g610 (
+	.A(brqrv_top_brqrv_dec_decode_write_csr_data[18]),
+	.B(dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_49),
+	.Y(dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_64), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 dec_brqrv_top_brqrv_dec_decode_sub_7413_71_g611 (
+	.A1(dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_53),
+	.A2(brqrv_top_brqrv_dec_decode_write_csr_data[30]),
+	.B1(dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_60),
+	.X(n_36849), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 dec_brqrv_top_brqrv_dec_decode_sub_7413_71_g612 (
+	.A(brqrv_top_brqrv_dec_decode_write_csr_data[25]),
+	.B(dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_44),
+	.X(n_36844), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 dec_brqrv_top_brqrv_dec_decode_sub_7413_71_g613 (
+	.A(brqrv_top_brqrv_dec_decode_write_csr_data[23]),
+	.B(dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_43),
+	.X(n_36842), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 dec_brqrv_top_brqrv_dec_decode_sub_7413_71_g614 (
+	.A(brqrv_top_brqrv_dec_decode_write_csr_data[30]),
+	.B(dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_53),
+	.Y(dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_60), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 dec_brqrv_top_brqrv_dec_decode_sub_7413_71_g615 (
+	.A1(dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_38),
+	.A2(brqrv_top_brqrv_dec_decode_write_csr_data[10]),
+	.B1(dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_57),
+	.X(n_36829), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 dec_brqrv_top_brqrv_dec_decode_sub_7413_71_g616 (
+	.A(brqrv_top_brqrv_dec_decode_write_csr_data[21]),
+	.B(dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_37),
+	.X(n_36840), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 dec_brqrv_top_brqrv_dec_decode_sub_7413_71_g617 (
+	.A(brqrv_top_brqrv_dec_decode_write_csr_data[10]),
+	.B(dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_38),
+	.Y(dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_57), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 dec_brqrv_top_brqrv_dec_decode_sub_7413_71_g618 (
+	.A1(dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_42),
+	.A2(brqrv_top_brqrv_dec_decode_write_csr_data[28]),
+	.B1(dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_51),
+	.X(n_36847), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 dec_brqrv_top_brqrv_dec_decode_sub_7413_71_g619 (
+	.A1(dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_45),
+	.A2(brqrv_top_brqrv_dec_decode_write_csr_data[26]),
+	.B1(dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_50),
+	.X(n_36845), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 dec_brqrv_top_brqrv_dec_decode_sub_7413_71_g620 (
+	.A1(dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_0),
+	.A2(dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_30),
+	.B1(dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_49),
+	.Y(n_36836), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 dec_brqrv_top_brqrv_dec_decode_sub_7413_71_g621 (
+	.A(brqrv_top_brqrv_dec_decode_write_csr_data[29]),
+	.B(brqrv_top_brqrv_dec_decode_write_csr_data[28]),
+	.C(dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_42),
+	.X(dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_53), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 dec_brqrv_top_brqrv_dec_decode_sub_7413_71_g622 (
+	.A(brqrv_top_brqrv_dec_decode_write_csr_data[15]),
+	.B(dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_29),
+	.X(n_36834), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 dec_brqrv_top_brqrv_dec_decode_sub_7413_71_g623 (
+	.A(brqrv_top_brqrv_dec_decode_write_csr_data[28]),
+	.B(dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_42),
+	.Y(dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 dec_brqrv_top_brqrv_dec_decode_sub_7413_71_g624 (
+	.A(brqrv_top_brqrv_dec_decode_write_csr_data[26]),
+	.B(dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_45),
+	.Y(dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_50), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 dec_brqrv_top_brqrv_dec_decode_sub_7413_71_g625 (
+	.A(dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_30),
+	.B(dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_0),
+	.Y(dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_49), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 dec_brqrv_top_brqrv_dec_decode_sub_7413_71_g626 (
+	.A1(dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_35),
+	.A2(brqrv_top_brqrv_dec_decode_write_csr_data[24]),
+	.B1(dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_44),
+	.X(n_36843), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 dec_brqrv_top_brqrv_dec_decode_sub_7413_71_g627 (
+	.A1(dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_36),
+	.A2(brqrv_top_brqrv_dec_decode_write_csr_data[22]),
+	.B1(dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_43),
+	.X(n_36841), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 dec_brqrv_top_brqrv_dec_decode_sub_7413_71_g628 (
+	.A(brqrv_top_brqrv_dec_decode_write_csr_data[13]),
+	.B(dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_26),
+	.X(n_36832), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 dec_brqrv_top_brqrv_dec_decode_sub_7413_71_g629 (
+	.A_N(dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_35),
+	.B(dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_3),
+	.Y(dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_45), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 dec_brqrv_top_brqrv_dec_decode_sub_7413_71_g630 (
+	.A(brqrv_top_brqrv_dec_decode_write_csr_data[24]),
+	.B(dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_35),
+	.Y(dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_44), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 dec_brqrv_top_brqrv_dec_decode_sub_7413_71_g631 (
+	.A(brqrv_top_brqrv_dec_decode_write_csr_data[22]),
+	.B(dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_36),
+	.Y(dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_43), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4b_1 dec_brqrv_top_brqrv_dec_decode_sub_7413_71_g632 (
+	.A(brqrv_top_brqrv_dec_decode_write_csr_data[27]),
+	.B(brqrv_top_brqrv_dec_decode_write_csr_data[26]),
+	.C(dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_35),
+	.D_N(dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_3),
+	.X(dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_42), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 dec_brqrv_top_brqrv_dec_decode_sub_7413_71_g633 (
+	.A1(dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_1),
+	.A2(dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_21),
+	.B1(dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_38),
+	.Y(n_36828), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 dec_brqrv_top_brqrv_dec_decode_sub_7413_71_g634 (
+	.A1(dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_31),
+	.A2(brqrv_top_brqrv_dec_decode_write_csr_data[20]),
+	.B1(dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_37),
+	.X(n_36839), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 dec_brqrv_top_brqrv_dec_decode_sub_7413_71_g635 (
+	.A(brqrv_top_brqrv_dec_decode_write_csr_data[7]),
+	.B(dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_20),
+	.X(n_36826), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 dec_brqrv_top_brqrv_dec_decode_sub_7413_71_g636 (
+	.A(dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_21),
+	.B(dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_1),
+	.Y(dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_38), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 dec_brqrv_top_brqrv_dec_decode_sub_7413_71_g637 (
+	.A(brqrv_top_brqrv_dec_decode_write_csr_data[20]),
+	.B(dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_31),
+	.Y(dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 dec_brqrv_top_brqrv_dec_decode_sub_7413_71_g638 (
+	.A_N(dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_31),
+	.B(dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_7),
+	.Y(dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_36), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4b_1 dec_brqrv_top_brqrv_dec_decode_sub_7413_71_g639 (
+	.A(brqrv_top_brqrv_dec_decode_write_csr_data[22]),
+	.B(brqrv_top_brqrv_dec_decode_write_csr_data[23]),
+	.C(dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_31),
+	.D_N(dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_7),
+	.X(dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_35), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 dec_brqrv_top_brqrv_dec_decode_sub_7413_71_g640 (
+	.A1(dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_22),
+	.A2(brqrv_top_brqrv_dec_decode_write_csr_data[16]),
+	.B1(dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_30),
+	.X(n_36835), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 dec_brqrv_top_brqrv_dec_decode_sub_7413_71_g641 (
+	.A1(dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_25),
+	.A2(brqrv_top_brqrv_dec_decode_write_csr_data[14]),
+	.B1(dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_29),
+	.X(n_36833), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 dec_brqrv_top_brqrv_dec_decode_sub_7413_71_g642 (
+	.A(brqrv_top_brqrv_dec_decode_write_csr_data[5]),
+	.B(dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_17),
+	.X(n_36824), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 dec_brqrv_top_brqrv_dec_decode_sub_7413_71_g643 (
+	.A_N(dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_22),
+	.B(dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_10),
+	.Y(dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_31), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 dec_brqrv_top_brqrv_dec_decode_sub_7413_71_g644 (
+	.A(brqrv_top_brqrv_dec_decode_write_csr_data[16]),
+	.B(dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_22),
+	.Y(dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_30), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 dec_brqrv_top_brqrv_dec_decode_sub_7413_71_g645 (
+	.A(brqrv_top_brqrv_dec_decode_write_csr_data[14]),
+	.B(dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_25),
+	.Y(dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_29), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 dec_brqrv_top_brqrv_dec_decode_sub_7413_71_g646 (
+	.A1(dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_19),
+	.A2(brqrv_top_brqrv_dec_decode_write_csr_data[12]),
+	.B1(dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_26),
+	.X(n_36831), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 dec_brqrv_top_brqrv_dec_decode_sub_7413_71_g647 (
+	.A(brqrv_top_brqrv_dec_decode_write_csr_data[3]),
+	.B(dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_13),
+	.X(n_36822), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 dec_brqrv_top_brqrv_dec_decode_sub_7413_71_g648 (
+	.A(brqrv_top_brqrv_dec_decode_write_csr_data[12]),
+	.B(dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_19),
+	.Y(dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_26), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 dec_brqrv_top_brqrv_dec_decode_sub_7413_71_g649 (
+	.A_N(dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_19),
+	.B(dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_5),
+	.Y(dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_25), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 dec_brqrv_top_brqrv_dec_decode_sub_7413_71_g650 (
+	.A1(dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_15),
+	.A2(brqrv_top_brqrv_dec_decode_write_csr_data[8]),
+	.B1(dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_21),
+	.X(n_36827), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 dec_brqrv_top_brqrv_dec_decode_sub_7413_71_g651 (
+	.A1(dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_16),
+	.A2(brqrv_top_brqrv_dec_decode_write_csr_data[6]),
+	.B1(dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_20),
+	.X(n_36825), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 dec_brqrv_top_brqrv_dec_decode_sub_7413_71_g652 (
+	.A(brqrv_top_brqrv_dec_decode_write_csr_data[15]),
+	.B(brqrv_top_brqrv_dec_decode_write_csr_data[14]),
+	.C(dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_12),
+	.D(dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_15),
+	.X(dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_22), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 dec_brqrv_top_brqrv_dec_decode_sub_7413_71_g653 (
+	.A(brqrv_top_brqrv_dec_decode_write_csr_data[8]),
+	.B(dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_15),
+	.Y(dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_21), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 dec_brqrv_top_brqrv_dec_decode_sub_7413_71_g654 (
+	.A(brqrv_top_brqrv_dec_decode_write_csr_data[6]),
+	.B(dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_16),
+	.Y(dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_20), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 dec_brqrv_top_brqrv_dec_decode_sub_7413_71_g655 (
+	.A_N(dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_15),
+	.B(dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_9),
+	.Y(dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_19), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 dec_brqrv_top_brqrv_dec_decode_sub_7413_71_g656 (
+	.A1(dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_11),
+	.A2(brqrv_top_brqrv_dec_decode_write_csr_data[4]),
+	.B1(dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_17),
+	.X(n_36823), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 dec_brqrv_top_brqrv_dec_decode_sub_7413_71_g657 (
+	.A(brqrv_top_brqrv_dec_decode_write_csr_data[4]),
+	.B(dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_11),
+	.Y(dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_17), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 dec_brqrv_top_brqrv_dec_decode_sub_7413_71_g658 (
+	.A_N(dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_11),
+	.B(dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_4),
+	.Y(dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_16), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4b_1 dec_brqrv_top_brqrv_dec_decode_sub_7413_71_g659 (
+	.A(brqrv_top_brqrv_dec_decode_write_csr_data[7]),
+	.B(brqrv_top_brqrv_dec_decode_write_csr_data[6]),
+	.C(dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_11),
+	.D_N(dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_4),
+	.X(dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_15), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 dec_brqrv_top_brqrv_dec_decode_sub_7413_71_g660 (
+	.A1(dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_6),
+	.A2(brqrv_top_brqrv_dec_decode_write_csr_data[2]),
+	.B1(dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_13),
+	.X(n_36821), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 dec_brqrv_top_brqrv_dec_decode_sub_7413_71_g661 (
+	.A(brqrv_top_brqrv_dec_decode_write_csr_data[2]),
+	.B(dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_6),
+	.Y(dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_13), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 dec_brqrv_top_brqrv_dec_decode_sub_7413_71_g662 (
+	.A(dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_9),
+	.B(dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_5),
+	.Y(dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_12), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 dec_brqrv_top_brqrv_dec_decode_sub_7413_71_g663 (
+	.A(brqrv_top_brqrv_dec_decode_write_csr_data[2]),
+	.B(brqrv_top_brqrv_dec_decode_write_csr_data[3]),
+	.C(dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_6),
+	.X(dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_11), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 dec_brqrv_top_brqrv_dec_decode_sub_7413_71_g664 (
+	.A(brqrv_top_brqrv_dec_decode_write_csr_data[17]),
+	.B(brqrv_top_brqrv_dec_decode_write_csr_data[19]),
+	.C(brqrv_top_brqrv_dec_decode_write_csr_data[18]),
+	.D(brqrv_top_brqrv_dec_decode_write_csr_data[16]),
+	.Y(dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_10), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 dec_brqrv_top_brqrv_dec_decode_sub_7413_71_g665 (
+	.A(brqrv_top_brqrv_dec_decode_write_csr_data[11]),
+	.B(brqrv_top_brqrv_dec_decode_write_csr_data[9]),
+	.C(brqrv_top_brqrv_dec_decode_write_csr_data[10]),
+	.D(brqrv_top_brqrv_dec_decode_write_csr_data[8]),
+	.Y(dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_9), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 dec_brqrv_top_brqrv_dec_decode_sub_7413_71_g666 (
+	.A(dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_6),
+	.B(dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_2),
+	.Y(n_36820), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 dec_brqrv_top_brqrv_dec_decode_sub_7413_71_g667 (
+	.A(brqrv_top_brqrv_dec_decode_write_csr_data[20]),
+	.B(brqrv_top_brqrv_dec_decode_write_csr_data[21]),
+	.Y(dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 dec_brqrv_top_brqrv_dec_decode_sub_7413_71_g668 (
+	.A(brqrv_top_brqrv_dec_decode_write_csr_data[0]),
+	.B(brqrv_top_brqrv_dec_decode_write_csr_data[1]),
+	.X(dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 dec_brqrv_top_brqrv_dec_decode_sub_7413_71_g669 (
+	.A(brqrv_top_brqrv_dec_decode_write_csr_data[12]),
+	.B(brqrv_top_brqrv_dec_decode_write_csr_data[13]),
+	.Y(dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 dec_brqrv_top_brqrv_dec_decode_sub_7413_71_g670 (
+	.A(brqrv_top_brqrv_dec_decode_write_csr_data[4]),
+	.B(brqrv_top_brqrv_dec_decode_write_csr_data[5]),
+	.Y(dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 dec_brqrv_top_brqrv_dec_decode_sub_7413_71_g671 (
+	.A(brqrv_top_brqrv_dec_decode_write_csr_data[24]),
+	.B(brqrv_top_brqrv_dec_decode_write_csr_data[25]),
+	.Y(dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 dec_brqrv_top_brqrv_dec_decode_sub_7413_71_g672 (
+	.A(brqrv_top_brqrv_dec_decode_write_csr_data[1]),
+	.B(brqrv_top_brqrv_dec_decode_write_csr_data[0]),
+	.Y(dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 dec_brqrv_top_brqrv_dec_decode_sub_7413_71_g673 (
+	.A(brqrv_top_brqrv_dec_decode_write_csr_data[9]),
+	.Y(dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 dec_brqrv_top_brqrv_dec_decode_sub_7413_71_g674 (
+	.A(brqrv_top_brqrv_dec_decode_write_csr_data[17]),
+	.Y(dec_brqrv_top_brqrv_dec_decode_sub_7413_71_n_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22742_35_g269 (
+	.A(brqrv_top_brqrv_exu_i0_pc_x[31]),
+	.B(inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22742_35_n_35),
+	.X(n_37942), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22742_35_g270 (
+	.A(brqrv_top_brqrv_exu_i0_pc_x[30]),
+	.B(inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22742_35_n_30),
+	.COUT(inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22742_35_n_35),
+	.SUM(n_37941), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22742_35_g271 (
+	.A1_N(brqrv_top_brqrv_exu_i0_pc_x[24]),
+	.A2_N(inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22742_35_n_28),
+	.B1(brqrv_top_brqrv_exu_i0_pc_x[24]),
+	.B2(inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22742_35_n_28),
+	.Y(n_37935), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22742_35_g272 (
+	.A(brqrv_top_brqrv_exu_i0_pc_x[28]),
+	.B(inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22742_35_n_24),
+	.Y(n_37939), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22742_35_g273 (
+	.A1_N(brqrv_top_brqrv_exu_i0_pc_x[26]),
+	.A2_N(inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22742_35_n_21),
+	.B1(brqrv_top_brqrv_exu_i0_pc_x[26]),
+	.B2(inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22742_35_n_21),
+	.Y(n_37937), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22742_35_g274 (
+	.A(brqrv_top_brqrv_exu_i0_pc_x[29]),
+	.B(inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22742_35_n_20),
+	.COUT(inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22742_35_n_30),
+	.SUM(n_37940), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22742_35_g275 (
+	.A1(brqrv_top_brqrv_exu_i0_pc_x[23]),
+	.A2(inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22742_35_n_26),
+	.B1(inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22742_35_n_28),
+	.X(n_37934), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22742_35_g276 (
+	.A(inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22742_35_n_26),
+	.B(brqrv_top_brqrv_exu_i0_pc_x[23]),
+	.Y(inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22742_35_n_28), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22742_35_g277 (
+	.A1(brqrv_top_brqrv_exu_i0_pc_x[27]),
+	.A2(inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22742_35_n_22),
+	.B1(inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22742_35_n_24),
+	.X(n_37938), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22742_35_g278 (
+	.A(brqrv_top_brqrv_exu_i0_pc_x[22]),
+	.B(inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22742_35_n_15),
+	.COUT(inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22742_35_n_26),
+	.SUM(n_37933), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22742_35_g279 (
+	.A(inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22742_35_n_22),
+	.B(brqrv_top_brqrv_exu_i0_pc_x[27]),
+	.Y(inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22742_35_n_24), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22742_35_g280 (
+	.A1(brqrv_top_brqrv_exu_i0_pc_x[25]),
+	.A2(inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22742_35_n_18),
+	.B1(inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22742_35_n_21),
+	.X(n_37936), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22742_35_g281 (
+	.A(inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22742_35_n_1),
+	.B_N(inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22742_35_n_18),
+	.Y(inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22742_35_n_22), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22742_35_g282 (
+	.A(inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22742_35_n_18),
+	.B(brqrv_top_brqrv_exu_i0_pc_x[25]),
+	.Y(inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22742_35_n_21), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4b_1 inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22742_35_g283 (
+	.A_N(inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22742_35_n_1),
+	.B(inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22742_35_n_18),
+	.C(brqrv_top_brqrv_exu_i0_pc_x[27]),
+	.D(brqrv_top_brqrv_exu_i0_pc_x[28]),
+	.X(inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22742_35_n_20), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22742_35_g284 (
+	.A(brqrv_top_brqrv_exu_i0_pc_x[20]),
+	.B(inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22742_35_n_11),
+	.Y(n_37931), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22742_35_g285 (
+	.A(inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22742_35_n_14),
+	.B_N(brqrv_top_brqrv_exu_i0_pc_x[22]),
+	.Y(inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22742_35_n_18), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22742_35_g286 (
+	.A1_N(brqrv_top_brqrv_exu_i0_pc_x[18]),
+	.A2_N(inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22742_35_n_8),
+	.B1(brqrv_top_brqrv_exu_i0_pc_x[18]),
+	.B2(inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22742_35_n_8),
+	.Y(n_37929), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22742_35_g287 (
+	.A(brqrv_top_brqrv_exu_i0_pc_x[21]),
+	.B(inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22742_35_n_7),
+	.COUT(inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22742_35_n_15),
+	.SUM(n_37932), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22742_35_g288 (
+	.A(inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22742_35_n_7),
+	.B(brqrv_top_brqrv_exu_i0_pc_x[21]),
+	.C(brqrv_top_brqrv_exu_i0_pc_x[24]),
+	.D(brqrv_top_brqrv_exu_i0_pc_x[23]),
+	.Y(inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22742_35_n_14), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22742_35_g289 (
+	.A1_N(brqrv_top_brqrv_exu_i0_pc_x[16]),
+	.A2_N(inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22742_35_n_5),
+	.B1(brqrv_top_brqrv_exu_i0_pc_x[16]),
+	.B2(inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22742_35_n_5),
+	.Y(n_37927), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22742_35_g290 (
+	.A1(brqrv_top_brqrv_exu_i0_pc_x[19]),
+	.A2(inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22742_35_n_9),
+	.B1(inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22742_35_n_11),
+	.X(n_37930), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22742_35_g291 (
+	.A(inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22742_35_n_9),
+	.B(brqrv_top_brqrv_exu_i0_pc_x[19]),
+	.Y(inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22742_35_n_11), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22742_35_g292 (
+	.A1(brqrv_top_brqrv_exu_i0_pc_x[17]),
+	.A2(inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22742_35_n_4),
+	.B1(inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22742_35_n_8),
+	.X(n_37928), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22742_35_g293 (
+	.A(inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22742_35_n_0),
+	.B_N(inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22742_35_n_4),
+	.Y(inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22742_35_n_9), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22742_35_g294 (
+	.A(inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22742_35_n_4),
+	.B(brqrv_top_brqrv_exu_i0_pc_x[17]),
+	.Y(inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22742_35_n_8), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4b_1 inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22742_35_g295 (
+	.A_N(inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22742_35_n_0),
+	.B(inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22742_35_n_4),
+	.C(brqrv_top_brqrv_exu_i0_pc_x[20]),
+	.D(brqrv_top_brqrv_exu_i0_pc_x[19]),
+	.X(inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22742_35_n_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22742_35_g296 (
+	.A1(brqrv_top_brqrv_exu_i0_pc_x[15]),
+	.A2(inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22742_35_n_3),
+	.B1(inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22742_35_n_5),
+	.X(n_37926), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22742_35_g297 (
+	.A(inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22742_35_n_3),
+	.B(brqrv_top_brqrv_exu_i0_pc_x[15]),
+	.Y(inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22742_35_n_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22742_35_g298 (
+	.A(inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22742_35_n_3),
+	.B(brqrv_top_brqrv_exu_i0_pc_x[15]),
+	.C(brqrv_top_brqrv_exu_i0_pc_x[16]),
+	.X(inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22742_35_n_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22742_35_g299 (
+	.A(brqrv_top_brqrv_exu_i0_pc_x[13]),
+	.B(brqrv_top_brqrv_exu_i0_pc_x[14]),
+	.COUT(inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22742_35_n_3),
+	.SUM(n_37925), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22742_35_g300 (
+	.A(brqrv_top_brqrv_exu_i0_pc_x[25]),
+	.B(brqrv_top_brqrv_exu_i0_pc_x[26]),
+	.Y(inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22742_35_n_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22742_35_g301 (
+	.A(brqrv_top_brqrv_exu_i0_pc_x[17]),
+	.B(brqrv_top_brqrv_exu_i0_pc_x[18]),
+	.Y(inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22742_35_n_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 inc_brqrv_top_brqrv_dec_tlu_add_9330_59_g376 (
+	.A(brqrv_top_brqrv_dec_tlu_mcyclel[27]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9330_59_n_52),
+	.Y(n_37714), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 inc_brqrv_top_brqrv_dec_tlu_add_9330_59_g377 (
+	.A(brqrv_top_brqrv_dec_tlu_mcyclel[19]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9330_59_n_47),
+	.Y(n_37706), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_9330_59_g378 (
+	.A1(brqrv_top_brqrv_dec_tlu_mcyclel[26]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_9330_59_n_49),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_9330_59_n_52),
+	.X(n_37713), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_9330_59_g379 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_9330_59_n_49),
+	.B(brqrv_top_brqrv_dec_tlu_mcyclel[26]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9330_59_n_52), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_9330_59_g380 (
+	.A1(brqrv_top_brqrv_dec_tlu_mcyclel[18]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_9330_59_n_44),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_9330_59_n_47),
+	.X(n_37705), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 inc_brqrv_top_brqrv_dec_tlu_add_9330_59_g381 (
+	.A(brqrv_top_brqrv_dec_tlu_mcyclel[31]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9330_59_n_37),
+	.Y(n_37718), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9330_59_g382 (
+	.A(brqrv_top_brqrv_dec_tlu_mcyclel[25]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9330_59_n_38),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9330_59_n_49),
+	.SUM(n_37712), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_9330_59_g383 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_9330_59_n_44),
+	.B(brqrv_top_brqrv_dec_tlu_mcyclel[18]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9330_59_n_47), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 inc_brqrv_top_brqrv_dec_tlu_add_9330_59_g384 (
+	.A(brqrv_top_brqrv_dec_tlu_mcyclel[23]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9330_59_n_30),
+	.Y(n_37710), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 inc_brqrv_top_brqrv_dec_tlu_add_9330_59_g385 (
+	.A(brqrv_top_brqrv_dec_tlu_mcyclel[29]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9330_59_n_29),
+	.Y(n_37716), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9330_59_g386 (
+	.A(brqrv_top_brqrv_dec_tlu_mcyclel[17]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9330_59_n_32),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9330_59_n_44),
+	.SUM(n_37704), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 inc_brqrv_top_brqrv_dec_tlu_add_9330_59_g387 (
+	.A(brqrv_top_brqrv_dec_tlu_mcyclel[21]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9330_59_n_22),
+	.Y(n_37708), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_9330_59_g388 (
+	.A1(brqrv_top_brqrv_dec_tlu_mcyclel[30]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_9330_59_n_31),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_9330_59_n_37),
+	.X(n_37717), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 inc_brqrv_top_brqrv_dec_tlu_add_9330_59_g389 (
+	.A(brqrv_top_brqrv_dec_tlu_mcyclel[15]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9330_59_n_18),
+	.Y(n_37702), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9330_59_g390 (
+	.A(brqrv_top_brqrv_dec_tlu_mcyclel[24]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9330_59_n_17),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9330_59_n_38),
+	.SUM(n_37711), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_9330_59_g391 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_9330_59_n_31),
+	.B(brqrv_top_brqrv_dec_tlu_mcyclel[30]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9330_59_n_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_9330_59_g392 (
+	.A1(brqrv_top_brqrv_dec_tlu_mcyclel[22]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_9330_59_n_23),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_9330_59_n_30),
+	.X(n_37709), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_9330_59_g393 (
+	.A1(brqrv_top_brqrv_dec_tlu_mcyclel[28]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_9330_59_n_24),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_9330_59_n_29),
+	.X(n_37715), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 inc_brqrv_top_brqrv_dec_tlu_add_9330_59_g394 (
+	.A(brqrv_top_brqrv_dec_tlu_mcyclel[13]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9330_59_n_25),
+	.X(n_37700), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9330_59_g395 (
+	.A(brqrv_top_brqrv_dec_tlu_mcyclel[16]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9330_59_n_14),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9330_59_n_32),
+	.SUM(n_37703), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 inc_brqrv_top_brqrv_dec_tlu_add_9330_59_g396 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_9330_59_n_1),
+	.B_N(inc_brqrv_top_brqrv_dec_tlu_add_9330_59_n_24),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9330_59_n_31), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_9330_59_g397 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_9330_59_n_23),
+	.B(brqrv_top_brqrv_dec_tlu_mcyclel[22]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9330_59_n_30), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_9330_59_g398 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_9330_59_n_24),
+	.B(brqrv_top_brqrv_dec_tlu_mcyclel[28]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9330_59_n_29), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_9330_59_g399 (
+	.A1(brqrv_top_brqrv_dec_tlu_mcyclel[20]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_9330_59_n_19),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_9330_59_n_22),
+	.X(n_37707), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 inc_brqrv_top_brqrv_dec_tlu_add_9330_59_g400 (
+	.A(brqrv_top_brqrv_dec_tlu_mcyclel[11]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9330_59_n_12),
+	.Y(n_37698), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9330_59_g401 (
+	.A(brqrv_top_brqrv_dec_tlu_mcyclel[12]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9330_59_n_11),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9330_59_n_25),
+	.SUM(n_37699), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 inc_brqrv_top_brqrv_dec_tlu_add_9330_59_g402 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_9330_59_n_3),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9330_59_n_16),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9330_59_n_24), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 inc_brqrv_top_brqrv_dec_tlu_add_9330_59_g403 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_9330_59_n_2),
+	.B_N(inc_brqrv_top_brqrv_dec_tlu_add_9330_59_n_19),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9330_59_n_23), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_9330_59_g404 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_9330_59_n_19),
+	.B(brqrv_top_brqrv_dec_tlu_mcyclel[20]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9330_59_n_22), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4_1 inc_brqrv_top_brqrv_dec_tlu_add_9330_59_g405 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_9330_59_n_17),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9330_59_n_7),
+	.C(brqrv_top_brqrv_dec_tlu_mcyclel[30]),
+	.D(brqrv_top_brqrv_dec_tlu_mcyclel[31]),
+	.X(brqrv_top_brqrv_dec_tlu_mcyclel_cout), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_9330_59_g406 (
+	.A1(brqrv_top_brqrv_dec_tlu_mcyclel[14]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_9330_59_n_15),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_9330_59_n_18),
+	.X(n_37701), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 inc_brqrv_top_brqrv_dec_tlu_add_9330_59_g407 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_9330_59_n_4),
+	.B_N(inc_brqrv_top_brqrv_dec_tlu_add_9330_59_n_14),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9330_59_n_19), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_9330_59_g408 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_9330_59_n_15),
+	.B(brqrv_top_brqrv_dec_tlu_mcyclel[14]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9330_59_n_18), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 inc_brqrv_top_brqrv_dec_tlu_add_9330_59_g409 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_9330_59_n_16),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9330_59_n_17), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 inc_brqrv_top_brqrv_dec_tlu_add_9330_59_g410 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_9330_59_n_14),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9330_59_n_8),
+	.C(brqrv_top_brqrv_dec_tlu_mcyclel[23]),
+	.D(brqrv_top_brqrv_dec_tlu_mcyclel[22]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9330_59_n_16), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 inc_brqrv_top_brqrv_dec_tlu_add_9330_59_g411 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_9330_59_n_0),
+	.B_N(inc_brqrv_top_brqrv_dec_tlu_add_9330_59_n_11),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9330_59_n_15), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4b_1 inc_brqrv_top_brqrv_dec_tlu_add_9330_59_g412 (
+	.A_N(inc_brqrv_top_brqrv_dec_tlu_add_9330_59_n_0),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9330_59_n_11),
+	.C(brqrv_top_brqrv_dec_tlu_mcyclel[15]),
+	.D(brqrv_top_brqrv_dec_tlu_mcyclel[14]),
+	.X(inc_brqrv_top_brqrv_dec_tlu_add_9330_59_n_14), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 inc_brqrv_top_brqrv_dec_tlu_add_9330_59_g413 (
+	.A(brqrv_top_brqrv_dec_tlu_mcyclel[10]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9330_59_n_10),
+	.X(n_37697), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_9330_59_g414 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_9330_59_n_10),
+	.B(brqrv_top_brqrv_dec_tlu_mcyclel[10]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9330_59_n_12), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 inc_brqrv_top_brqrv_dec_tlu_add_9330_59_g415 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_9330_59_n_10),
+	.B(brqrv_top_brqrv_dec_tlu_mcyclel[10]),
+	.C(brqrv_top_brqrv_dec_tlu_mcyclel[11]),
+	.X(inc_brqrv_top_brqrv_dec_tlu_add_9330_59_n_11), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9330_59_g416 (
+	.A(brqrv_top_brqrv_dec_tlu_mcyclel[9]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9330_59_n_5),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9330_59_n_10),
+	.SUM(n_37696), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 inc_brqrv_top_brqrv_dec_tlu_add_9330_59_g417 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_9330_59_n_2),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9330_59_n_4),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9330_59_n_8), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 inc_brqrv_top_brqrv_dec_tlu_add_9330_59_g418 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_9330_59_n_1),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9330_59_n_3),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9330_59_n_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9330_59_g419 (
+	.A(brqrv_top_brqrv_dec_tlu_mcyclel[8]),
+	.B(brqrv_top_brqrv_dec_tlu_mcyclela_cout),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9330_59_n_5),
+	.SUM(n_37695), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 inc_brqrv_top_brqrv_dec_tlu_add_9330_59_g420 (
+	.A(brqrv_top_brqrv_dec_tlu_mcyclel[17]),
+	.B(brqrv_top_brqrv_dec_tlu_mcyclel[16]),
+	.C(brqrv_top_brqrv_dec_tlu_mcyclel[18]),
+	.D(brqrv_top_brqrv_dec_tlu_mcyclel[19]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9330_59_n_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 inc_brqrv_top_brqrv_dec_tlu_add_9330_59_g421 (
+	.A(brqrv_top_brqrv_dec_tlu_mcyclel[25]),
+	.B(brqrv_top_brqrv_dec_tlu_mcyclel[24]),
+	.C(brqrv_top_brqrv_dec_tlu_mcyclel[26]),
+	.D(brqrv_top_brqrv_dec_tlu_mcyclel[27]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9330_59_n_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_9330_59_g422 (
+	.A(brqrv_top_brqrv_dec_tlu_mcyclel[21]),
+	.B(brqrv_top_brqrv_dec_tlu_mcyclel[20]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9330_59_n_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_9330_59_g423 (
+	.A(brqrv_top_brqrv_dec_tlu_mcyclel[29]),
+	.B(brqrv_top_brqrv_dec_tlu_mcyclel[28]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9330_59_n_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_9330_59_g424 (
+	.A(brqrv_top_brqrv_dec_tlu_mcyclel[13]),
+	.B(brqrv_top_brqrv_dec_tlu_mcyclel[12]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9330_59_n_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_add_9350_43_g487 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mcycleh[19]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_65),
+	.B1(brqrv_top_brqrv_dec_tlu_mcycleh[19]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_65),
+	.Y(n_37869), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_add_9350_43_g488 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mcycleh[11]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_58),
+	.B1(brqrv_top_brqrv_dec_tlu_mcycleh[11]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_58),
+	.Y(n_37853), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 inc_brqrv_top_brqrv_dec_tlu_add_9350_43_g489 (
+	.A(brqrv_top_brqrv_dec_tlu_mcycleh[31]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_66),
+	.X(n_37893), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_9350_43_g490 (
+	.A1(brqrv_top_brqrv_dec_tlu_mcycleh[18]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_60),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_65),
+	.X(n_37867), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9350_43_g491 (
+	.A(brqrv_top_brqrv_dec_tlu_mcycleh[30]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_49),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_66),
+	.SUM(n_37891), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_9350_43_g492 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_60),
+	.B(brqrv_top_brqrv_dec_tlu_mcycleh[18]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_65), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_9350_43_g493 (
+	.A1(brqrv_top_brqrv_dec_tlu_mcycleh[10]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_52),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_58),
+	.X(n_37851), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_add_9350_43_g494 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mcycleh[27]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_43),
+	.B1(brqrv_top_brqrv_dec_tlu_mcycleh[27]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_43),
+	.Y(n_37885), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_add_9350_43_g495 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mcycleh[23]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_42),
+	.B1(brqrv_top_brqrv_dec_tlu_mcycleh[23]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_42),
+	.Y(n_37877), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 inc_brqrv_top_brqrv_dec_tlu_add_9350_43_g496 (
+	.A(brqrv_top_brqrv_dec_tlu_mcycleh[29]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_53),
+	.X(n_37889), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9350_43_g497 (
+	.A(brqrv_top_brqrv_dec_tlu_mcycleh[17]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_44),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_60),
+	.SUM(n_37865), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_9350_43_g498 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_52),
+	.B(brqrv_top_brqrv_dec_tlu_mcycleh[10]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_58), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_add_9350_43_g499 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mcycleh[25]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_31),
+	.B1(brqrv_top_brqrv_dec_tlu_mcycleh[25]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_31),
+	.Y(n_37881), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 inc_brqrv_top_brqrv_dec_tlu_add_9350_43_g500 (
+	.A(brqrv_top_brqrv_dec_tlu_mcycleh[21]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_33),
+	.Y(n_37873), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_add_9350_43_g501 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mcycleh[15]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_32),
+	.B1(brqrv_top_brqrv_dec_tlu_mcycleh[15]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_32),
+	.Y(n_37861), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9350_43_g502 (
+	.A(brqrv_top_brqrv_dec_tlu_mcycleh[28]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_30),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_53),
+	.SUM(n_37887), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9350_43_g503 (
+	.A(brqrv_top_brqrv_dec_tlu_mcycleh[9]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_36),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_52),
+	.SUM(n_37849), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 inc_brqrv_top_brqrv_dec_tlu_add_9350_43_g504 (
+	.A(brqrv_top_brqrv_dec_tlu_mcycleh[13]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_25),
+	.Y(n_37857), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 inc_brqrv_top_brqrv_dec_tlu_add_9350_43_g505 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_30),
+	.B(brqrv_top_brqrv_dec_tlu_mcycleh[29]),
+	.C(brqrv_top_brqrv_dec_tlu_mcycleh[28]),
+	.X(inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_49), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_9350_43_g506 (
+	.A1(brqrv_top_brqrv_dec_tlu_mcycleh[26]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_35),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_43),
+	.X(n_37883), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_9350_43_g507 (
+	.A1(brqrv_top_brqrv_dec_tlu_mcycleh[22]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_34),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_42),
+	.X(n_37875), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_add_9350_43_g508 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mcycleh[7]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_19),
+	.B1(brqrv_top_brqrv_dec_tlu_mcycleh[7]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_19),
+	.Y(n_37845), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9350_43_g509 (
+	.A(brqrv_top_brqrv_dec_tlu_mcycleh[16]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_18),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_44),
+	.SUM(n_37863), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_9350_43_g510 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_35),
+	.B(brqrv_top_brqrv_dec_tlu_mcycleh[26]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_43), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_9350_43_g511 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_34),
+	.B(brqrv_top_brqrv_dec_tlu_mcycleh[22]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_42), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_9350_43_g512 (
+	.A1(brqrv_top_brqrv_dec_tlu_mcycleh[20]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_27),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_33),
+	.X(n_37871), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_9350_43_g513 (
+	.A1(brqrv_top_brqrv_dec_tlu_mcycleh[14]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_26),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_32),
+	.X(n_37859), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_9350_43_g514 (
+	.A1(brqrv_top_brqrv_dec_tlu_mcycleh[24]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_24),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_31),
+	.X(n_37879), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_add_9350_43_g515 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mcycleh[5]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_14),
+	.B1(brqrv_top_brqrv_dec_tlu_mcycleh[5]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_14),
+	.Y(n_37841), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9350_43_g516 (
+	.A(brqrv_top_brqrv_dec_tlu_mcycleh[8]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_13),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_36),
+	.SUM(n_37847), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 inc_brqrv_top_brqrv_dec_tlu_add_9350_43_g517 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_2),
+	.B_N(inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_24),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_35), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 inc_brqrv_top_brqrv_dec_tlu_add_9350_43_g518 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_0),
+	.B_N(inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_27),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_34), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_9350_43_g519 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_27),
+	.B(brqrv_top_brqrv_dec_tlu_mcycleh[20]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_33), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_9350_43_g520 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_26),
+	.B(brqrv_top_brqrv_dec_tlu_mcycleh[14]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_32), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_9350_43_g521 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_24),
+	.B(brqrv_top_brqrv_dec_tlu_mcycleh[24]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_31), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4b_1 inc_brqrv_top_brqrv_dec_tlu_add_9350_43_g522 (
+	.A_N(inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_2),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_24),
+	.C(brqrv_top_brqrv_dec_tlu_mcycleh[27]),
+	.D(brqrv_top_brqrv_dec_tlu_mcycleh[26]),
+	.X(inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_30), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_9350_43_g523 (
+	.A1(brqrv_top_brqrv_dec_tlu_mcycleh[12]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_20),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_25),
+	.X(n_37855), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 inc_brqrv_top_brqrv_dec_tlu_add_9350_43_g524 (
+	.A(brqrv_top_brqrv_dec_tlu_mcycleh[3]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_21),
+	.X(n_37837), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 inc_brqrv_top_brqrv_dec_tlu_add_9350_43_g525 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_4),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_17),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_27), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 inc_brqrv_top_brqrv_dec_tlu_add_9350_43_g526 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_3),
+	.B_N(inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_20),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_26), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_9350_43_g527 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_20),
+	.B(brqrv_top_brqrv_dec_tlu_mcycleh[12]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_25), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4_1 inc_brqrv_top_brqrv_dec_tlu_add_9350_43_g528 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_18),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_9),
+	.C(brqrv_top_brqrv_dec_tlu_mcycleh[22]),
+	.D(brqrv_top_brqrv_dec_tlu_mcycleh[23]),
+	.X(inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_24), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_9350_43_g529 (
+	.A1(brqrv_top_brqrv_dec_tlu_mcycleh[6]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_15),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_19),
+	.X(n_37843), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9350_43_g530 (
+	.A(brqrv_top_brqrv_dec_tlu_mcycleh[2]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_11),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_21),
+	.SUM(n_37835), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 inc_brqrv_top_brqrv_dec_tlu_add_9350_43_g531 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_5),
+	.B_N(inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_13),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_20), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_9350_43_g532 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_15),
+	.B(brqrv_top_brqrv_dec_tlu_mcycleh[6]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_19), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 inc_brqrv_top_brqrv_dec_tlu_add_9350_43_g533 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_17),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_18), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 inc_brqrv_top_brqrv_dec_tlu_add_9350_43_g534 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_13),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_8),
+	.C(brqrv_top_brqrv_dec_tlu_mcycleh[15]),
+	.D(brqrv_top_brqrv_dec_tlu_mcycleh[14]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_17), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_9350_43_g535 (
+	.A1(brqrv_top_brqrv_dec_tlu_mcycleh[4]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_12),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_14),
+	.X(n_37839), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 inc_brqrv_top_brqrv_dec_tlu_add_9350_43_g536 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_1),
+	.B_N(inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_12),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_15), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_9350_43_g537 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_12),
+	.B(brqrv_top_brqrv_dec_tlu_mcycleh[4]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_14), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4b_1 inc_brqrv_top_brqrv_dec_tlu_add_9350_43_g538 (
+	.A_N(inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_1),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_12),
+	.C(brqrv_top_brqrv_dec_tlu_mcycleh[7]),
+	.D(brqrv_top_brqrv_dec_tlu_mcycleh[6]),
+	.X(inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_13), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 inc_brqrv_top_brqrv_dec_tlu_add_9350_43_g539 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_11),
+	.B(brqrv_top_brqrv_dec_tlu_mcycleh[3]),
+	.C(brqrv_top_brqrv_dec_tlu_mcycleh[2]),
+	.X(inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_12), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9350_43_g540 (
+	.A(brqrv_top_brqrv_dec_tlu_mcycleh[1]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_6),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_11),
+	.SUM(n_37833), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 inc_brqrv_top_brqrv_dec_tlu_add_9350_43_g541 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_0),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_4),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_9), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 inc_brqrv_top_brqrv_dec_tlu_add_9350_43_g542 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_3),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_5),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_8), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9350_43_g543 (
+	.A(brqrv_top_brqrv_dec_tlu_mcyclel_cout_f),
+	.B(brqrv_top_brqrv_dec_tlu_mcycleh[0]),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_6),
+	.SUM(n_37832), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 inc_brqrv_top_brqrv_dec_tlu_add_9350_43_g544 (
+	.A(brqrv_top_brqrv_dec_tlu_mcycleh[8]),
+	.B(brqrv_top_brqrv_dec_tlu_mcycleh[9]),
+	.C(brqrv_top_brqrv_dec_tlu_mcycleh[10]),
+	.D(brqrv_top_brqrv_dec_tlu_mcycleh[11]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 inc_brqrv_top_brqrv_dec_tlu_add_9350_43_g545 (
+	.A(brqrv_top_brqrv_dec_tlu_mcycleh[16]),
+	.B(brqrv_top_brqrv_dec_tlu_mcycleh[17]),
+	.C(brqrv_top_brqrv_dec_tlu_mcycleh[18]),
+	.D(brqrv_top_brqrv_dec_tlu_mcycleh[19]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_9350_43_g546 (
+	.A(brqrv_top_brqrv_dec_tlu_mcycleh[12]),
+	.B(brqrv_top_brqrv_dec_tlu_mcycleh[13]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_9350_43_g547 (
+	.A(brqrv_top_brqrv_dec_tlu_mcycleh[24]),
+	.B(brqrv_top_brqrv_dec_tlu_mcycleh[25]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_9350_43_g548 (
+	.A(brqrv_top_brqrv_dec_tlu_mcycleh[4]),
+	.B(brqrv_top_brqrv_dec_tlu_mcycleh[5]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_9350_43_g549 (
+	.A(brqrv_top_brqrv_dec_tlu_mcycleh[20]),
+	.B(brqrv_top_brqrv_dec_tlu_mcycleh[21]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9350_43_n_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 inc_brqrv_top_brqrv_dec_tlu_add_9364_65_g376 (
+	.A(brqrv_top_brqrv_dec_tlu_minstretl[27]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9364_65_n_52),
+	.Y(n_37690), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 inc_brqrv_top_brqrv_dec_tlu_add_9364_65_g377 (
+	.A(brqrv_top_brqrv_dec_tlu_minstretl[19]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9364_65_n_47),
+	.Y(n_37682), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_9364_65_g378 (
+	.A1(brqrv_top_brqrv_dec_tlu_minstretl[26]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_9364_65_n_49),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_9364_65_n_52),
+	.X(n_37689), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_9364_65_g379 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_9364_65_n_49),
+	.B(brqrv_top_brqrv_dec_tlu_minstretl[26]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9364_65_n_52), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_9364_65_g380 (
+	.A1(brqrv_top_brqrv_dec_tlu_minstretl[18]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_9364_65_n_44),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_9364_65_n_47),
+	.X(n_37681), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 inc_brqrv_top_brqrv_dec_tlu_add_9364_65_g381 (
+	.A(brqrv_top_brqrv_dec_tlu_minstretl[31]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9364_65_n_37),
+	.Y(n_37694), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9364_65_g382 (
+	.A(brqrv_top_brqrv_dec_tlu_minstretl[25]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9364_65_n_38),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9364_65_n_49),
+	.SUM(n_37688), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_9364_65_g383 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_9364_65_n_44),
+	.B(brqrv_top_brqrv_dec_tlu_minstretl[18]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9364_65_n_47), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 inc_brqrv_top_brqrv_dec_tlu_add_9364_65_g384 (
+	.A(brqrv_top_brqrv_dec_tlu_minstretl[23]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9364_65_n_30),
+	.Y(n_37686), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 inc_brqrv_top_brqrv_dec_tlu_add_9364_65_g385 (
+	.A(brqrv_top_brqrv_dec_tlu_minstretl[29]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9364_65_n_29),
+	.Y(n_37692), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9364_65_g386 (
+	.A(brqrv_top_brqrv_dec_tlu_minstretl[17]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9364_65_n_32),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9364_65_n_44),
+	.SUM(n_37680), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 inc_brqrv_top_brqrv_dec_tlu_add_9364_65_g387 (
+	.A(brqrv_top_brqrv_dec_tlu_minstretl[21]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9364_65_n_22),
+	.Y(n_37684), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_9364_65_g388 (
+	.A1(brqrv_top_brqrv_dec_tlu_minstretl[30]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_9364_65_n_31),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_9364_65_n_37),
+	.X(n_37693), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 inc_brqrv_top_brqrv_dec_tlu_add_9364_65_g389 (
+	.A(brqrv_top_brqrv_dec_tlu_minstretl[15]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9364_65_n_18),
+	.Y(n_37678), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9364_65_g390 (
+	.A(brqrv_top_brqrv_dec_tlu_minstretl[24]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9364_65_n_17),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9364_65_n_38),
+	.SUM(n_37687), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_9364_65_g391 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_9364_65_n_31),
+	.B(brqrv_top_brqrv_dec_tlu_minstretl[30]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9364_65_n_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_9364_65_g392 (
+	.A1(brqrv_top_brqrv_dec_tlu_minstretl[22]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_9364_65_n_23),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_9364_65_n_30),
+	.X(n_37685), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_9364_65_g393 (
+	.A1(brqrv_top_brqrv_dec_tlu_minstretl[28]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_9364_65_n_24),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_9364_65_n_29),
+	.X(n_37691), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 inc_brqrv_top_brqrv_dec_tlu_add_9364_65_g394 (
+	.A(brqrv_top_brqrv_dec_tlu_minstretl[13]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9364_65_n_25),
+	.X(n_37676), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9364_65_g395 (
+	.A(brqrv_top_brqrv_dec_tlu_minstretl[16]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9364_65_n_14),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9364_65_n_32),
+	.SUM(n_37679), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 inc_brqrv_top_brqrv_dec_tlu_add_9364_65_g396 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_9364_65_n_1),
+	.B_N(inc_brqrv_top_brqrv_dec_tlu_add_9364_65_n_24),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9364_65_n_31), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_9364_65_g397 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_9364_65_n_23),
+	.B(brqrv_top_brqrv_dec_tlu_minstretl[22]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9364_65_n_30), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_9364_65_g398 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_9364_65_n_24),
+	.B(brqrv_top_brqrv_dec_tlu_minstretl[28]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9364_65_n_29), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_9364_65_g399 (
+	.A1(brqrv_top_brqrv_dec_tlu_minstretl[20]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_9364_65_n_19),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_9364_65_n_22),
+	.X(n_37683), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 inc_brqrv_top_brqrv_dec_tlu_add_9364_65_g400 (
+	.A(brqrv_top_brqrv_dec_tlu_minstretl[11]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9364_65_n_12),
+	.Y(n_37674), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9364_65_g401 (
+	.A(brqrv_top_brqrv_dec_tlu_minstretl[12]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9364_65_n_11),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9364_65_n_25),
+	.SUM(n_37675), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 inc_brqrv_top_brqrv_dec_tlu_add_9364_65_g402 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_9364_65_n_3),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9364_65_n_16),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9364_65_n_24), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 inc_brqrv_top_brqrv_dec_tlu_add_9364_65_g403 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_9364_65_n_2),
+	.B_N(inc_brqrv_top_brqrv_dec_tlu_add_9364_65_n_19),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9364_65_n_23), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_9364_65_g404 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_9364_65_n_19),
+	.B(brqrv_top_brqrv_dec_tlu_minstretl[20]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9364_65_n_22), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4_1 inc_brqrv_top_brqrv_dec_tlu_add_9364_65_g405 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_9364_65_n_17),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9364_65_n_7),
+	.C(brqrv_top_brqrv_dec_tlu_minstretl[30]),
+	.D(brqrv_top_brqrv_dec_tlu_minstretl[31]),
+	.X(brqrv_top_brqrv_dec_tlu_minstretl_cout), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_9364_65_g406 (
+	.A1(brqrv_top_brqrv_dec_tlu_minstretl[14]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_9364_65_n_15),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_9364_65_n_18),
+	.X(n_37677), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 inc_brqrv_top_brqrv_dec_tlu_add_9364_65_g407 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_9364_65_n_4),
+	.B_N(inc_brqrv_top_brqrv_dec_tlu_add_9364_65_n_14),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9364_65_n_19), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_9364_65_g408 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_9364_65_n_15),
+	.B(brqrv_top_brqrv_dec_tlu_minstretl[14]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9364_65_n_18), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 inc_brqrv_top_brqrv_dec_tlu_add_9364_65_g409 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_9364_65_n_16),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9364_65_n_17), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 inc_brqrv_top_brqrv_dec_tlu_add_9364_65_g410 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_9364_65_n_14),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9364_65_n_8),
+	.C(brqrv_top_brqrv_dec_tlu_minstretl[23]),
+	.D(brqrv_top_brqrv_dec_tlu_minstretl[22]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9364_65_n_16), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 inc_brqrv_top_brqrv_dec_tlu_add_9364_65_g411 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_9364_65_n_0),
+	.B_N(inc_brqrv_top_brqrv_dec_tlu_add_9364_65_n_11),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9364_65_n_15), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4b_1 inc_brqrv_top_brqrv_dec_tlu_add_9364_65_g412 (
+	.A_N(inc_brqrv_top_brqrv_dec_tlu_add_9364_65_n_0),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9364_65_n_11),
+	.C(brqrv_top_brqrv_dec_tlu_minstretl[15]),
+	.D(brqrv_top_brqrv_dec_tlu_minstretl[14]),
+	.X(inc_brqrv_top_brqrv_dec_tlu_add_9364_65_n_14), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 inc_brqrv_top_brqrv_dec_tlu_add_9364_65_g413 (
+	.A(brqrv_top_brqrv_dec_tlu_minstretl[10]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9364_65_n_10),
+	.X(n_37673), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_9364_65_g414 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_9364_65_n_10),
+	.B(brqrv_top_brqrv_dec_tlu_minstretl[10]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9364_65_n_12), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 inc_brqrv_top_brqrv_dec_tlu_add_9364_65_g415 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_9364_65_n_10),
+	.B(brqrv_top_brqrv_dec_tlu_minstretl[10]),
+	.C(brqrv_top_brqrv_dec_tlu_minstretl[11]),
+	.X(inc_brqrv_top_brqrv_dec_tlu_add_9364_65_n_11), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9364_65_g416 (
+	.A(brqrv_top_brqrv_dec_tlu_minstretl[9]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9364_65_n_5),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9364_65_n_10),
+	.SUM(n_37672), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 inc_brqrv_top_brqrv_dec_tlu_add_9364_65_g417 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_9364_65_n_2),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9364_65_n_4),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9364_65_n_8), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 inc_brqrv_top_brqrv_dec_tlu_add_9364_65_g418 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_9364_65_n_1),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9364_65_n_3),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9364_65_n_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9364_65_g419 (
+	.A(brqrv_top_brqrv_dec_tlu_minstretl[8]),
+	.B(brqrv_top_brqrv_dec_tlu_minstretl_couta),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9364_65_n_5),
+	.SUM(n_37671), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 inc_brqrv_top_brqrv_dec_tlu_add_9364_65_g420 (
+	.A(brqrv_top_brqrv_dec_tlu_minstretl[17]),
+	.B(brqrv_top_brqrv_dec_tlu_minstretl[16]),
+	.C(brqrv_top_brqrv_dec_tlu_minstretl[18]),
+	.D(brqrv_top_brqrv_dec_tlu_minstretl[19]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9364_65_n_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 inc_brqrv_top_brqrv_dec_tlu_add_9364_65_g421 (
+	.A(brqrv_top_brqrv_dec_tlu_minstretl[25]),
+	.B(brqrv_top_brqrv_dec_tlu_minstretl[24]),
+	.C(brqrv_top_brqrv_dec_tlu_minstretl[26]),
+	.D(brqrv_top_brqrv_dec_tlu_minstretl[27]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9364_65_n_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_9364_65_g422 (
+	.A(brqrv_top_brqrv_dec_tlu_minstretl[21]),
+	.B(brqrv_top_brqrv_dec_tlu_minstretl[20]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9364_65_n_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_9364_65_g423 (
+	.A(brqrv_top_brqrv_dec_tlu_minstretl[29]),
+	.B(brqrv_top_brqrv_dec_tlu_minstretl[28]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9364_65_n_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_9364_65_g424 (
+	.A(brqrv_top_brqrv_dec_tlu_minstretl[13]),
+	.B(brqrv_top_brqrv_dec_tlu_minstretl[12]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9364_65_n_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 inc_brqrv_top_brqrv_dec_tlu_add_9387_47_g487 (
+	.A(brqrv_top_brqrv_dec_tlu_minstreth[19]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_65),
+	.Y(n_37870), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 inc_brqrv_top_brqrv_dec_tlu_add_9387_47_g488 (
+	.A(brqrv_top_brqrv_dec_tlu_minstreth[11]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_58),
+	.Y(n_37854), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 inc_brqrv_top_brqrv_dec_tlu_add_9387_47_g489 (
+	.A(brqrv_top_brqrv_dec_tlu_minstreth[31]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_66),
+	.X(n_37894), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_9387_47_g490 (
+	.A1(brqrv_top_brqrv_dec_tlu_minstreth[18]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_60),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_65),
+	.X(n_37868), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9387_47_g491 (
+	.A(brqrv_top_brqrv_dec_tlu_minstreth[30]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_49),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_66),
+	.SUM(n_37892), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_9387_47_g492 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_60),
+	.B(brqrv_top_brqrv_dec_tlu_minstreth[18]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_65), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_9387_47_g493 (
+	.A1(brqrv_top_brqrv_dec_tlu_minstreth[10]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_52),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_58),
+	.X(n_37852), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_add_9387_47_g494 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_minstreth[27]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_43),
+	.B1(brqrv_top_brqrv_dec_tlu_minstreth[27]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_43),
+	.Y(n_37886), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_add_9387_47_g495 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_minstreth[23]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_42),
+	.B1(brqrv_top_brqrv_dec_tlu_minstreth[23]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_42),
+	.Y(n_37878), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 inc_brqrv_top_brqrv_dec_tlu_add_9387_47_g496 (
+	.A(brqrv_top_brqrv_dec_tlu_minstreth[29]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_53),
+	.X(n_37890), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9387_47_g497 (
+	.A(brqrv_top_brqrv_dec_tlu_minstreth[17]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_44),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_60),
+	.SUM(n_37866), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_9387_47_g498 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_52),
+	.B(brqrv_top_brqrv_dec_tlu_minstreth[10]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_58), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_add_9387_47_g499 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_minstreth[25]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_31),
+	.B1(brqrv_top_brqrv_dec_tlu_minstreth[25]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_31),
+	.Y(n_37882), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_add_9387_47_g500 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_minstreth[21]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_33),
+	.B1(brqrv_top_brqrv_dec_tlu_minstreth[21]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_33),
+	.Y(n_37874), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 inc_brqrv_top_brqrv_dec_tlu_add_9387_47_g501 (
+	.A(brqrv_top_brqrv_dec_tlu_minstreth[15]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_32),
+	.Y(n_37862), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9387_47_g502 (
+	.A(brqrv_top_brqrv_dec_tlu_minstreth[28]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_30),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_53),
+	.SUM(n_37888), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9387_47_g503 (
+	.A(brqrv_top_brqrv_dec_tlu_minstreth[9]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_36),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_52),
+	.SUM(n_37850), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_add_9387_47_g504 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_minstreth[13]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_23),
+	.B1(brqrv_top_brqrv_dec_tlu_minstreth[13]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_23),
+	.Y(n_37858), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 inc_brqrv_top_brqrv_dec_tlu_add_9387_47_g505 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_30),
+	.B(brqrv_top_brqrv_dec_tlu_minstreth[28]),
+	.C(brqrv_top_brqrv_dec_tlu_minstreth[29]),
+	.X(inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_49), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_9387_47_g506 (
+	.A1(brqrv_top_brqrv_dec_tlu_minstreth[26]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_35),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_43),
+	.X(n_37884), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_9387_47_g507 (
+	.A1(brqrv_top_brqrv_dec_tlu_minstreth[22]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_34),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_42),
+	.X(n_37876), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 inc_brqrv_top_brqrv_dec_tlu_add_9387_47_g508 (
+	.A(brqrv_top_brqrv_dec_tlu_minstreth[7]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_17),
+	.Y(n_37846), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9387_47_g509 (
+	.A(brqrv_top_brqrv_dec_tlu_minstreth[16]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_16),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_44),
+	.SUM(n_37864), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_9387_47_g510 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_35),
+	.B(brqrv_top_brqrv_dec_tlu_minstreth[26]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_43), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_9387_47_g511 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_34),
+	.B(brqrv_top_brqrv_dec_tlu_minstreth[22]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_42), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_9387_47_g512 (
+	.A1(brqrv_top_brqrv_dec_tlu_minstreth[20]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_25),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_33),
+	.X(n_37872), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_9387_47_g513 (
+	.A1(brqrv_top_brqrv_dec_tlu_minstreth[14]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_24),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_32),
+	.X(n_37860), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_9387_47_g514 (
+	.A1(brqrv_top_brqrv_dec_tlu_minstreth[24]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_22),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_31),
+	.X(n_37880), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 inc_brqrv_top_brqrv_dec_tlu_add_9387_47_g515 (
+	.A(brqrv_top_brqrv_dec_tlu_minstreth[5]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_26),
+	.X(n_37842), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9387_47_g516 (
+	.A(brqrv_top_brqrv_dec_tlu_minstreth[8]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_13),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_36),
+	.SUM(n_37848), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 inc_brqrv_top_brqrv_dec_tlu_add_9387_47_g517 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_2),
+	.B_N(inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_22),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_35), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 inc_brqrv_top_brqrv_dec_tlu_add_9387_47_g518 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_0),
+	.B_N(inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_25),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_34), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_9387_47_g519 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_25),
+	.B(brqrv_top_brqrv_dec_tlu_minstreth[20]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_33), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_9387_47_g520 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_24),
+	.B(brqrv_top_brqrv_dec_tlu_minstreth[14]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_32), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_9387_47_g521 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_22),
+	.B(brqrv_top_brqrv_dec_tlu_minstreth[24]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_31), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4b_1 inc_brqrv_top_brqrv_dec_tlu_add_9387_47_g522 (
+	.A_N(inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_2),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_22),
+	.C(brqrv_top_brqrv_dec_tlu_minstreth[27]),
+	.D(brqrv_top_brqrv_dec_tlu_minstreth[26]),
+	.X(inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_30), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_9387_47_g523 (
+	.A1(brqrv_top_brqrv_dec_tlu_minstreth[12]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_18),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_23),
+	.X(n_37856), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 inc_brqrv_top_brqrv_dec_tlu_add_9387_47_g524 (
+	.A(brqrv_top_brqrv_dec_tlu_minstreth[3]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_19),
+	.X(n_37838), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9387_47_g525 (
+	.A(brqrv_top_brqrv_dec_tlu_minstreth[4]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_12),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_26),
+	.SUM(n_37840), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 inc_brqrv_top_brqrv_dec_tlu_add_9387_47_g526 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_4),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_15),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_25), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 inc_brqrv_top_brqrv_dec_tlu_add_9387_47_g527 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_3),
+	.B_N(inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_18),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_24), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_9387_47_g528 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_18),
+	.B(brqrv_top_brqrv_dec_tlu_minstreth[12]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_23), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4_1 inc_brqrv_top_brqrv_dec_tlu_add_9387_47_g529 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_16),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_9),
+	.C(brqrv_top_brqrv_dec_tlu_minstreth[22]),
+	.D(brqrv_top_brqrv_dec_tlu_minstreth[23]),
+	.X(inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_22), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_9387_47_g530 (
+	.A1(brqrv_top_brqrv_dec_tlu_minstreth[6]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_14),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_17),
+	.X(n_37844), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9387_47_g531 (
+	.A(brqrv_top_brqrv_dec_tlu_minstreth[2]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_11),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_19),
+	.SUM(n_37836), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 inc_brqrv_top_brqrv_dec_tlu_add_9387_47_g532 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_5),
+	.B_N(inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_13),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_18), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_9387_47_g533 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_14),
+	.B(brqrv_top_brqrv_dec_tlu_minstreth[6]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_17), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 inc_brqrv_top_brqrv_dec_tlu_add_9387_47_g534 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_15),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_16), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 inc_brqrv_top_brqrv_dec_tlu_add_9387_47_g535 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_13),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_8),
+	.C(brqrv_top_brqrv_dec_tlu_minstreth[15]),
+	.D(brqrv_top_brqrv_dec_tlu_minstreth[14]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_15), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 inc_brqrv_top_brqrv_dec_tlu_add_9387_47_g536 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_1),
+	.B_N(inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_12),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_14), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4b_1 inc_brqrv_top_brqrv_dec_tlu_add_9387_47_g537 (
+	.A_N(inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_1),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_12),
+	.C(brqrv_top_brqrv_dec_tlu_minstreth[7]),
+	.D(brqrv_top_brqrv_dec_tlu_minstreth[6]),
+	.X(inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_13), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 inc_brqrv_top_brqrv_dec_tlu_add_9387_47_g538 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_11),
+	.B(brqrv_top_brqrv_dec_tlu_minstreth[3]),
+	.C(brqrv_top_brqrv_dec_tlu_minstreth[2]),
+	.X(inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_12), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9387_47_g539 (
+	.A(brqrv_top_brqrv_dec_tlu_minstreth[1]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_6),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_11),
+	.SUM(n_37834), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 inc_brqrv_top_brqrv_dec_tlu_add_9387_47_g540 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_0),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_4),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_9), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 inc_brqrv_top_brqrv_dec_tlu_add_9387_47_g541 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_3),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_5),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_8), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9387_47_g542 (
+	.A(brqrv_top_brqrv_dec_tlu_minstretl_cout_f),
+	.B(brqrv_top_brqrv_dec_tlu_minstreth[0]),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_6),
+	.SUM(n_37831), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 inc_brqrv_top_brqrv_dec_tlu_add_9387_47_g543 (
+	.A(brqrv_top_brqrv_dec_tlu_minstreth[8]),
+	.B(brqrv_top_brqrv_dec_tlu_minstreth[9]),
+	.C(brqrv_top_brqrv_dec_tlu_minstreth[10]),
+	.D(brqrv_top_brqrv_dec_tlu_minstreth[11]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 inc_brqrv_top_brqrv_dec_tlu_add_9387_47_g544 (
+	.A(brqrv_top_brqrv_dec_tlu_minstreth[16]),
+	.B(brqrv_top_brqrv_dec_tlu_minstreth[17]),
+	.C(brqrv_top_brqrv_dec_tlu_minstreth[18]),
+	.D(brqrv_top_brqrv_dec_tlu_minstreth[19]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_9387_47_g545 (
+	.A(brqrv_top_brqrv_dec_tlu_minstreth[12]),
+	.B(brqrv_top_brqrv_dec_tlu_minstreth[13]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_9387_47_g546 (
+	.A(brqrv_top_brqrv_dec_tlu_minstreth[24]),
+	.B(brqrv_top_brqrv_dec_tlu_minstreth[25]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_9387_47_g547 (
+	.A(brqrv_top_brqrv_dec_tlu_minstreth[4]),
+	.B(brqrv_top_brqrv_dec_tlu_minstreth[5]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_9387_47_g548 (
+	.A(brqrv_top_brqrv_dec_tlu_minstreth[20]),
+	.B(brqrv_top_brqrv_dec_tlu_minstreth[21]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9387_47_n_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_add_9474_128_g468 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_pc_r[20]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_64),
+	.B1(brqrv_top_brqrv_dec_tlu_pc_r[20]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_64),
+	.Y(brqrv_top_brqrv_dec_tlu_n_5609), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_add_9474_128_g469 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_pc_r[12]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_52),
+	.B1(brqrv_top_brqrv_dec_tlu_pc_r[12]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_52),
+	.Y(brqrv_top_brqrv_dec_tlu_n_5601), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_9474_128_g470 (
+	.A1(brqrv_top_brqrv_dec_tlu_pc_r[19]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_60),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_64),
+	.X(brqrv_top_brqrv_dec_tlu_n_5608), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_9474_128_g471 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_60),
+	.B(brqrv_top_brqrv_dec_tlu_pc_r[19]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_64), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_add_9474_128_g472 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_pc_r[24]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_41),
+	.B1(brqrv_top_brqrv_dec_tlu_pc_r[24]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_41),
+	.Y(brqrv_top_brqrv_dec_tlu_n_5613), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_add_9474_128_g473 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_pc_r[28]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_42),
+	.B1(brqrv_top_brqrv_dec_tlu_pc_r[28]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_42),
+	.Y(brqrv_top_brqrv_dec_tlu_n_5617), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 inc_brqrv_top_brqrv_dec_tlu_add_9474_128_g474 (
+	.A(brqrv_top_brqrv_dec_tlu_pc_r[30]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_53),
+	.X(brqrv_top_brqrv_dec_tlu_n_5619), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9474_128_g475 (
+	.A(brqrv_top_brqrv_dec_tlu_pc_r[18]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_43),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_60),
+	.SUM(brqrv_top_brqrv_dec_tlu_n_5607), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_9474_128_g476 (
+	.A1(brqrv_top_brqrv_dec_tlu_pc_r[11]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_50),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_52),
+	.X(brqrv_top_brqrv_dec_tlu_n_5600), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 inc_brqrv_top_brqrv_dec_tlu_add_9474_128_g477 (
+	.A(brqrv_top_brqrv_dec_tlu_pc_r[31]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_48),
+	.Y(brqrv_top_brqrv_dec_tlu_n_5620), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_add_9474_128_g478 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_pc_r[26]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_34),
+	.B1(brqrv_top_brqrv_dec_tlu_pc_r[26]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_34),
+	.Y(brqrv_top_brqrv_dec_tlu_n_5615), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_add_9474_128_g479 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_pc_r[22]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_35),
+	.B1(brqrv_top_brqrv_dec_tlu_pc_r[22]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_35),
+	.Y(brqrv_top_brqrv_dec_tlu_n_5611), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9474_128_g480 (
+	.A(brqrv_top_brqrv_dec_tlu_pc_r[29]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_33),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_53),
+	.SUM(brqrv_top_brqrv_dec_tlu_n_5618), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_9474_128_g481 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_50),
+	.B(brqrv_top_brqrv_dec_tlu_pc_r[11]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_52), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_add_9474_128_g482 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_pc_r[16]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_27),
+	.B1(brqrv_top_brqrv_dec_tlu_pc_r[16]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_27),
+	.Y(brqrv_top_brqrv_dec_tlu_n_5605), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9474_128_g483 (
+	.A(brqrv_top_brqrv_dec_tlu_pc_r[10]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_29),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_50),
+	.SUM(brqrv_top_brqrv_dec_tlu_n_5599), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 inc_brqrv_top_brqrv_dec_tlu_add_9474_128_g484 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_33),
+	.B(brqrv_top_brqrv_dec_tlu_pc_r[29]),
+	.C(brqrv_top_brqrv_dec_tlu_pc_r[30]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_48), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_9474_128_g485 (
+	.A1(brqrv_top_brqrv_dec_tlu_pc_r[27]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_37),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_42),
+	.X(brqrv_top_brqrv_dec_tlu_n_5616), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_9474_128_g486 (
+	.A1(brqrv_top_brqrv_dec_tlu_pc_r[23]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_36),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_41),
+	.X(brqrv_top_brqrv_dec_tlu_n_5612), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_add_9474_128_g487 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_pc_r[14]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_22),
+	.B1(brqrv_top_brqrv_dec_tlu_pc_r[14]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_22),
+	.Y(brqrv_top_brqrv_dec_tlu_n_5603), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9474_128_g488 (
+	.A(brqrv_top_brqrv_dec_tlu_pc_r[17]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_21),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_43),
+	.SUM(brqrv_top_brqrv_dec_tlu_n_5606), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_9474_128_g489 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_37),
+	.B(brqrv_top_brqrv_dec_tlu_pc_r[27]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_42), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_9474_128_g490 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_36),
+	.B(brqrv_top_brqrv_dec_tlu_pc_r[23]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_41), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_9474_128_g491 (
+	.A1(brqrv_top_brqrv_dec_tlu_pc_r[21]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_28),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_35),
+	.X(brqrv_top_brqrv_dec_tlu_n_5610), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_9474_128_g492 (
+	.A1(brqrv_top_brqrv_dec_tlu_pc_r[25]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_26),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_34),
+	.X(brqrv_top_brqrv_dec_tlu_n_5614), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_add_9474_128_g493 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_pc_r[8]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_17),
+	.B1(brqrv_top_brqrv_dec_tlu_pc_r[8]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_17),
+	.Y(brqrv_top_brqrv_dec_tlu_n_5597), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 inc_brqrv_top_brqrv_dec_tlu_add_9474_128_g494 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_1),
+	.B_N(inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_26),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 inc_brqrv_top_brqrv_dec_tlu_add_9474_128_g495 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_0),
+	.B_N(inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_28),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_36), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_9474_128_g496 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_28),
+	.B(brqrv_top_brqrv_dec_tlu_pc_r[21]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_35), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_9474_128_g497 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_26),
+	.B(brqrv_top_brqrv_dec_tlu_pc_r[25]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_34), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4b_1 inc_brqrv_top_brqrv_dec_tlu_add_9474_128_g498 (
+	.A_N(inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_1),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_26),
+	.C(brqrv_top_brqrv_dec_tlu_pc_r[28]),
+	.D(brqrv_top_brqrv_dec_tlu_pc_r[27]),
+	.X(inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_33), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_9474_128_g499 (
+	.A1(brqrv_top_brqrv_dec_tlu_pc_r[15]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_23),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_27),
+	.X(brqrv_top_brqrv_dec_tlu_n_5604), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_add_9474_128_g500 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_pc_r[6]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_14),
+	.B1(brqrv_top_brqrv_dec_tlu_pc_r[6]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_14),
+	.Y(brqrv_top_brqrv_dec_tlu_n_5595), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9474_128_g501 (
+	.A(brqrv_top_brqrv_dec_tlu_pc_r[9]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_13),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_29),
+	.SUM(brqrv_top_brqrv_dec_tlu_n_5598), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 inc_brqrv_top_brqrv_dec_tlu_add_9474_128_g502 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_5),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_20),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_28), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_9474_128_g503 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_23),
+	.B(brqrv_top_brqrv_dec_tlu_pc_r[15]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_27), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4_1 inc_brqrv_top_brqrv_dec_tlu_add_9474_128_g504 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_21),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_11),
+	.C(brqrv_top_brqrv_dec_tlu_pc_r[23]),
+	.D(brqrv_top_brqrv_dec_tlu_pc_r[24]),
+	.X(inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_26), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_9474_128_g505 (
+	.A1(brqrv_top_brqrv_dec_tlu_pc_r[13]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_18),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_22),
+	.X(brqrv_top_brqrv_dec_tlu_n_5602), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_add_9474_128_g506 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_pc_r[4]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_9),
+	.B1(brqrv_top_brqrv_dec_tlu_pc_r[4]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_9),
+	.Y(brqrv_top_brqrv_dec_tlu_n_5593), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 inc_brqrv_top_brqrv_dec_tlu_add_9474_128_g507 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_2),
+	.B_N(inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_18),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_23), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_9474_128_g508 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_18),
+	.B(brqrv_top_brqrv_dec_tlu_pc_r[13]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_22), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 inc_brqrv_top_brqrv_dec_tlu_add_9474_128_g509 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_20),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_21), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 inc_brqrv_top_brqrv_dec_tlu_add_9474_128_g510 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_13),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_10),
+	.C(brqrv_top_brqrv_dec_tlu_pc_r[16]),
+	.D(brqrv_top_brqrv_dec_tlu_pc_r[15]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_20), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_9474_128_g511 (
+	.A1(brqrv_top_brqrv_dec_tlu_pc_r[7]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_15),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_17),
+	.X(brqrv_top_brqrv_dec_tlu_n_5596), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 inc_brqrv_top_brqrv_dec_tlu_add_9474_128_g512 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_4),
+	.B_N(inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_13),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_18), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_9474_128_g513 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_15),
+	.B(brqrv_top_brqrv_dec_tlu_pc_r[7]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_17), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_9474_128_g514 (
+	.A1(brqrv_top_brqrv_dec_tlu_pc_r[5]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_8),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_14),
+	.X(brqrv_top_brqrv_dec_tlu_n_5594), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 inc_brqrv_top_brqrv_dec_tlu_add_9474_128_g515 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_3),
+	.B_N(inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_8),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_15), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_9474_128_g516 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_8),
+	.B(brqrv_top_brqrv_dec_tlu_pc_r[5]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_14), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4b_1 inc_brqrv_top_brqrv_dec_tlu_add_9474_128_g517 (
+	.A_N(inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_3),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_8),
+	.C(brqrv_top_brqrv_dec_tlu_pc_r[8]),
+	.D(brqrv_top_brqrv_dec_tlu_pc_r[7]),
+	.X(inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_13), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_9474_128_g518 (
+	.A1(brqrv_top_brqrv_dec_tlu_pc_r[3]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_7),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_9),
+	.X(brqrv_top_brqrv_dec_tlu_n_5592), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 inc_brqrv_top_brqrv_dec_tlu_add_9474_128_g519 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_0),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_5),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_11), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 inc_brqrv_top_brqrv_dec_tlu_add_9474_128_g520 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_2),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_4),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_10), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_9474_128_g521 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_7),
+	.B(brqrv_top_brqrv_dec_tlu_pc_r[3]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_9), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 inc_brqrv_top_brqrv_dec_tlu_add_9474_128_g522 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_7),
+	.B(brqrv_top_brqrv_dec_tlu_pc_r[3]),
+	.C(brqrv_top_brqrv_dec_tlu_pc_r[4]),
+	.X(inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_8), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9474_128_g523 (
+	.A(brqrv_top_brqrv_dec_tlu_pc_r[1]),
+	.B(brqrv_top_brqrv_dec_tlu_pc_r[2]),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_7),
+	.SUM(brqrv_top_brqrv_dec_tlu_n_5591), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 inc_brqrv_top_brqrv_dec_tlu_add_9474_128_g524 (
+	.A(brqrv_top_brqrv_dec_tlu_pc_r[18]),
+	.B(brqrv_top_brqrv_dec_tlu_pc_r[17]),
+	.C(brqrv_top_brqrv_dec_tlu_pc_r[19]),
+	.D(brqrv_top_brqrv_dec_tlu_pc_r[20]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 inc_brqrv_top_brqrv_dec_tlu_add_9474_128_g525 (
+	.A(brqrv_top_brqrv_dec_tlu_pc_r[10]),
+	.B(brqrv_top_brqrv_dec_tlu_pc_r[9]),
+	.C(brqrv_top_brqrv_dec_tlu_pc_r[12]),
+	.D(brqrv_top_brqrv_dec_tlu_pc_r[11]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_9474_128_g526 (
+	.A(brqrv_top_brqrv_dec_tlu_pc_r[6]),
+	.B(brqrv_top_brqrv_dec_tlu_pc_r[5]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_9474_128_g527 (
+	.A(brqrv_top_brqrv_dec_tlu_pc_r[14]),
+	.B(brqrv_top_brqrv_dec_tlu_pc_r[13]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_9474_128_g528 (
+	.A(brqrv_top_brqrv_dec_tlu_pc_r[26]),
+	.B(brqrv_top_brqrv_dec_tlu_pc_r[25]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_9474_128_g529 (
+	.A(brqrv_top_brqrv_dec_tlu_pc_r[22]),
+	.B(brqrv_top_brqrv_dec_tlu_pc_r[21]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9474_128_n_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_add_9630_75_g485 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[19]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_63),
+	.B1(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[19]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_63),
+	.Y(n_37658), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_add_9630_75_g486 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[11]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_52),
+	.B1(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[11]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_52),
+	.Y(n_37650), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 inc_brqrv_top_brqrv_dec_tlu_add_9630_75_g487 (
+	.A(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[31]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_64),
+	.X(n_37670), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_9630_75_g488 (
+	.A1(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[18]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_59),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_63),
+	.X(n_37657), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9630_75_g489 (
+	.A(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[30]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_48),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_64),
+	.SUM(n_37669), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_9630_75_g490 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_59),
+	.B(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[18]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_63), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_add_9630_75_g491 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[27]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_42),
+	.B1(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[27]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_42),
+	.Y(n_37666), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_add_9630_75_g492 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[23]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_41),
+	.B1(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[23]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_41),
+	.Y(n_37662), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 inc_brqrv_top_brqrv_dec_tlu_add_9630_75_g493 (
+	.A(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[29]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_53),
+	.X(n_37668), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9630_75_g494 (
+	.A(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[17]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_43),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_59),
+	.SUM(n_37656), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_9630_75_g495 (
+	.A1(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[10]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_50),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_52),
+	.X(n_37649), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_add_9630_75_g496 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[25]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_34),
+	.B1(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[25]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_34),
+	.Y(n_37664), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_add_9630_75_g497 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[21]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_35),
+	.B1(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[21]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_35),
+	.Y(n_37660), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9630_75_g498 (
+	.A(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[28]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_33),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_53),
+	.SUM(n_37667), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_9630_75_g499 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_50),
+	.B(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[10]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_52), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_add_9630_75_g500 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[15]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_27),
+	.B1(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[15]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_27),
+	.Y(n_37654), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9630_75_g501 (
+	.A(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[9]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_29),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_50),
+	.SUM(n_37648), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 inc_brqrv_top_brqrv_dec_tlu_add_9630_75_g502 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_33),
+	.B(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[29]),
+	.C(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[28]),
+	.X(inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_48), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_9630_75_g503 (
+	.A1(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[26]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_37),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_42),
+	.X(n_37665), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_9630_75_g504 (
+	.A1(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[22]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_36),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_41),
+	.X(n_37661), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_add_9630_75_g505 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[13]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_22),
+	.B1(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[13]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_22),
+	.Y(n_37652), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9630_75_g506 (
+	.A(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[16]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_21),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_43),
+	.SUM(n_37655), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_9630_75_g507 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_37),
+	.B(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[26]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_42), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_9630_75_g508 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_36),
+	.B(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[22]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_41), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_9630_75_g509 (
+	.A1(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[20]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_28),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_35),
+	.X(n_37659), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_9630_75_g510 (
+	.A1(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[24]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_26),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_34),
+	.X(n_37663), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_add_9630_75_g511 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[7]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_17),
+	.B1(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[7]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_17),
+	.Y(n_37646), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 inc_brqrv_top_brqrv_dec_tlu_add_9630_75_g512 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_2),
+	.B_N(inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_26),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 inc_brqrv_top_brqrv_dec_tlu_add_9630_75_g513 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_3),
+	.B_N(inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_28),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_36), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_9630_75_g514 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_28),
+	.B(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[20]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_35), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_9630_75_g515 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_26),
+	.B(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[24]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_34), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4b_1 inc_brqrv_top_brqrv_dec_tlu_add_9630_75_g516 (
+	.A_N(inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_2),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_26),
+	.C(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[26]),
+	.D(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[27]),
+	.X(inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_33), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_9630_75_g517 (
+	.A1(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[14]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_23),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_27),
+	.X(n_37653), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_add_9630_75_g518 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[5]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_14),
+	.B1(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[5]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_14),
+	.Y(n_37644), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9630_75_g519 (
+	.A(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[8]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_13),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_29),
+	.SUM(n_37647), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 inc_brqrv_top_brqrv_dec_tlu_add_9630_75_g520 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_5),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_20),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_28), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_9630_75_g521 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_23),
+	.B(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[14]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_27), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4_1 inc_brqrv_top_brqrv_dec_tlu_add_9630_75_g522 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_21),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_11),
+	.C(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[22]),
+	.D(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[23]),
+	.X(inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_26), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_9630_75_g523 (
+	.A1(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[12]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_18),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_22),
+	.X(n_37651), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_add_9630_75_g524 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[3]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_9),
+	.B1(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[3]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_9),
+	.Y(n_37642), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 inc_brqrv_top_brqrv_dec_tlu_add_9630_75_g525 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_0),
+	.B_N(inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_18),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_23), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_9630_75_g526 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_18),
+	.B(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[12]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_22), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 inc_brqrv_top_brqrv_dec_tlu_add_9630_75_g527 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_20),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_21), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 inc_brqrv_top_brqrv_dec_tlu_add_9630_75_g528 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_13),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_10),
+	.C(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[14]),
+	.D(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[15]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_20), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_9630_75_g529 (
+	.A1(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[6]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_15),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_17),
+	.X(n_37645), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 inc_brqrv_top_brqrv_dec_tlu_add_9630_75_g530 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_4),
+	.B_N(inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_13),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_18), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_9630_75_g531 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_15),
+	.B(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[6]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_17), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_9630_75_g532 (
+	.A1(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[4]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_8),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_14),
+	.X(n_37643), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 inc_brqrv_top_brqrv_dec_tlu_add_9630_75_g533 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_1),
+	.B_N(inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_8),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_15), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_9630_75_g534 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_8),
+	.B(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[4]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_14), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4b_1 inc_brqrv_top_brqrv_dec_tlu_add_9630_75_g535 (
+	.A_N(inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_1),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_8),
+	.C(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[7]),
+	.D(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[6]),
+	.X(inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_13), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_9630_75_g536 (
+	.A1(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[2]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_7),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_9),
+	.X(n_37641), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 inc_brqrv_top_brqrv_dec_tlu_add_9630_75_g537 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_3),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_5),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_11), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 inc_brqrv_top_brqrv_dec_tlu_add_9630_75_g538 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_0),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_4),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_10), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_9630_75_g539 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_7),
+	.B(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[2]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_9), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 inc_brqrv_top_brqrv_dec_tlu_add_9630_75_g540 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_7),
+	.B(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[3]),
+	.C(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[2]),
+	.X(inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_8), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9630_75_g541 (
+	.A(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[0]),
+	.B(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[1]),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_7),
+	.SUM(n_37640), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 inc_brqrv_top_brqrv_dec_tlu_add_9630_75_g542 (
+	.A(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[16]),
+	.B(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[18]),
+	.C(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[19]),
+	.D(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[17]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 inc_brqrv_top_brqrv_dec_tlu_add_9630_75_g543 (
+	.A(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[8]),
+	.B(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[9]),
+	.C(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[11]),
+	.D(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[10]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_9630_75_g544 (
+	.A(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[21]),
+	.B(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[20]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_9630_75_g545 (
+	.A(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[24]),
+	.B(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[25]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_9630_75_g546 (
+	.A(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[5]),
+	.B(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[4]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_9630_75_g547 (
+	.A(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[13]),
+	.B(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[12]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9630_75_n_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_add_10022_59_g1012 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mhpmc3h[19]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_142),
+	.B1(brqrv_top_brqrv_dec_tlu_mhpmc3h[19]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_142),
+	.Y(n_37596), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_add_10022_59_g1013 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mhpmc3h[11]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_141),
+	.B1(brqrv_top_brqrv_dec_tlu_mhpmc3h[11]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_141),
+	.Y(n_37588), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_add_10022_59_g1014 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mhpmc3h[3]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_130),
+	.B1(brqrv_top_brqrv_dec_tlu_mhpmc3h[3]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_130),
+	.Y(n_37580), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_add_10022_59_g1015 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mhpmc3h[31]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_122),
+	.B1(brqrv_top_brqrv_dec_tlu_mhpmc3h[31]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_122),
+	.Y(n_37608), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_10022_59_g1016 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc3h[18]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_134),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_142),
+	.X(n_37595), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_10022_59_g1017 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc3h[10]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_132),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_141),
+	.X(n_37587), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_add_10022_59_g1018 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mhpmc3[27]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_117),
+	.B1(brqrv_top_brqrv_dec_tlu_mhpmc3[27]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_117),
+	.Y(n_37635), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_10022_59_g1019 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_134),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc3h[18]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_142), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_10022_59_g1020 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_132),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc3h[10]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_141), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_10022_59_g1021 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc3h[2]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_124),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_130),
+	.X(n_37579), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_add_10022_59_g1022 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mhpmc3h[29]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_107),
+	.B1(brqrv_top_brqrv_dec_tlu_mhpmc3h[29]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_107),
+	.Y(n_37606), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_add_10022_59_g1023 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mhpmc3h[27]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_109),
+	.B1(brqrv_top_brqrv_dec_tlu_mhpmc3h[27]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_109),
+	.Y(n_37604), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_add_10022_59_g1024 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mhpmc3[19]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_108),
+	.B1(brqrv_top_brqrv_dec_tlu_mhpmc3[19]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_108),
+	.Y(n_37627), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_add_10022_59_g1025 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mhpmc3h[23]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_106),
+	.B1(brqrv_top_brqrv_dec_tlu_mhpmc3h[23]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_106),
+	.Y(n_37600), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_add_10022_59_g1026 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mhpmc3h[15]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_105),
+	.B1(brqrv_top_brqrv_dec_tlu_mhpmc3h[15]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_105),
+	.Y(n_37592), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10022_59_g1027 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc3h[17]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_103),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_134),
+	.SUM(n_37594), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10022_59_g1028 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc3h[9]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_100),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_132),
+	.SUM(n_37586), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_10022_59_g1029 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_124),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc3h[2]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_130), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_10022_59_g1030 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc3h[30]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_118),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_122),
+	.X(n_37607), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_add_10022_59_g1031 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mhpmc3h[25]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_88),
+	.B1(brqrv_top_brqrv_dec_tlu_mhpmc3h[25]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_88),
+	.Y(n_37602), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_add_10022_59_g1032 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mhpmc3h[21]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_89),
+	.B1(brqrv_top_brqrv_dec_tlu_mhpmc3h[21]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_89),
+	.Y(n_37598), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_add_10022_59_g1033 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mhpmc3h[13]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_84),
+	.B1(brqrv_top_brqrv_dec_tlu_mhpmc3h[13]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_84),
+	.Y(n_37590), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_add_10022_59_g1034 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mhpmc3h[7]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_83),
+	.B1(brqrv_top_brqrv_dec_tlu_mhpmc3h[7]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_83),
+	.Y(n_37584), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10022_59_g1035 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc3h[1]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_86),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_124),
+	.SUM(n_37578), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_10022_59_g1036 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_118),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc3h[30]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_122), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_10022_59_g1037 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc3[26]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_110),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_117),
+	.X(n_37634), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_add_10022_59_g1038 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mhpmc3[11]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_75),
+	.B1(brqrv_top_brqrv_dec_tlu_mhpmc3[11]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_75),
+	.Y(n_37619), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_add_10022_59_g1039 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mhpmc3h[5]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_74),
+	.B1(brqrv_top_brqrv_dec_tlu_mhpmc3h[5]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_74),
+	.Y(n_37582), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_10022_59_g1040 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc3h[28]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_82),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_107),
+	.X(n_37605), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_10022_59_g1041 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc3[18]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_93),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_108),
+	.X(n_37626), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 inc_brqrv_top_brqrv_dec_tlu_add_10022_59_g1042 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_82),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc3h[28]),
+	.C(brqrv_top_brqrv_dec_tlu_mhpmc3h[29]),
+	.X(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_118), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_10022_59_g1043 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_110),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc3[26]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_117), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_10022_59_g1044 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc3h[14]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_92),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_105),
+	.X(n_37591), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_10022_59_g1045 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc3h[22]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_91),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_106),
+	.X(n_37599), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_10022_59_g1046 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc3h[26]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_90),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_109),
+	.X(n_37603), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_add_10022_59_g1047 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mhpmc3[31]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_64),
+	.B1(brqrv_top_brqrv_dec_tlu_mhpmc3[31]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_64),
+	.Y(n_37639), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10022_59_g1048 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc3h[16]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_63),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_103),
+	.SUM(n_37593), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10022_59_g1049 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc3[25]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_67),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_110),
+	.SUM(n_37633), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10022_59_g1050 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc3h[8]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_65),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_100),
+	.SUM(n_37585), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_10022_59_g1051 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_90),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc3h[26]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_109), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_10022_59_g1052 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_93),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc3[18]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_108), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_10022_59_g1053 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_82),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc3h[28]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_107), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_10022_59_g1054 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_91),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc3h[22]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_106), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_10022_59_g1055 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_92),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc3h[14]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_105), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_10022_59_g1056 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc3h[24]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_73),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_88),
+	.X(n_37601), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_10022_59_g1057 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc3h[20]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_78),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_89),
+	.X(n_37597), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_10022_59_g1058 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc3h[12]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_77),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_84),
+	.X(n_37589), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_10022_59_g1059 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc3h[6]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_76),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_83),
+	.X(n_37583), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_add_10022_59_g1060 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mhpmc3[23]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_55),
+	.B1(brqrv_top_brqrv_dec_tlu_mhpmc3[23]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_55),
+	.Y(n_37631), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_add_10022_59_g1061 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mhpmc3[29]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_54),
+	.B1(brqrv_top_brqrv_dec_tlu_mhpmc3[29]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_54),
+	.Y(n_37637), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10022_59_g1062 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc3h[0]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_52),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_86),
+	.SUM(n_37577), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10022_59_g1063 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc3[17]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_57),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_93),
+	.SUM(n_37625), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 inc_brqrv_top_brqrv_dec_tlu_add_10022_59_g1064 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_7),
+	.B_N(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_77),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_92), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 inc_brqrv_top_brqrv_dec_tlu_add_10022_59_g1065 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_2),
+	.B_N(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_78),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_91), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 inc_brqrv_top_brqrv_dec_tlu_add_10022_59_g1066 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_6),
+	.B_N(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_73),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_90), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_10022_59_g1067 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_78),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc3h[20]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_89), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_10022_59_g1068 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_73),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc3h[24]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_88), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_10022_59_g1069 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc3[10]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_70),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_75),
+	.X(n_37618), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_10022_59_g1070 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc3h[4]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_66),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_74),
+	.X(n_37581), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_add_10022_59_g1071 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mhpmc3[21]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_47),
+	.B1(brqrv_top_brqrv_dec_tlu_mhpmc3[21]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_47),
+	.Y(n_37629), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_10022_59_g1072 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_77),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc3h[12]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_84), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_10022_59_g1073 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_76),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc3h[6]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_83), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4b_1 inc_brqrv_top_brqrv_dec_tlu_add_10022_59_g1074 (
+	.A_N(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_6),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_73),
+	.C(brqrv_top_brqrv_dec_tlu_mhpmc3h[27]),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc3h[26]),
+	.X(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_82), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 inc_brqrv_top_brqrv_dec_tlu_add_10022_59_g1075 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_10),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_62),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_78), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 inc_brqrv_top_brqrv_dec_tlu_add_10022_59_g1076 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_12),
+	.B_N(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_65),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_77), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 inc_brqrv_top_brqrv_dec_tlu_add_10022_59_g1077 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_0),
+	.B_N(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_66),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_76), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_10022_59_g1078 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_70),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc3[10]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_75), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_10022_59_g1079 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_66),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc3h[4]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_74), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4_1 inc_brqrv_top_brqrv_dec_tlu_add_10022_59_g1080 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_63),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_20),
+	.C(brqrv_top_brqrv_dec_tlu_mhpmc3h[22]),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc3h[23]),
+	.X(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_73), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_10022_59_g1081 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc3[30]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_56),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_64),
+	.X(n_37638), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_add_10022_59_g1082 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mhpmc3[15]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_40),
+	.B1(brqrv_top_brqrv_dec_tlu_mhpmc3[15]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_40),
+	.Y(n_37623), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10022_59_g1083 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc3[9]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_43),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_70),
+	.SUM(n_37617), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10022_59_g1084 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc3[24]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_41),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_67),
+	.SUM(n_37632), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 inc_brqrv_top_brqrv_dec_tlu_add_10022_59_g1085 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_8),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_53),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_66), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 inc_brqrv_top_brqrv_dec_tlu_add_10022_59_g1086 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_28),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_53),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_65), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_10022_59_g1087 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_56),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc3[30]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_64), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 inc_brqrv_top_brqrv_dec_tlu_add_10022_59_g1088 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_62),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_63), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 inc_brqrv_top_brqrv_dec_tlu_add_10022_59_g1089 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_52),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_33),
+	.C(brqrv_top_brqrv_dec_tlu_mhpmc3h[15]),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc3h[14]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_62), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_10022_59_g1090 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc3[22]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_48),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_55),
+	.X(n_37630), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_10022_59_g1091 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc3[28]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_49),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_54),
+	.X(n_37636), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_add_10022_59_g1092 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mhpmc3[13]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_36),
+	.B1(brqrv_top_brqrv_dec_tlu_mhpmc3[13]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_36),
+	.Y(n_37621), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10022_59_g1093 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc3[16]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_34),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_57),
+	.SUM(n_37624), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 inc_brqrv_top_brqrv_dec_tlu_add_10022_59_g1094 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_5),
+	.B_N(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_49),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_56), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_10022_59_g1095 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_48),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc3[22]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_55), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_10022_59_g1096 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_49),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc3[28]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_54), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 inc_brqrv_top_brqrv_dec_tlu_add_10022_59_g1097 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_53),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_52), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 inc_brqrv_top_brqrv_dec_tlu_add_10022_59_g1098 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_34),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_32),
+	.C(brqrv_top_brqrv_dec_tlu_mhpmc3[31]),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc3[30]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_53), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_10022_59_g1099 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc3[20]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_42),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_47),
+	.X(n_37628), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_add_10022_59_g1100 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mhpmc3[7]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_29),
+	.B1(brqrv_top_brqrv_dec_tlu_mhpmc3[7]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_29),
+	.Y(n_37615), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 inc_brqrv_top_brqrv_dec_tlu_add_10022_59_g1101 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_9),
+	.B_N(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_41),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_49), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 inc_brqrv_top_brqrv_dec_tlu_add_10022_59_g1102 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_1),
+	.B_N(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_42),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_48), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_10022_59_g1103 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_42),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc3[20]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_47), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_10022_59_g1104 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc3[14]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_37),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_40),
+	.X(n_37622), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_add_10022_59_g1105 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mhpmc3[5]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_24),
+	.B1(brqrv_top_brqrv_dec_tlu_mhpmc3[5]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_24),
+	.Y(n_37613), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10022_59_g1106 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc3[8]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_23),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_43),
+	.SUM(n_37616), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 inc_brqrv_top_brqrv_dec_tlu_add_10022_59_g1107 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_13),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_35),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_42), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 inc_brqrv_top_brqrv_dec_tlu_add_10022_59_g1108 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_27),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_35),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_41), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_10022_59_g1109 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_37),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc3[14]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_40), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_10022_59_g1110 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc3[12]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_30),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_36),
+	.X(n_37620), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_add_10022_59_g1111 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mhpmc3[3]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_17),
+	.B1(brqrv_top_brqrv_dec_tlu_mhpmc3[3]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_17),
+	.Y(n_37611), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 inc_brqrv_top_brqrv_dec_tlu_add_10022_59_g1112 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_4),
+	.B_N(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_30),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_10022_59_g1113 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_30),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc3[12]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_36), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 inc_brqrv_top_brqrv_dec_tlu_add_10022_59_g1114 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_35),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_34), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 inc_brqrv_top_brqrv_dec_tlu_add_10022_59_g1115 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_23),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_21),
+	.C(brqrv_top_brqrv_dec_tlu_mhpmc3[15]),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc3[14]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_35), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 inc_brqrv_top_brqrv_dec_tlu_add_10022_59_g1116 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_7),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_12),
+	.C(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_28),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_33), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 inc_brqrv_top_brqrv_dec_tlu_add_10022_59_g1117 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_5),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_9),
+	.C(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_27),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_32), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_10022_59_g1118 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc3[6]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_25),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_29),
+	.X(n_37614), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 inc_brqrv_top_brqrv_dec_tlu_add_10022_59_g1119 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_11),
+	.B_N(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_23),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_30), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_10022_59_g1120 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_25),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc3[6]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_29), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 inc_brqrv_top_brqrv_dec_tlu_add_10022_59_g1121 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_19),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc3h[6]),
+	.C(brqrv_top_brqrv_dec_tlu_mhpmc3h[7]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_28), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 inc_brqrv_top_brqrv_dec_tlu_add_10022_59_g1122 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_18),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc3[22]),
+	.C(brqrv_top_brqrv_dec_tlu_mhpmc3[23]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_27), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_10022_59_g1123 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc3[4]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_16),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_24),
+	.X(n_37612), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 inc_brqrv_top_brqrv_dec_tlu_add_10022_59_g1124 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_3),
+	.B_N(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_16),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_25), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_10022_59_g1125 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_16),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc3[4]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_24), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4b_1 inc_brqrv_top_brqrv_dec_tlu_add_10022_59_g1126 (
+	.A_N(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_3),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_16),
+	.C(brqrv_top_brqrv_dec_tlu_mhpmc3[7]),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc3[6]),
+	.X(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_23), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_10022_59_g1127 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc3[2]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_15),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_17),
+	.X(n_37610), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 inc_brqrv_top_brqrv_dec_tlu_add_10022_59_g1128 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_4),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_11),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_21), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 inc_brqrv_top_brqrv_dec_tlu_add_10022_59_g1129 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_2),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_10),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_20), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 inc_brqrv_top_brqrv_dec_tlu_add_10022_59_g1130 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_0),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_8),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_19), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 inc_brqrv_top_brqrv_dec_tlu_add_10022_59_g1131 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_1),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_13),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_18), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_10022_59_g1132 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_15),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc3[2]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_17), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 inc_brqrv_top_brqrv_dec_tlu_add_10022_59_g1133 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_15),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc3[2]),
+	.C(brqrv_top_brqrv_dec_tlu_mhpmc3[3]),
+	.X(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_16), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10022_59_g1134 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc3[0]),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc3[1]),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_15),
+	.SUM(n_37609), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 inc_brqrv_top_brqrv_dec_tlu_add_10022_59_g1135 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc3[17]),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc3[16]),
+	.C(brqrv_top_brqrv_dec_tlu_mhpmc3[18]),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc3[19]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_13), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 inc_brqrv_top_brqrv_dec_tlu_add_10022_59_g1136 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc3h[9]),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc3h[8]),
+	.C(brqrv_top_brqrv_dec_tlu_mhpmc3h[10]),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc3h[11]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_12), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 inc_brqrv_top_brqrv_dec_tlu_add_10022_59_g1137 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc3[9]),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc3[8]),
+	.C(brqrv_top_brqrv_dec_tlu_mhpmc3[10]),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc3[11]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_11), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 inc_brqrv_top_brqrv_dec_tlu_add_10022_59_g1138 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc3h[17]),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc3h[16]),
+	.C(brqrv_top_brqrv_dec_tlu_mhpmc3h[18]),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc3h[19]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_10), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 inc_brqrv_top_brqrv_dec_tlu_add_10022_59_g1139 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc3[25]),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc3[24]),
+	.C(brqrv_top_brqrv_dec_tlu_mhpmc3[26]),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc3[27]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_9), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 inc_brqrv_top_brqrv_dec_tlu_add_10022_59_g1140 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc3h[1]),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc3h[0]),
+	.C(brqrv_top_brqrv_dec_tlu_mhpmc3h[2]),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc3h[3]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_8), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_10022_59_g1141 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc3h[13]),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc3h[12]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_10022_59_g1142 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc3h[25]),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc3h[24]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_10022_59_g1143 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc3[29]),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc3[28]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_10022_59_g1144 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc3[13]),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc3[12]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_10022_59_g1145 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc3[5]),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc3[4]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_10022_59_g1146 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc3h[21]),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc3h[20]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_10022_59_g1147 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc3[21]),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc3[20]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_10022_59_g1148 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc3h[5]),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc3h[4]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10022_59_n_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_add_10048_59_g1012 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mhpmc4h[19]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_142),
+	.B1(brqrv_top_brqrv_dec_tlu_mhpmc4h[19]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_142),
+	.Y(n_37533), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_add_10048_59_g1013 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mhpmc4h[11]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_141),
+	.B1(brqrv_top_brqrv_dec_tlu_mhpmc4h[11]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_141),
+	.Y(n_37525), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_add_10048_59_g1014 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mhpmc4h[3]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_130),
+	.B1(brqrv_top_brqrv_dec_tlu_mhpmc4h[3]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_130),
+	.Y(n_37517), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_add_10048_59_g1015 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mhpmc4h[31]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_122),
+	.B1(brqrv_top_brqrv_dec_tlu_mhpmc4h[31]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_122),
+	.Y(n_37545), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_10048_59_g1016 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc4h[18]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_134),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_142),
+	.X(n_37532), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_10048_59_g1017 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc4h[10]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_132),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_141),
+	.X(n_37524), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_add_10048_59_g1018 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mhpmc4[27]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_117),
+	.B1(brqrv_top_brqrv_dec_tlu_mhpmc4[27]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_117),
+	.Y(n_37572), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_10048_59_g1019 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_134),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc4h[18]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_142), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_10048_59_g1020 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_132),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc4h[10]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_141), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_10048_59_g1021 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc4h[2]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_124),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_130),
+	.X(n_37516), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_add_10048_59_g1022 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mhpmc4h[29]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_107),
+	.B1(brqrv_top_brqrv_dec_tlu_mhpmc4h[29]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_107),
+	.Y(n_37543), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_add_10048_59_g1023 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mhpmc4h[27]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_109),
+	.B1(brqrv_top_brqrv_dec_tlu_mhpmc4h[27]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_109),
+	.Y(n_37541), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_add_10048_59_g1024 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mhpmc4[19]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_108),
+	.B1(brqrv_top_brqrv_dec_tlu_mhpmc4[19]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_108),
+	.Y(n_37564), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_add_10048_59_g1025 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mhpmc4h[23]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_106),
+	.B1(brqrv_top_brqrv_dec_tlu_mhpmc4h[23]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_106),
+	.Y(n_37537), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_add_10048_59_g1026 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mhpmc4h[15]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_105),
+	.B1(brqrv_top_brqrv_dec_tlu_mhpmc4h[15]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_105),
+	.Y(n_37529), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10048_59_g1027 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc4h[17]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_103),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_134),
+	.SUM(n_37531), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10048_59_g1028 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc4h[9]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_100),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_132),
+	.SUM(n_37523), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_10048_59_g1029 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_124),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc4h[2]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_130), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_10048_59_g1030 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc4h[30]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_118),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_122),
+	.X(n_37544), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_add_10048_59_g1031 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mhpmc4h[25]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_88),
+	.B1(brqrv_top_brqrv_dec_tlu_mhpmc4h[25]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_88),
+	.Y(n_37539), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_add_10048_59_g1032 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mhpmc4h[21]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_89),
+	.B1(brqrv_top_brqrv_dec_tlu_mhpmc4h[21]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_89),
+	.Y(n_37535), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_add_10048_59_g1033 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mhpmc4h[13]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_84),
+	.B1(brqrv_top_brqrv_dec_tlu_mhpmc4h[13]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_84),
+	.Y(n_37527), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_add_10048_59_g1034 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mhpmc4h[7]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_83),
+	.B1(brqrv_top_brqrv_dec_tlu_mhpmc4h[7]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_83),
+	.Y(n_37521), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10048_59_g1035 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc4h[1]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_86),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_124),
+	.SUM(n_37515), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_10048_59_g1036 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_118),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc4h[30]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_122), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_10048_59_g1037 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc4[26]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_110),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_117),
+	.X(n_37571), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_add_10048_59_g1038 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mhpmc4[11]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_75),
+	.B1(brqrv_top_brqrv_dec_tlu_mhpmc4[11]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_75),
+	.Y(n_37556), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_add_10048_59_g1039 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mhpmc4h[5]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_74),
+	.B1(brqrv_top_brqrv_dec_tlu_mhpmc4h[5]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_74),
+	.Y(n_37519), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_10048_59_g1040 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc4h[28]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_82),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_107),
+	.X(n_37542), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_10048_59_g1041 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc4[18]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_93),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_108),
+	.X(n_37563), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 inc_brqrv_top_brqrv_dec_tlu_add_10048_59_g1042 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_82),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc4h[28]),
+	.C(brqrv_top_brqrv_dec_tlu_mhpmc4h[29]),
+	.X(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_118), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_10048_59_g1043 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_110),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc4[26]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_117), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_10048_59_g1044 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc4h[14]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_92),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_105),
+	.X(n_37528), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_10048_59_g1045 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc4h[22]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_91),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_106),
+	.X(n_37536), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_10048_59_g1046 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc4h[26]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_90),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_109),
+	.X(n_37540), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_add_10048_59_g1047 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mhpmc4[31]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_64),
+	.B1(brqrv_top_brqrv_dec_tlu_mhpmc4[31]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_64),
+	.Y(n_37576), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10048_59_g1048 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc4h[16]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_63),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_103),
+	.SUM(n_37530), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10048_59_g1049 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc4[25]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_67),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_110),
+	.SUM(n_37570), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10048_59_g1050 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc4h[8]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_65),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_100),
+	.SUM(n_37522), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_10048_59_g1051 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_90),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc4h[26]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_109), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_10048_59_g1052 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_93),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc4[18]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_108), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_10048_59_g1053 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_82),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc4h[28]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_107), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_10048_59_g1054 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_91),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc4h[22]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_106), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_10048_59_g1055 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_92),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc4h[14]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_105), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_10048_59_g1056 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc4h[24]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_73),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_88),
+	.X(n_37538), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_10048_59_g1057 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc4h[20]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_78),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_89),
+	.X(n_37534), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_10048_59_g1058 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc4h[12]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_77),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_84),
+	.X(n_37526), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_10048_59_g1059 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc4h[6]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_76),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_83),
+	.X(n_37520), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_add_10048_59_g1060 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mhpmc4[23]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_55),
+	.B1(brqrv_top_brqrv_dec_tlu_mhpmc4[23]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_55),
+	.Y(n_37568), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_add_10048_59_g1061 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mhpmc4[29]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_54),
+	.B1(brqrv_top_brqrv_dec_tlu_mhpmc4[29]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_54),
+	.Y(n_37574), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10048_59_g1062 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc4h[0]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_52),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_86),
+	.SUM(n_37514), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10048_59_g1063 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc4[17]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_57),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_93),
+	.SUM(n_37562), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 inc_brqrv_top_brqrv_dec_tlu_add_10048_59_g1064 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_7),
+	.B_N(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_77),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_92), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 inc_brqrv_top_brqrv_dec_tlu_add_10048_59_g1065 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_2),
+	.B_N(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_78),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_91), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 inc_brqrv_top_brqrv_dec_tlu_add_10048_59_g1066 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_6),
+	.B_N(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_73),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_90), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_10048_59_g1067 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_78),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc4h[20]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_89), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_10048_59_g1068 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_73),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc4h[24]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_88), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_10048_59_g1069 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc4[10]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_70),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_75),
+	.X(n_37555), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_10048_59_g1070 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc4h[4]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_66),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_74),
+	.X(n_37518), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_add_10048_59_g1071 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mhpmc4[21]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_47),
+	.B1(brqrv_top_brqrv_dec_tlu_mhpmc4[21]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_47),
+	.Y(n_37566), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_10048_59_g1072 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_77),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc4h[12]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_84), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_10048_59_g1073 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_76),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc4h[6]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_83), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4b_1 inc_brqrv_top_brqrv_dec_tlu_add_10048_59_g1074 (
+	.A_N(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_6),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_73),
+	.C(brqrv_top_brqrv_dec_tlu_mhpmc4h[27]),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc4h[26]),
+	.X(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_82), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 inc_brqrv_top_brqrv_dec_tlu_add_10048_59_g1075 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_10),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_62),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_78), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 inc_brqrv_top_brqrv_dec_tlu_add_10048_59_g1076 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_12),
+	.B_N(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_65),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_77), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 inc_brqrv_top_brqrv_dec_tlu_add_10048_59_g1077 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_0),
+	.B_N(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_66),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_76), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_10048_59_g1078 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_70),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc4[10]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_75), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_10048_59_g1079 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_66),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc4h[4]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_74), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4_1 inc_brqrv_top_brqrv_dec_tlu_add_10048_59_g1080 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_63),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_20),
+	.C(brqrv_top_brqrv_dec_tlu_mhpmc4h[22]),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc4h[23]),
+	.X(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_73), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_10048_59_g1081 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc4[30]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_56),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_64),
+	.X(n_37575), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_add_10048_59_g1082 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mhpmc4[15]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_40),
+	.B1(brqrv_top_brqrv_dec_tlu_mhpmc4[15]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_40),
+	.Y(n_37560), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10048_59_g1083 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc4[9]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_43),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_70),
+	.SUM(n_37554), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10048_59_g1084 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc4[24]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_41),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_67),
+	.SUM(n_37569), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 inc_brqrv_top_brqrv_dec_tlu_add_10048_59_g1085 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_8),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_53),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_66), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 inc_brqrv_top_brqrv_dec_tlu_add_10048_59_g1086 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_28),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_53),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_65), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_10048_59_g1087 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_56),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc4[30]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_64), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 inc_brqrv_top_brqrv_dec_tlu_add_10048_59_g1088 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_62),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_63), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 inc_brqrv_top_brqrv_dec_tlu_add_10048_59_g1089 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_52),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_33),
+	.C(brqrv_top_brqrv_dec_tlu_mhpmc4h[15]),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc4h[14]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_62), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_10048_59_g1090 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc4[22]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_48),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_55),
+	.X(n_37567), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_10048_59_g1091 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc4[28]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_49),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_54),
+	.X(n_37573), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_add_10048_59_g1092 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mhpmc4[13]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_36),
+	.B1(brqrv_top_brqrv_dec_tlu_mhpmc4[13]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_36),
+	.Y(n_37558), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10048_59_g1093 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc4[16]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_34),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_57),
+	.SUM(n_37561), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 inc_brqrv_top_brqrv_dec_tlu_add_10048_59_g1094 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_5),
+	.B_N(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_49),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_56), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_10048_59_g1095 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_48),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc4[22]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_55), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_10048_59_g1096 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_49),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc4[28]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_54), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 inc_brqrv_top_brqrv_dec_tlu_add_10048_59_g1097 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_53),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_52), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 inc_brqrv_top_brqrv_dec_tlu_add_10048_59_g1098 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_34),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_32),
+	.C(brqrv_top_brqrv_dec_tlu_mhpmc4[31]),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc4[30]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_53), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_10048_59_g1099 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc4[20]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_42),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_47),
+	.X(n_37565), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_add_10048_59_g1100 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mhpmc4[7]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_29),
+	.B1(brqrv_top_brqrv_dec_tlu_mhpmc4[7]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_29),
+	.Y(n_37552), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 inc_brqrv_top_brqrv_dec_tlu_add_10048_59_g1101 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_9),
+	.B_N(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_41),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_49), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 inc_brqrv_top_brqrv_dec_tlu_add_10048_59_g1102 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_1),
+	.B_N(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_42),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_48), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_10048_59_g1103 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_42),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc4[20]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_47), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_10048_59_g1104 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc4[14]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_37),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_40),
+	.X(n_37559), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_add_10048_59_g1105 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mhpmc4[5]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_24),
+	.B1(brqrv_top_brqrv_dec_tlu_mhpmc4[5]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_24),
+	.Y(n_37550), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10048_59_g1106 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc4[8]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_23),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_43),
+	.SUM(n_37553), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 inc_brqrv_top_brqrv_dec_tlu_add_10048_59_g1107 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_13),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_35),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_42), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 inc_brqrv_top_brqrv_dec_tlu_add_10048_59_g1108 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_27),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_35),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_41), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_10048_59_g1109 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_37),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc4[14]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_40), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_10048_59_g1110 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc4[12]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_30),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_36),
+	.X(n_37557), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_add_10048_59_g1111 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mhpmc4[3]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_17),
+	.B1(brqrv_top_brqrv_dec_tlu_mhpmc4[3]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_17),
+	.Y(n_37548), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 inc_brqrv_top_brqrv_dec_tlu_add_10048_59_g1112 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_4),
+	.B_N(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_30),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_10048_59_g1113 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_30),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc4[12]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_36), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 inc_brqrv_top_brqrv_dec_tlu_add_10048_59_g1114 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_35),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_34), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 inc_brqrv_top_brqrv_dec_tlu_add_10048_59_g1115 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_23),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_21),
+	.C(brqrv_top_brqrv_dec_tlu_mhpmc4[15]),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc4[14]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_35), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 inc_brqrv_top_brqrv_dec_tlu_add_10048_59_g1116 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_7),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_12),
+	.C(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_28),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_33), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 inc_brqrv_top_brqrv_dec_tlu_add_10048_59_g1117 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_5),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_9),
+	.C(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_27),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_32), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_10048_59_g1118 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc4[6]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_25),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_29),
+	.X(n_37551), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 inc_brqrv_top_brqrv_dec_tlu_add_10048_59_g1119 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_11),
+	.B_N(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_23),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_30), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_10048_59_g1120 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_25),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc4[6]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_29), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 inc_brqrv_top_brqrv_dec_tlu_add_10048_59_g1121 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_19),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc4h[6]),
+	.C(brqrv_top_brqrv_dec_tlu_mhpmc4h[7]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_28), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 inc_brqrv_top_brqrv_dec_tlu_add_10048_59_g1122 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_18),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc4[22]),
+	.C(brqrv_top_brqrv_dec_tlu_mhpmc4[23]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_27), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_10048_59_g1123 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc4[4]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_16),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_24),
+	.X(n_37549), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 inc_brqrv_top_brqrv_dec_tlu_add_10048_59_g1124 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_3),
+	.B_N(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_16),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_25), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_10048_59_g1125 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_16),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc4[4]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_24), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4b_1 inc_brqrv_top_brqrv_dec_tlu_add_10048_59_g1126 (
+	.A_N(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_3),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_16),
+	.C(brqrv_top_brqrv_dec_tlu_mhpmc4[7]),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc4[6]),
+	.X(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_23), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_10048_59_g1127 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc4[2]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_15),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_17),
+	.X(n_37547), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 inc_brqrv_top_brqrv_dec_tlu_add_10048_59_g1128 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_4),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_11),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_21), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 inc_brqrv_top_brqrv_dec_tlu_add_10048_59_g1129 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_2),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_10),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_20), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 inc_brqrv_top_brqrv_dec_tlu_add_10048_59_g1130 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_0),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_8),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_19), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 inc_brqrv_top_brqrv_dec_tlu_add_10048_59_g1131 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_1),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_13),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_18), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_10048_59_g1132 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_15),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc4[2]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_17), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 inc_brqrv_top_brqrv_dec_tlu_add_10048_59_g1133 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_15),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc4[2]),
+	.C(brqrv_top_brqrv_dec_tlu_mhpmc4[3]),
+	.X(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_16), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10048_59_g1134 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc4[0]),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc4[1]),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_15),
+	.SUM(n_37546), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 inc_brqrv_top_brqrv_dec_tlu_add_10048_59_g1135 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc4[17]),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc4[16]),
+	.C(brqrv_top_brqrv_dec_tlu_mhpmc4[18]),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc4[19]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_13), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 inc_brqrv_top_brqrv_dec_tlu_add_10048_59_g1136 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc4h[9]),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc4h[8]),
+	.C(brqrv_top_brqrv_dec_tlu_mhpmc4h[10]),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc4h[11]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_12), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 inc_brqrv_top_brqrv_dec_tlu_add_10048_59_g1137 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc4[9]),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc4[8]),
+	.C(brqrv_top_brqrv_dec_tlu_mhpmc4[10]),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc4[11]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_11), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 inc_brqrv_top_brqrv_dec_tlu_add_10048_59_g1138 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc4h[17]),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc4h[16]),
+	.C(brqrv_top_brqrv_dec_tlu_mhpmc4h[18]),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc4h[19]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_10), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 inc_brqrv_top_brqrv_dec_tlu_add_10048_59_g1139 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc4[25]),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc4[24]),
+	.C(brqrv_top_brqrv_dec_tlu_mhpmc4[26]),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc4[27]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_9), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 inc_brqrv_top_brqrv_dec_tlu_add_10048_59_g1140 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc4h[1]),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc4h[0]),
+	.C(brqrv_top_brqrv_dec_tlu_mhpmc4h[2]),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc4h[3]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_8), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_10048_59_g1141 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc4h[13]),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc4h[12]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_10048_59_g1142 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc4h[25]),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc4h[24]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_10048_59_g1143 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc4[29]),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc4[28]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_10048_59_g1144 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc4[13]),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc4[12]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_10048_59_g1145 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc4[5]),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc4[4]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_10048_59_g1146 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc4h[21]),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc4h[20]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_10048_59_g1147 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc4[21]),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc4[20]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_10048_59_g1148 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc4h[5]),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc4h[4]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10048_59_n_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_add_10074_59_g1012 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mhpmc5h[19]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_142),
+	.B1(brqrv_top_brqrv_dec_tlu_mhpmc5h[19]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_142),
+	.Y(n_37470), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_add_10074_59_g1013 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mhpmc5h[11]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_141),
+	.B1(brqrv_top_brqrv_dec_tlu_mhpmc5h[11]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_141),
+	.Y(n_37462), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_add_10074_59_g1014 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mhpmc5h[3]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_130),
+	.B1(brqrv_top_brqrv_dec_tlu_mhpmc5h[3]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_130),
+	.Y(n_37454), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_add_10074_59_g1015 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mhpmc5h[31]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_122),
+	.B1(brqrv_top_brqrv_dec_tlu_mhpmc5h[31]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_122),
+	.Y(n_37482), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_10074_59_g1016 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc5h[18]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_134),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_142),
+	.X(n_37469), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_10074_59_g1017 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc5h[10]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_132),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_141),
+	.X(n_37461), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_add_10074_59_g1018 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mhpmc5[27]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_117),
+	.B1(brqrv_top_brqrv_dec_tlu_mhpmc5[27]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_117),
+	.Y(n_37509), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_10074_59_g1019 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_134),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc5h[18]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_142), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_10074_59_g1020 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_132),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc5h[10]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_141), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_10074_59_g1021 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc5h[2]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_124),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_130),
+	.X(n_37453), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_add_10074_59_g1022 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mhpmc5h[29]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_107),
+	.B1(brqrv_top_brqrv_dec_tlu_mhpmc5h[29]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_107),
+	.Y(n_37480), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_add_10074_59_g1023 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mhpmc5h[27]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_109),
+	.B1(brqrv_top_brqrv_dec_tlu_mhpmc5h[27]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_109),
+	.Y(n_37478), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_add_10074_59_g1024 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mhpmc5[19]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_108),
+	.B1(brqrv_top_brqrv_dec_tlu_mhpmc5[19]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_108),
+	.Y(n_37501), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_add_10074_59_g1025 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mhpmc5h[23]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_106),
+	.B1(brqrv_top_brqrv_dec_tlu_mhpmc5h[23]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_106),
+	.Y(n_37474), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_add_10074_59_g1026 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mhpmc5h[15]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_105),
+	.B1(brqrv_top_brqrv_dec_tlu_mhpmc5h[15]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_105),
+	.Y(n_37466), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10074_59_g1027 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc5h[17]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_103),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_134),
+	.SUM(n_37468), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10074_59_g1028 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc5h[9]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_100),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_132),
+	.SUM(n_37460), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_10074_59_g1029 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_124),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc5h[2]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_130), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_10074_59_g1030 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc5h[30]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_118),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_122),
+	.X(n_37481), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_add_10074_59_g1031 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mhpmc5h[25]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_88),
+	.B1(brqrv_top_brqrv_dec_tlu_mhpmc5h[25]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_88),
+	.Y(n_37476), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_add_10074_59_g1032 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mhpmc5h[21]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_89),
+	.B1(brqrv_top_brqrv_dec_tlu_mhpmc5h[21]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_89),
+	.Y(n_37472), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_add_10074_59_g1033 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mhpmc5h[13]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_84),
+	.B1(brqrv_top_brqrv_dec_tlu_mhpmc5h[13]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_84),
+	.Y(n_37464), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_add_10074_59_g1034 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mhpmc5h[7]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_83),
+	.B1(brqrv_top_brqrv_dec_tlu_mhpmc5h[7]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_83),
+	.Y(n_37458), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10074_59_g1035 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc5h[1]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_86),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_124),
+	.SUM(n_37452), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_10074_59_g1036 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_118),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc5h[30]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_122), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_10074_59_g1037 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc5[26]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_110),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_117),
+	.X(n_37508), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_add_10074_59_g1038 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mhpmc5[11]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_75),
+	.B1(brqrv_top_brqrv_dec_tlu_mhpmc5[11]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_75),
+	.Y(n_37493), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_add_10074_59_g1039 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mhpmc5h[5]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_74),
+	.B1(brqrv_top_brqrv_dec_tlu_mhpmc5h[5]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_74),
+	.Y(n_37456), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_10074_59_g1040 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc5h[28]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_82),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_107),
+	.X(n_37479), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_10074_59_g1041 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc5[18]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_93),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_108),
+	.X(n_37500), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 inc_brqrv_top_brqrv_dec_tlu_add_10074_59_g1042 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_82),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc5h[28]),
+	.C(brqrv_top_brqrv_dec_tlu_mhpmc5h[29]),
+	.X(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_118), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_10074_59_g1043 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_110),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc5[26]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_117), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_10074_59_g1044 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc5h[14]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_92),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_105),
+	.X(n_37465), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_10074_59_g1045 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc5h[22]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_91),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_106),
+	.X(n_37473), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_10074_59_g1046 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc5h[26]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_90),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_109),
+	.X(n_37477), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_add_10074_59_g1047 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mhpmc5[31]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_64),
+	.B1(brqrv_top_brqrv_dec_tlu_mhpmc5[31]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_64),
+	.Y(n_37513), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10074_59_g1048 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc5h[16]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_63),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_103),
+	.SUM(n_37467), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10074_59_g1049 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc5[25]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_67),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_110),
+	.SUM(n_37507), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10074_59_g1050 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc5h[8]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_65),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_100),
+	.SUM(n_37459), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_10074_59_g1051 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_90),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc5h[26]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_109), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_10074_59_g1052 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_93),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc5[18]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_108), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_10074_59_g1053 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_82),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc5h[28]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_107), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_10074_59_g1054 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_91),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc5h[22]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_106), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_10074_59_g1055 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_92),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc5h[14]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_105), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_10074_59_g1056 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc5h[24]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_73),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_88),
+	.X(n_37475), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_10074_59_g1057 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc5h[20]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_78),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_89),
+	.X(n_37471), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_10074_59_g1058 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc5h[12]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_77),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_84),
+	.X(n_37463), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_10074_59_g1059 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc5h[6]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_76),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_83),
+	.X(n_37457), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_add_10074_59_g1060 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mhpmc5[23]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_55),
+	.B1(brqrv_top_brqrv_dec_tlu_mhpmc5[23]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_55),
+	.Y(n_37505), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_add_10074_59_g1061 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mhpmc5[29]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_54),
+	.B1(brqrv_top_brqrv_dec_tlu_mhpmc5[29]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_54),
+	.Y(n_37511), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10074_59_g1062 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc5h[0]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_52),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_86),
+	.SUM(n_37451), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10074_59_g1063 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc5[17]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_57),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_93),
+	.SUM(n_37499), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 inc_brqrv_top_brqrv_dec_tlu_add_10074_59_g1064 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_7),
+	.B_N(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_77),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_92), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 inc_brqrv_top_brqrv_dec_tlu_add_10074_59_g1065 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_2),
+	.B_N(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_78),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_91), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 inc_brqrv_top_brqrv_dec_tlu_add_10074_59_g1066 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_6),
+	.B_N(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_73),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_90), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_10074_59_g1067 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_78),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc5h[20]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_89), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_10074_59_g1068 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_73),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc5h[24]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_88), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_10074_59_g1069 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc5[10]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_70),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_75),
+	.X(n_37492), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_10074_59_g1070 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc5h[4]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_66),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_74),
+	.X(n_37455), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_add_10074_59_g1071 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mhpmc5[21]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_47),
+	.B1(brqrv_top_brqrv_dec_tlu_mhpmc5[21]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_47),
+	.Y(n_37503), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_10074_59_g1072 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_77),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc5h[12]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_84), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_10074_59_g1073 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_76),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc5h[6]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_83), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4b_1 inc_brqrv_top_brqrv_dec_tlu_add_10074_59_g1074 (
+	.A_N(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_6),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_73),
+	.C(brqrv_top_brqrv_dec_tlu_mhpmc5h[27]),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc5h[26]),
+	.X(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_82), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 inc_brqrv_top_brqrv_dec_tlu_add_10074_59_g1075 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_10),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_62),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_78), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 inc_brqrv_top_brqrv_dec_tlu_add_10074_59_g1076 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_12),
+	.B_N(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_65),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_77), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 inc_brqrv_top_brqrv_dec_tlu_add_10074_59_g1077 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_0),
+	.B_N(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_66),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_76), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_10074_59_g1078 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_70),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc5[10]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_75), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_10074_59_g1079 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_66),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc5h[4]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_74), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4_1 inc_brqrv_top_brqrv_dec_tlu_add_10074_59_g1080 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_63),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_20),
+	.C(brqrv_top_brqrv_dec_tlu_mhpmc5h[22]),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc5h[23]),
+	.X(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_73), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_10074_59_g1081 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc5[30]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_56),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_64),
+	.X(n_37512), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_add_10074_59_g1082 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mhpmc5[15]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_40),
+	.B1(brqrv_top_brqrv_dec_tlu_mhpmc5[15]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_40),
+	.Y(n_37497), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10074_59_g1083 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc5[9]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_43),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_70),
+	.SUM(n_37491), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10074_59_g1084 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc5[24]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_41),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_67),
+	.SUM(n_37506), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 inc_brqrv_top_brqrv_dec_tlu_add_10074_59_g1085 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_8),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_53),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_66), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 inc_brqrv_top_brqrv_dec_tlu_add_10074_59_g1086 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_28),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_53),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_65), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_10074_59_g1087 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_56),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc5[30]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_64), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 inc_brqrv_top_brqrv_dec_tlu_add_10074_59_g1088 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_62),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_63), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 inc_brqrv_top_brqrv_dec_tlu_add_10074_59_g1089 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_52),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_33),
+	.C(brqrv_top_brqrv_dec_tlu_mhpmc5h[15]),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc5h[14]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_62), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_10074_59_g1090 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc5[22]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_48),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_55),
+	.X(n_37504), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_10074_59_g1091 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc5[28]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_49),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_54),
+	.X(n_37510), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_add_10074_59_g1092 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mhpmc5[13]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_36),
+	.B1(brqrv_top_brqrv_dec_tlu_mhpmc5[13]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_36),
+	.Y(n_37495), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10074_59_g1093 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc5[16]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_34),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_57),
+	.SUM(n_37498), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 inc_brqrv_top_brqrv_dec_tlu_add_10074_59_g1094 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_5),
+	.B_N(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_49),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_56), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_10074_59_g1095 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_48),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc5[22]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_55), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_10074_59_g1096 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_49),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc5[28]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_54), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 inc_brqrv_top_brqrv_dec_tlu_add_10074_59_g1097 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_53),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_52), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 inc_brqrv_top_brqrv_dec_tlu_add_10074_59_g1098 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_34),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_32),
+	.C(brqrv_top_brqrv_dec_tlu_mhpmc5[31]),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc5[30]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_53), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_10074_59_g1099 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc5[20]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_42),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_47),
+	.X(n_37502), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_add_10074_59_g1100 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mhpmc5[7]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_29),
+	.B1(brqrv_top_brqrv_dec_tlu_mhpmc5[7]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_29),
+	.Y(n_37489), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 inc_brqrv_top_brqrv_dec_tlu_add_10074_59_g1101 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_9),
+	.B_N(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_41),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_49), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 inc_brqrv_top_brqrv_dec_tlu_add_10074_59_g1102 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_1),
+	.B_N(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_42),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_48), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_10074_59_g1103 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_42),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc5[20]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_47), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_10074_59_g1104 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc5[14]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_37),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_40),
+	.X(n_37496), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_add_10074_59_g1105 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mhpmc5[5]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_24),
+	.B1(brqrv_top_brqrv_dec_tlu_mhpmc5[5]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_24),
+	.Y(n_37487), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10074_59_g1106 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc5[8]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_23),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_43),
+	.SUM(n_37490), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 inc_brqrv_top_brqrv_dec_tlu_add_10074_59_g1107 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_13),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_35),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_42), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 inc_brqrv_top_brqrv_dec_tlu_add_10074_59_g1108 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_27),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_35),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_41), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_10074_59_g1109 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_37),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc5[14]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_40), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_10074_59_g1110 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc5[12]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_30),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_36),
+	.X(n_37494), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_add_10074_59_g1111 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mhpmc5[3]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_17),
+	.B1(brqrv_top_brqrv_dec_tlu_mhpmc5[3]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_17),
+	.Y(n_37485), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 inc_brqrv_top_brqrv_dec_tlu_add_10074_59_g1112 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_4),
+	.B_N(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_30),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_10074_59_g1113 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_30),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc5[12]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_36), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 inc_brqrv_top_brqrv_dec_tlu_add_10074_59_g1114 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_35),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_34), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 inc_brqrv_top_brqrv_dec_tlu_add_10074_59_g1115 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_23),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_21),
+	.C(brqrv_top_brqrv_dec_tlu_mhpmc5[15]),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc5[14]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_35), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 inc_brqrv_top_brqrv_dec_tlu_add_10074_59_g1116 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_7),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_12),
+	.C(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_28),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_33), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 inc_brqrv_top_brqrv_dec_tlu_add_10074_59_g1117 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_5),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_9),
+	.C(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_27),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_32), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_10074_59_g1118 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc5[6]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_25),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_29),
+	.X(n_37488), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 inc_brqrv_top_brqrv_dec_tlu_add_10074_59_g1119 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_11),
+	.B_N(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_23),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_30), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_10074_59_g1120 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_25),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc5[6]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_29), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 inc_brqrv_top_brqrv_dec_tlu_add_10074_59_g1121 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_19),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc5h[6]),
+	.C(brqrv_top_brqrv_dec_tlu_mhpmc5h[7]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_28), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 inc_brqrv_top_brqrv_dec_tlu_add_10074_59_g1122 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_18),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc5[22]),
+	.C(brqrv_top_brqrv_dec_tlu_mhpmc5[23]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_27), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_10074_59_g1123 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc5[4]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_16),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_24),
+	.X(n_37486), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 inc_brqrv_top_brqrv_dec_tlu_add_10074_59_g1124 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_3),
+	.B_N(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_16),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_25), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_10074_59_g1125 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_16),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc5[4]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_24), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4b_1 inc_brqrv_top_brqrv_dec_tlu_add_10074_59_g1126 (
+	.A_N(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_3),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_16),
+	.C(brqrv_top_brqrv_dec_tlu_mhpmc5[7]),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc5[6]),
+	.X(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_23), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_10074_59_g1127 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc5[2]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_15),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_17),
+	.X(n_37484), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 inc_brqrv_top_brqrv_dec_tlu_add_10074_59_g1128 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_4),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_11),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_21), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 inc_brqrv_top_brqrv_dec_tlu_add_10074_59_g1129 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_2),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_10),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_20), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 inc_brqrv_top_brqrv_dec_tlu_add_10074_59_g1130 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_0),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_8),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_19), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 inc_brqrv_top_brqrv_dec_tlu_add_10074_59_g1131 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_1),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_13),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_18), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_10074_59_g1132 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_15),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc5[2]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_17), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 inc_brqrv_top_brqrv_dec_tlu_add_10074_59_g1133 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_15),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc5[2]),
+	.C(brqrv_top_brqrv_dec_tlu_mhpmc5[3]),
+	.X(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_16), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10074_59_g1134 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc5[0]),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc5[1]),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_15),
+	.SUM(n_37483), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 inc_brqrv_top_brqrv_dec_tlu_add_10074_59_g1135 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc5[17]),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc5[16]),
+	.C(brqrv_top_brqrv_dec_tlu_mhpmc5[18]),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc5[19]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_13), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 inc_brqrv_top_brqrv_dec_tlu_add_10074_59_g1136 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc5h[9]),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc5h[8]),
+	.C(brqrv_top_brqrv_dec_tlu_mhpmc5h[10]),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc5h[11]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_12), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 inc_brqrv_top_brqrv_dec_tlu_add_10074_59_g1137 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc5[9]),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc5[8]),
+	.C(brqrv_top_brqrv_dec_tlu_mhpmc5[10]),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc5[11]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_11), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 inc_brqrv_top_brqrv_dec_tlu_add_10074_59_g1138 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc5h[17]),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc5h[16]),
+	.C(brqrv_top_brqrv_dec_tlu_mhpmc5h[18]),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc5h[19]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_10), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 inc_brqrv_top_brqrv_dec_tlu_add_10074_59_g1139 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc5[25]),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc5[24]),
+	.C(brqrv_top_brqrv_dec_tlu_mhpmc5[26]),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc5[27]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_9), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 inc_brqrv_top_brqrv_dec_tlu_add_10074_59_g1140 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc5h[1]),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc5h[0]),
+	.C(brqrv_top_brqrv_dec_tlu_mhpmc5h[2]),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc5h[3]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_8), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_10074_59_g1141 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc5h[13]),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc5h[12]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_10074_59_g1142 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc5h[25]),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc5h[24]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_10074_59_g1143 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc5[29]),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc5[28]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_10074_59_g1144 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc5[13]),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc5[12]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_10074_59_g1145 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc5[5]),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc5[4]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_10074_59_g1146 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc5h[21]),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc5h[20]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_10074_59_g1147 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc5[21]),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc5[20]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_10074_59_g1148 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc5h[5]),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc5h[4]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10074_59_n_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_add_10100_59_g1012 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mhpmc6h[19]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_142),
+	.B1(brqrv_top_brqrv_dec_tlu_mhpmc6h[19]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_142),
+	.Y(n_37407), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_add_10100_59_g1013 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mhpmc6h[11]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_141),
+	.B1(brqrv_top_brqrv_dec_tlu_mhpmc6h[11]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_141),
+	.Y(n_37399), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_add_10100_59_g1014 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mhpmc6h[3]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_130),
+	.B1(brqrv_top_brqrv_dec_tlu_mhpmc6h[3]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_130),
+	.Y(n_37391), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_add_10100_59_g1015 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mhpmc6h[31]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_122),
+	.B1(brqrv_top_brqrv_dec_tlu_mhpmc6h[31]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_122),
+	.Y(n_37419), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_10100_59_g1016 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc6h[18]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_134),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_142),
+	.X(n_37406), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_10100_59_g1017 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc6h[10]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_132),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_141),
+	.X(n_37398), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_add_10100_59_g1018 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mhpmc6[27]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_117),
+	.B1(brqrv_top_brqrv_dec_tlu_mhpmc6[27]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_117),
+	.Y(n_37446), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_10100_59_g1019 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_134),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc6h[18]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_142), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_10100_59_g1020 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_132),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc6h[10]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_141), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_10100_59_g1021 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc6h[2]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_124),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_130),
+	.X(n_37390), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_add_10100_59_g1022 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mhpmc6h[29]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_107),
+	.B1(brqrv_top_brqrv_dec_tlu_mhpmc6h[29]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_107),
+	.Y(n_37417), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_add_10100_59_g1023 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mhpmc6h[27]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_109),
+	.B1(brqrv_top_brqrv_dec_tlu_mhpmc6h[27]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_109),
+	.Y(n_37415), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_add_10100_59_g1024 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mhpmc6[19]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_108),
+	.B1(brqrv_top_brqrv_dec_tlu_mhpmc6[19]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_108),
+	.Y(n_37438), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_add_10100_59_g1025 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mhpmc6h[23]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_106),
+	.B1(brqrv_top_brqrv_dec_tlu_mhpmc6h[23]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_106),
+	.Y(n_37411), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_add_10100_59_g1026 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mhpmc6h[15]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_105),
+	.B1(brqrv_top_brqrv_dec_tlu_mhpmc6h[15]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_105),
+	.Y(n_37403), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10100_59_g1027 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc6h[17]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_103),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_134),
+	.SUM(n_37405), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10100_59_g1028 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc6h[9]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_100),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_132),
+	.SUM(n_37397), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_10100_59_g1029 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_124),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc6h[2]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_130), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_10100_59_g1030 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc6h[30]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_118),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_122),
+	.X(n_37418), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_add_10100_59_g1031 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mhpmc6h[25]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_88),
+	.B1(brqrv_top_brqrv_dec_tlu_mhpmc6h[25]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_88),
+	.Y(n_37413), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_add_10100_59_g1032 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mhpmc6h[21]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_89),
+	.B1(brqrv_top_brqrv_dec_tlu_mhpmc6h[21]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_89),
+	.Y(n_37409), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_add_10100_59_g1033 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mhpmc6h[13]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_84),
+	.B1(brqrv_top_brqrv_dec_tlu_mhpmc6h[13]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_84),
+	.Y(n_37401), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_add_10100_59_g1034 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mhpmc6h[7]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_83),
+	.B1(brqrv_top_brqrv_dec_tlu_mhpmc6h[7]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_83),
+	.Y(n_37395), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10100_59_g1035 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc6h[1]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_86),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_124),
+	.SUM(n_37389), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_10100_59_g1036 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_118),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc6h[30]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_122), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_10100_59_g1037 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc6[26]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_110),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_117),
+	.X(n_37445), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_add_10100_59_g1038 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mhpmc6[11]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_75),
+	.B1(brqrv_top_brqrv_dec_tlu_mhpmc6[11]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_75),
+	.Y(n_37430), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_add_10100_59_g1039 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mhpmc6h[5]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_74),
+	.B1(brqrv_top_brqrv_dec_tlu_mhpmc6h[5]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_74),
+	.Y(n_37393), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_10100_59_g1040 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc6h[28]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_82),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_107),
+	.X(n_37416), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_10100_59_g1041 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc6[18]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_93),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_108),
+	.X(n_37437), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 inc_brqrv_top_brqrv_dec_tlu_add_10100_59_g1042 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_82),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc6h[28]),
+	.C(brqrv_top_brqrv_dec_tlu_mhpmc6h[29]),
+	.X(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_118), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_10100_59_g1043 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_110),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc6[26]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_117), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_10100_59_g1044 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc6h[14]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_92),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_105),
+	.X(n_37402), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_10100_59_g1045 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc6h[22]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_91),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_106),
+	.X(n_37410), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_10100_59_g1046 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc6h[26]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_90),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_109),
+	.X(n_37414), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_add_10100_59_g1047 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mhpmc6[31]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_64),
+	.B1(brqrv_top_brqrv_dec_tlu_mhpmc6[31]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_64),
+	.Y(n_37450), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10100_59_g1048 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc6h[16]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_63),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_103),
+	.SUM(n_37404), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10100_59_g1049 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc6[25]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_67),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_110),
+	.SUM(n_37444), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10100_59_g1050 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc6h[8]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_65),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_100),
+	.SUM(n_37396), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_10100_59_g1051 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_90),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc6h[26]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_109), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_10100_59_g1052 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_93),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc6[18]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_108), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_10100_59_g1053 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_82),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc6h[28]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_107), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_10100_59_g1054 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_91),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc6h[22]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_106), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_10100_59_g1055 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_92),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc6h[14]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_105), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_10100_59_g1056 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc6h[24]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_73),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_88),
+	.X(n_37412), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_10100_59_g1057 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc6h[20]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_78),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_89),
+	.X(n_37408), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_10100_59_g1058 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc6h[12]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_77),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_84),
+	.X(n_37400), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_10100_59_g1059 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc6h[6]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_76),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_83),
+	.X(n_37394), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_add_10100_59_g1060 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mhpmc6[23]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_55),
+	.B1(brqrv_top_brqrv_dec_tlu_mhpmc6[23]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_55),
+	.Y(n_37442), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_add_10100_59_g1061 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mhpmc6[29]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_54),
+	.B1(brqrv_top_brqrv_dec_tlu_mhpmc6[29]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_54),
+	.Y(n_37448), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10100_59_g1062 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc6h[0]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_52),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_86),
+	.SUM(n_37388), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10100_59_g1063 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc6[17]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_57),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_93),
+	.SUM(n_37436), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 inc_brqrv_top_brqrv_dec_tlu_add_10100_59_g1064 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_7),
+	.B_N(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_77),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_92), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 inc_brqrv_top_brqrv_dec_tlu_add_10100_59_g1065 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_2),
+	.B_N(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_78),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_91), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 inc_brqrv_top_brqrv_dec_tlu_add_10100_59_g1066 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_6),
+	.B_N(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_73),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_90), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_10100_59_g1067 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_78),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc6h[20]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_89), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_10100_59_g1068 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_73),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc6h[24]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_88), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_10100_59_g1069 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc6[10]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_70),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_75),
+	.X(n_37429), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_10100_59_g1070 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc6h[4]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_66),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_74),
+	.X(n_37392), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_add_10100_59_g1071 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mhpmc6[21]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_47),
+	.B1(brqrv_top_brqrv_dec_tlu_mhpmc6[21]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_47),
+	.Y(n_37440), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_10100_59_g1072 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_77),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc6h[12]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_84), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_10100_59_g1073 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_76),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc6h[6]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_83), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4b_1 inc_brqrv_top_brqrv_dec_tlu_add_10100_59_g1074 (
+	.A_N(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_6),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_73),
+	.C(brqrv_top_brqrv_dec_tlu_mhpmc6h[27]),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc6h[26]),
+	.X(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_82), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 inc_brqrv_top_brqrv_dec_tlu_add_10100_59_g1075 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_10),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_62),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_78), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 inc_brqrv_top_brqrv_dec_tlu_add_10100_59_g1076 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_12),
+	.B_N(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_65),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_77), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 inc_brqrv_top_brqrv_dec_tlu_add_10100_59_g1077 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_0),
+	.B_N(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_66),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_76), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_10100_59_g1078 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_70),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc6[10]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_75), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_10100_59_g1079 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_66),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc6h[4]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_74), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4_1 inc_brqrv_top_brqrv_dec_tlu_add_10100_59_g1080 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_63),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_20),
+	.C(brqrv_top_brqrv_dec_tlu_mhpmc6h[22]),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc6h[23]),
+	.X(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_73), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_10100_59_g1081 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc6[30]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_56),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_64),
+	.X(n_37449), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_add_10100_59_g1082 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mhpmc6[15]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_40),
+	.B1(brqrv_top_brqrv_dec_tlu_mhpmc6[15]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_40),
+	.Y(n_37434), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10100_59_g1083 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc6[9]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_43),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_70),
+	.SUM(n_37428), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10100_59_g1084 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc6[24]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_41),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_67),
+	.SUM(n_37443), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 inc_brqrv_top_brqrv_dec_tlu_add_10100_59_g1085 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_8),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_53),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_66), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 inc_brqrv_top_brqrv_dec_tlu_add_10100_59_g1086 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_28),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_53),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_65), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_10100_59_g1087 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_56),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc6[30]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_64), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 inc_brqrv_top_brqrv_dec_tlu_add_10100_59_g1088 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_62),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_63), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 inc_brqrv_top_brqrv_dec_tlu_add_10100_59_g1089 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_52),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_33),
+	.C(brqrv_top_brqrv_dec_tlu_mhpmc6h[15]),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc6h[14]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_62), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_10100_59_g1090 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc6[22]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_48),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_55),
+	.X(n_37441), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_10100_59_g1091 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc6[28]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_49),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_54),
+	.X(n_37447), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_add_10100_59_g1092 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mhpmc6[13]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_36),
+	.B1(brqrv_top_brqrv_dec_tlu_mhpmc6[13]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_36),
+	.Y(n_37432), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10100_59_g1093 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc6[16]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_34),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_57),
+	.SUM(n_37435), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 inc_brqrv_top_brqrv_dec_tlu_add_10100_59_g1094 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_5),
+	.B_N(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_49),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_56), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_10100_59_g1095 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_48),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc6[22]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_55), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_10100_59_g1096 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_49),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc6[28]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_54), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 inc_brqrv_top_brqrv_dec_tlu_add_10100_59_g1097 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_53),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_52), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 inc_brqrv_top_brqrv_dec_tlu_add_10100_59_g1098 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_34),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_32),
+	.C(brqrv_top_brqrv_dec_tlu_mhpmc6[31]),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc6[30]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_53), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_10100_59_g1099 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc6[20]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_42),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_47),
+	.X(n_37439), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_add_10100_59_g1100 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mhpmc6[7]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_29),
+	.B1(brqrv_top_brqrv_dec_tlu_mhpmc6[7]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_29),
+	.Y(n_37426), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 inc_brqrv_top_brqrv_dec_tlu_add_10100_59_g1101 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_9),
+	.B_N(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_41),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_49), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 inc_brqrv_top_brqrv_dec_tlu_add_10100_59_g1102 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_1),
+	.B_N(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_42),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_48), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_10100_59_g1103 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_42),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc6[20]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_47), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_10100_59_g1104 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc6[14]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_37),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_40),
+	.X(n_37433), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_add_10100_59_g1105 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mhpmc6[5]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_24),
+	.B1(brqrv_top_brqrv_dec_tlu_mhpmc6[5]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_24),
+	.Y(n_37424), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10100_59_g1106 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc6[8]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_23),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_43),
+	.SUM(n_37427), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 inc_brqrv_top_brqrv_dec_tlu_add_10100_59_g1107 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_13),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_35),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_42), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 inc_brqrv_top_brqrv_dec_tlu_add_10100_59_g1108 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_27),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_35),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_41), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_10100_59_g1109 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_37),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc6[14]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_40), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_10100_59_g1110 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc6[12]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_30),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_36),
+	.X(n_37431), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_add_10100_59_g1111 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mhpmc6[3]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_17),
+	.B1(brqrv_top_brqrv_dec_tlu_mhpmc6[3]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_17),
+	.Y(n_37422), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 inc_brqrv_top_brqrv_dec_tlu_add_10100_59_g1112 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_4),
+	.B_N(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_30),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_10100_59_g1113 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_30),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc6[12]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_36), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 inc_brqrv_top_brqrv_dec_tlu_add_10100_59_g1114 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_35),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_34), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 inc_brqrv_top_brqrv_dec_tlu_add_10100_59_g1115 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_23),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_21),
+	.C(brqrv_top_brqrv_dec_tlu_mhpmc6[15]),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc6[14]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_35), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 inc_brqrv_top_brqrv_dec_tlu_add_10100_59_g1116 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_7),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_12),
+	.C(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_28),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_33), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 inc_brqrv_top_brqrv_dec_tlu_add_10100_59_g1117 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_5),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_9),
+	.C(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_27),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_32), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_10100_59_g1118 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc6[6]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_25),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_29),
+	.X(n_37425), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 inc_brqrv_top_brqrv_dec_tlu_add_10100_59_g1119 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_11),
+	.B_N(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_23),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_30), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_10100_59_g1120 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_25),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc6[6]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_29), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 inc_brqrv_top_brqrv_dec_tlu_add_10100_59_g1121 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_19),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc6h[6]),
+	.C(brqrv_top_brqrv_dec_tlu_mhpmc6h[7]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_28), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 inc_brqrv_top_brqrv_dec_tlu_add_10100_59_g1122 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_18),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc6[22]),
+	.C(brqrv_top_brqrv_dec_tlu_mhpmc6[23]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_27), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_10100_59_g1123 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc6[4]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_16),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_24),
+	.X(n_37423), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 inc_brqrv_top_brqrv_dec_tlu_add_10100_59_g1124 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_3),
+	.B_N(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_16),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_25), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_10100_59_g1125 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_16),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc6[4]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_24), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4b_1 inc_brqrv_top_brqrv_dec_tlu_add_10100_59_g1126 (
+	.A_N(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_3),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_16),
+	.C(brqrv_top_brqrv_dec_tlu_mhpmc6[7]),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc6[6]),
+	.X(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_23), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_10100_59_g1127 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc6[2]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_15),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_17),
+	.X(n_37421), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 inc_brqrv_top_brqrv_dec_tlu_add_10100_59_g1128 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_4),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_11),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_21), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 inc_brqrv_top_brqrv_dec_tlu_add_10100_59_g1129 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_2),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_10),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_20), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 inc_brqrv_top_brqrv_dec_tlu_add_10100_59_g1130 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_0),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_8),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_19), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 inc_brqrv_top_brqrv_dec_tlu_add_10100_59_g1131 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_1),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_13),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_18), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_10100_59_g1132 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_15),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc6[2]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_17), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 inc_brqrv_top_brqrv_dec_tlu_add_10100_59_g1133 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_15),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc6[2]),
+	.C(brqrv_top_brqrv_dec_tlu_mhpmc6[3]),
+	.X(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_16), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10100_59_g1134 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc6[0]),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc6[1]),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_15),
+	.SUM(n_37420), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 inc_brqrv_top_brqrv_dec_tlu_add_10100_59_g1135 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc6[17]),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc6[16]),
+	.C(brqrv_top_brqrv_dec_tlu_mhpmc6[18]),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc6[19]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_13), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 inc_brqrv_top_brqrv_dec_tlu_add_10100_59_g1136 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc6h[9]),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc6h[8]),
+	.C(brqrv_top_brqrv_dec_tlu_mhpmc6h[10]),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc6h[11]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_12), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 inc_brqrv_top_brqrv_dec_tlu_add_10100_59_g1137 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc6[9]),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc6[8]),
+	.C(brqrv_top_brqrv_dec_tlu_mhpmc6[10]),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc6[11]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_11), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 inc_brqrv_top_brqrv_dec_tlu_add_10100_59_g1138 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc6h[17]),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc6h[16]),
+	.C(brqrv_top_brqrv_dec_tlu_mhpmc6h[18]),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc6h[19]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_10), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 inc_brqrv_top_brqrv_dec_tlu_add_10100_59_g1139 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc6[25]),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc6[24]),
+	.C(brqrv_top_brqrv_dec_tlu_mhpmc6[26]),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc6[27]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_9), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 inc_brqrv_top_brqrv_dec_tlu_add_10100_59_g1140 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc6h[1]),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc6h[0]),
+	.C(brqrv_top_brqrv_dec_tlu_mhpmc6h[2]),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc6h[3]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_8), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_10100_59_g1141 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc6h[13]),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc6h[12]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_10100_59_g1142 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc6h[25]),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc6h[24]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_10100_59_g1143 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc6[29]),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc6[28]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_10100_59_g1144 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc6[13]),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc6[12]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_10100_59_g1145 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc6[5]),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc6[4]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_10100_59_g1146 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc6h[21]),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc6h[20]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_10100_59_g1147 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc6[21]),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc6[20]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_10100_59_g1148 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc6h[5]),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc6h[4]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10100_59_n_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_g355 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[19]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_n_47),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[19]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_n_47),
+	.Y(n_37754), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_g356 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[31]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_n_48),
+	.X(n_37766), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_g357 (
+	.A1(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[18]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_n_43),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_n_47),
+	.X(n_37753), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_g358 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[30]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_n_36),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_n_48),
+	.SUM(n_37765), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_g359 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_n_43),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[18]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_n_47), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_g360 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[23]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_n_29),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[23]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_n_29),
+	.Y(n_37758), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_g361 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[29]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_n_28),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[29]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_n_28),
+	.Y(n_37764), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_g362 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[27]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_n_27),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[27]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_n_27),
+	.Y(n_37762), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_g363 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[17]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_n_30),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_n_43),
+	.SUM(n_37752), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_g364 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[25]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_n_37),
+	.X(n_37760), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_g365 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[21]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_n_19),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[21]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_n_19),
+	.Y(n_37756), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_g366 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[15]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_n_14),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[15]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_n_14),
+	.Y(n_37750), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_g367 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[24]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_n_13),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_n_37),
+	.SUM(n_37759), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_g368 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_n_20),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[29]),
+	.C(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[28]),
+	.X(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_n_36), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_g369 (
+	.A1(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[26]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_n_22),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_n_27),
+	.X(n_37761), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_g370 (
+	.A1(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[22]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_n_21),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_n_29),
+	.X(n_37757), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_g371 (
+	.A1(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[28]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_n_20),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_n_28),
+	.X(n_37763), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_g372 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[13]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_n_23),
+	.X(n_37748), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_g373 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[16]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_n_10),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_n_30),
+	.SUM(n_37751), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_g374 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_n_21),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[22]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_n_29), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_g375 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_n_20),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[28]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_n_28), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_g376 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_n_22),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[26]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_n_27), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_g377 (
+	.A1(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[20]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_n_15),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_n_19),
+	.X(n_37755), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_g378 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[11]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_n_16),
+	.X(n_37746), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_g379 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[12]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_n_9),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_n_23),
+	.SUM(n_37747), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_g380 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_n_0),
+	.B(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_n_12),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_n_22), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_g381 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_n_2),
+	.B_N(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_n_15),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_n_21), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4b_1 inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_g382 (
+	.A_N(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_n_0),
+	.B(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_n_13),
+	.C(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[27]),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[26]),
+	.X(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_n_20), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_g383 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_n_15),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[20]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_n_19), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_g384 (
+	.A1(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[14]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_n_11),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_n_14),
+	.X(n_37749), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_g385 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[10]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_n_8),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_n_16),
+	.SUM(n_37745), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_g386 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_n_3),
+	.B_N(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_n_10),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_n_15), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_g387 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_n_11),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[14]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_n_14), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_g388 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_n_12),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_n_13), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_g389 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_n_10),
+	.B(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_n_6),
+	.C(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[23]),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[22]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_n_12), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_g390 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_n_1),
+	.B_N(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_n_9),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_n_11), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4b_1 inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_g391 (
+	.A_N(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_n_1),
+	.B(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_n_9),
+	.C(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[15]),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[14]),
+	.X(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_n_10), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_g392 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_n_8),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[11]),
+	.C(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[10]),
+	.X(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_n_9), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_g393 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[9]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_n_4),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_n_8),
+	.SUM(n_37744), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_g394 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_n_2),
+	.B(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_n_3),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_n_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_g395 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[8]),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_inc_cout),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_n_4),
+	.SUM(n_37743), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_g396 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[17]),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[16]),
+	.C(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[18]),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[19]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_n_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_g397 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[21]),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[20]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_n_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_g398 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[13]),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[12]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_n_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_g399 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[25]),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[24]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10355_43_n_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_g355 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[19]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_n_47),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[19]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_n_47),
+	.Y(n_37730), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_g356 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[31]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_n_48),
+	.X(n_37742), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_g357 (
+	.A1(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[18]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_n_43),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_n_47),
+	.X(n_37729), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_g358 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[30]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_n_36),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_n_48),
+	.SUM(n_37741), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_g359 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_n_43),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[18]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_n_47), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_g360 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[23]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_n_29),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[23]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_n_29),
+	.Y(n_37734), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_g361 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[29]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_n_28),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[29]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_n_28),
+	.Y(n_37740), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_g362 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[27]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_n_27),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[27]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_n_27),
+	.Y(n_37738), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_g363 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[17]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_n_30),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_n_43),
+	.SUM(n_37728), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_g364 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[25]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_n_37),
+	.X(n_37736), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_g365 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[21]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_n_19),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[21]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_n_19),
+	.Y(n_37732), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_g366 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[15]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_n_14),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[15]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_n_14),
+	.Y(n_37726), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_g367 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[24]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_n_13),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_n_37),
+	.SUM(n_37735), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_g368 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_n_20),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[29]),
+	.C(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[28]),
+	.X(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_n_36), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_g369 (
+	.A1(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[26]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_n_22),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_n_27),
+	.X(n_37737), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_g370 (
+	.A1(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[22]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_n_21),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_n_29),
+	.X(n_37733), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_g371 (
+	.A1(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[28]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_n_20),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_n_28),
+	.X(n_37739), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_g372 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[13]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_n_23),
+	.X(n_37724), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_g373 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[16]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_n_10),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_n_30),
+	.SUM(n_37727), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_g374 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_n_21),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[22]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_n_29), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_g375 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_n_20),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[28]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_n_28), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_g376 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_n_22),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[26]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_n_27), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_g377 (
+	.A1(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[20]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_n_15),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_n_19),
+	.X(n_37731), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_g378 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[11]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_n_16),
+	.X(n_37722), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_g379 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[12]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_n_9),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_n_23),
+	.SUM(n_37723), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_g380 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_n_0),
+	.B(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_n_12),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_n_22), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_g381 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_n_2),
+	.B_N(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_n_15),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_n_21), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4b_1 inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_g382 (
+	.A_N(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_n_0),
+	.B(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_n_13),
+	.C(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[27]),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[26]),
+	.X(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_n_20), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_g383 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_n_15),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[20]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_n_19), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_g384 (
+	.A1(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[14]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_n_11),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_n_14),
+	.X(n_37725), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_g385 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[10]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_n_8),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_n_16),
+	.SUM(n_37721), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_g386 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_n_3),
+	.B_N(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_n_10),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_n_15), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_g387 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_n_11),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[14]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_n_14), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_g388 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_n_12),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_n_13), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_g389 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_n_10),
+	.B(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_n_6),
+	.C(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[23]),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[22]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_n_12), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_g390 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_n_1),
+	.B_N(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_n_9),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_n_11), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4b_1 inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_g391 (
+	.A_N(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_n_1),
+	.B(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_n_9),
+	.C(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[15]),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[14]),
+	.X(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_n_10), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_g392 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_n_8),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[11]),
+	.C(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[10]),
+	.X(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_n_9), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_g393 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[9]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_n_4),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_n_8),
+	.SUM(n_37720), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_g394 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_n_2),
+	.B(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_n_3),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_n_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_g395 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[8]),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_inc_cout),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_n_4),
+	.SUM(n_37719), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_g396 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[17]),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[16]),
+	.C(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[18]),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[19]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_n_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_g397 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[21]),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[20]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_n_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_g398 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[13]),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[12]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_n_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_g399 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[25]),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[24]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10377_43_n_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22742_35_g273 (
+	.A1_N(brqrv_top_brqrv_ifu_i0_pc[24]),
+	.A2_N(inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22742_35_n_36),
+	.B1(brqrv_top_brqrv_ifu_i0_pc[24]),
+	.B2(inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22742_35_n_36),
+	.Y(n_37252), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22742_35_g274 (
+	.A1(brqrv_top_brqrv_ifu_i0_pc[23]),
+	.A2(inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22742_35_n_34),
+	.B1(inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22742_35_n_36),
+	.X(n_37251), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22742_35_g275 (
+	.A(inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22742_35_n_34),
+	.B(brqrv_top_brqrv_ifu_i0_pc[23]),
+	.Y(inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22742_35_n_36), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22742_35_g276 (
+	.A1_N(brqrv_top_brqrv_ifu_i0_pc[28]),
+	.A2_N(inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22742_35_n_26),
+	.B1(brqrv_top_brqrv_ifu_i0_pc[28]),
+	.B2(inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22742_35_n_26),
+	.Y(n_37256), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22742_35_g277 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[22]),
+	.B(inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22742_35_n_27),
+	.COUT(inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22742_35_n_34),
+	.SUM(n_37250), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22742_35_g278 (
+	.A1_N(brqrv_top_brqrv_ifu_i0_pc[30]),
+	.A2_N(inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22742_35_n_22),
+	.B1(brqrv_top_brqrv_ifu_i0_pc[30]),
+	.B2(inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22742_35_n_22),
+	.Y(n_37258), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22742_35_g279 (
+	.A1_N(brqrv_top_brqrv_ifu_i0_pc[26]),
+	.A2_N(inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22742_35_n_21),
+	.B1(brqrv_top_brqrv_ifu_i0_pc[26]),
+	.B2(inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22742_35_n_21),
+	.Y(n_37254), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22742_35_g280 (
+	.A1(brqrv_top_brqrv_ifu_i0_pc[27]),
+	.A2(inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22742_35_n_23),
+	.B1(inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22742_35_n_26),
+	.X(n_37255), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22742_35_g281 (
+	.A1_N(brqrv_top_brqrv_ifu_i0_pc[31]),
+	.A2_N(inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22742_35_n_20),
+	.B1(brqrv_top_brqrv_ifu_i0_pc[31]),
+	.B2(inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22742_35_n_20),
+	.Y(n_37259), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22742_35_g282 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[21]),
+	.B(inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22742_35_n_17),
+	.COUT(inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22742_35_n_27),
+	.SUM(n_37249), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22742_35_g283 (
+	.A(inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22742_35_n_23),
+	.B(brqrv_top_brqrv_ifu_i0_pc[27]),
+	.Y(inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22742_35_n_26), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22742_35_g284 (
+	.A1(brqrv_top_brqrv_ifu_i0_pc[29]),
+	.A2(inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22742_35_n_18),
+	.B1(inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22742_35_n_22),
+	.X(n_37257), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22742_35_g285 (
+	.A1(brqrv_top_brqrv_ifu_i0_pc[25]),
+	.A2(inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22742_35_n_19),
+	.B1(inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22742_35_n_21),
+	.X(n_37253), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22742_35_g286 (
+	.A(inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22742_35_n_0),
+	.B_N(inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22742_35_n_19),
+	.Y(inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22742_35_n_23), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22742_35_g287 (
+	.A(inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22742_35_n_18),
+	.B(brqrv_top_brqrv_ifu_i0_pc[29]),
+	.Y(inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22742_35_n_22), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22742_35_g288 (
+	.A(inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22742_35_n_19),
+	.B(brqrv_top_brqrv_ifu_i0_pc[25]),
+	.Y(inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22742_35_n_21), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22742_35_g289 (
+	.A(inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22742_35_n_18),
+	.B(brqrv_top_brqrv_ifu_i0_pc[30]),
+	.C(brqrv_top_brqrv_ifu_i0_pc[29]),
+	.Y(inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22742_35_n_20), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22742_35_g290 (
+	.A(inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22742_35_n_1),
+	.B(inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22742_35_n_15),
+	.Y(inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22742_35_n_19), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22742_35_g291 (
+	.A(inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22742_35_n_2),
+	.B(inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22742_35_n_1),
+	.C(inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22742_35_n_15),
+	.Y(inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22742_35_n_18), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22742_35_g292 (
+	.A(inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22742_35_n_15),
+	.Y(inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22742_35_n_17), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22742_35_g293 (
+	.A1(brqrv_top_brqrv_ifu_i0_pc[20]),
+	.A2(inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22742_35_n_13),
+	.B1(inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22742_35_n_15),
+	.X(n_37248), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22742_35_g294 (
+	.A(inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22742_35_n_13),
+	.B(brqrv_top_brqrv_ifu_i0_pc[20]),
+	.Y(inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22742_35_n_15), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22742_35_g295 (
+	.A1_N(brqrv_top_brqrv_ifu_i0_pc[18]),
+	.A2_N(inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22742_35_n_10),
+	.B1(brqrv_top_brqrv_ifu_i0_pc[18]),
+	.B2(inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22742_35_n_10),
+	.Y(n_37246), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22742_35_g296 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[19]),
+	.B(inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22742_35_n_9),
+	.COUT(inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22742_35_n_13),
+	.SUM(n_37247), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22742_35_g297 (
+	.A1(brqrv_top_brqrv_ifu_i0_pc[17]),
+	.A2(inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22742_35_n_8),
+	.B1(inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22742_35_n_10),
+	.X(n_37245), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22742_35_g298 (
+	.A(inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22742_35_n_8),
+	.B(brqrv_top_brqrv_ifu_i0_pc[17]),
+	.Y(inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22742_35_n_10), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22742_35_g299 (
+	.A(inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22742_35_n_8),
+	.B(brqrv_top_brqrv_ifu_i0_pc[18]),
+	.C(brqrv_top_brqrv_ifu_i0_pc[17]),
+	.X(inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22742_35_n_9), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22742_35_g300 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[16]),
+	.B(inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22742_35_n_5),
+	.COUT(inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22742_35_n_8),
+	.SUM(n_37244), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22742_35_g301 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[15]),
+	.B(inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22742_35_n_3),
+	.COUT(inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22742_35_n_5),
+	.SUM(n_37243), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22742_35_g302 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[13]),
+	.B(brqrv_top_brqrv_ifu_i0_pc[14]),
+	.COUT(inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22742_35_n_3),
+	.SUM(n_37242), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22742_35_g303 (
+	.A_N(inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22742_35_n_0),
+	.B(brqrv_top_brqrv_ifu_i0_pc[28]),
+	.C(brqrv_top_brqrv_ifu_i0_pc[27]),
+	.Y(inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22742_35_n_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22742_35_g304 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[24]),
+	.B(brqrv_top_brqrv_ifu_i0_pc[22]),
+	.C(brqrv_top_brqrv_ifu_i0_pc[21]),
+	.D(brqrv_top_brqrv_ifu_i0_pc[23]),
+	.Y(inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22742_35_n_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22742_35_g305 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[26]),
+	.B(brqrv_top_brqrv_ifu_i0_pc[25]),
+	.Y(inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22742_35_n_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 inc_brqrv_top_brqrv_ifu_aln_add_14238_97_g1068 (
+	.A(n_38097),
+	.B(inc_brqrv_top_brqrv_ifu_aln_add_14238_97_n_30),
+	.X(n_38066), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_ifu_aln_add_14238_97_g1069 (
+	.A(n_38096),
+	.B(inc_brqrv_top_brqrv_ifu_aln_add_14238_97_n_29),
+	.COUT(inc_brqrv_top_brqrv_ifu_aln_add_14238_97_n_30),
+	.SUM(n_38065), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_ifu_aln_add_14238_97_g1070 (
+	.A(n_38095),
+	.B(inc_brqrv_top_brqrv_ifu_aln_add_14238_97_n_28),
+	.COUT(inc_brqrv_top_brqrv_ifu_aln_add_14238_97_n_29),
+	.SUM(n_38064), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 inc_brqrv_top_brqrv_ifu_aln_add_14238_97_g1071 (
+	.A(n_38099),
+	.B(inc_brqrv_top_brqrv_ifu_aln_add_14238_97_n_27),
+	.Y(n_38068), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_ifu_aln_add_14238_97_g1072 (
+	.A1_N(n_38089),
+	.A2_N(inc_brqrv_top_brqrv_ifu_aln_add_14238_97_n_24),
+	.B1(n_38089),
+	.B2(inc_brqrv_top_brqrv_ifu_aln_add_14238_97_n_24),
+	.Y(n_38058), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_ifu_aln_add_14238_97_g1073 (
+	.A1_N(n_38100),
+	.A2_N(inc_brqrv_top_brqrv_ifu_aln_add_14238_97_n_33),
+	.B1(n_38100),
+	.B2(inc_brqrv_top_brqrv_ifu_aln_add_14238_97_n_33),
+	.Y(n_38069), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_ifu_aln_add_14238_97_g1074 (
+	.A(n_38094),
+	.B(inc_brqrv_top_brqrv_ifu_aln_add_14238_97_n_25),
+	.COUT(inc_brqrv_top_brqrv_ifu_aln_add_14238_97_n_28),
+	.SUM(n_38063), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_ifu_aln_add_14238_97_g1075 (
+	.A1(n_38098),
+	.A2(inc_brqrv_top_brqrv_ifu_aln_add_14238_97_n_26),
+	.B1(inc_brqrv_top_brqrv_ifu_aln_add_14238_97_n_27),
+	.X(n_38067), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_ifu_aln_add_14238_97_g1076 (
+	.A1_N(n_38085),
+	.A2_N(inc_brqrv_top_brqrv_ifu_aln_add_14238_97_n_22),
+	.B1(n_38085),
+	.B2(inc_brqrv_top_brqrv_ifu_aln_add_14238_97_n_22),
+	.Y(n_38054), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 inc_brqrv_top_brqrv_ifu_aln_add_14238_97_g1077 (
+	.A_N(inc_brqrv_top_brqrv_ifu_aln_add_14238_97_n_31),
+	.B(inc_brqrv_top_brqrv_ifu_aln_add_14238_97_n_26),
+	.Y(inc_brqrv_top_brqrv_ifu_aln_add_14238_97_n_33), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_ifu_aln_add_14238_97_g1078 (
+	.A(inc_brqrv_top_brqrv_ifu_aln_add_14238_97_n_26),
+	.B(n_38098),
+	.Y(inc_brqrv_top_brqrv_ifu_aln_add_14238_97_n_27), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 inc_brqrv_top_brqrv_ifu_aln_add_14238_97_g1079 (
+	.A(inc_brqrv_top_brqrv_ifu_aln_add_14238_97_n_65),
+	.B(inc_brqrv_top_brqrv_ifu_aln_add_14238_97_n_34),
+	.Y(inc_brqrv_top_brqrv_ifu_aln_add_14238_97_n_26), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_ifu_aln_add_14238_97_g1080 (
+	.A1(n_38088),
+	.A2(inc_brqrv_top_brqrv_ifu_aln_add_14238_97_n_23),
+	.B1(inc_brqrv_top_brqrv_ifu_aln_add_14238_97_n_24),
+	.X(n_38057), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 inc_brqrv_top_brqrv_ifu_aln_add_14238_97_g1081 (
+	.A(inc_brqrv_top_brqrv_ifu_aln_add_14238_97_n_34),
+	.Y(inc_brqrv_top_brqrv_ifu_aln_add_14238_97_n_25), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_ifu_aln_add_14238_97_g1082 (
+	.A(inc_brqrv_top_brqrv_ifu_aln_add_14238_97_n_23),
+	.B(n_38088),
+	.Y(inc_brqrv_top_brqrv_ifu_aln_add_14238_97_n_24), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_ifu_aln_add_14238_97_g1083 (
+	.A1(n_38084),
+	.A2(inc_brqrv_top_brqrv_ifu_aln_add_14238_97_n_21),
+	.B1(inc_brqrv_top_brqrv_ifu_aln_add_14238_97_n_22),
+	.X(n_38053), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_ifu_aln_add_14238_97_g1084 (
+	.A(n_38087),
+	.B(inc_brqrv_top_brqrv_ifu_aln_add_14238_97_n_19),
+	.COUT(inc_brqrv_top_brqrv_ifu_aln_add_14238_97_n_23),
+	.SUM(n_38056), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_ifu_aln_add_14238_97_g1085 (
+	.A(inc_brqrv_top_brqrv_ifu_aln_add_14238_97_n_21),
+	.B(n_38084),
+	.Y(inc_brqrv_top_brqrv_ifu_aln_add_14238_97_n_22), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_ifu_aln_add_14238_97_g1086 (
+	.A1(n_38093),
+	.A2(inc_brqrv_top_brqrv_ifu_aln_add_14238_97_n_20),
+	.B1(inc_brqrv_top_brqrv_ifu_aln_add_14238_97_n_34),
+	.X(n_38062), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_ifu_aln_add_14238_97_g1087 (
+	.A1_N(n_38091),
+	.A2_N(inc_brqrv_top_brqrv_ifu_aln_add_14238_97_n_17),
+	.B1(n_38091),
+	.B2(inc_brqrv_top_brqrv_ifu_aln_add_14238_97_n_17),
+	.Y(n_38060), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_ifu_aln_add_14238_97_g1088 (
+	.A(n_38083),
+	.B(inc_brqrv_top_brqrv_ifu_aln_add_14238_97_n_18),
+	.COUT(inc_brqrv_top_brqrv_ifu_aln_add_14238_97_n_21),
+	.SUM(n_38052), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_ifu_aln_add_14238_97_g1089 (
+	.A(inc_brqrv_top_brqrv_ifu_aln_add_14238_97_n_20),
+	.B(n_38093),
+	.Y(inc_brqrv_top_brqrv_ifu_aln_add_14238_97_n_34), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_ifu_aln_add_14238_97_g1090 (
+	.A(n_38092),
+	.B(inc_brqrv_top_brqrv_ifu_aln_add_14238_97_n_15),
+	.COUT(inc_brqrv_top_brqrv_ifu_aln_add_14238_97_n_20),
+	.SUM(n_38061), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_ifu_aln_add_14238_97_g1091 (
+	.A(n_38086),
+	.B(inc_brqrv_top_brqrv_ifu_aln_add_14238_97_n_14),
+	.COUT(inc_brqrv_top_brqrv_ifu_aln_add_14238_97_n_19),
+	.SUM(n_38055), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_ifu_aln_add_14238_97_g1092 (
+	.A1(n_38090),
+	.A2(inc_brqrv_top_brqrv_ifu_aln_add_14238_97_n_16),
+	.B1(inc_brqrv_top_brqrv_ifu_aln_add_14238_97_n_17),
+	.X(n_38059), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_ifu_aln_add_14238_97_g1093 (
+	.A(n_38082),
+	.B(inc_brqrv_top_brqrv_ifu_aln_add_14238_97_n_12),
+	.COUT(inc_brqrv_top_brqrv_ifu_aln_add_14238_97_n_18),
+	.SUM(n_38051), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_ifu_aln_add_14238_97_g1094 (
+	.A(inc_brqrv_top_brqrv_ifu_aln_add_14238_97_n_16),
+	.B(n_38090),
+	.Y(inc_brqrv_top_brqrv_ifu_aln_add_14238_97_n_17), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 inc_brqrv_top_brqrv_ifu_aln_add_14238_97_g1095 (
+	.A(inc_brqrv_top_brqrv_ifu_aln_add_14238_97_n_11),
+	.B_N(inc_brqrv_top_brqrv_ifu_aln_add_14238_97_n_14),
+	.Y(inc_brqrv_top_brqrv_ifu_aln_add_14238_97_n_16), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 inc_brqrv_top_brqrv_ifu_aln_add_14238_97_g1096 (
+	.A(n_38081),
+	.B(inc_brqrv_top_brqrv_ifu_aln_add_14238_97_n_13),
+	.Y(n_38050), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4b_1 inc_brqrv_top_brqrv_ifu_aln_add_14238_97_g1097 (
+	.A_N(inc_brqrv_top_brqrv_ifu_aln_add_14238_97_n_11),
+	.B(inc_brqrv_top_brqrv_ifu_aln_add_14238_97_n_14),
+	.C(n_38091),
+	.D(n_38090),
+	.X(inc_brqrv_top_brqrv_ifu_aln_add_14238_97_n_15), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 inc_brqrv_top_brqrv_ifu_aln_add_14238_97_g1098 (
+	.A(inc_brqrv_top_brqrv_ifu_aln_add_14238_97_n_10),
+	.B_N(inc_brqrv_top_brqrv_ifu_aln_add_14238_97_n_12),
+	.Y(inc_brqrv_top_brqrv_ifu_aln_add_14238_97_n_14), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 inc_brqrv_top_brqrv_ifu_aln_add_14238_97_g1099 (
+	.A_N(inc_brqrv_top_brqrv_ifu_aln_add_14238_97_n_32),
+	.B(n_38080),
+	.Y(inc_brqrv_top_brqrv_ifu_aln_add_14238_97_n_13), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 inc_brqrv_top_brqrv_ifu_aln_add_14238_97_g1100 (
+	.A(inc_brqrv_top_brqrv_ifu_aln_add_14238_97_n_9),
+	.B(inc_brqrv_top_brqrv_ifu_aln_add_14238_97_n_32),
+	.Y(inc_brqrv_top_brqrv_ifu_aln_add_14238_97_n_12), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_ifu_aln_add_14238_97_g1101 (
+	.A1(n_38079),
+	.A2(n_38078),
+	.B1(inc_brqrv_top_brqrv_ifu_aln_add_14238_97_n_32),
+	.X(n_38048), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 inc_brqrv_top_brqrv_ifu_aln_add_14238_97_g1102 (
+	.A(n_38088),
+	.B(n_38087),
+	.C(n_38086),
+	.D(n_38089),
+	.Y(inc_brqrv_top_brqrv_ifu_aln_add_14238_97_n_11), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 inc_brqrv_top_brqrv_ifu_aln_add_14238_97_g1103 (
+	.A(n_38085),
+	.B(n_38084),
+	.C(n_38082),
+	.D(n_38083),
+	.Y(inc_brqrv_top_brqrv_ifu_aln_add_14238_97_n_10), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 inc_brqrv_top_brqrv_ifu_aln_add_14238_97_g1104 (
+	.A(n_38097),
+	.B(n_38096),
+	.C(n_38094),
+	.D(n_38095),
+	.Y(inc_brqrv_top_brqrv_ifu_aln_add_14238_97_n_65), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_ifu_aln_add_14238_97_g1105 (
+	.A(n_38080),
+	.B(n_38081),
+	.Y(inc_brqrv_top_brqrv_ifu_aln_add_14238_97_n_9), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_ifu_aln_add_14238_97_g1106 (
+	.A(n_38099),
+	.B(n_38098),
+	.Y(inc_brqrv_top_brqrv_ifu_aln_add_14238_97_n_31), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_ifu_aln_add_14238_97_g1107 (
+	.A(n_38078),
+	.B(n_38079),
+	.Y(inc_brqrv_top_brqrv_ifu_aln_add_14238_97_n_32), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 inc_brqrv_top_brqrv_ifu_aln_add_14238_97_g664 (
+	.A(n_38108),
+	.B(inc_brqrv_top_brqrv_ifu_aln_add_14238_97_n_8),
+	.Y(n_38077), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_ifu_aln_add_14238_97_g665 (
+	.A1(n_38107),
+	.A2(inc_brqrv_top_brqrv_ifu_aln_add_14238_97_n_7),
+	.B1(inc_brqrv_top_brqrv_ifu_aln_add_14238_97_n_8),
+	.X(n_38076), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_ifu_aln_add_14238_97_g666 (
+	.A(inc_brqrv_top_brqrv_ifu_aln_add_14238_97_n_7),
+	.B(n_38107),
+	.Y(inc_brqrv_top_brqrv_ifu_aln_add_14238_97_n_8), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 inc_brqrv_top_brqrv_ifu_aln_add_14238_97_g667 (
+	.A(n_38105),
+	.B(inc_brqrv_top_brqrv_ifu_aln_add_14238_97_n_6),
+	.X(n_38074), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_ifu_aln_add_14238_97_g668 (
+	.A(n_38106),
+	.B(inc_brqrv_top_brqrv_ifu_aln_add_14238_97_n_5),
+	.COUT(inc_brqrv_top_brqrv_ifu_aln_add_14238_97_n_7),
+	.SUM(n_38075), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_ifu_aln_add_14238_97_g669 (
+	.A1_N(n_38103),
+	.A2_N(inc_brqrv_top_brqrv_ifu_aln_add_14238_97_n_4),
+	.B1(n_38103),
+	.B2(inc_brqrv_top_brqrv_ifu_aln_add_14238_97_n_4),
+	.Y(n_38072), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_ifu_aln_add_14238_97_g670 (
+	.A(n_38104),
+	.B(inc_brqrv_top_brqrv_ifu_aln_add_14238_97_n_3),
+	.COUT(inc_brqrv_top_brqrv_ifu_aln_add_14238_97_n_6),
+	.SUM(n_38073), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 inc_brqrv_top_brqrv_ifu_aln_add_14238_97_g671 (
+	.A(inc_brqrv_top_brqrv_ifu_aln_add_14238_97_n_3),
+	.B(n_38104),
+	.C(n_38105),
+	.X(inc_brqrv_top_brqrv_ifu_aln_add_14238_97_n_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_ifu_aln_add_14238_97_g672 (
+	.A1(n_38102),
+	.A2(inc_brqrv_top_brqrv_ifu_aln_add_14238_97_n_2),
+	.B1(inc_brqrv_top_brqrv_ifu_aln_add_14238_97_n_4),
+	.X(n_38071), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_ifu_aln_add_14238_97_g673 (
+	.A(inc_brqrv_top_brqrv_ifu_aln_add_14238_97_n_2),
+	.B(n_38102),
+	.Y(inc_brqrv_top_brqrv_ifu_aln_add_14238_97_n_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 inc_brqrv_top_brqrv_ifu_aln_add_14238_97_g674 (
+	.A(inc_brqrv_top_brqrv_ifu_aln_add_14238_97_n_2),
+	.B(n_38102),
+	.C(n_38103),
+	.X(inc_brqrv_top_brqrv_ifu_aln_add_14238_97_n_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 inc_brqrv_top_brqrv_ifu_aln_add_14238_97_g675 (
+	.A(inc_brqrv_top_brqrv_ifu_aln_add_14238_97_n_0),
+	.B(inc_brqrv_top_brqrv_ifu_aln_add_14238_97_n_31),
+	.C(inc_brqrv_top_brqrv_ifu_aln_add_14238_97_n_65),
+	.D(inc_brqrv_top_brqrv_ifu_aln_add_14238_97_n_34),
+	.Y(inc_brqrv_top_brqrv_ifu_aln_add_14238_97_n_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 inc_brqrv_top_brqrv_ifu_aln_add_14238_97_g676 (
+	.A(n_38101),
+	.B(inc_brqrv_top_brqrv_ifu_aln_add_14238_97_n_1),
+	.X(n_38070), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_ifu_aln_add_14238_97_g677 (
+	.A1_N(n_38080),
+	.A2_N(inc_brqrv_top_brqrv_ifu_aln_add_14238_97_n_32),
+	.B1(n_38080),
+	.B2(inc_brqrv_top_brqrv_ifu_aln_add_14238_97_n_32),
+	.Y(n_38049), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 inc_brqrv_top_brqrv_ifu_aln_add_14238_97_g678 (
+	.A(inc_brqrv_top_brqrv_ifu_aln_add_14238_97_n_33),
+	.B_N(n_38100),
+	.Y(inc_brqrv_top_brqrv_ifu_aln_add_14238_97_n_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_ifu_aln_add_14238_97_g679 (
+	.A(n_38100),
+	.B(n_38101),
+	.Y(inc_brqrv_top_brqrv_ifu_aln_add_14238_97_n_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22742_35_g277  (
+	.A(n_43213),
+	.B(\inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22742_35_n_34 ),
+	.X(n_36880), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 \inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22742_35_g278  (
+	.A(n_43219),
+	.B(\inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22742_35_n_30 ),
+	.COUT(\inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22742_35_n_34 ),
+	.SUM(n_36879), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 \inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22742_35_g279  (
+	.A1_N(n_43221),
+	.A2_N(\inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22742_35_n_21 ),
+	.B1(n_43221),
+	.B2(\inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22742_35_n_21 ),
+	.Y(n_36884), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22742_35_g280  (
+	.A(n_43227),
+	.B(\inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22742_35_n_27 ),
+	.X(n_36886), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 \inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22742_35_g281  (
+	.A(n_43223),
+	.B(\inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22742_35_n_22 ),
+	.COUT(\inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22742_35_n_30 ),
+	.SUM(n_36878), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 \inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22742_35_g282  (
+	.A1_N(n_43233),
+	.A2_N(\inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22742_35_n_14 ),
+	.B1(n_43233),
+	.B2(\inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22742_35_n_14 ),
+	.Y(n_36882), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 \inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22742_35_g283  (
+	.A(n_43217),
+	.B(\inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22742_35_n_13 ),
+	.COUT(\inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22742_35_n_27 ),
+	.SUM(n_36885), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22742_35_g284  (
+	.A(n_43215),
+	.B(\inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22742_35_n_20 ),
+	.Y(n_36887), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 \inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22742_35_g285  (
+	.A1(n_43229),
+	.A2(\inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22742_35_n_15 ),
+	.B1(\inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22742_35_n_21 ),
+	.X(n_36883), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22742_35_g286  (
+	.A(n_43231),
+	.B(\inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22742_35_n_16 ),
+	.X(n_36876), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 \inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22742_35_g287  (
+	.A(n_43225),
+	.B(\inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22742_35_n_8 ),
+	.COUT(\inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22742_35_n_22 ),
+	.SUM(n_36877), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22742_35_g288  (
+	.A(\inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22742_35_n_15 ),
+	.B(n_43229),
+	.Y(\inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22742_35_n_21 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22742_35_g289  (
+	.A(\inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22742_35_n_13 ),
+	.B(n_43227),
+	.C(n_43217),
+	.Y(\inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22742_35_n_20 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 \inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22742_35_g290  (
+	.A1(n_43211),
+	.A2(\inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22742_35_n_9 ),
+	.B1(\inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22742_35_n_14 ),
+	.X(n_36881), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22742_35_g291  (
+	.A(n_43237),
+	.B(\inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22742_35_n_10 ),
+	.X(n_36874), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 \inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22742_35_g292  (
+	.A(n_43235),
+	.B(\inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22742_35_n_7 ),
+	.COUT(\inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22742_35_n_16 ),
+	.SUM(n_36875), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22742_35_g293  (
+	.A(\inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22742_35_n_0 ),
+	.B_N(\inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22742_35_n_9 ),
+	.Y(\inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22742_35_n_15 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22742_35_g294  (
+	.A(\inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22742_35_n_9 ),
+	.B(n_43211),
+	.Y(\inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22742_35_n_14 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4b_1 \inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22742_35_g295  (
+	.A_N(\inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22742_35_n_0 ),
+	.B(\inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22742_35_n_9 ),
+	.C(n_43229),
+	.D(n_43221),
+	.X(\inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22742_35_n_13 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 \inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22742_35_g296  (
+	.A1_N(n_36891),
+	.A2_N(\inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22742_35_n_5 ),
+	.B1(n_36891),
+	.B2(\inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22742_35_n_5 ),
+	.Y(n_36872), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 \inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22742_35_g297  (
+	.A(n_36892),
+	.B(\inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22742_35_n_4 ),
+	.COUT(\inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22742_35_n_10 ),
+	.SUM(n_36873), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22742_35_g298  (
+	.A(\inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22742_35_n_1 ),
+	.B_N(\inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22742_35_n_8 ),
+	.Y(\inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22742_35_n_9 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 \inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22742_35_g299  (
+	.A(\inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22742_35_n_7 ),
+	.B(n_43231),
+	.C(n_43235),
+	.X(\inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22742_35_n_8 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 \inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22742_35_g300  (
+	.A(\inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22742_35_n_4 ),
+	.B(n_43237),
+	.C(n_36892),
+	.X(\inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22742_35_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 \inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22742_35_g301  (
+	.A1(n_36890),
+	.A2(\inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22742_35_n_3 ),
+	.B1(\inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22742_35_n_5 ),
+	.X(n_36871), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22742_35_g302  (
+	.A(\inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22742_35_n_3 ),
+	.B(n_36890),
+	.Y(\inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22742_35_n_5 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 \inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22742_35_g303  (
+	.A(\inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22742_35_n_3 ),
+	.B(n_36890),
+	.C(n_36891),
+	.X(\inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22742_35_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 \inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22742_35_g304  (
+	.A(n_36888),
+	.B(n_36889),
+	.COUT(\inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22742_35_n_3 ),
+	.SUM(n_36870), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22742_35_g305  (
+	.A(n_43213),
+	.B(n_43219),
+	.C(n_43225),
+	.D(n_43223),
+	.Y(\inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22742_35_n_1 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22742_35_g306  (
+	.A(n_43211),
+	.B(n_43233),
+	.Y(\inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22742_35_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_g356  (
+	.A(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_142 ),
+	.B(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_4 ),
+	.Y(n_36924), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_g357  (
+	.A(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_93 ),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[19]),
+	.Y(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_142 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_g359  (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[29]),
+	.B(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_121 ),
+	.Y(n_36913), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_g360  (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[31]),
+	.B(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_112 ),
+	.Y(n_36915), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_g362  (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[30]),
+	.B(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_12 ),
+	.X(n_36914), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_g363  (
+	.A1_N(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[25]),
+	.A2_N(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_101 ),
+	.B1(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[25]),
+	.B2(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_101 ),
+	.Y(n_36909), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_g364  (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[27]),
+	.B(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_8 ),
+	.Y(n_36911), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_g366  (
+	.A1_N(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[24]),
+	.A2_N(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_99 ),
+	.B1(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[24]),
+	.B2(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_99 ),
+	.Y(n_36908), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_g367  (
+	.A1_N(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[23]),
+	.A2_N(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_100 ),
+	.B1(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[23]),
+	.B2(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_100 ),
+	.Y(n_36907), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_g369  (
+	.A(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_124 ),
+	.B(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_3 ),
+	.Y(n_36930), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_g371  (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[26]),
+	.B(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_97 ),
+	.X(n_36910), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_g372  (
+	.A(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_126 ),
+	.B(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_122 ),
+	.Y(n_36927), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_g373  (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[28]),
+	.B(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_92 ),
+	.X(n_36912), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_g374  (
+	.A(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_90 ),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[20]),
+	.Y(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_126 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_g376  (
+	.A(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_91 ),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[21]),
+	.Y(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_124 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_g379  (
+	.A(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_81 ),
+	.B(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_43 ),
+	.C(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_29 ),
+	.Y(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_122 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_g380  (
+	.A_N(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_38 ),
+	.B(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_97 ),
+	.C(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[28]),
+	.Y(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_121 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_g382  (
+	.A1(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_21 ),
+	.A2(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_85 ),
+	.B1(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_104 ),
+	.Y(n_36925), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_g384  (
+	.A(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_0 ),
+	.B(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_110 ),
+	.Y(n_36916), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_g386  (
+	.A(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_107 ),
+	.B(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_106 ),
+	.Y(n_36931), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_g387  (
+	.A(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_105 ),
+	.B(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_1 ),
+	.Y(n_36928), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_g390  (
+	.A_N(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_50 ),
+	.B(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_97 ),
+	.C(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[30]),
+	.Y(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_112 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_g393  (
+	.A(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_77 ),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[16]),
+	.Y(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_110 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_g397  (
+	.A(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_86 ),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[14]),
+	.Y(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_107 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_g398  (
+	.A(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_70 ),
+	.B(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_56 ),
+	.C(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_22 ),
+	.Y(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_106 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_g399  (
+	.A(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_76 ),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[13]),
+	.Y(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_105 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_g401  (
+	.A(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_70 ),
+	.B(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_36 ),
+	.C(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_21 ),
+	.Y(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_104 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_g408  (
+	.A_N(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_30 ),
+	.B(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_81 ),
+	.Y(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_93 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4b_1 \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_g409  (
+	.A_N(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_44 ),
+	.B(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_81 ),
+	.C(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[24]),
+	.D(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_57 ),
+	.Y(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_101 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_g410  (
+	.A(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_38 ),
+	.B(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_80 ),
+	.C(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_60 ),
+	.Y(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_92 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_g411  (
+	.A(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_81 ),
+	.B(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_52 ),
+	.Y(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_91 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_g413  (
+	.A(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_81 ),
+	.B(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_57 ),
+	.C(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[22]),
+	.Y(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_100 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_g414  (
+	.A_N(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_44 ),
+	.B(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_81 ),
+	.C(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_57 ),
+	.Y(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_99 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_g415  (
+	.A(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_81 ),
+	.B(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_43 ),
+	.Y(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_90 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_g416  (
+	.A(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_78 ),
+	.B(n_43580),
+	.Y(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_98 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_g417  (
+	.A(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_80 ),
+	.B(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_60 ),
+	.Y(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_97 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_g423  (
+	.A(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_70 ),
+	.B(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_56 ),
+	.Y(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_86 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_g424  (
+	.A(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_37 ),
+	.B(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_71 ),
+	.Y(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_85 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_g426  (
+	.A(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_81 ),
+	.Y(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_80 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_g428  (
+	.A(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_6 ),
+	.B(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_69 ),
+	.Y(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_78 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_g429  (
+	.A(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_70 ),
+	.B(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_62 ),
+	.Y(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_77 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_g430  (
+	.A(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_70 ),
+	.B(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_63 ),
+	.Y(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_84 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_g431  (
+	.A(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_70 ),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[10]),
+	.Y(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_83 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_g433  (
+	.A(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_70 ),
+	.B(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_51 ),
+	.Y(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_76 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_g434  (
+	.A(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_70 ),
+	.B(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_59 ),
+	.X(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_81 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_g436  (
+	.A(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_66 ),
+	.B(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_19 ),
+	.Y(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_74 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_g437  (
+	.A(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_66 ),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[6]),
+	.Y(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_73 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_g440  (
+	.A(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_71 ),
+	.Y(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_70 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_g441  (
+	.A(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_66 ),
+	.B(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_48 ),
+	.Y(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_71 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_g442  (
+	.A(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_65 ),
+	.B(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_43 ),
+	.Y(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_69 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_g443  (
+	.A1_N(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[4]),
+	.A2_N(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_20 ),
+	.B1(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[4]),
+	.B2(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_20 ),
+	.Y(n_36923), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_g444  (
+	.A(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_67 ),
+	.Y(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_66 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_g445  (
+	.A(n_42989),
+	.B(n_42988),
+	.Y(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_67 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_g446  (
+	.A(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_20 ),
+	.B(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_46 ),
+	.Y(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_65 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_g450  (
+	.A(n_42988),
+	.Y(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_20 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_g452  (
+	.A(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_22 ),
+	.B(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_7 ),
+	.Y(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_63 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_g453  (
+	.A(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_34 ),
+	.B(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_7 ),
+	.Y(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_62 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4b_1 \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_g456  (
+	.A_N(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_44 ),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[25]),
+	.C(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[24]),
+	.D(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_57 ),
+	.Y(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_60 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_g457  (
+	.A(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_55 ),
+	.B(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_7 ),
+	.Y(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_59 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_g460  (
+	.A(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_7 ),
+	.Y(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_56 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_g461  (
+	.A(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_13 ),
+	.B(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_35 ),
+	.Y(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_55 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_g465  (
+	.A(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_39 ),
+	.B(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_42 ),
+	.Y(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_57 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_g468  (
+	.A(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_18 ),
+	.B(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_40 ),
+	.Y(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_48 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_g469  (
+	.A(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_29 ),
+	.B(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_42 ),
+	.Y(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_52 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_g470  (
+	.A(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_21 ),
+	.B(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_37 ),
+	.Y(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_51 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_g471  (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[3]),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[2]),
+	.X(n_36920), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_g472  (
+	.A_N(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_38 ),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[29]),
+	.C(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[28]),
+	.Y(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_50 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_g478  (
+	.A(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_18 ),
+	.Y(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_19 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_g479  (
+	.A(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_43 ),
+	.Y(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_42 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_g480  (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[13]),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[12]),
+	.Y(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_46 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_g483  (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[23]),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[22]),
+	.Y(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_44 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_g484  (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[7]),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[6]),
+	.Y(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_18 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_0 \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_g485  (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[18]),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[19]),
+	.X(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_43 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_g488  (
+	.A(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_37 ),
+	.Y(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_36 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_g489  (
+	.A(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_34 ),
+	.Y(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_35 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_g490  (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[9]),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[8]),
+	.Y(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_40 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_g491  (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[21]),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[20]),
+	.Y(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_39 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_g492  (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[26]),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[27]),
+	.Y(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_38 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_g493  (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[11]),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[10]),
+	.Y(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_37 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_g494  (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[15]),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[14]),
+	.Y(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_34 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_g2  (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[17]),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[16]),
+	.X(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_13 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_g523  (
+	.A(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_50 ),
+	.B_N(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_97 ),
+	.Y(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_12 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_g526  (
+	.A_N(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_20 ),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[4]),
+	.Y(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_9 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_g527  (
+	.A_N(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_60 ),
+	.B(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_81 ),
+	.C(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[26]),
+	.Y(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_8 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_g528  (
+	.A_N(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_46 ),
+	.B(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_36 ),
+	.Y(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_g529  (
+	.A_N(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_40 ),
+	.B(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_35 ),
+	.C(n_42989),
+	.Y(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_g531  (
+	.A_N(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[19]),
+	.B(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_81 ),
+	.C(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[18]),
+	.Y(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_g532  (
+	.A_N(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[21]),
+	.B(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_81 ),
+	.C(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_52 ),
+	.Y(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_g534  (
+	.A_N(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[13]),
+	.B(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_70 ),
+	.C(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_51 ),
+	.Y(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_1 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 \inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_g535  (
+	.A_N(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[16]),
+	.B(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_62 ),
+	.C(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_70 ),
+	.Y(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_g216 (
+	.A1_N(brqrv_top_brqrv_lsu_lsu_lsc_ctl_rs1_d[29]),
+	.A2_N(inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_n_57),
+	.B1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_rs1_d[29]),
+	.B2(inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_n_57),
+	.Y(n_36867), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_g217 (
+	.A(n_37954),
+	.B(inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_n_49),
+	.Y(n_36859), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_g218 (
+	.A1_N(brqrv_top_brqrv_lsu_lsu_lsc_ctl_rs1_d[31]),
+	.A2_N(n_43581),
+	.B1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_rs1_d[31]),
+	.B2(n_43581),
+	.Y(n_36869), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_g219 (
+	.A(n_37950),
+	.B(inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_n_40),
+	.X(n_36855), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_g220 (
+	.A1_N(brqrv_top_brqrv_lsu_lsu_lsc_ctl_rs1_d[30]),
+	.A2_N(inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_n_4),
+	.B1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_rs1_d[30]),
+	.B2(inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_n_4),
+	.Y(n_36868), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_g221 (
+	.A(n_37956),
+	.B(inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_n_46),
+	.Y(n_36861), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_g224 (
+	.A(n_37958),
+	.B(inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_n_44),
+	.Y(n_36863), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_g227 (
+	.A(n_37960),
+	.B(n_43582),
+	.X(n_36865), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_g229 (
+	.A(inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_n_48),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_rs1_d[28]),
+	.Y(inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_n_57), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_g231 (
+	.A1_N(n_37953),
+	.A2_N(inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_n_39),
+	.B1(n_37953),
+	.B2(inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_n_39),
+	.Y(n_36858), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_g232 (
+	.A(n_37952),
+	.B(inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_n_41),
+	.X(n_36857), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_g233 (
+	.A1_N(n_37951),
+	.A2_N(n_43583),
+	.B1(n_37951),
+	.B2(n_43583),
+	.Y(n_36856), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_g234 (
+	.A(n_43585),
+	.B(inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_n_39),
+	.Y(inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_n_52), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_g235 (
+	.A(inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_n_11),
+	.B(inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_n_39),
+	.Y(inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_g236 (
+	.A(inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_n_38),
+	.B(inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_n_33),
+	.Y(inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_n_50), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_g237 (
+	.A(inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_n_38),
+	.B(n_37953),
+	.Y(inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_n_49), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_2 inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_g239 (
+	.A(n_43584),
+	.B(inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_n_39),
+	.Y(inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_n_48), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_g240 (
+	.A(inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_n_38),
+	.B(inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_n_32),
+	.Y(inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_n_46), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_g242 (
+	.A(inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_n_38),
+	.B(inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_n_34),
+	.Y(inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_n_44), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_g243 (
+	.A(n_88),
+	.B(inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_n_15),
+	.Y(n_36854), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_g245 (
+	.A(inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_n_30),
+	.B(inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_n_15),
+	.Y(inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_n_41), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_g246 (
+	.A(inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_n_19),
+	.B(inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_n_15),
+	.Y(inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_n_40), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_g247 (
+	.A(inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_n_39),
+	.Y(inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_n_38), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_g248 (
+	.A(inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_n_14),
+	.B(inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_n_8),
+	.Y(inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_n_39), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_g250 (
+	.A(n_36375),
+	.B(inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_n_6),
+	.Y(n_36853), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_g252 (
+	.A(inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_n_15),
+	.Y(inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_n_14), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_g253 (
+	.A(n_36373),
+	.B(n_36374),
+	.C(n_36375),
+	.D(n_36372),
+	.Y(inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_n_15), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_g254 (
+	.A1_N(n_36374),
+	.A2_N(inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_n_13),
+	.B1(n_36374),
+	.B2(inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_n_13),
+	.Y(n_36852), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_g259 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_58),
+	.B(n_43585),
+	.Y(inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_n_34), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_g262 (
+	.A(inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_n_27),
+	.B(n_43585),
+	.Y(inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_n_33), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_g264 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_68),
+	.B(inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_n_11),
+	.Y(inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_n_32), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_g267 (
+	.A(n_37950),
+	.B(n_88),
+	.C(n_37951),
+	.Y(inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_n_30), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_g271 (
+	.A(n_36372),
+	.B(n_36373),
+	.X(n_36851), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_g274 (
+	.A(n_37953),
+	.B(n_37954),
+	.Y(inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_n_11), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_g275 (
+	.A(n_37957),
+	.B(n_37958),
+	.Y(inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_n_27), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_g281 (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_rs1_d[29]),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_rs1_d[28]),
+	.Y(inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_n_24), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_g282 (
+	.A(n_36373),
+	.B(n_36372),
+	.Y(inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_n_13), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_g287 (
+	.A(n_88),
+	.Y(inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_n_19), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_g291 (
+	.A(n_37955),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_68), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_g298 (
+	.A(n_37959),
+	.Y(inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_n_16), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4_1 inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_g2 (
+	.A(n_37950),
+	.B(n_37951),
+	.C(n_37952),
+	.D(n_88),
+	.X(inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_n_8), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_g300 (
+	.A_N(inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_n_13),
+	.B(n_36374),
+	.Y(inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_n_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_g302 (
+	.A_N(inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_n_24),
+	.B(inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_n_48),
+	.Y(inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_n_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_g303 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_58),
+	.B(inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_n_52),
+	.Y(n_36862), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_g304 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_68),
+	.B(inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_n_51),
+	.Y(n_36860), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_g306 (
+	.A(inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_n_16),
+	.B(inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_n_50),
+	.X(n_36864), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 fopt13 (
+	.A(n_3694),
+	.Y(n_41696), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 fopt891851 (
+	.A(n_6100),
+	.Y(n_41700), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 fopt891869 (
+	.A(n_1052),
+	.Y(n_41719), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 fopt891884 (
+	.A(n_2645),
+	.Y(n_41741), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 fopt891887 (
+	.A(n_3708),
+	.Y(n_41757), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_6 fopt891897 (
+	.A(n_41897),
+	.Y(n_41769), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_6 fopt891907 (
+	.A(n_41899),
+	.Y(n_41792), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 fopt891931 (
+	.A(n_35964),
+	.Y(n_41853), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 fopt891935 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_74),
+	.Y(n_41860), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_4 g891957 (
+	.A1(n_42900),
+	.A2(n_3012),
+	.B1(n_6701),
+	.X(n_41897), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g794116_0 (
+	.A1(n_42900),
+	.A2(n_3012),
+	.B1(n_6701),
+	.X(n_41898), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_4 g891958 (
+	.A1(n_42900),
+	.A2(n_3007),
+	.B1(n_6649),
+	.X(n_41899), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g794149_0 (
+	.A1(n_42900),
+	.A2(n_3007),
+	.B1(n_6649),
+	.X(n_41900), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_2 g891959 (
+	.A1(n_42901),
+	.A2(n_2980),
+	.B1(n_6648),
+	.X(n_41901), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_2 g794150_0 (
+	.A1(n_42901),
+	.A2(n_2980),
+	.B1(n_6648),
+	.X(n_41902), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_2 g891960 (
+	.A1(n_42901),
+	.A2(n_3047),
+	.B1(n_6647),
+	.X(n_41903), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_2 g794115_0 (
+	.A1(n_42901),
+	.A2(n_3047),
+	.B1(n_6647),
+	.X(n_41904), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_2 g891961 (
+	.A(n_31551),
+	.B(n_28831),
+	.C(n_31570),
+	.Y(n_41905), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_2 g685713_0 (
+	.A(n_31551),
+	.B(n_28831),
+	.C(n_31570),
+	.Y(n_41906), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 g891963 (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[6]),
+	.B(n_7203),
+	.SUM(n_1430), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g891969 (
+	.A(n_1489),
+	.B(n_7052),
+	.X(n_1466), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_2 g891971 (
+	.A(n_7036),
+	.B(n_7016),
+	.COUT(n_7129), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 g891975 (
+	.A0(brqrv_top_brqrv_ifu_mem_ctl_miss_state[2]),
+	.A1(n_43592),
+	.S(n_35823),
+	.X(n_41913), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 g891976 (
+	.A0(n_35976),
+	.A1(n_36013),
+	.S(n_34622),
+	.X(n_41914), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 g891977 (
+	.A0(n_35975),
+	.A1(n_36012),
+	.S(n_34622),
+	.X(n_41915), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 g891978 (
+	.A0(n_35971),
+	.A1(n_36008),
+	.S(n_34622),
+	.X(n_41916), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 g891979 (
+	.A0(n_35966),
+	.A1(n_36003),
+	.S(n_34622),
+	.X(n_41917), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g891980 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[2]),
+	.B(brqrv_top_brqrv_lsu_end_addr_m[2]),
+	.Y(n_41918), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_2 g2 (
+	.A0(brqrv_top_brqrv_dbg_data1_reg[2]),
+	.A1(brqrv_top_brqrv_dbg_command_reg[2]),
+	.S(n_36371),
+	.X(n_41919), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g891983 (
+	.A(n_42910),
+	.B(n_31011),
+	.Y(n_41922), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g891998 (
+	.A(n_29598),
+	.B(n_29840),
+	.C(n_29842),
+	.X(n_41937), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g891999 (
+	.A1(brqrv_top_brqrv_lsu_lsu_pkt_m[10]),
+	.A2(n_8238),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_ldst_byteen_m[0]),
+	.Y(n_41938), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_2 g892000 (
+	.A0(brqrv_top_brqrv_lsu_lsu_addr_m[20]),
+	.A1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_m[20]),
+	.S(FE_DBTN6_n_41918),
+	.Y(n_41939), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 g892055 (
+	.A0(brqrv_top_brqrv_lsu_lsu_addr_m[16]),
+	.A1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_m[16]),
+	.S(FE_DBTN6_n_41918),
+	.Y(n_41994), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 g892056 (
+	.A0(brqrv_top_brqrv_lsu_lsu_addr_m[27]),
+	.A1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_m[27]),
+	.S(FE_DBTN6_n_41918),
+	.Y(n_41995), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 g892057 (
+	.A0(brqrv_top_brqrv_lsu_lsu_addr_m[13]),
+	.A1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_m[13]),
+	.S(FE_DBTN6_n_41918),
+	.Y(n_41996), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 g892058 (
+	.A0(brqrv_top_brqrv_lsu_lsu_addr_m[19]),
+	.A1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_m[19]),
+	.S(FE_DBTN6_n_41918),
+	.Y(n_41997), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 g892059 (
+	.A0(brqrv_top_brqrv_lsu_lsu_addr_m[10]),
+	.A1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_m[10]),
+	.S(FE_DBTN6_n_41918),
+	.Y(n_41998), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g892060 (
+	.A(brqrv_top_brqrv_dec_tlu_force_halt),
+	.B(brqrv_top_brqrv_exu_flush_final),
+	.Y(n_41999), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g892063 (
+	.A(brqrv_top_brqrv_ifu_ifu_bp_fghr_f[6]),
+	.B(n_19533),
+	.Y(n_42002), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g892064 (
+	.A(brqrv_top_brqrv_ifu_ifu_bp_fghr_f[2]),
+	.B(n_19540),
+	.Y(n_42003), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor3_1 g892065 (
+	.A(n_36931),
+	.B(n_43573),
+	.C(n_36930),
+	.X(n_42004), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g892066 (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[4]),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[18]),
+	.X(n_42005), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 g892067 (
+	.A0(FE_DBTN25_brqrv_top_brqrv_ifu_aln_first2B),
+	.A1(brqrv_top_brqrv_dec_i0_predict_p_d[39]),
+	.S(n_18145),
+	.X(n_42006), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g892068 (
+	.A(n_42008),
+	.B(n_33324),
+	.Y(n_42007), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g892069 (
+	.A(brqrv_top_brqrv_lsu_lsu_pkt_r[11]),
+	.B_N(n_17887),
+	.Y(n_42008), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 g892070 (
+	.A0(n_17788),
+	.A1(n_17786),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[88]),
+	.Y(n_42009), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 g892071 (
+	.A0(n_17788),
+	.A1(n_17786),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[89]),
+	.Y(n_42010), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 g892072 (
+	.A0(n_17788),
+	.A1(n_17786),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[90]),
+	.Y(n_42011), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g892073 (
+	.A(n_34202),
+	.B_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [3]),
+	.Y(n_42012), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 g892093 (
+	.A0(n_36769),
+	.A1(n_36785),
+	.S(\brqrv_top_mem_iccm.iccm_n_1379 ),
+	.Y(n_42044), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 g892094 (
+	.A0(n_36770),
+	.A1(n_36786),
+	.S(\brqrv_top_mem_iccm.iccm_n_1379 ),
+	.Y(n_42045), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g892095 (
+	.A(brqrv_top_brqrv_exu_i0_predict_p_x[34]),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Y(n_42046), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g892096 (
+	.A(n_33126),
+	.B(n_19539),
+	.X(n_42047), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g892109 (
+	.A_N(n_35409),
+	.B(n_31550),
+	.C(brqrv_top_brqrv_dec_i0_predict_p_d[45]),
+	.Y(n_42064), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g892116 (
+	.A(n_36264),
+	.B(brqrv_top_brqrv_ifu_aln_first2B),
+	.C(n_42077),
+	.Y(n_42071), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g892117 (
+	.A1(n_11748),
+	.A2(n_11738),
+	.B1(n_11723),
+	.Y(n_42072), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g892118 (
+	.A1(n_11720),
+	.A2(n_11721),
+	.B1(n_11711),
+	.Y(n_42073), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g892121 (
+	.A(n_42076),
+	.B_N(n_11472),
+	.Y(n_42077), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 g3 (
+	.A0(n_11714),
+	.A1(brqrv_top_brqrv_ifu_aln_q0eff[17]),
+	.S(n_11528),
+	.Y(n_42076), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 g892122 (
+	.A0(n_11436),
+	.A1(n_11451),
+	.S(n_11463),
+	.X(n_42078), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 g892123 (
+	.A0(n_10461),
+	.A1(n_10456),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[73]),
+	.Y(n_42079), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 g892124 (
+	.A0(n_10422),
+	.A1(n_10470),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[56]),
+	.Y(n_42080), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 g892125 (
+	.A0(n_10449),
+	.A1(n_10446),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[104]),
+	.Y(n_42081), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 g892126 (
+	.A0(n_10449),
+	.A1(n_10446),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[105]),
+	.Y(n_42082), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 g892127 (
+	.A0(n_10458),
+	.A1(n_10457),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[40]),
+	.Y(n_42083), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 g892128 (
+	.A0(n_10468),
+	.A1(n_10471),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[113]),
+	.Y(n_42084), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 g892129 (
+	.A0(n_10461),
+	.A1(n_10456),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[72]),
+	.Y(n_42085), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 g892130 (
+	.A0(n_10468),
+	.A1(n_10471),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[112]),
+	.Y(n_42086), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 g892131 (
+	.A0(n_10461),
+	.A1(n_10456),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[74]),
+	.Y(n_42087), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 g892132 (
+	.A0(n_10458),
+	.A1(n_10457),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[42]),
+	.Y(n_42088), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 g892133 (
+	.A0(n_10449),
+	.A1(n_10446),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[106]),
+	.Y(n_42089), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 g892134 (
+	.A0(n_10468),
+	.A1(n_10471),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[114]),
+	.Y(n_42090), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 g892135 (
+	.A0(n_10422),
+	.A1(n_10470),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[57]),
+	.Y(n_42091), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 g892136 (
+	.A0(n_10422),
+	.A1(n_10470),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[58]),
+	.Y(n_42092), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 g892137 (
+	.A0(n_10458),
+	.A1(n_10457),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[41]),
+	.Y(n_42093), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 g892138 (
+	.A0(n_10436),
+	.A1(n_10413),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[24]),
+	.Y(n_42094), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 g892139 (
+	.A0(n_10426),
+	.A1(n_10469),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[65]),
+	.Y(n_42095), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 g892140 (
+	.A0(n_10419),
+	.A1(n_10418),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[81]),
+	.Y(n_42096), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 g892141 (
+	.A0(n_10436),
+	.A1(n_10413),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[26]),
+	.Y(n_42097), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 g892142 (
+	.A0(n_10419),
+	.A1(n_10418),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[82]),
+	.Y(n_42098), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 g892143 (
+	.A0(n_10436),
+	.A1(n_10413),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[25]),
+	.Y(n_42099), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 g892144 (
+	.A0(n_10426),
+	.A1(n_10469),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[66]),
+	.Y(n_42100), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g892145 (
+	.A1(n_34101),
+	.A2(n_42012),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_control_ff [2]),
+	.Y(n_42101), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g892152 (
+	.A(brqrv_top_brqrv_i0_ap[7]),
+	.B(n_10248),
+	.Y(n_42108), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g892153 (
+	.A(n_9667),
+	.B(n_10249),
+	.Y(n_42109), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_2 g892154 (
+	.A(brqrv_top_brqrv_i0_ap[7]),
+	.B(n_8121),
+	.Y(n_42110), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g892155 (
+	.A(brqrv_top_brqrv_i0_ap[7]),
+	.B(n_10223),
+	.Y(n_42111), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g892156 (
+	.A(brqrv_top_brqrv_i0_ap[7]),
+	.B(n_8122),
+	.Y(n_42112), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g892157 (
+	.A1(n_10065),
+	.A2(n_10111),
+	.B1(brqrv_top_brqrv_i0_ap[7]),
+	.Y(n_42113), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4bb_1 g892158 (
+	.A(n_33325),
+	.B(brqrv_top_brqrv_dec_decode_r_d[20]),
+	.C_N(brqrv_top_brqrv_dec_dec_csr_wraddr_r[7]),
+	.D_N(brqrv_top_brqrv_dec_decode_r_d[19]),
+	.X(n_42114), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_2 g892165 (
+	.A0(n_7335),
+	.A1(n_34283),
+	.S(n_2919),
+	.X(n_42121), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_2 g892166 (
+	.A0(n_7337),
+	.A1(n_34279),
+	.S(n_2919),
+	.X(n_42122), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_2 g892167 (
+	.A0(n_7339),
+	.A1(n_34275),
+	.S(n_2919),
+	.X(n_42123), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_2 g892168 (
+	.A0(n_7341),
+	.A1(n_34271),
+	.S(n_2919),
+	.X(n_42124), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_2 g892169 (
+	.A0(n_7343),
+	.A1(n_34267),
+	.S(n_2919),
+	.X(n_42125), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_2 g892170 (
+	.A0(n_7345),
+	.A1(n_35225),
+	.S(n_2919),
+	.X(n_42126), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 g892171 (
+	.A0(n_34386),
+	.A1(n_4672),
+	.S(n_1488),
+	.X(n_42127), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 g892172 (
+	.A0(n_35308),
+	.A1(n_4680),
+	.S(n_1488),
+	.X(n_42128), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 g892173 (
+	.A0(n_34391),
+	.A1(n_4674),
+	.S(n_1488),
+	.X(n_42129), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 g892174 (
+	.A0(n_34390),
+	.A1(n_4689),
+	.S(n_1488),
+	.X(n_42130), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 g892175 (
+	.A0(n_34389),
+	.A1(n_4670),
+	.S(n_1488),
+	.X(n_42131), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 g892176 (
+	.A0(n_34388),
+	.A1(n_4664),
+	.S(n_1488),
+	.X(n_42132), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 g892177 (
+	.A0(n_34387),
+	.A1(n_4652),
+	.S(n_1488),
+	.X(n_42133), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 g892178 (
+	.A0(n_34385),
+	.A1(n_4642),
+	.S(n_1488),
+	.X(n_42134), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4b_1 g892193 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[14]),
+	.B(n_34813),
+	.C(n_4575),
+	.D_N(brqrv_top_brqrv_exu_i_mul_rs1_ext_in[31]),
+	.Y(n_42149), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g892194 (
+	.A1(brqrv_top_brqrv_lsu_lsu_pkt_m[9]),
+	.A2(brqrv_top_brqrv_lsu_lsu_addr_m[1]),
+	.B1(n_42168),
+	.C1(brqrv_top_brqrv_lsu_lsu_pkt_m[6]),
+	.Y(n_42150), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g892195 (
+	.A(n_3061),
+	.B(n_35543),
+	.C(brqrv_top_brqrv_dbg_dmstatus_halted),
+	.Y(n_42151), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 g892206 (
+	.A0(brqrv_top_brqrv_dec_tlu_mpmc_b_ns[1]),
+	.A1(brqrv_top_brqrv_dec_tlu_fw_halted),
+	.S(n_33836),
+	.Y(n_42162), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g892207 (
+	.A(brqrv_top_brqrv_dec_tlu_n_12947),
+	.B(brqrv_top_brqrv_dec_tlu_mtdata1_t1[9]),
+	.Y(n_42163), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 g892208 (
+	.A0(n_1978),
+	.A1(n_19364),
+	.S(n_1494),
+	.Y(n_42164), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 g892209 (
+	.A0(n_234),
+	.A1(n_19348),
+	.S(n_1494),
+	.Y(n_42165), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 g892210 (
+	.A0(n_33886),
+	.A1(n_9155),
+	.S(n_34622),
+	.Y(n_42166), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g892211 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_ldst_byteen_m[1]),
+	.A2(brqrv_top_brqrv_lsu_lsu_addr_m[0]),
+	.B1(brqrv_top_brqrv_lsu_lsu_pkt_m[9]),
+	.Y(n_42167), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g892212 (
+	.A1(brqrv_top_brqrv_lsu_lsu_addr_m[0]),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_ldst_byteen_m[1]),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_ldst_byteen_m[0]),
+	.X(n_42168), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 g892213 (
+	.A0(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[14]),
+	.A1(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[14]),
+	.S(n_33272),
+	.X(n_42169), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 g892214 (
+	.A0(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[19]),
+	.A1(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[19]),
+	.S(n_33272),
+	.Y(n_42170), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 g892215 (
+	.A0(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[20]),
+	.A1(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[20]),
+	.S(n_33272),
+	.Y(n_42171), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 g892216 (
+	.A0(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[21]),
+	.A1(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[21]),
+	.S(n_33272),
+	.Y(n_42172), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 g892217 (
+	.A0(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[22]),
+	.A1(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[22]),
+	.S(n_33272),
+	.Y(n_42173), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 g892218 (
+	.A0(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[23]),
+	.A1(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[23]),
+	.S(n_33272),
+	.Y(n_42174), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 g892219 (
+	.A0(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[30]),
+	.A1(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[30]),
+	.S(n_33272),
+	.X(n_42175), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 g892220 (
+	.A0(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[18]),
+	.A1(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[18]),
+	.S(n_33272),
+	.X(n_42176), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g892221 (
+	.A(brqrv_top_brqrv_dec_tlu_add_9264_51_n_8),
+	.B(brqrv_top_brqrv_dec_tlu_add_9264_51_n_11),
+	.X(n_42177), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 g892222 (
+	.A0(brqrv_top_brqrv_exu_i_alu_pcout[29]),
+	.A1(n_37352),
+	.S(FE_DBTN21_n_34786),
+	.Y(n_2004), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 g892223 (
+	.A0(n_1287),
+	.A1(n_47),
+	.S(FE_DBTN21_n_34786),
+	.X(n_42179), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 g892224 (
+	.A0(brqrv_top_brqrv_exu_i_alu_pcout[13]),
+	.A1(n_37336),
+	.S(FE_DBTN21_n_34786),
+	.Y(n_2003), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g892235 (
+	.A(n_35905),
+	.B(brqrv_top_brqrv_exu_i0_rs1_d[10]),
+	.X(n_42191), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g892250 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[14]),
+	.B(n_10272),
+	.X(n_42206), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g892251 (
+	.A(n_35920),
+	.B(brqrv_top_brqrv_exu_i0_rs1_d[21]),
+	.X(n_42207), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g892260 (
+	.A(brqrv_top_brqrv_dec_tlu_mtvec[4]),
+	.B(brqrv_top_brqrv_dec_tlu_exc_cause_r[3]),
+	.C(n_42217),
+	.X(n_42216), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g892261 (
+	.A(brqrv_top_brqrv_dec_tlu_mtvec[3]),
+	.B(brqrv_top_brqrv_dec_tlu_exc_cause_r[2]),
+	.C(brqrv_top_brqrv_dec_tlu_add_9264_51_n_15),
+	.X(n_42217), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_2 g892269 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_77 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [3]),
+	.C(n_37209),
+	.X(n_42225), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g892270 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_98 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_145 ),
+	.X(n_42226), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g892271 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3864),
+	.B(n_42245),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3939),
+	.X(n_42227), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g892272 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3711),
+	.B(n_43453),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3905),
+	.X(n_42228), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g892273 (
+	.A(n_42269),
+	.B(n_42249),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3974),
+	.X(n_42229), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g892274 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3897),
+	.B(n_42237),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3976),
+	.X(n_42230), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g892275 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3786),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3935),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3937),
+	.X(n_42231), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g892276 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3799),
+	.B(n_42236),
+	.X(n_42232), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g892277 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3691),
+	.B(n_42257),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3801),
+	.X(n_42233), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g892278 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3763),
+	.B(n_42250),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3907),
+	.X(n_42234), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g892279 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3642),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3548),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3868),
+	.X(n_42235), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g892280 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3895),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3896),
+	.X(n_42236), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g892281 (
+	.A(n_43698),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3604),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3833),
+	.X(n_42237), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g892282 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3546),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3800),
+	.C(n_42260),
+	.X(n_42238), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g892283 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3504),
+	.B(n_43699),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3894),
+	.X(n_42239), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g892284 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3657),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3715),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3766),
+	.X(n_42240), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g892285 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3713),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3617),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3789),
+	.X(n_42241), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor3_1 g892286 (
+	.A(n_42267),
+	.B(n_42279),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_79),
+	.X(n_42242), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g892287 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3552),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3530),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3803),
+	.X(n_42243), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g892288 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3543),
+	.B(n_42282),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3771),
+	.X(n_42244), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_2 g892289 (
+	.A(n_42273),
+	.B(n_43697),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3770),
+	.X(n_42245), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g892290 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3590),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3768),
+	.X(n_42246), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g892291 (
+	.A(n_43701),
+	.B(n_42259),
+	.X(n_42247), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g892292 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3490),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3615),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3618),
+	.X(n_42248), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g892293 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3614),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3506),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3690),
+	.X(n_42249), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g892294 (
+	.A(n_42278),
+	.B(n_43455),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3772),
+	.X(n_42250), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g892295 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3638),
+	.B(n_43700),
+	.C(n_42266),
+	.X(n_42251), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g892296 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3713),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3617),
+	.X(n_42252), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g892297 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3592),
+	.B(n_43708),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3663),
+	.X(n_42253), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g892298 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3538),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3456),
+	.C(n_43704),
+	.X(n_42254), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g892299 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3507),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3661),
+	.X(n_42255), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g892300 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3486),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3166),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3535),
+	.X(n_42256), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g892301 (
+	.A(n_42275),
+	.B(n_43702),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3532),
+	.X(n_42257), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g892302 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3286),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3549),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3534),
+	.X(n_42258), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g892303 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3399),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3591),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3606),
+	.X(n_42259), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g892304 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3605),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_76),
+	.C(n_42274),
+	.X(n_42260), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g892305 (
+	.A(n_42275),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3532),
+	.X(n_42261), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g892306 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3605),
+	.B(n_42274),
+	.X(n_42262), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g892307 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3528),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3531),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3459),
+	.X(n_42263), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g892308 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3399),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3606),
+	.X(n_42264), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g892309 (
+	.A(n_42307),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3322),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_77),
+	.X(n_42265), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g892310 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3294),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3489),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3514),
+	.X(n_42266), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_2 g892311 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3149),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3292),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3533),
+	.X(n_42267), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g892312 (
+	.A(n_43456),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3162),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3461),
+	.X(n_42268), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g892313 (
+	.A(n_42312),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3160),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3513),
+	.X(n_42269), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g892314 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3287),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3148),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3087),
+	.X(n_42270), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g892315 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3240),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3167),
+	.C(n_42328),
+	.X(n_42271), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_2 g892316 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3169),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3306),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3493),
+	.X(n_42272), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g892317 (
+	.A(n_42335),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3372),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3244),
+	.X(n_42273), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g892318 (
+	.A(n_42325),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3204),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3216),
+	.X(n_42274), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g892319 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2960),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3332),
+	.C(n_44030),
+	.X(n_42275), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g892320 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3079),
+	.B(n_43458),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3096),
+	.X(n_42276), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g892321 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2954),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3120),
+	.C(n_42322),
+	.X(n_42277), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g892322 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3117),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3141),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3401),
+	.X(n_42278), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g892323 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2503),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3220),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3093),
+	.X(n_42279), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g892324 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3202),
+	.B(n_42313),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3343),
+	.X(n_42280), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g892325 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3165),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3285),
+	.C(n_42329),
+	.X(n_42281), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g892326 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3326),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3235),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3172),
+	.X(n_42282), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g892327 (
+	.A(n_42307),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3322),
+	.X(n_42283), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g892329 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3372),
+	.B(n_42335),
+	.X(n_42285), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g892330 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2963),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3053),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3133),
+	.X(n_42286), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g892331 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2691),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3052),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3249),
+	.X(n_42287), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g892332 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3162),
+	.B(n_43456),
+	.X(n_42288), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g892333 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3211),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3209),
+	.C(n_43714),
+	.X(n_42289), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g892334 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3078),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3170),
+	.C(n_42305),
+	.X(n_42290), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g892335 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3151),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3320),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3129),
+	.X(n_42291), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g892336 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2759),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3092),
+	.X(n_42292), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g892337 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2758),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2406),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3089),
+	.X(n_42293), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g892338 (
+	.A(n_42318),
+	.B(n_42355),
+	.X(n_42294), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g892339 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3150),
+	.B(n_43078),
+	.X(n_42295), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g892340 (
+	.A(n_43713),
+	.B(n_42316),
+	.X(n_42296), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g892341 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2508),
+	.B(n_42327),
+	.X(n_42297), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g892342 (
+	.A(n_42324),
+	.B(n_42317),
+	.X(n_42298), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g892343 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3118),
+	.B(n_43460),
+	.X(n_42299), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g892344 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3144),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3328),
+	.X(n_42300), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g892346 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2759),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3051),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3092),
+	.X(n_42302), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g892347 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2652),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2501),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2970),
+	.X(n_42303), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g892348 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2538),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2666),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2967),
+	.X(n_42304), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g892349 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2401),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2660),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2576),
+	.X(n_42305), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g892350 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2732),
+	.B(n_42352),
+	.X(n_42306), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g892351 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2524),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2402),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2716),
+	.X(n_42307), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g892352 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_68),
+	.B(n_42386),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2732),
+	.X(n_42308), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g892353 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2408),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2552),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3055),
+	.X(n_42309), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g892354 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2555),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2557),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2573),
+	.X(n_42310), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g892355 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2523),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2753),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2596),
+	.X(n_42311), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g892356 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2510),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2698),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2965),
+	.X(n_42312), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g892357 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2398),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2397),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2966),
+	.X(n_42313), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g892358 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2322),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2323),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2568),
+	.X(n_42314), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor3_1 g892359 (
+	.A(n_44040),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2729),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2532),
+	.X(n_42315), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor3_1 g892360 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2703),
+	.B(n_42360),
+	.C(n_42381),
+	.X(n_42316), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g892361 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2530),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2826),
+	.C(n_42362),
+	.X(n_42317), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g892362 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2680),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2681),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2721),
+	.X(n_42318), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g892363 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2709),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2664),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2748),
+	.X(n_42319), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g892364 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2688),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2533),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2741),
+	.X(n_42320), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g892365 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2527),
+	.B(n_42380),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2341),
+	.X(n_42321), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_2 g892366 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2773),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2830),
+	.C(n_42365),
+	.X(n_42322), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g892367 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1731),
+	.B(n_44051),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2581),
+	.X(n_42323), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g892368 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2280),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2669),
+	.C(n_42364),
+	.X(n_42324), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_2 g892369 (
+	.A(n_42424),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2702),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2731),
+	.X(n_42325), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g892370 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2683),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2531),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2722),
+	.X(n_42326), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g892371 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2663),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2827),
+	.C(n_42373),
+	.X(n_42327), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g892372 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2543),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2545),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2563),
+	.X(n_42328), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g892373 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2818),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2678),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2771),
+	.X(n_42329), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g892374 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2334),
+	.B(n_43471),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2749),
+	.X(n_42330), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g892375 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2505),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2504),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2587),
+	.X(n_42331), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g892376 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2343),
+	.B(n_43463),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2728),
+	.X(n_42332), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g892377 (
+	.A(n_42417),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2705),
+	.C(n_42357),
+	.X(n_42333), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g892378 (
+	.A(n_43717),
+	.B(n_42405),
+	.C(n_42401),
+	.X(n_42334), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g892379 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2515),
+	.B(n_43472),
+	.C(n_42395),
+	.X(n_42335), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g892380 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2346),
+	.B(n_43466),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2582),
+	.X(n_42336), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g892381 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2514),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2554),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2583),
+	.X(n_42337), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_2 g892382 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2757),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2659),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2415),
+	.X(n_42338), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g892383 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2814),
+	.B(n_42411),
+	.C(n_42397),
+	.X(n_42339), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g892384 (
+	.A(n_42371),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2395),
+	.X(n_42340), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g892385 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2341),
+	.B(n_42380),
+	.X(n_42341), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g892386 (
+	.A(n_42424),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2731),
+	.X(n_42342), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g892387 (
+	.A(n_42400),
+	.B(n_44043),
+	.X(n_42343), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g892388 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2319),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2718),
+	.X(n_42344), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g892389 (
+	.A(n_42365),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2773),
+	.X(n_42345), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g892390 (
+	.A(n_44050),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2597),
+	.X(n_42346), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g892392 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2814),
+	.B(n_42397),
+	.X(n_42348), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g892393 (
+	.A(n_42401),
+	.B(n_42405),
+	.X(n_42349), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g892394 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2515),
+	.B(n_42395),
+	.X(n_42350), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g892395 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2512),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2806),
+	.X(n_42351), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g892396 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_68),
+	.B(n_42386),
+	.X(n_42352), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g892397 (
+	.A(n_43469),
+	.B(n_42363),
+	.X(n_42353), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g892398 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2749),
+	.B(n_43471),
+	.X(n_42354), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g892399 (
+	.A(n_43475),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2751),
+	.X(n_42355), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g892400 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2319),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2396),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2718),
+	.X(n_42356), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g892401 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1818),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1572),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1623),
+	.X(n_42357), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g892402 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1761),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1507),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1903),
+	.X(n_42358), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g892403 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1449),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1811),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1933),
+	.X(n_42359), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g892405 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1838),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1835),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1894),
+	.X(n_42361), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g892406 (
+	.A(n_43482),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1861),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1883),
+	.X(n_42362), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor3_1 g892407 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1876),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1874),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1802),
+	.X(n_42363), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g892408 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1871),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1868),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1882),
+	.X(n_42364), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g892409 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1848),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1562),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1892),
+	.X(n_42365), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g892410 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1865),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1864),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1881),
+	.X(n_42366), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g892411 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1833),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1831),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1653),
+	.X(n_42367), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g892412 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1810),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1812),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1909),
+	.X(n_42368), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor3_1 g892413 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1897),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1839),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1836),
+	.X(n_42369), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g892414 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1822),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1821),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1902),
+	.X(n_42370), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g892415 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1573),
+	.B(n_43513),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1641),
+	.X(n_42371), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g892416 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1771),
+	.B(n_43495),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1944),
+	.X(n_42372), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g892417 (
+	.A(n_43510),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1527),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1673),
+	.X(n_42373), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g892418 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1528),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1539),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1656),
+	.X(n_42374), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g892419 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1857),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1852),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1632),
+	.X(n_42375), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor3_1 g892420 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1883),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1861),
+	.C(n_43482),
+	.X(n_42376), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g892421 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1862),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1501),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1884),
+	.X(n_42377), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g892422 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1634),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2293),
+	.Y(n_42378), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g892423 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1827),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1825),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1901),
+	.X(n_42379), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g892424 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1836),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1839),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1897),
+	.X(n_42380), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor3_1 g892425 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1609),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1481),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1458),
+	.X(n_42381), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g892426 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1798),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1799),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1914),
+	.X(n_42382), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g892427 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1858),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1849),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1893),
+	.X(n_42383), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g892428 (
+	.A(n_43494),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1784),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1618),
+	.X(n_42384), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_2 g892429 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1751),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1747),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1938),
+	.X(n_42385), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g892430 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1949),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2211),
+	.X(n_42386), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor3_1 g892431 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1932),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1521),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1758),
+	.X(n_42387), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g892432 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1543),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1824),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1674),
+	.X(n_42388), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g892433 (
+	.A(n_43514),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1513),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1672),
+	.X(n_42389), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g892434 (
+	.A(n_43505),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1570),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1665),
+	.X(n_42390), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g892435 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1474),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1745),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1936),
+	.X(n_42391), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g892436 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1576),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1819),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1628),
+	.X(n_42392), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_2 g892437 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1483),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1548),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1627),
+	.X(n_42393), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g892438 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1518),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1538),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1613),
+	.X(n_42394), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g892439 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1503),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1508),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1917),
+	.X(n_42395), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g892440 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1467),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1466),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1915),
+	.X(n_42396), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g892441 (
+	.A(n_43520),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1792),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1621),
+	.X(n_42397), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g892442 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1490),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1476),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1651),
+	.X(n_42398), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g892443 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1183),
+	.B(n_43504),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1624),
+	.X(n_42399), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g892444 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1181),
+	.B(n_43496),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1646),
+	.X(n_42400), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g892445 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1769),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1589),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1644),
+	.X(n_42401), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g892446 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1461),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1456),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1946),
+	.X(n_42402), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g892447 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1453),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1489),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1655),
+	.X(n_42403), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g892448 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1505),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1504),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1947),
+	.X(n_42404), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g892450 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1846),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1523),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1620),
+	.X(n_42406), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g892451 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1478),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1477),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1608),
+	.X(n_42407), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g892452 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1532),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1531),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1658),
+	.X(n_42408), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g892453 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1499),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1586),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1645),
+	.X(n_42409), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g892454 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1468),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1462),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1604),
+	.X(n_42410), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor3_1 g892455 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1614),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1599),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1446),
+	.X(n_42411), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g892456 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1756),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1473),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1607),
+	.X(n_42412), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g892457 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2290),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2291),
+	.Y(n_42413), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g892458 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2286),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2203),
+	.Y(n_42414), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_2 g892459 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1536),
+	.B(n_43511),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1622),
+	.X(n_42415), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g892460 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1179),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1597),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1612),
+	.X(n_42416), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g892461 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1542),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1796),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1945),
+	.X(n_42417), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g892462 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1920),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1800),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1471),
+	.X(n_42418), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor3_1 g892463 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1590),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1899),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1823),
+	.X(n_42419), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g892464 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1260),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1574),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1663),
+	.X(n_42420), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g892465 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1773),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1568),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1630),
+	.X(n_42421), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g892466 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1550),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1850),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1664),
+	.X(n_42422), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g892467 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1791),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1526),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1918),
+	.X(n_42423), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_2 g892468 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1590),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1823),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1899),
+	.X(n_42424), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g892469 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1507),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1761),
+	.X(n_42425), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g892470 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1171),
+	.B(n_43497),
+	.X(n_42426), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g892471 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1536),
+	.B(n_43511),
+	.X(n_42427), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g892472 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1325),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1896),
+	.X(n_42428), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g892473 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1838),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1835),
+	.X(n_42429), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g892474 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1918),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1791),
+	.X(n_42430), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g892475 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1753),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1749),
+	.X(n_42431), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g892476 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1771),
+	.B(n_43495),
+	.X(n_42432), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g892477 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1573),
+	.B(n_43513),
+	.X(n_42433), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g892478 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1784),
+	.B(n_43494),
+	.X(n_42434), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_2 g892479 (
+	.A0(brqrv_top_brqrv_exu_i_mul_rs2_x[2]),
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_247),
+	.S(brqrv_top_brqrv_exu_i_mul_rs2_x[3]),
+	.X(n_42435), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 g892480 (
+	.A0(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_148),
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[12]),
+	.S(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_126),
+	.X(n_42436), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 g892481 (
+	.A0(brqrv_top_brqrv_exu_i_mul_rs2_x[20]),
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_246),
+	.S(brqrv_top_brqrv_exu_i_mul_rs2_x[21]),
+	.X(n_42437), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 g892482 (
+	.A0(brqrv_top_brqrv_exu_i_mul_rs2_x[30]),
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_284),
+	.S(brqrv_top_brqrv_exu_i_mul_rs2_x[31]),
+	.X(n_42438), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_2 g892484 (
+	.A0(brqrv_top_brqrv_exu_i_mul_rs2_x[10]),
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_285),
+	.S(brqrv_top_brqrv_exu_i_mul_rs2_x[9]),
+	.X(n_42440), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_2 g892485 (
+	.A0(brqrv_top_brqrv_exu_i_mul_rs2_x[12]),
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_148),
+	.S(brqrv_top_brqrv_exu_i_mul_rs2_x[11]),
+	.Y(n_42441), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 g892486 (
+	.A0(brqrv_top_brqrv_exu_i_mul_rs2_x[16]),
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_253),
+	.S(brqrv_top_brqrv_exu_i_mul_rs2_x[15]),
+	.Y(n_42442), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_2 g892487 (
+	.A0(brqrv_top_brqrv_exu_i_mul_rs2_x[24]),
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_250),
+	.S(brqrv_top_brqrv_exu_i_mul_rs2_x[23]),
+	.Y(n_42443), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 g892488 (
+	.A0(brqrv_top_brqrv_exu_i_mul_rs2_x[26]),
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_254),
+	.S(brqrv_top_brqrv_exu_i_mul_rs2_x[25]),
+	.Y(n_42444), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_2 g892489 (
+	.A0(brqrv_top_brqrv_exu_i_mul_rs2_x[20]),
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_246),
+	.S(brqrv_top_brqrv_exu_i_mul_rs2_x[19]),
+	.X(n_42445), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g892491 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [0]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [3]),
+	.C(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [2]),
+	.X(n_42447), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g892492 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [32]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [2]),
+	.C(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [1]),
+	.X(n_42448), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g892497 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_158),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_297),
+	.Y(n_36612), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g892498 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_157),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_285),
+	.X(n_42454), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g892501 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_161),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_287),
+	.Y(n_42457), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g892503 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_212),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_248),
+	.Y(n_42459), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g892506 (
+	.A(n_37977),
+	.B(n_37966),
+	.X(n_42462), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g892507 (
+	.A(n_37979),
+	.B(n_42920),
+	.X(n_42463), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g892512 (
+	.A(n_37980),
+	.B(n_37969),
+	.X(n_42468), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g892517 (
+	.A(n_43578),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[17]),
+	.Y(n_42473), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g892518 (
+	.A(n_43579),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[9]),
+	.Y(n_42474), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_2 g892519 (
+	.A0(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_70 ),
+	.A1(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_71 ),
+	.S(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[10]),
+	.X(n_42475), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g892520 (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[6]),
+	.B(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_67 ),
+	.Y(n_42476), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g892521 (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_rs1_d[28]),
+	.B(inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_n_48),
+	.X(n_42477), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_2 g892938 (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[30]),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[30]),
+	.SUM(n_42021), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_2 g892941 (
+	.A1(n_35662),
+	.A2(brqrv_top_brqrv_lsu_ldst_stbuf_reqvld_r),
+	.B1(n_6955),
+	.X(n_42900), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_2 g794376_1 (
+	.A1(n_35662),
+	.A2(brqrv_top_brqrv_lsu_ldst_stbuf_reqvld_r),
+	.B1(n_6955),
+	.X(n_42901), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g892946 (
+	.A(n_35668),
+	.B(n_35667),
+	.Y(n_42908), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g892947 (
+	.A(n_35670),
+	.B(n_35669),
+	.Y(n_42909), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g892948 (
+	.A(n_34892),
+	.B(n_34819),
+	.Y(n_42910), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g892949 (
+	.A(n_19412),
+	.B(n_19533),
+	.Y(n_42911), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g892950 (
+	.A(n_34092),
+	.B(n_34071),
+	.Y(n_42912), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g892951 (
+	.A(n_34089),
+	.B(n_34071),
+	.Y(n_42913), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g892952 (
+	.A(n_34088),
+	.B(n_34071),
+	.Y(n_42914), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g892953 (
+	.A(n_34093),
+	.B(n_34071),
+	.Y(n_42915), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g892954 (
+	.A(n_34089),
+	.B(n_34076),
+	.Y(n_42916), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g892955 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[21]),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[20]),
+	.Y(n_42917), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrbp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_state_reg[3]  (
+	.CLK(n_35661),
+	.D(n_11878),
+	.Q(brqrv_top_dmi_wrapper_i_jtag_tap_state[3]),
+	.Q_N(n_11816),
+	.RESET_B(n_11806), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrbp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_state_reg[0]  (
+	.CLK(n_35661),
+	.D(n_11882),
+	.Q(brqrv_top_dmi_wrapper_i_jtag_tap_state[0]),
+	.Q_N(n_11815),
+	.RESET_B(n_11806), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrbp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_state_reg[2]  (
+	.CLK(n_35661),
+	.D(n_11873),
+	.Q(brqrv_top_dmi_wrapper_i_jtag_tap_state[2]),
+	.Q_N(n_11814),
+	.RESET_B(n_11806), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrbp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_state_reg[1]  (
+	.CLK(n_35661),
+	.D(n_11881),
+	.Q(brqrv_top_dmi_wrapper_i_jtag_tap_state[1]),
+	.Q_N(n_11813),
+	.RESET_B(n_11806), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g892964 (
+	.A(n_16987),
+	.B(brqrv_top_brqrv_dec_i0_predict_p_d[44]),
+	.X(n_42920), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_2 g892965 (
+	.A(n_34828),
+	.B(n_17782),
+	.Y(n_42921), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g892966 (
+	.A(n_17603),
+	.B(n_17414),
+	.X(n_42922), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g892967 (
+	.A(n_17346),
+	.B(n_17406),
+	.X(n_42923), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g892968 (
+	.A(n_17789),
+	.B(n_17414),
+	.X(n_42924), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g892969 (
+	.A(n_17344),
+	.B(n_17406),
+	.X(n_42925), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_2 g892970 (
+	.A(n_17590),
+	.B(n_35449),
+	.Y(n_42926), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g892971 (
+	.A(n_17789),
+	.B(n_17406),
+	.X(n_42927), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g892972 (
+	.A(n_17344),
+	.B(n_17414),
+	.X(n_42928), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g892973 (
+	.A(n_17603),
+	.B(n_17406),
+	.X(n_42929), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_2 g892974 (
+	.A(n_34828),
+	.B(n_17783),
+	.Y(n_42930), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2b_4 g892975 (
+	.A_N(n_35449),
+	.B(n_17679),
+	.X(n_42931), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g892976 (
+	.A(n_17346),
+	.B(n_17414),
+	.X(n_42932), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_2 g892977 (
+	.A(n_34828),
+	.B(n_35449),
+	.Y(n_42933), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g892978 (
+	.A(n_33883),
+	.B(n_31097),
+	.Y(n_42934), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g892979 (
+	.A(n_33883),
+	.B(n_31099),
+	.Y(n_42935), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g892980 (
+	.A(n_33879),
+	.B(n_31099),
+	.Y(n_42936), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g892981 (
+	.A(n_33877),
+	.B(n_31022),
+	.Y(n_42937), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g892982 (
+	.A(n_33880),
+	.B(n_31022),
+	.Y(n_42938), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_0 g892983 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_ldst_byteen_m[1]),
+	.B(n_8229),
+	.X(n_42939), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g892984 (
+	.A(n_33884),
+	.B(n_31097),
+	.Y(n_42940), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g892985 (
+	.A(n_33882),
+	.B(n_31099),
+	.Y(n_42941), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g892986 (
+	.A(n_33884),
+	.B(n_31099),
+	.Y(n_42942), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g892987 (
+	.A(n_33881),
+	.B(n_31022),
+	.Y(n_42943), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g892988 (
+	.A(n_12045),
+	.B(n_30950),
+	.Y(n_42944), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g892989 (
+	.A(n_33878),
+	.B(n_31022),
+	.Y(n_42945), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_0 g892990 (
+	.A(n_17056),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[5]),
+	.X(n_42946), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g892991 (
+	.A1(brqrv_top_brqrv_dec_tlu_mtvec[6]),
+	.A2(brqrv_top_brqrv_dec_tlu_add_9264_51_n_23),
+	.B1(brqrv_top_brqrv_dec_tlu_add_9264_51_n_24),
+	.Y(n_42947), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g892992 (
+	.A1(brqrv_top_brqrv_dec_tlu_add_9264_51_n_24),
+	.A2(brqrv_top_brqrv_dec_tlu_add_9264_51_n_2),
+	.B1(brqrv_top_brqrv_dec_tlu_add_9264_51_n_26),
+	.X(n_42948), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g892993 (
+	.A1(brqrv_top_brqrv_dec_tlu_mtvec[8]),
+	.A2(brqrv_top_brqrv_dec_tlu_add_9264_51_n_26),
+	.B1(brqrv_top_brqrv_dec_tlu_add_9264_51_n_28),
+	.Y(n_42949), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2b_4 g892996 (
+	.A_N(n_10383),
+	.B(n_33854),
+	.X(n_42952), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2b_4 g892997 (
+	.A_N(n_35549),
+	.B(n_17679),
+	.X(n_42953), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_2 g892998 (
+	.A(n_17590),
+	.B(n_17783),
+	.Y(n_42954), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g892999 (
+	.A(n_17603),
+	.B(n_17679),
+	.X(n_42955), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_2 g893000 (
+	.A(n_17590),
+	.B(n_17782),
+	.Y(n_42956), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g893001 (
+	.A(n_17344),
+	.B(n_17589),
+	.X(n_42957), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2b_4 g893002 (
+	.A_N(n_17782),
+	.B(n_17679),
+	.X(n_42958), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g893003 (
+	.A(n_17789),
+	.B(n_17589),
+	.X(n_42959), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g893004 (
+	.A(n_17346),
+	.B(n_17679),
+	.X(n_42960), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g893005 (
+	.A(n_17603),
+	.B(n_17589),
+	.X(n_42961), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a311oi_1 g893006 (
+	.A1(n_13390),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [33]),
+	.A3(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [15]),
+	.B1(n_13427),
+	.C1(n_13389),
+	.Y(n_42962), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_0 g893007 (
+	.A(brqrv_top_brqrv_exu_i0_pred_correct_upper_r),
+	.B(n_8430),
+	.X(n_42963), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g893008 (
+	.A(n_30861),
+	.B(n_30235),
+	.X(n_42964), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g893009 (
+	.A(n_17484),
+	.B(n_33324),
+	.C(n_17887),
+	.Y(n_42965), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g893010 (
+	.A(n_18441),
+	.B(n_8175),
+	.X(n_42966), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g893011 (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_addr_external_r),
+	.B(n_33324),
+	.C(n_17887),
+	.Y(n_42967), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_0 g893012 (
+	.A(brqrv_top_brqrv_exu_mul_valid_x),
+	.B(brqrv_top_brqrv_exu_i_mul_low_x),
+	.X(n_42968), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_0 g893013 (
+	.A(n_42007),
+	.B(n_17484),
+	.X(n_42969), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g893014 (
+	.A(n_30959),
+	.B(n_30861),
+	.X(n_42970), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g893015 (
+	.A(n_30957),
+	.B(n_30861),
+	.X(n_42971), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g893016 (
+	.A(n_18441),
+	.B(n_8275),
+	.X(n_42972), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g893017 (
+	.A(n_31020),
+	.B(n_30988),
+	.X(n_42973), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g893019 (
+	.A(n_41700),
+	.B(n_6952),
+	.X(n_42975), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g893020 (
+	.A(brqrv_top_brqrv_dec_tlu_add_9264_51_n_12),
+	.B(n_42217),
+	.Y(n_42976), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g893021 (
+	.A(brqrv_top_brqrv_dec_tlu_add_9264_51_n_15),
+	.B(brqrv_top_brqrv_dec_tlu_add_9264_51_n_10),
+	.Y(n_42977), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g893022 (
+	.A(n_1853),
+	.B(n_1880),
+	.X(n_42978), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_0 g893023 (
+	.A(n_60),
+	.B(n_61),
+	.X(n_42979), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g893024 (
+	.A(n_109),
+	.B(n_61),
+	.X(n_42980), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g893025 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3563),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4320),
+	.X(n_42981), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g893026 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3721),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4316),
+	.X(n_42982), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g893027 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3723),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4047),
+	.X(n_42983), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g893028 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3625),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4020),
+	.X(n_42984), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g893029 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3410),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3769),
+	.Y(n_42985), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g893030 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3407),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3619),
+	.Y(n_42986), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g893032 (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[3]),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[2]),
+	.X(n_42988), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g893033 (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[5]),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[4]),
+	.X(n_42989), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32o_1 g893034 (
+	.A1(n_34789),
+	.A2(n_8267),
+	.A3(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U1_Plus1_0.lsu_ld_datafn_corr_r [7]),
+	.B1(brqrv_top_brqrv_dec_i0_result_r[7]),
+	.B2(n_33324),
+	.X(n_42990), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o32ai_1 g893035 (
+	.A1(n_16594),
+	.A2(n_34206),
+	.A3(n_35052),
+	.B1(n_33849),
+	.B2(n_33852),
+	.Y(n_42991), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2b_1 g893036 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_79),
+	.B_N(n_37982),
+	.X(n_42992), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g893037 (
+	.A_N(n_32701),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_valid[0]),
+	.Y(n_42993), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g893038 (
+	.A(brqrv_top_brqrv_dec_tlu_dcsr[15]),
+	.B_N(n_32345),
+	.Y(n_42994), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g893039 (
+	.A(n_32344),
+	.B(n_3772),
+	.Y(n_42995), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g893041 (
+	.A(brqrv_top_brqrv_dec_dec_csr_wraddr_r[8]),
+	.B(n_31831),
+	.X(n_42997), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g893042 (
+	.A(n_31757),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[3]),
+	.Y(n_42998), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g893043 (
+	.A(n_31728),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[6]),
+	.Y(n_42999), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g893044 (
+	.A(n_31727),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[9]),
+	.Y(n_43000), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g893045 (
+	.A(n_31726),
+	.B(brqrv_top_brqrv_lsu_lsu_pkt_r[4]),
+	.Y(n_43001), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g893046 (
+	.A(n_31725),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[0]),
+	.Y(n_43002), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g893047 (
+	.A(brqrv_top_brqrv_dec_decode_r_d[11]),
+	.B_N(n_31723),
+	.Y(n_43003), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g893049 (
+	.A_N(n_31438),
+	.B(n_8168),
+	.Y(n_43005), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g893050 (
+	.A(n_17417),
+	.B(n_31100),
+	.X(n_43006), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g893051 (
+	.A(n_30314),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_ageQ[5]),
+	.Y(n_43007), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g893052 (
+	.A(n_30313),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_ageQ[15]),
+	.Y(n_43008), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g893053 (
+	.A_N(n_30147),
+	.B(n_1462),
+	.Y(n_43009), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g893054 (
+	.A_N(n_30145),
+	.B(n_1464),
+	.Y(n_43010), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g893055 (
+	.A_N(n_30144),
+	.B(n_1462),
+	.Y(n_43011), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g893056 (
+	.A_N(n_30142),
+	.B(n_1462),
+	.Y(n_43012), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_0 g893057 (
+	.A1(n_3533),
+	.A2(n_2749),
+	.B1_N(n_29600),
+	.Y(n_43013), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g893058 (
+	.A(n_8179),
+	.B(n_36072),
+	.X(n_43014), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g893059 (
+	.A(n_34717),
+	.B_N(n_29006),
+	.Y(n_43015), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g893061 (
+	.A_N(n_28683),
+	.B(n_35054),
+	.Y(n_43017), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g893062 (
+	.A(brqrv_top_brqrv_dec_dec_i0_waddr_r[4]),
+	.B_N(n_18154),
+	.Y(n_43018), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g893063 (
+	.A_N(n_17956),
+	.B(n_1461),
+	.Y(n_43019), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g893064 (
+	.A_N(n_17955),
+	.B(n_1461),
+	.Y(n_43020), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g893065 (
+	.A_N(n_17954),
+	.B(n_1461),
+	.Y(n_43021), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g893066 (
+	.A_N(n_17953),
+	.B(n_1461),
+	.Y(n_43022), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_2 g893067 (
+	.A_N(n_34512),
+	.B(n_43027),
+	.Y(n_43023), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g893068 (
+	.A_N(n_17783),
+	.B(n_17679),
+	.Y(n_43024), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2b_1 g893070 (
+	.A(n_34786),
+	.B_N(n_43173),
+	.X(n_43026), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_2 g893071 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_control_ff [0]),
+	.B(n_17745),
+	.C_N(n_17660),
+	.Y(n_43027), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3b_1 g893072 (
+	.A(n_10304),
+	.B(n_17265),
+	.C_N(n_378549_BAR),
+	.X(n_43028), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_2 g893073 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_sel_data_f [1]),
+	.B(n_33852),
+	.C(n_17104),
+	.X(n_43029), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g893074 (
+	.A(n_14043),
+	.B(n_43139),
+	.Y(n_43030), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g893075 (
+	.A(n_3967),
+	.B(n_2859),
+	.C(n_4892),
+	.D(n_12315),
+	.Y(n_43031), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ba_1 g893076 (
+	.A1(n_43015),
+	.A2(n_12270),
+	.B1_N(n_43112),
+	.X(n_43032), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g893077 (
+	.A_N(n_12102),
+	.B(n_43031),
+	.C(n_33901),
+	.Y(n_43033), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g893078 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[0]),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[0]),
+	.Y(n_43034), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g893079 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[0]),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[0]),
+	.Y(n_43035), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g893080 (
+	.A(brqrv_top_brqrv_dec_tlu_mtdata1_t2[5]),
+	.B_N(n_11735),
+	.Y(n_43036), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g893081 (
+	.A(brqrv_top_brqrv_exu_i0_br_start_error_r),
+	.B(brqrv_top_brqrv_exu_i0_br_error_r),
+	.X(n_43037), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g893084 (
+	.A(n_43249),
+	.B(n_34773),
+	.X(n_43040), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g893085 (
+	.A(n_8114),
+	.B(n_34774),
+	.X(n_43041), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_4 g893086 (
+	.A_N(brqrv_top_brqrv_exu_n_898),
+	.B(n_9997),
+	.Y(n_43042), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g893087 (
+	.A(n_8112),
+	.B(n_34750),
+	.X(n_43043), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g893088 (
+	.A(brqrv_top_brqrv_exu_n_898),
+	.B(n_9904),
+	.Y(n_43044), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g893089 (
+	.A(brqrv_top_brqrv_exu_n_906),
+	.B(n_9892),
+	.Y(n_43045), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g893090 (
+	.A(brqrv_top_brqrv_exu_n_904),
+	.B(n_9889),
+	.Y(n_43046), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g893091 (
+	.A(brqrv_top_brqrv_exu_n_902),
+	.B(n_9888),
+	.Y(n_43047), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_0 g893092 (
+	.A1(n_1789),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[9]),
+	.B1_N(n_9765),
+	.Y(n_43048), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_0 g893093 (
+	.A1(n_1789),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[5]),
+	.B1_N(n_9761),
+	.Y(n_43049), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_0 g893094 (
+	.A1(n_1789),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[4]),
+	.B1_N(n_9760),
+	.Y(n_43050), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_0 g893095 (
+	.A1(n_1789),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[3]),
+	.B1_N(n_9759),
+	.Y(n_43051), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_0 g893096 (
+	.A1(n_1789),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[16]),
+	.B1_N(n_9754),
+	.Y(n_43052), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_0 g893097 (
+	.A1(n_1789),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[19]),
+	.B1_N(n_9751),
+	.Y(n_43053), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_0 g893098 (
+	.A1(n_1789),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[31]),
+	.B1_N(n_9750),
+	.Y(n_43054), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_0 g893099 (
+	.A1(n_1789),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[30]),
+	.B1_N(n_9749),
+	.Y(n_43055), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_0 g893100 (
+	.A1(n_1789),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[28]),
+	.B1_N(n_9747),
+	.Y(n_43056), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_0 g893101 (
+	.A1(n_1789),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[25]),
+	.B1_N(n_9744),
+	.Y(n_43057), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_0 g893102 (
+	.A1(n_1789),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[12]),
+	.B1_N(n_9738),
+	.Y(n_43058), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g893104 (
+	.A_N(n_1563),
+	.B(n_34576),
+	.Y(n_43060), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21bo_2 g893105 (
+	.A1(brqrv_top_brqrv_exu_i0_flush_path_upper_r[12]),
+	.A2(n_1682),
+	.B1_N(n_462),
+	.X(n_43061), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893106 (
+	.A1(n_34316),
+	.A2(n_9029),
+	.B1(n_34318),
+	.B2(n_9030),
+	.X(n_43062), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g893107 (
+	.A_N(n_8379),
+	.B(n_34312),
+	.Y(n_43063), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_2 g893108 (
+	.A1(brqrv_top_brqrv_exu_i0_flush_upper_x),
+	.A2(n_43589),
+	.B1_N(n_35658),
+	.Y(n_11386), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_4 g893109 (
+	.A_N(n_5604),
+	.B(n_5884),
+	.Y(n_43065), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2b_2 g893110 (
+	.A(n_1037),
+	.B_N(n_1036),
+	.X(n_43066), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g893112 (
+	.A(n_541),
+	.B(brqrv_top_brqrv_exu_i0_rs1_d[7]),
+	.Y(n_43068), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g893113 (
+	.A_N(n_240),
+	.B(n_33328),
+	.C(n_1400),
+	.Y(n_43069), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2b_1 g893114 (
+	.A(n_239),
+	.B_N(n_35682),
+	.X(n_43070), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g893115 (
+	.A_N(n_192),
+	.B(brqrv_top_brqrv_exu_i0_taken_d),
+	.C(n_34786),
+	.Y(n_43071), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2b_1 g893117 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_149),
+	.B_N(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_162),
+	.X(n_43073), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2b_1 g893118 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_145),
+	.B_N(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_128),
+	.X(n_43074), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2b_2 g893119 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_119),
+	.B_N(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_126),
+	.X(n_43075), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g893120 (
+	.A_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_44 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_23 ),
+	.Y(n_43076), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g893121 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3492),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3256),
+	.Y(n_43077), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g893122 (
+	.A(n_42411),
+	.B(n_42348),
+	.X(n_43078), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g893123 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1156),
+	.B_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_891),
+	.Y(n_43079), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g893124 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs2_x[18]),
+	.B(brqrv_top_brqrv_exu_i_mul_rs2_x[19]),
+	.X(n_43080), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g893128 (
+	.A1(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_270),
+	.A2(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_213),
+	.B1_N(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_248),
+	.Y(n_43084), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g893129 (
+	.A1(n_32994),
+	.A2(n_33014),
+	.B1(brqrv_top_brqrv_dec_tlu_mcountinhibit[3]),
+	.X(n_43085), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g893130 (
+	.A(n_34629),
+	.B(n_34212),
+	.C_N(n_191),
+	.Y(n_43086), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g893131 (
+	.A1(n_375),
+	.A2(n_945),
+	.B1(n_355057_BAR),
+	.Y(n_43087), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g893132 (
+	.A1(n_372),
+	.A2(n_952),
+	.B1(n_275218_BAR),
+	.Y(n_43088), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g893133 (
+	.A1(n_33012),
+	.A2(n_32992),
+	.B1(brqrv_top_brqrv_dec_tlu_mcountinhibit[6]),
+	.X(n_43089), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g893134 (
+	.A1(n_32995),
+	.A2(n_33007),
+	.B1(brqrv_top_brqrv_dec_tlu_mcountinhibit[5]),
+	.X(n_43090), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g893135 (
+	.A(n_32706),
+	.B(n_32693),
+	.C(brqrv_top_brqrv_dbg_command_reg[24]),
+	.D(brqrv_top_brqrv_dbg_command_reg[25]),
+	.Y(n_43091), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4b_1 g893136 (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_valid[2]),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_valid[0]),
+	.C(brqrv_top_brqrv_dma_ctrl_fifo_valid[1]),
+	.D_N(n_32701),
+	.Y(n_43092), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g893137 (
+	.A1(n_32494),
+	.A2(n_32491),
+	.B1(brqrv_top_brqrv_dec_tlu_internal_pmu_fw_halt_mode),
+	.X(n_43093), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g893138 (
+	.A(n_31667),
+	.B(n_32406),
+	.C(brqrv_top_brqrv_dec_dec_i0_instr_d[31]),
+	.Y(n_43094), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g893139 (
+	.A_N(brqrv_top_brqrv_dec_tlu_exc_or_int_valid_r_d1),
+	.B(n_32471),
+	.C(n_35457),
+	.Y(n_43095), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g893140 (
+	.A(n_35123),
+	.B(brqrv_top_brqrv_dec_tlu_enter_debug_halt_req),
+	.C_N(brqrv_top_brqrv_dec_tlu_pmu_fw_halt_req_f),
+	.Y(n_43096), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g893141 (
+	.A(brqrv_top_brqrv_dec_tlu_mie_ns[2]),
+	.B(n_32247),
+	.C(brqrv_top_brqrv_dec_tlu_mip[2]),
+	.Y(n_43097), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g893142 (
+	.A_N(brqrv_top_brqrv_dec_tlu_ext_int_freeze_d1),
+	.B(n_33330),
+	.C(n_33322),
+	.Y(n_43098), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4bb_1 g893148 (
+	.A(n_35539),
+	.B(brqrv_top_brqrv_dec_tlu_dec_tlu_wr_pause_r_d1),
+	.C_N(n_35610),
+	.D_N(n_35540),
+	.Y(n_43104), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g893149 (
+	.A_N(brqrv_top_brqrv_lsu_lsu_pkt_r[5]),
+	.B(brqrv_top_brqrv_lsu_lsu_pkt_r[11]),
+	.C(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U1_Plus1_0.lsu_ld_datafn_corr_r [7]),
+	.Y(n_43105), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g893150 (
+	.A(n_31700),
+	.B(n_35601),
+	.C(n_35599),
+	.D(n_35602),
+	.Y(n_43106), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g893151 (
+	.A(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[10]),
+	.B(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[11]),
+	.C(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[9]),
+	.X(n_43107), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g893152 (
+	.A(brqrv_top_brqrv_dbg_command_reg[13]),
+	.B(brqrv_top_brqrv_dbg_command_reg[14]),
+	.C(brqrv_top_brqrv_dbg_command_reg[15]),
+	.X(n_43108), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g893153 (
+	.A1(n_35577),
+	.A2(brqrv_top_brqrv_dec_tlu_mtdata1_t0[5]),
+	.B1(n_35575),
+	.X(n_43109), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_4 g893154 (
+	.A(n_32493),
+	.B(n_32483),
+	.C(brqrv_top_brqrv_dec_tlu_internal_pmu_fw_halt_mode),
+	.X(n_43110), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_4 g893156 (
+	.A(n_31887),
+	.B(n_32237),
+	.C(n_32137),
+	.X(n_43112), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a41o_1 g893158 (
+	.A1(n_31313),
+	.A2(n_31296),
+	.A3(n_31011),
+	.A4(n_31258),
+	.B1(brqrv_top_brqrv_lsu_is_sideeffects_m),
+	.X(n_43114), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g893159 (
+	.A1(n_30890),
+	.A2(n_34851),
+	.B1(n_30927),
+	.B2(n_34850),
+	.C1(n_31116),
+	.Y(n_43115), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g893160 (
+	.A(n_30940),
+	.B(n_30981),
+	.C(n_43118),
+	.Y(n_43116), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_2 g893161 (
+	.A(n_30935),
+	.B(n_29581),
+	.C(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_byteen[1]),
+	.Y(n_43117), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_2 g893162 (
+	.A(n_30935),
+	.B(n_29841),
+	.C(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_byteen[2]),
+	.Y(n_43118), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_2 g893163 (
+	.A(n_30906),
+	.B(n_29841),
+	.C(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[2]),
+	.Y(n_43119), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_2 g893164 (
+	.A(n_30906),
+	.B(n_30015),
+	.C(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[0]),
+	.Y(n_43120), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_2 g893165 (
+	.A(n_30864),
+	.B(n_29841),
+	.C(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[6]),
+	.Y(n_43121), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g893166 (
+	.A1(n_30119),
+	.A2(n_43125),
+	.B1(n_30309),
+	.Y(n_43122), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893168 (
+	.A1(n_17456),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[80]),
+	.B1(n_30608),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[48]),
+	.X(n_43124), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g893169 (
+	.A_N(n_28992),
+	.B(n_28826),
+	.C(n_29381),
+	.Y(n_43125), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g893170 (
+	.A(n_30653),
+	.B(n_30234),
+	.C(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[6]),
+	.Y(n_43126), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g893171 (
+	.A_N(n_30312),
+	.B(n_30653),
+	.C(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[7]),
+	.Y(n_43127), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g893172 (
+	.A(n_30682),
+	.B(n_30234),
+	.C(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[10]),
+	.Y(n_43128), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_2 g893173 (
+	.A(n_43130),
+	.B(n_30234),
+	.C(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_byteen[2]),
+	.Y(n_43129), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g893174 (
+	.A(n_29103),
+	.B(n_35822),
+	.C_N(n_28911),
+	.Y(n_43130), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_2 g893175 (
+	.A(n_28911),
+	.B(brqrv_top_brqrv_lsu_lsu_pkt_r[0]),
+	.C(brqrv_top_brqrv_lsu_lsu_pkt_r[6]),
+	.Y(n_43131), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g893176 (
+	.A(n_30905),
+	.B(n_29841),
+	.C(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[14]),
+	.X(n_43132), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g893177 (
+	.A(n_29581),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[5]),
+	.C(n_30864),
+	.X(n_43133), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g893179 (
+	.A1(n_28774),
+	.A2(brqrv_top_brqrv_ifu_ifu_bp_fghr_f[0]),
+	.B1(n_43139),
+	.B2(n_35125),
+	.C1(n_34960),
+	.X(n_43135), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g893180 (
+	.A1(n_28766),
+	.A2(brqrv_top_brqrv_ifu_ifu_bp_fghr_f[0]),
+	.B1(n_14426),
+	.B2(brqrv_top_brqrv_exu_ghr_d[1]),
+	.C1(n_14427),
+	.C2(brqrv_top_brqrv_exu_ghr_x[1]),
+	.Y(n_43136), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g893181 (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_rs1_d[29]),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_rs1_d[28]),
+	.C(brqrv_top_brqrv_lsu_lsu_lsc_ctl_rs1_d[31]),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_rs1_d[30]),
+	.Y(n_43137), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893182 (
+	.A1(n_10296),
+	.A2(brqrv_top_brqrv_exu_ghr_x[0]),
+	.B1(brqrv_top_brqrv_exu_n_1728),
+	.B2(brqrv_top_brqrv_exu_i0_taken_x),
+	.X(n_43138), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_2 g893183 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_n_10401 ),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_leak_one_f_d1 ),
+	.C(n_1059),
+	.Y(n_43139), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4bb_1 g893184 (
+	.A(brqrv_top_brqrv_dec_tlu_force_halt),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_miss_state[1]),
+	.C_N(brqrv_top_brqrv_ifu_mem_ctl_miss_state[0]),
+	.D_N(brqrv_top_brqrv_ifu_mem_ctl_miss_state[2]),
+	.Y(n_43140), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g893185 (
+	.A(brqrv_top_brqrv_exu_i0_pc_x[29]),
+	.B(n_35148),
+	.C(n_28695),
+	.Y(n_43141), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4bb_1 g893186 (
+	.A_N(n_28387),
+	.B_N(n_28443),
+	.C(n_19499),
+	.D(n_28409),
+	.X(n_43142), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_2 g893187 (
+	.A1(n_19916),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [938]),
+	.B1(n_19917),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [984]),
+	.C1(n_27692),
+	.Y(n_43143), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g893188 (
+	.A1(n_19916),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [937]),
+	.B1(n_19917),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [983]),
+	.C1(n_27062),
+	.Y(n_43144), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g893189 (
+	.A1(n_19914),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1033]),
+	.B1(n_13963),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1079]),
+	.C1(n_27011),
+	.Y(n_43145), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_0 g893190 (
+	.A1(n_19404),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [3]),
+	.B1_N(n_26102),
+	.Y(n_43146), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g893191 (
+	.A1(n_13663),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [777]),
+	.B1(n_13659),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1007]),
+	.C1(n_13609),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1053]),
+	.Y(n_43147), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g893192 (
+	.A1(n_13663),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [776]),
+	.B1(n_13659),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1006]),
+	.C1(n_13609),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1052]),
+	.Y(n_43148), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g893193 (
+	.A1(n_19918),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1144]),
+	.B1(n_19824),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1374]),
+	.C1(n_19825),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1420]),
+	.Y(n_43149), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g893194 (
+	.A1(n_13663),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [780]),
+	.B1(n_13659),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1010]),
+	.C1(n_13609),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1056]),
+	.Y(n_43150), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g893195 (
+	.A1(n_19688),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1447]),
+	.B1(n_19821),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1332]),
+	.C1(n_13623),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1470]),
+	.Y(n_43151), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g893196 (
+	.A1(n_13663),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [778]),
+	.B1(n_13659),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1008]),
+	.C1(n_13609),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1054]),
+	.Y(n_43152), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g893197 (
+	.A1(n_19918),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1146]),
+	.B1(n_19824),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1376]),
+	.C1(n_19825),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1422]),
+	.Y(n_43153), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g893198 (
+	.A1(n_13663),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [762]),
+	.B1(n_13660),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [877]),
+	.C1(n_13659),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [992]),
+	.Y(n_43154), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g893199 (
+	.A1(n_13609),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1038]),
+	.B1(n_13918),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [946]),
+	.C1(n_19723),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1084]),
+	.Y(n_43155), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893200 (
+	.A1(n_19914),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1031]),
+	.B1(n_13963),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1077]),
+	.X(n_43156), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21bo_2 g893201 (
+	.A1(n_19504),
+	.A2(n_19489),
+	.B1_N(n_19529),
+	.X(n_43157), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21bo_2 g893202 (
+	.A1(n_19509),
+	.A2(n_19490),
+	.B1_N(n_19531),
+	.X(n_43158), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21bo_2 g893203 (
+	.A1(n_19506),
+	.A2(n_19482),
+	.B1_N(n_19520),
+	.X(n_43159), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g893204 (
+	.A1(n_19503),
+	.A2(n_19501),
+	.B1(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[7]),
+	.X(n_43160), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g893205 (
+	.A1(n_19391),
+	.A2(n_19502),
+	.B1(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[10]),
+	.X(n_43161), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g893207 (
+	.A(n_18424),
+	.B(n_18425),
+	.C(n_18456),
+	.D(n_18460),
+	.Y(n_43163), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g893208 (
+	.A(n_18428),
+	.B(n_18429),
+	.C(n_18455),
+	.D(n_18461),
+	.Y(n_43164), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g893209 (
+	.A(n_18433),
+	.B(n_18432),
+	.C(n_18444),
+	.D(n_18462),
+	.Y(n_43165), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g893210 (
+	.A1(n_35295),
+	.A2(n_43027),
+	.B1(n_18063),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [2]),
+	.C1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [2]),
+	.C2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_control_ff [0]),
+	.Y(n_43166), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g893211 (
+	.A1(n_17607),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [89]),
+	.B1(n_18222),
+	.Y(n_43167), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893212 (
+	.A1(n_270073_BAR),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [99]),
+	.B1(n_270071_BAR),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [138]),
+	.X(n_43168), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893213 (
+	.A1(n_270072_BAR),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [21]),
+	.B1(n_270074_BAR),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [60]),
+	.X(n_43169), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893214 (
+	.A1(n_270072_BAR),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [18]),
+	.B1(n_270074_BAR),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [57]),
+	.X(n_43170), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893215 (
+	.A1(n_270073_BAR),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [96]),
+	.B1(n_270071_BAR),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [135]),
+	.X(n_43171), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893216 (
+	.A1(n_270072_BAR),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [19]),
+	.B1(n_270074_BAR),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [58]),
+	.X(n_43172), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_0 g893217 (
+	.A1(n_32744),
+	.A2(n_32722),
+	.B1_N(n_34799),
+	.Y(n_43173), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g893218 (
+	.A1(n_42916),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [49]),
+	.B1(n_17613),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [127]),
+	.Y(n_43174), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g893219 (
+	.A1(n_42916),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [50]),
+	.B1(n_17613),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [128]),
+	.Y(n_43175), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g893220 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [16]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [19]),
+	.C_N(n_17080),
+	.Y(n_43176), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g893221 (
+	.A1(n_35963),
+	.A2(n_9841),
+	.B1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_m_in[4]),
+	.B2(n_35791),
+	.C1(n_9981),
+	.C2(n_35943),
+	.Y(n_43177), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g893222 (
+	.A1(n_35962),
+	.A2(n_9841),
+	.B1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_m_in[4]),
+	.B2(n_35790),
+	.C1(n_9981),
+	.C2(n_35942),
+	.Y(n_43178), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_2 g893223 (
+	.A1(n_16943),
+	.A2(n_16950),
+	.B1(n_42477),
+	.B2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_n_26),
+	.Y(n_43179), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g893224 (
+	.A(n_37008),
+	.B(n_16814),
+	.C(n_37006),
+	.D(n_37007),
+	.X(n_43180), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g893225 (
+	.A1(n_13559),
+	.A2(n_15485),
+	.B1(n_14610),
+	.B2(n_14951),
+	.C1(n_15986),
+	.Y(n_43181), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g893226 (
+	.A1(n_12160),
+	.A2(n_36067),
+	.B1(n_13232),
+	.B2(n_13220),
+	.C1(n_13245),
+	.C2(n_36066),
+	.Y(n_43182), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g893227 (
+	.A1(n_12160),
+	.A2(n_36071),
+	.B1(n_13232),
+	.B2(n_13210),
+	.C1(n_13245),
+	.C2(n_36070),
+	.Y(n_43183), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g893228 (
+	.A1(n_12160),
+	.A2(n_36065),
+	.B1(n_13232),
+	.B2(n_13207),
+	.C1(n_13245),
+	.C2(n_36064),
+	.Y(n_43184), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g893229 (
+	.A1(n_12160),
+	.A2(n_36063),
+	.B1(n_13232),
+	.B2(n_13206),
+	.C1(n_13245),
+	.C2(n_36062),
+	.Y(n_43185), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g893230 (
+	.A1(n_12160),
+	.A2(n_36061),
+	.B1(n_13232),
+	.B2(n_13205),
+	.C1(n_13245),
+	.C2(n_36060),
+	.Y(n_43186), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g893231 (
+	.A1(n_12160),
+	.A2(n_36059),
+	.B1(n_13232),
+	.B2(n_13204),
+	.C1(n_13245),
+	.C2(n_36058),
+	.Y(n_43187), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g893232 (
+	.A1(n_12160),
+	.A2(n_36057),
+	.B1(n_13232),
+	.B2(n_13203),
+	.C1(n_13245),
+	.C2(n_36056),
+	.Y(n_43188), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g893233 (
+	.A1(n_12160),
+	.A2(n_36069),
+	.B1(n_13232),
+	.B2(n_13209),
+	.C1(n_13245),
+	.C2(n_36068),
+	.Y(n_43189), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111a_1 g893234 (
+	.A1(n_12617),
+	.A2(n_34691),
+	.B1(n_12157),
+	.C1(n_12145),
+	.D1(n_13113),
+	.X(n_43190), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g893236 (
+	.A1(n_1052),
+	.A2(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[9]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_n_6624 ),
+	.B2(n_42474),
+	.C1(brqrv_top_brqrv_dec_tlu_flush_path_r[9]),
+	.C2(n_32502),
+	.Y(n_43191), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g893241 (
+	.A1(n_1052),
+	.A2(n_43949),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_n_6624 ),
+	.B2(n_43573),
+	.C1(brqrv_top_brqrv_dec_tlu_flush_path_r[7]),
+	.C2(n_32502),
+	.Y(n_43197), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g893242 (
+	.A1(n_1078),
+	.A2(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[9]),
+	.B1(FE_DBTN20_n_34692),
+	.B2(n_37332),
+	.Y(n_43198), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g893243 (
+	.A1(n_1078),
+	.A2(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[8]),
+	.B1(FE_DBTN20_n_34692),
+	.B2(n_37331),
+	.Y(n_43199), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g893244 (
+	.A1(n_35582),
+	.A2(brqrv_top_brqrv_dec_tlu_mtdata1_t2[5]),
+	.B1(n_35579),
+	.X(n_43200), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893246 (
+	.A1(brqrv_top_brqrv_ifu_aln_q0[17]),
+	.A2(n_11531),
+	.B1(brqrv_top_brqrv_ifu_aln_q1[17]),
+	.B2(brqrv_top_brqrv_ifu_aln_rdptr[0]),
+	.X(n_43202), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893247 (
+	.A1(brqrv_top_brqrv_ifu_aln_q0[1]),
+	.A2(n_11531),
+	.B1(brqrv_top_brqrv_ifu_aln_q1[1]),
+	.B2(brqrv_top_brqrv_ifu_aln_rdptr[0]),
+	.X(n_43203), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g893248 (
+	.A(n_11573),
+	.B(brqrv_top_brqrv_dbg_dbg_state[0]),
+	.C(brqrv_top_brqrv_dbg_dbg_state[1]),
+	.Y(n_43204), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211a_1 g893250 (
+	.A1(n_11393),
+	.A2(brqrv_top_brqrv_ifu_mem_ctl_ifc_fetch_req_qual_bf),
+	.B1(n_11446),
+	.C1(n_11442),
+	.X(n_43206), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g893251 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_sel_mb_addr_ff),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_uncacheable_miss_ff),
+	.C(n_35049),
+	.D(n_35435),
+	.Y(n_43207), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g893252 (
+	.A(brqrv_top_brqrv_lsu_lsu_pkt_d[0]),
+	.B(n_34208),
+	.C(brqrv_top_brqrv_lsu_lsu_lsc_ctl_addr_external_d),
+	.X(n_43208), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g893253 (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[31]),
+	.B(n_16940),
+	.C(n_16941),
+	.X(n_43209), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g893254 (
+	.A(n_43210),
+	.Y(n_43211), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g893255 (
+	.A1(n_42952),
+	.A2(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[25]),
+	.B1(n_10836),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [25]),
+	.C1(n_10383),
+	.C2(n_36909),
+	.Y(n_43210), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g893256 (
+	.A(n_43212),
+	.Y(n_43213), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g893257 (
+	.A1(n_42952),
+	.A2(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[24]),
+	.B1(n_10836),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [24]),
+	.C1(n_10383),
+	.C2(n_36908),
+	.Y(n_43212), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g893258 (
+	.A(n_43214),
+	.Y(n_43215), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g893259 (
+	.A1(n_42952),
+	.A2(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[31]),
+	.B1(n_10836),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [31]),
+	.C1(n_10383),
+	.C2(n_36915),
+	.Y(n_43214), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g893260 (
+	.A(n_43216),
+	.Y(n_43217), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g893261 (
+	.A1(n_42952),
+	.A2(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[29]),
+	.B1(n_10836),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [29]),
+	.C1(n_10383),
+	.C2(n_36913),
+	.Y(n_43216), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g893262 (
+	.A(n_43218),
+	.Y(n_43219), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g893263 (
+	.A1(n_42952),
+	.A2(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[23]),
+	.B1(n_10836),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [23]),
+	.C1(n_10383),
+	.C2(n_36907),
+	.Y(n_43218), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g893264 (
+	.A(n_17069),
+	.Y(n_43221), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g893265 (
+	.A1(n_42952),
+	.A2(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[28]),
+	.B1(n_10836),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [28]),
+	.C1(n_10383),
+	.C2(n_36912),
+	.Y(n_17069), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g893266 (
+	.A(n_43222),
+	.Y(n_43223), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g893267 (
+	.A1(n_42952),
+	.A2(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[22]),
+	.B1(n_10836),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [22]),
+	.C1(n_10383),
+	.C2(n_43572),
+	.Y(n_43222), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g893268 (
+	.A(n_43224),
+	.Y(n_43225), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g893269 (
+	.A1(n_42952),
+	.A2(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[21]),
+	.B1(n_10836),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [21]),
+	.C1(n_10383),
+	.C2(n_36930),
+	.Y(n_43224), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g893270 (
+	.A(n_17070),
+	.Y(n_43227), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g893271 (
+	.A1(n_42952),
+	.A2(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[30]),
+	.B1(n_10836),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [30]),
+	.C1(n_10383),
+	.C2(n_36914),
+	.Y(n_17070), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g893272 (
+	.A(n_43228),
+	.Y(n_43229), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g893273 (
+	.A1(n_42952),
+	.A2(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[27]),
+	.B1(n_10836),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [27]),
+	.C1(n_10383),
+	.C2(n_36911),
+	.Y(n_43228), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g893274 (
+	.A(n_43230),
+	.Y(n_43231), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g893275 (
+	.A1(n_42952),
+	.A2(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[20]),
+	.B1(n_10836),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [20]),
+	.C1(n_10383),
+	.C2(n_36927),
+	.Y(n_43230), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g893276 (
+	.A(n_43232),
+	.Y(n_43233), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g893277 (
+	.A1(n_42952),
+	.A2(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[26]),
+	.B1(n_10836),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [26]),
+	.C1(n_10383),
+	.C2(n_36910),
+	.Y(n_43232), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g893278 (
+	.A(n_43234),
+	.Y(n_43235), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g893279 (
+	.A1(n_42952),
+	.A2(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[19]),
+	.B1(n_10836),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [19]),
+	.C1(n_10383),
+	.C2(n_36924),
+	.Y(n_43234), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g893280 (
+	.A(n_43236),
+	.Y(n_43237), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g893281 (
+	.A1(n_42952),
+	.A2(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[18]),
+	.B1(n_10836),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [18]),
+	.C1(n_10383),
+	.C2(n_43576),
+	.Y(n_43236), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893282 (
+	.A1(n_10383),
+	.A2(n_36928),
+	.B1(n_10836),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [13]),
+	.X(n_43238), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893283 (
+	.A1(n_10383),
+	.A2(n_36916),
+	.B1(n_10836),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [16]),
+	.X(n_43239), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2a_1 g893284 (
+	.A1_N(n_9816),
+	.A2_N(n_9789),
+	.B1(brqrv_top_brqrv_i0_ap[7]),
+	.B2(n_10230),
+	.X(n_43240), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g893287 (
+	.A1(n_42964),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[2]),
+	.B1(n_8309),
+	.B2(n_36116),
+	.C1(n_8311),
+	.C2(n_36094),
+	.Y(n_43243), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g893288 (
+	.A(n_8393),
+	.B(n_8368),
+	.C(n_8394),
+	.D(n_8396),
+	.Y(n_43244), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g893289 (
+	.A1(n_42964),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[0]),
+	.B1(n_8309),
+	.B2(n_36107),
+	.C1(n_8311),
+	.C2(n_36090),
+	.Y(n_43245), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g893291 (
+	.A(brqrv_top_brqrv_trigger_pkt_any[146]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[108]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[32]),
+	.D(brqrv_top_brqrv_trigger_pkt_any[70]),
+	.Y(n_43247), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g893292 (
+	.A(brqrv_top_brqrv_lsu_bus_read_data_m[15]),
+	.B(brqrv_top_brqrv_lsu_addr_external_m),
+	.C(brqrv_top_brqrv_lsu_bus_intf_ldst_byteen_m[1]),
+	.Y(n_43248), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g893293 (
+	.A1(n_35968),
+	.A2(n_9640),
+	.B1_N(n_9862),
+	.Y(n_43249), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g893295 (
+	.A1(n_36179),
+	.A2(n_8148),
+	.B1(n_36177),
+	.B2(n_28975),
+	.Y(n_43251), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g893296 (
+	.A1(n_36176),
+	.A2(FE_DBTN12_n_35713),
+	.B1(n_36178),
+	.B2(n_8265),
+	.Y(n_43252), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g893297 (
+	.A1(n_9816),
+	.A2(n_8133),
+	.B1(n_9800),
+	.X(n_43253), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 g893298 (
+	.A0(n_7334),
+	.A1(n_4694),
+	.S(n_2919),
+	.Y(n_43254), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_2 g893299 (
+	.A0(n_7331),
+	.A1(n_34263),
+	.S(n_2919),
+	.X(n_43255), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g893300 (
+	.A1(brqrv_top_n_975),
+	.A2(n_4843),
+	.B1(n_7009),
+	.B2(\brqrv_top_mem_iccm.iccm_addr_bank_inc [3]),
+	.X(n_43256), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893301 (
+	.A1(n_1445),
+	.A2(n_34305),
+	.B1(n_6005),
+	.B2(n_5168),
+	.X(n_43257), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893302 (
+	.A1(n_1445),
+	.A2(n_34322),
+	.B1(n_6005),
+	.B2(n_5167),
+	.X(n_43258), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893303 (
+	.A1(n_6225),
+	.A2(n_34264),
+	.B1(n_6001),
+	.B2(n_5202),
+	.X(n_43259), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893304 (
+	.A1(n_6225),
+	.A2(n_35226),
+	.B1(n_6001),
+	.B2(n_5203),
+	.X(n_43260), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893305 (
+	.A1(n_6225),
+	.A2(n_34268),
+	.B1(n_6001),
+	.B2(n_5204),
+	.X(n_43261), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893306 (
+	.A1(n_6225),
+	.A2(n_34272),
+	.B1(n_6001),
+	.B2(n_5166),
+	.X(n_43262), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893307 (
+	.A1(n_6225),
+	.A2(n_34276),
+	.B1(n_6001),
+	.B2(n_5201),
+	.X(n_43263), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893308 (
+	.A1(n_6225),
+	.A2(n_34280),
+	.B1(n_6001),
+	.B2(n_5200),
+	.X(n_43264), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893309 (
+	.A1(n_6225),
+	.A2(n_34284),
+	.B1(n_6001),
+	.B2(n_5199),
+	.X(n_43265), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893310 (
+	.A1(n_6225),
+	.A2(n_35238),
+	.B1(n_6001),
+	.B2(n_5198),
+	.X(n_43266), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893311 (
+	.A1(n_6225),
+	.A2(n_35233),
+	.B1(n_6001),
+	.B2(n_5197),
+	.X(n_43267), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893312 (
+	.A1(n_6225),
+	.A2(n_35247),
+	.B1(n_6001),
+	.B2(n_5196),
+	.X(n_43268), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893313 (
+	.A1(n_6225),
+	.A2(n_35253),
+	.B1(n_6001),
+	.B2(n_5195),
+	.X(n_43269), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893314 (
+	.A1(n_6225),
+	.A2(n_34296),
+	.B1(n_6001),
+	.B2(n_5194),
+	.X(n_43270), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893315 (
+	.A1(n_6225),
+	.A2(n_35266),
+	.B1(n_6001),
+	.B2(n_5193),
+	.X(n_43271), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g893316 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mstatus[1]),
+	.A2_N(n_6104),
+	.B1(n_6105),
+	.B2(brqrv_top_brqrv_dec_tlu_mstatus[0]),
+	.Y(n_43272), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893317 (
+	.A1(n_1447),
+	.A2(n_34322),
+	.B1(n_6001),
+	.B2(n_5167),
+	.X(n_43273), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893318 (
+	.A1(n_1447),
+	.A2(n_34305),
+	.B1(n_6001),
+	.B2(n_5168),
+	.X(n_43274), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893319 (
+	.A1(n_1447),
+	.A2(n_35267),
+	.B1(n_6001),
+	.B2(n_5169),
+	.X(n_43275), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893320 (
+	.A1(n_1447),
+	.A2(n_34297),
+	.B1(n_6001),
+	.B2(n_5170),
+	.X(n_43276), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893321 (
+	.A1(n_1447),
+	.A2(n_35254),
+	.B1(n_6001),
+	.B2(n_5171),
+	.X(n_43277), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893322 (
+	.A1(n_1447),
+	.A2(n_35248),
+	.B1(n_6001),
+	.B2(n_5172),
+	.X(n_43278), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893323 (
+	.A1(n_1447),
+	.A2(n_35234),
+	.B1(n_6001),
+	.B2(n_5173),
+	.X(n_43279), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893324 (
+	.A1(n_1447),
+	.A2(n_35239),
+	.B1(n_6001),
+	.B2(n_5174),
+	.X(n_43280), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893325 (
+	.A1(n_6227),
+	.A2(n_35237),
+	.B1(n_6001),
+	.B2(n_5175),
+	.X(n_43281), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893326 (
+	.A1(n_6227),
+	.A2(n_34285),
+	.B1(n_6001),
+	.B2(n_5184),
+	.X(n_43282), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893327 (
+	.A1(n_6227),
+	.A2(n_34281),
+	.B1(n_6001),
+	.B2(n_5185),
+	.X(n_43283), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893328 (
+	.A1(n_6227),
+	.A2(n_34277),
+	.B1(n_6001),
+	.B2(n_5186),
+	.X(n_43284), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893329 (
+	.A1(n_6227),
+	.A2(n_34273),
+	.B1(n_6001),
+	.B2(n_5187),
+	.X(n_43285), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893330 (
+	.A1(n_6227),
+	.A2(n_34269),
+	.B1(n_6001),
+	.B2(n_5188),
+	.X(n_43286), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893331 (
+	.A1(n_6227),
+	.A2(n_35227),
+	.B1(n_6001),
+	.B2(n_5189),
+	.X(n_43287), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893332 (
+	.A1(n_6227),
+	.A2(n_34265),
+	.B1(n_6001),
+	.B2(n_5190),
+	.X(n_43288), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893333 (
+	.A1(n_6225),
+	.A2(n_34319),
+	.B1(n_6001),
+	.B2(n_5191),
+	.X(n_43289), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893334 (
+	.A1(n_6225),
+	.A2(n_34304),
+	.B1(n_6001),
+	.B2(n_5192),
+	.X(n_43290), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893335 (
+	.A1(n_6223),
+	.A2(n_35253),
+	.B1(n_6003),
+	.B2(n_5195),
+	.X(n_43291), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893336 (
+	.A1(n_1445),
+	.A2(n_34297),
+	.B1(n_6005),
+	.B2(n_5170),
+	.X(n_43292), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893337 (
+	.A1(n_1445),
+	.A2(n_35254),
+	.B1(n_6005),
+	.B2(n_5171),
+	.X(n_43293), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893338 (
+	.A1(n_1445),
+	.A2(n_35248),
+	.B1(n_6005),
+	.B2(n_5172),
+	.X(n_43294), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893339 (
+	.A1(n_1445),
+	.A2(n_35234),
+	.B1(n_6005),
+	.B2(n_5173),
+	.X(n_43295), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893340 (
+	.A1(n_1445),
+	.A2(n_35239),
+	.B1(n_6005),
+	.B2(n_5174),
+	.X(n_43296), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893341 (
+	.A1(n_6230),
+	.A2(n_35237),
+	.B1(n_6005),
+	.B2(n_5175),
+	.X(n_43297), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893342 (
+	.A1(n_6230),
+	.A2(n_34285),
+	.B1(n_6005),
+	.B2(n_5184),
+	.X(n_43298), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893343 (
+	.A1(n_6230),
+	.A2(n_34281),
+	.B1(n_6005),
+	.B2(n_5185),
+	.X(n_43299), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893344 (
+	.A1(n_6230),
+	.A2(n_34277),
+	.B1(n_6005),
+	.B2(n_5186),
+	.X(n_43300), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893345 (
+	.A1(n_6230),
+	.A2(n_34273),
+	.B1(n_6005),
+	.B2(n_5187),
+	.X(n_43301), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893346 (
+	.A1(n_6230),
+	.A2(n_34269),
+	.B1(n_6005),
+	.B2(n_5188),
+	.X(n_43302), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893347 (
+	.A1(n_6230),
+	.A2(n_35227),
+	.B1(n_6005),
+	.B2(n_5189),
+	.X(n_43303), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893348 (
+	.A1(n_6230),
+	.A2(n_34265),
+	.B1(n_6005),
+	.B2(n_5190),
+	.X(n_43304), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893349 (
+	.A1(n_6226),
+	.A2(n_34319),
+	.B1(n_6005),
+	.B2(n_5191),
+	.X(n_43305), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893350 (
+	.A1(n_6226),
+	.A2(n_34304),
+	.B1(n_6005),
+	.B2(n_5192),
+	.X(n_43306), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893351 (
+	.A1(n_6226),
+	.A2(n_35266),
+	.B1(n_6005),
+	.B2(n_5193),
+	.X(n_43307), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893352 (
+	.A1(n_6226),
+	.A2(n_34296),
+	.B1(n_6005),
+	.B2(n_5194),
+	.X(n_43308), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893353 (
+	.A1(n_6226),
+	.A2(n_35253),
+	.B1(n_6005),
+	.B2(n_5195),
+	.X(n_43309), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893354 (
+	.A1(n_6226),
+	.A2(n_35247),
+	.B1(n_6005),
+	.B2(n_5196),
+	.X(n_43310), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893355 (
+	.A1(n_6226),
+	.A2(n_35233),
+	.B1(n_6005),
+	.B2(n_5197),
+	.X(n_43311), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893356 (
+	.A1(n_6226),
+	.A2(n_35238),
+	.B1(n_6005),
+	.B2(n_5198),
+	.X(n_43312), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893357 (
+	.A1(n_6226),
+	.A2(n_34284),
+	.B1(n_6005),
+	.B2(n_5199),
+	.X(n_43313), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893358 (
+	.A1(n_6226),
+	.A2(n_34280),
+	.B1(n_6005),
+	.B2(n_5200),
+	.X(n_43314), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893359 (
+	.A1(n_6226),
+	.A2(n_34276),
+	.B1(n_6005),
+	.B2(n_5201),
+	.X(n_43315), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893360 (
+	.A1(n_6226),
+	.A2(n_34272),
+	.B1(n_6005),
+	.B2(n_5166),
+	.X(n_43316), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893361 (
+	.A1(n_6226),
+	.A2(n_34268),
+	.B1(n_6005),
+	.B2(n_5204),
+	.X(n_43317), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893362 (
+	.A1(n_6226),
+	.A2(n_35226),
+	.B1(n_6005),
+	.B2(n_5203),
+	.X(n_43318), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893363 (
+	.A1(n_6226),
+	.A2(n_34264),
+	.B1(n_6005),
+	.B2(n_5202),
+	.X(n_43319), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893364 (
+	.A1(n_1446),
+	.A2(n_34322),
+	.B1(n_6003),
+	.B2(n_5167),
+	.X(n_43320), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893365 (
+	.A1(n_1446),
+	.A2(n_34305),
+	.B1(n_6003),
+	.B2(n_5168),
+	.X(n_43321), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893366 (
+	.A1(n_1446),
+	.A2(n_35267),
+	.B1(n_6003),
+	.B2(n_5169),
+	.X(n_43322), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893367 (
+	.A1(n_1446),
+	.A2(n_34297),
+	.B1(n_6003),
+	.B2(n_5170),
+	.X(n_43323), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893368 (
+	.A1(n_1446),
+	.A2(n_35254),
+	.B1(n_6003),
+	.B2(n_5171),
+	.X(n_43324), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893369 (
+	.A1(n_1446),
+	.A2(n_35248),
+	.B1(n_6003),
+	.B2(n_5172),
+	.X(n_43325), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893370 (
+	.A1(n_1446),
+	.A2(n_35234),
+	.B1(n_6003),
+	.B2(n_5173),
+	.X(n_43326), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893371 (
+	.A1(n_1446),
+	.A2(n_35239),
+	.B1(n_6003),
+	.B2(n_5174),
+	.X(n_43327), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893372 (
+	.A1(n_6228),
+	.A2(n_35237),
+	.B1(n_6003),
+	.B2(n_5175),
+	.X(n_43328), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893373 (
+	.A1(n_6228),
+	.A2(n_34285),
+	.B1(n_6003),
+	.B2(n_5184),
+	.X(n_43329), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893374 (
+	.A1(n_6228),
+	.A2(n_34281),
+	.B1(n_6003),
+	.B2(n_5185),
+	.X(n_43330), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893375 (
+	.A1(n_6228),
+	.A2(n_34277),
+	.B1(n_6003),
+	.B2(n_5186),
+	.X(n_43331), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893376 (
+	.A1(n_6228),
+	.A2(n_34273),
+	.B1(n_6003),
+	.B2(n_5187),
+	.X(n_43332), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893377 (
+	.A1(n_6228),
+	.A2(n_34269),
+	.B1(n_6003),
+	.B2(n_5188),
+	.X(n_43333), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893378 (
+	.A1(n_6228),
+	.A2(n_35227),
+	.B1(n_6003),
+	.B2(n_5189),
+	.X(n_43334), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893379 (
+	.A1(n_6228),
+	.A2(n_34265),
+	.B1(n_6003),
+	.B2(n_5190),
+	.X(n_43335), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893380 (
+	.A1(n_6223),
+	.A2(n_34319),
+	.B1(n_6003),
+	.B2(n_5191),
+	.X(n_43336), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893381 (
+	.A1(n_6223),
+	.A2(n_34304),
+	.B1(n_6003),
+	.B2(n_5192),
+	.X(n_43337), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893382 (
+	.A1(n_6223),
+	.A2(n_35266),
+	.B1(n_6003),
+	.B2(n_5193),
+	.X(n_43338), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893383 (
+	.A1(n_6223),
+	.A2(n_34296),
+	.B1(n_6003),
+	.B2(n_5194),
+	.X(n_43339), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893384 (
+	.A1(n_1445),
+	.A2(n_35267),
+	.B1(n_6005),
+	.B2(n_5169),
+	.X(n_43340), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893385 (
+	.A1(n_6223),
+	.A2(n_35247),
+	.B1(n_6003),
+	.B2(n_5196),
+	.X(n_43341), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893386 (
+	.A1(n_6223),
+	.A2(n_35233),
+	.B1(n_6003),
+	.B2(n_5197),
+	.X(n_43342), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893387 (
+	.A1(n_6223),
+	.A2(n_35238),
+	.B1(n_6003),
+	.B2(n_5198),
+	.X(n_43343), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893388 (
+	.A1(n_6223),
+	.A2(n_34284),
+	.B1(n_6003),
+	.B2(n_5199),
+	.X(n_43344), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893389 (
+	.A1(n_6223),
+	.A2(n_34280),
+	.B1(n_6003),
+	.B2(n_5200),
+	.X(n_43345), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893390 (
+	.A1(n_6223),
+	.A2(n_34276),
+	.B1(n_6003),
+	.B2(n_5201),
+	.X(n_43346), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893391 (
+	.A1(n_6223),
+	.A2(n_34272),
+	.B1(n_6003),
+	.B2(n_5166),
+	.X(n_43347), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893392 (
+	.A1(n_6223),
+	.A2(n_34268),
+	.B1(n_6003),
+	.B2(n_5204),
+	.X(n_43348), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893393 (
+	.A1(n_6223),
+	.A2(n_35226),
+	.B1(n_6003),
+	.B2(n_5203),
+	.X(n_43349), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893394 (
+	.A1(n_6223),
+	.A2(n_34264),
+	.B1(n_6003),
+	.B2(n_5202),
+	.X(n_43350), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893395 (
+	.A1(n_1448),
+	.A2(n_34322),
+	.B1(n_43065),
+	.B2(n_5167),
+	.X(n_43351), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893396 (
+	.A1(n_1448),
+	.A2(n_34305),
+	.B1(n_43065),
+	.B2(n_5168),
+	.X(n_43352), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893397 (
+	.A1(n_1448),
+	.A2(n_35267),
+	.B1(n_43065),
+	.B2(n_5169),
+	.X(n_43353), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893398 (
+	.A1(n_1448),
+	.A2(n_34297),
+	.B1(n_43065),
+	.B2(n_5170),
+	.X(n_43354), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893399 (
+	.A1(n_1448),
+	.A2(n_35254),
+	.B1(n_43065),
+	.B2(n_5171),
+	.X(n_43355), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893400 (
+	.A1(n_1448),
+	.A2(n_35248),
+	.B1(n_43065),
+	.B2(n_5172),
+	.X(n_43356), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893401 (
+	.A1(n_1448),
+	.A2(n_35234),
+	.B1(n_43065),
+	.B2(n_5173),
+	.X(n_43357), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893402 (
+	.A1(n_1448),
+	.A2(n_35239),
+	.B1(n_43065),
+	.B2(n_5174),
+	.X(n_43358), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893403 (
+	.A1(n_6229),
+	.A2(n_35237),
+	.B1(n_43065),
+	.B2(n_5175),
+	.X(n_43359), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893404 (
+	.A1(n_6229),
+	.A2(n_34285),
+	.B1(n_43065),
+	.B2(n_5184),
+	.X(n_43360), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893405 (
+	.A1(n_6229),
+	.A2(n_34281),
+	.B1(n_43065),
+	.B2(n_5185),
+	.X(n_43361), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893406 (
+	.A1(n_6229),
+	.A2(n_34277),
+	.B1(n_43065),
+	.B2(n_5186),
+	.X(n_43362), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893407 (
+	.A1(n_6229),
+	.A2(n_34273),
+	.B1(n_43065),
+	.B2(n_5187),
+	.X(n_43363), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893408 (
+	.A1(n_6229),
+	.A2(n_34269),
+	.B1(n_43065),
+	.B2(n_5188),
+	.X(n_43364), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893409 (
+	.A1(n_6229),
+	.A2(n_35227),
+	.B1(n_43065),
+	.B2(n_5189),
+	.X(n_43365), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893410 (
+	.A1(n_6229),
+	.A2(n_34265),
+	.B1(n_43065),
+	.B2(n_5190),
+	.X(n_43366), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893411 (
+	.A1(n_6224),
+	.A2(n_34319),
+	.B1(n_43065),
+	.B2(n_5191),
+	.X(n_43367), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893412 (
+	.A1(n_6224),
+	.A2(n_34304),
+	.B1(n_43065),
+	.B2(n_5192),
+	.X(n_43368), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893413 (
+	.A1(n_6224),
+	.A2(n_35266),
+	.B1(n_43065),
+	.B2(n_5193),
+	.X(n_43369), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893414 (
+	.A1(n_6224),
+	.A2(n_34296),
+	.B1(n_43065),
+	.B2(n_5194),
+	.X(n_43370), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893415 (
+	.A1(n_6224),
+	.A2(n_35253),
+	.B1(n_43065),
+	.B2(n_5195),
+	.X(n_43371), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893416 (
+	.A1(n_6224),
+	.A2(n_35247),
+	.B1(n_43065),
+	.B2(n_5196),
+	.X(n_43372), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893417 (
+	.A1(n_6224),
+	.A2(n_35233),
+	.B1(n_43065),
+	.B2(n_5197),
+	.X(n_43373), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893418 (
+	.A1(n_6224),
+	.A2(n_35238),
+	.B1(n_43065),
+	.B2(n_5198),
+	.X(n_43374), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893419 (
+	.A1(n_6224),
+	.A2(n_34284),
+	.B1(n_43065),
+	.B2(n_5199),
+	.X(n_43375), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893420 (
+	.A1(n_6224),
+	.A2(n_34280),
+	.B1(n_43065),
+	.B2(n_5200),
+	.X(n_43376), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893421 (
+	.A1(n_6224),
+	.A2(n_34276),
+	.B1(n_43065),
+	.B2(n_5201),
+	.X(n_43377), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893422 (
+	.A1(n_6224),
+	.A2(n_34272),
+	.B1(n_43065),
+	.B2(n_5166),
+	.X(n_43378), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893423 (
+	.A1(n_6224),
+	.A2(n_34268),
+	.B1(n_43065),
+	.B2(n_5204),
+	.X(n_43379), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893424 (
+	.A1(n_6224),
+	.A2(n_35226),
+	.B1(n_43065),
+	.B2(n_5203),
+	.X(n_43380), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893425 (
+	.A1(n_6224),
+	.A2(n_34264),
+	.B1(n_43065),
+	.B2(n_5202),
+	.X(n_43381), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893426 (
+	.A1(n_6226),
+	.A2(n_3990),
+	.B1(n_6005),
+	.B2(n_5973),
+	.X(n_43382), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893427 (
+	.A1(n_6224),
+	.A2(n_3990),
+	.B1(n_43065),
+	.B2(n_5973),
+	.X(n_43383), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893428 (
+	.A1(n_6225),
+	.A2(n_3990),
+	.B1(n_6001),
+	.B2(n_5973),
+	.X(n_43384), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893429 (
+	.A1(n_6223),
+	.A2(n_3990),
+	.B1(n_6003),
+	.B2(n_5973),
+	.X(n_43385), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2o_1 g893430 (
+	.A1_N(n_1880),
+	.A2_N(n_41741),
+	.B1(n_41696),
+	.B2(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[1]),
+	.X(n_43386), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g893431 (
+	.A1(n_1642),
+	.A2(brqrv_top_brqrv_ifu_aln_f2val_in[1]),
+	.B1(n_5676),
+	.C1(n_5665),
+	.D1(n_5150),
+	.Y(n_43387), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g893432 (
+	.A1(FE_DBTN20_n_34692),
+	.A2(n_37328),
+	.B1(n_1052),
+	.B2(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[5]),
+	.C1(n_5819),
+	.X(n_43388), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4b_1 g893433 (
+	.A_N(n_4304),
+	.B(n_4151),
+	.C(n_4132),
+	.D(n_4854),
+	.Y(n_43389), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4b_1 g893434 (
+	.A(n_4826),
+	.B(n_1380),
+	.C(n_3347),
+	.D_N(n_4011),
+	.Y(n_43390), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g893435 (
+	.A(n_43391),
+	.Y(n_43392), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g893436 (
+	.A1(n_1078),
+	.A2(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[5]),
+	.B1(n_1934),
+	.B2(n_4385),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_n_6624 ),
+	.C2(n_43575),
+	.Y(n_43391), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_2 g893437 (
+	.A1(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[13]),
+	.A2(n_2049),
+	.B1(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[15]),
+	.B2(n_1723),
+	.C1(n_43403),
+	.Y(n_43393), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_2 g893438 (
+	.A_N(n_43404),
+	.B(n_34529),
+	.C(n_1401),
+	.Y(n_43394), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2a_1 g893439 (
+	.A1_N(n_35440),
+	.A2_N(brqrv_top_brqrv_dma_mem_tag[2]),
+	.B1(n_1379),
+	.B2(n_3744),
+	.X(n_43395), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893440 (
+	.A1(n_3759),
+	.A2(brqrv_top_brqrv_dec_decode_x_d[10]),
+	.B1(n_3747),
+	.B2(brqrv_top_brqrv_dec_decode_x_d[11]),
+	.X(n_43396), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g893441 (
+	.A1(n_1685),
+	.A2(brqrv_top_brqrv_ifu_mem_ctl_imb_in[24]),
+	.B1(n_1689),
+	.B2(brqrv_top_brqrv_ifu_mem_ctl_imb_in[27]),
+	.X(n_43397), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893442 (
+	.A1(n_42171),
+	.A2(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[20]),
+	.B1(n_42172),
+	.B2(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[21]),
+	.X(n_43398), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g893443 (
+	.A1(n_1698),
+	.A2(brqrv_top_brqrv_ifu_mem_ctl_imb_in[29]),
+	.B1(n_1706),
+	.B2(brqrv_top_brqrv_ifu_mem_ctl_imb_in[31]),
+	.X(n_43399), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893444 (
+	.A1(n_3457),
+	.A2(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[30]),
+	.B1(n_3455),
+	.B2(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[18]),
+	.X(n_43400), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g893445 (
+	.A1(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[25]),
+	.A2(n_1592),
+	.B1(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[27]),
+	.B2(n_1612),
+	.C1(n_3260),
+	.Y(n_43401), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111oi_0 g893446 (
+	.A1(n_32001),
+	.A2(n_31729),
+	.B1(brqrv_top_brqrv_dec_tlu_dec_tlu_pmu_fw_halted),
+	.C1(n_109),
+	.D1(n_43095),
+	.Y(n_43402), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g893447 (
+	.A1(n_2049),
+	.A2(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[13]),
+	.B1(n_1723),
+	.B2(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[15]),
+	.C1(n_3259),
+	.Y(n_43403), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_2 g893448 (
+	.A1(n_2645),
+	.A2(brqrv_top_brqrv_dma_mem_write),
+	.B1(n_1785),
+	.B2(brqrv_top_iccm_instr_we),
+	.X(n_43404), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_4 g893449 (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_end_addr_in_dccm_d),
+	.B(n_2696),
+	.C(brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_start_addr_in_dccm_d),
+	.Y(n_43405), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893450 (
+	.A1(n_35364),
+	.A2(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[12]),
+	.B1(n_33272),
+	.B2(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[12]),
+	.X(n_43406), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g893451 (
+	.A1(n_2051),
+	.A2(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[22]),
+	.B1(n_1732),
+	.B2(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[23]),
+	.X(n_43407), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g893452 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_120 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_1 ),
+	.C(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable_ff ),
+	.Y(n_43408), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g893453 (
+	.A_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [4]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12664_66_n_133 ),
+	.C(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable_ff ),
+	.Y(n_43409), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_2 g893454 (
+	.A1(n_9838),
+	.A2(n_35950),
+	.B1(brqrv_top_brqrv_exu_n_909),
+	.Y(n_43410), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_2 g893455 (
+	.A1(n_9838),
+	.A2(n_35944),
+	.B1(brqrv_top_brqrv_exu_n_896),
+	.Y(n_43411), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_2 g893456 (
+	.A1(n_9838),
+	.A2(n_35938),
+	.B1(brqrv_top_brqrv_exu_n_890),
+	.Y(n_43412), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_2 g893457 (
+	.A1(n_9838),
+	.A2(n_36242),
+	.B1(brqrv_top_brqrv_exu_n_887),
+	.Y(n_43413), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g893458 (
+	.A(n_9989),
+	.B(n_9898),
+	.C(n_34749),
+	.X(n_43414), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g893459 (
+	.A1(n_9838),
+	.A2(n_35956),
+	.B1(brqrv_top_brqrv_exu_n_917),
+	.X(n_43415), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4_1 g893460 (
+	.A(n_2862),
+	.B(n_1350),
+	.C(n_3326),
+	.D(n_3258),
+	.X(n_43416), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g893461 (
+	.A1(brqrv_top_brqrv_ifu_mem_ctl_imb_in[24]),
+	.A2(n_1685),
+	.B1(brqrv_top_brqrv_ifu_mem_ctl_imb_in[27]),
+	.B2(n_1689),
+	.Y(n_43417), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g893462 (
+	.A1(brqrv_top_brqrv_ifu_mem_ctl_imb_in[13]),
+	.A2(n_1700),
+	.B1(brqrv_top_brqrv_ifu_mem_ctl_imb_in[15]),
+	.B2(n_1711),
+	.Y(n_43418), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g893463 (
+	.A1(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[21]),
+	.A2(n_2052),
+	.B1(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[22]),
+	.B2(n_2051),
+	.Y(n_43419), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g893464 (
+	.A1(brqrv_top_brqrv_dec_tlu_perfcnt_halted),
+	.A2(n_2744),
+	.B1(n_43089),
+	.X(n_43420), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 g893465 (
+	.A0(brqrv_top_brqrv_exu_i_alu_pcout[30]),
+	.A1(n_37353),
+	.S(FE_DBTN21_n_34786),
+	.Y(n_2042), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g893466 (
+	.A1(n_1078),
+	.A2(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[10]),
+	.B1(n_1052),
+	.B2(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[10]),
+	.C1(n_43433),
+	.C2(FE_DBTN20_n_34692),
+	.Y(n_43422), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211a_1 g893467 (
+	.A1(n_1124),
+	.A2(n_34691),
+	.B1(n_1086),
+	.C1(n_956),
+	.X(n_43423), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g893468 (
+	.A1(n_93),
+	.A2(n_34692),
+	.B1(n_726),
+	.B2(n_41719),
+	.X(n_43424), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3b_1 g893469 (
+	.A_N(n_868),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_289),
+	.C(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_330),
+	.X(n_43425), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211o_1 g893470 (
+	.A1(brqrv_top_brqrv_dec_tlu_add_9264_51_n_28),
+	.A2(brqrv_top_brqrv_dec_tlu_add_9264_51_n_4),
+	.B1(n_34684),
+	.C1(brqrv_top_brqrv_dec_tlu_add_9264_51_n_30),
+	.X(n_43426), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_2 g893471 (
+	.A(n_36375),
+	.B(n_88),
+	.C(n_33989),
+	.Y(n_43427), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g893473 (
+	.A(n_10221),
+	.B(brqrv_top_brqrv_exu_i0_rs1_d[23]),
+	.X(n_43429), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g893475 (
+	.A1(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_68),
+	.A2(n_776),
+	.B1(n_765),
+	.X(n_43431), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g893476 (
+	.A1(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_62),
+	.A2(n_646),
+	.B1(n_602),
+	.X(n_43432), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g893477 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_28),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_24),
+	.Y(n_43433), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g893479 (
+	.A(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_164),
+	.B(n_43437),
+	.Y(n_43435), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g893480 (
+	.A1(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_291),
+	.A2(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_153),
+	.B1_N(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_40),
+	.Y(n_43436), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_0 g893481 (
+	.A1(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_278),
+	.A2(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_49),
+	.B1_N(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_53),
+	.Y(n_43437), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g893483 (
+	.A1(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_118),
+	.A2(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_144),
+	.B1(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_6),
+	.X(n_43439), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g893484 (
+	.A1(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_138),
+	.A2(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_211),
+	.B1(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_67),
+	.X(n_43440), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g893485 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [13]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [16]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_106 ),
+	.Y(n_43441), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g893490 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [4]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [7]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_79 ),
+	.Y(n_43446), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4b_1 g893495 (
+	.A_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4140),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4218),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4176),
+	.D(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4174),
+	.Y(n_43451), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g893496 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3832),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3971),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3906),
+	.X(n_43452), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g893497 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3720),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3772),
+	.X(n_43453), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_2 g893498 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3298),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3400),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3598),
+	.X(n_43454), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g893499 (
+	.A(n_42293),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3404),
+	.X(n_43455), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g893500 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2969),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3056),
+	.X(n_43456), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_2 g893501 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2802),
+	.B(n_44045),
+	.C(n_42358),
+	.X(n_43457), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g893502 (
+	.A(n_42388),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2981),
+	.X(n_43458), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g893503 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2684),
+	.B(n_42343),
+	.X(n_43459), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_2 g893504 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2528),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2803),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2825),
+	.X(n_43460), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g893505 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2513),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2808),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2746),
+	.X(n_43461), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g893506 (
+	.A(n_43565),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1853),
+	.C(n_43481),
+	.X(n_43462), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g893507 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1809),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1807),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1908),
+	.X(n_43463), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g893508 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1882),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2207),
+	.X(n_43464), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_2 g893509 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1563),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1502),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1661),
+	.X(n_43465), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g893510 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1482),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1480),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1611),
+	.X(n_43466), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g893511 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1446),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1599),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1614),
+	.X(n_43467), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_2 g893512 (
+	.A(n_43518),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1840),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1667),
+	.X(n_43468), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g893513 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1889),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2297),
+	.X(n_43469), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g893514 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1920),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2222),
+	.X(n_43470), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g893515 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1661),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2209),
+	.X(n_43471), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g893516 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1616),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2200),
+	.X(n_43472), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g893517 (
+	.A1(n_43483),
+	.A2(n_43484),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1888),
+	.X(n_43473), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g893519 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1786),
+	.A2_N(n_43590),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2095),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1659),
+	.Y(n_43475), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_2 g893520 (
+	.A0(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1496),
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1495),
+	.S(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1755),
+	.X(n_43476), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g893521 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_200),
+	.A2_N(n_43553),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_942),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1169),
+	.Y(n_43477), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893522 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1169),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_840),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_561),
+	.B2(n_43551),
+	.X(n_43478), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g893523 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_210),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1024),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1153),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_418),
+	.Y(n_43479), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893524 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1159),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_853),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_738),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs2_x[0]),
+	.X(n_43480), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g893525 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_516),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_204),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_428),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_217),
+	.X(n_43481), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g893526 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_213),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_930),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1157),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_856),
+	.Y(n_43482), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g893527 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_805),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_727),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1151),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_756),
+	.Y(n_43483), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g893528 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_208),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_947),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1165),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_571),
+	.Y(n_43484), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g893529 (
+	.A1(n_42441),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_968),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_521),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1144),
+	.X(n_43485), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893530 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1157),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_487),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_856),
+	.B2(n_43547),
+	.X(n_43486), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893531 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1169),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_979),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_955),
+	.B2(n_43551),
+	.X(n_43487), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893532 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1169),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_561),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_681),
+	.B2(n_43551),
+	.X(n_43488), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893533 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1153),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_11),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_670),
+	.B2(n_42440),
+	.X(n_43489), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g893534 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1160),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1020),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_853),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs2_x[0]),
+	.Y(n_43490), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g893535 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_213),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_615),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1157),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_984),
+	.Y(n_43491), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g893536 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_200),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_883),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1169),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_616),
+	.Y(n_43492), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893537 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1165),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_660),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_583),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_209),
+	.X(n_43493), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g893538 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_202),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_871),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1141),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_612),
+	.Y(n_43494), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g893539 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_206),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1006),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_843),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1156),
+	.X(n_43495), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g893540 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1160),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1016),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1019),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs2_x[0]),
+	.Y(n_43496), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893541 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1169),
+	.A2(n_43563),
+	.B1(n_43534),
+	.B2(n_43551),
+	.X(n_43497), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g893542 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_204),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_997),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_218),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_904),
+	.Y(n_43498), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g893543 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_208),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_595),
+	.B1(n_43560),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1166),
+	.X(n_43499), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893544 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1159),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_554),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_490),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs2_x[0]),
+	.X(n_43500), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893545 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1153),
+	.A2(n_43558),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_528),
+	.B2(n_42440),
+	.X(n_43501), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893546 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1161),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_575),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_503),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_799),
+	.X(n_43502), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893547 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_218),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_996),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_620),
+	.B2(n_43550),
+	.X(n_43503), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g893548 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_489),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1160),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_527),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs2_x[0]),
+	.Y(n_43504), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g893549 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_213),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_471),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1157),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_737),
+	.Y(n_43505), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893550 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_218),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_917),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_820),
+	.B2(n_43550),
+	.X(n_43506), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g893551 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_805),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_494),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1151),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1007),
+	.Y(n_43507), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893552 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1165),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_648),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_728),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_209),
+	.X(n_43508), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g893553 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_798),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_951),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1147),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_902),
+	.Y(n_43509), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g893554 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1037),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1150),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_581),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_801),
+	.Y(n_43510), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g893555 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1013),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_206),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_827),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1156),
+	.X(n_43511), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g893556 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_213),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_634),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1157),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_703),
+	.Y(n_43512), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2a_1 g893557 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_665),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_209),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_15),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1166),
+	.X(n_43513), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g893558 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1158),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_471),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_708),
+	.B2(n_43547),
+	.Y(n_43514), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g893559 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_208),
+	.A2_N(n_43560),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1165),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_919),
+	.Y(n_43515), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g893560 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_208),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_584),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1165),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_582),
+	.Y(n_43516), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g893561 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_208),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_10),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1165),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_724),
+	.Y(n_43517), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g893562 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_909),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1142),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_880),
+	.B2(n_42445),
+	.Y(n_43518), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893563 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1161),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_806),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_575),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_799),
+	.X(n_43519), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g893564 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_213),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_653),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1157),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_940),
+	.Y(n_43520), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g893565 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_213),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_826),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1157),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_825),
+	.Y(n_43521), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893566 (
+	.A1(FE_DBTN37_brqrv_top_brqrv_exu_i_mul_rs2_x_25),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[24]),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_250),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs2_x[25]),
+	.X(n_43522), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893567 (
+	.A1(FE_DBTN31_brqrv_top_brqrv_exu_i_mul_rs2_x_9),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[8]),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_288),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs2_x[9]),
+	.X(n_43523), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893568 (
+	.A1(FE_DBTN33_brqrv_top_brqrv_exu_i_mul_rs2_x_15),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[14]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs2_x[15]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_251),
+	.X(n_43524), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 g893569 (
+	.A0(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_286),
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[28]),
+	.S(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_183),
+	.X(n_43525), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893570 (
+	.A1(FE_DBTN30_brqrv_top_brqrv_exu_i_mul_rs2_x_7),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[6]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs2_x[7]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_248),
+	.X(n_43526), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893571 (
+	.A1(FE_DBTN29_brqrv_top_brqrv_exu_i_mul_rs2_x_5),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[4]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs2_x[5]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_249),
+	.X(n_43527), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893572 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_236),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[3]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[9]),
+	.B2(FE_DBTN28_brqrv_top_brqrv_exu_i_mul_rs2_x_3),
+	.X(n_43528), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893573 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_275),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[1]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[7]),
+	.B2(FE_DBTN27_brqrv_top_brqrv_exu_i_mul_rs2_x_1),
+	.X(n_43529), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893574 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_271),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[11]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[11]),
+	.B2(FE_DBTN32_brqrv_top_brqrv_exu_i_mul_rs2_x_11),
+	.X(n_43530), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893575 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_274),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[1]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[19]),
+	.B2(FE_DBTN27_brqrv_top_brqrv_exu_i_mul_rs2_x_1),
+	.X(n_43531), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893576 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_234),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[1]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[29]),
+	.B2(FE_DBTN27_brqrv_top_brqrv_exu_i_mul_rs2_x_1),
+	.X(n_43532), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893577 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_232),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[19]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[12]),
+	.B2(FE_DBTN35_brqrv_top_brqrv_exu_i_mul_rs2_x_19),
+	.X(n_43533), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893578 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_267),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[3]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[24]),
+	.B2(FE_DBTN28_brqrv_top_brqrv_exu_i_mul_rs2_x_3),
+	.X(n_43534), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893579 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_279),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[5]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[20]),
+	.B2(FE_DBTN29_brqrv_top_brqrv_exu_i_mul_rs2_x_5),
+	.X(n_43535), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893580 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_236),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[27]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[9]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_176),
+	.X(n_43536), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893581 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_235),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[21]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[8]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_135),
+	.X(n_43537), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893582 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_275),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[27]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[7]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_176),
+	.X(n_43538), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g893583 (
+	.A1(FE_DBTN33_brqrv_top_brqrv_exu_i_mul_rs2_x_15),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs1_x[17]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs2_x[15]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_282),
+	.X(n_43539), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893584 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_236),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[19]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[9]),
+	.B2(FE_DBTN35_brqrv_top_brqrv_exu_i_mul_rs2_x_19),
+	.X(n_43540), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893585 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_278),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[3]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[30]),
+	.B2(FE_DBTN28_brqrv_top_brqrv_exu_i_mul_rs2_x_3),
+	.X(n_43541), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g893586 (
+	.A1(FE_DBTN30_brqrv_top_brqrv_exu_i_mul_rs2_x_7),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs1_x[26]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs2_x[7]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_241),
+	.X(n_43542), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893587 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_245),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[19]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[13]),
+	.B2(FE_DBTN35_brqrv_top_brqrv_exu_i_mul_rs2_x_19),
+	.X(n_43543), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893588 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_183),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs1_x[3]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs2_x[29]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_233),
+	.X(n_43544), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g893589 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_135),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs1_x[13]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs2_x[21]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_245),
+	.X(n_43545), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_2 g893590 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_126),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[14]),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_251),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs2_x[13]),
+	.X(n_43546), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_2 g893591 (
+	.A1(FE_DBTN28_brqrv_top_brqrv_exu_i_mul_rs2_x_3),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[4]),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_249),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs2_x[3]),
+	.X(n_43547), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_2 g893592 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_176),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[28]),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_286),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs2_x[27]),
+	.Y(n_43548), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_2 g893593 (
+	.A1(FE_DBTN30_brqrv_top_brqrv_exu_i_mul_rs2_x_7),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[8]),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_288),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs2_x[7]),
+	.X(n_43549), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_2 g893594 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_135),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[22]),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_252),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs2_x[21]),
+	.X(n_43550), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_2 g893595 (
+	.A1(FE_DBTN27_brqrv_top_brqrv_exu_i_mul_rs2_x_1),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[2]),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_247),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs2_x[1]),
+	.X(n_43551), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893596 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_265),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[21]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[10]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_135),
+	.X(n_43552), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g893597 (
+	.A1(FE_DBTN28_brqrv_top_brqrv_exu_i_mul_rs2_x_3),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs1_x[15]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs2_x[3]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_269),
+	.X(n_43553), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893598 (
+	.A1(FE_DBTN36_brqrv_top_brqrv_exu_i_mul_rs2_x_23),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs1_x[5]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs2_x[23]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_266),
+	.X(n_43554), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g893599 (
+	.A1(FE_DBTN29_brqrv_top_brqrv_exu_i_mul_rs2_x_5),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs1_x[24]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs2_x[5]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_267),
+	.X(n_43555), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893600 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_269),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[19]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[15]),
+	.B2(FE_DBTN35_brqrv_top_brqrv_exu_i_mul_rs2_x_19),
+	.X(n_43556), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893601 (
+	.A1(FE_DBTN34_brqrv_top_brqrv_exu_i_mul_rs2_x_17),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs1_x[3]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs2_x[17]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_233),
+	.X(n_43557), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893602 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_282),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[11]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[17]),
+	.B2(FE_DBTN32_brqrv_top_brqrv_exu_i_mul_rs2_x_11),
+	.X(n_43558), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893603 (
+	.A1(FE_DBTN31_brqrv_top_brqrv_exu_i_mul_rs2_x_9),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs1_x[6]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs2_x[9]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_281),
+	.X(n_43559), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g893604 (
+	.A1(FE_DBTN30_brqrv_top_brqrv_exu_i_mul_rs2_x_7),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs1_x[11]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs2_x[7]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_271),
+	.X(n_43560), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893605 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_269),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[5]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[15]),
+	.B2(FE_DBTN29_brqrv_top_brqrv_exu_i_mul_rs2_x_5),
+	.X(n_43561), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893606 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_183),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs1_x[2]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs2_x[29]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_231),
+	.X(n_43562), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893607 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_240),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[3]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[23]),
+	.B2(FE_DBTN28_brqrv_top_brqrv_exu_i_mul_rs2_x_3),
+	.X(n_43563), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g893608 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1438),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2537),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2860),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2339),
+	.Y(n_43564), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g893609 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1159),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_738),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_886),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs2_x[0]),
+	.Y(n_43565), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g893610 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1176),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1585),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1640),
+	.X(n_43566), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g893611 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_114),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_84),
+	.C(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_153),
+	.Y(n_43567), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g893612 (
+	.A(n_37978),
+	.B(n_37967),
+	.X(n_43568), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g893613 (
+	.A(n_37976),
+	.B(n_37965),
+	.X(n_43569), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g893614 (
+	.A1(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_33),
+	.A2(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_123),
+	.B1(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_93),
+	.X(n_43570), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g893615 (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[11]),
+	.B(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_83 ),
+	.Y(n_43571), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g893616 (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[22]),
+	.B(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_98 ),
+	.Y(n_43572), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g893617 (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[7]),
+	.B(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_73 ),
+	.Y(n_43573), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g893618 (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[15]),
+	.B(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_84 ),
+	.Y(n_43574), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g893619 (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[5]),
+	.B(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_9 ),
+	.Y(n_43575), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893620 (
+	.A1(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_81 ),
+	.A2(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_30 ),
+	.B1(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_80 ),
+	.B2(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[18]),
+	.X(n_43576), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g893621 (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[8]),
+	.B(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_74 ),
+	.Y(n_43577), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4b_1 g893622 (
+	.A_N(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_7 ),
+	.B(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_70 ),
+	.C(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_35 ),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[16]),
+	.Y(n_43578), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g893623 (
+	.A_N(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_18 ),
+	.B(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_66 ),
+	.C(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[8]),
+	.Y(n_43579), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4b_1 g893624 (
+	.A_N(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_39 ),
+	.B(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_36 ),
+	.C(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_13 ),
+	.D(\inc_brqrv_top_brqrv_ifu_ifc_add_15653_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14579_56_n_19 ),
+	.X(n_43580), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g893625 (
+	.A_N(inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_n_24),
+	.B(inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_n_48),
+	.C(brqrv_top_brqrv_lsu_lsu_lsc_ctl_rs1_d[30]),
+	.Y(n_43581), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g893626 (
+	.A(n_43585),
+	.B(inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_n_16),
+	.C(inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_n_27),
+	.D(inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_n_39),
+	.Y(n_43582), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g893627 (
+	.A_N(inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_n_19),
+	.B(inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_n_14),
+	.C(n_37950),
+	.Y(n_43583), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g893628 (
+	.A(inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_n_33),
+	.B(n_37959),
+	.C(n_37960),
+	.Y(n_43584), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_2 g893629 (
+	.A_N(inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22724_37_n_11),
+	.B(n_37956),
+	.C(n_37955),
+	.Y(n_43585), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g893630 (
+	.A(n_43586),
+	.Y(n_43587), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g893631 (
+	.A1(n_31918),
+	.A2(brqrv_top_brqrv_lsu_store_data_lo_r[11]),
+	.B1(n_31916),
+	.B2(brqrv_top_brqrv_lsu_store_data_lo_r[19]),
+	.C1(n_31917),
+	.C2(brqrv_top_brqrv_lsu_store_data_hi_r[3]),
+	.Y(n_43586), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4bb_1 g893632 (
+	.A(brqrv_top_brqrv_dec_tlu_mtdata1_t0[5]),
+	.B(n_35575),
+	.C_N(brqrv_top_brqrv_dec_tlu_mtdata1_t0[9]),
+	.D_N(brqrv_top_brqrv_dec_tlu_mtdata1_t0[6]),
+	.X(n_43588), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4b_1 g893633 (
+	.A_N(n_35708),
+	.B(n_35437),
+	.C(n_35428),
+	.D(n_35429),
+	.X(n_43589), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g893634 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1151),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_688),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_542),
+	.B2(n_43546),
+	.Y(n_43590), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g893635 (
+	.A(brqrv_top_brqrv_dbg_abstractcs_reg[8]),
+	.B(brqrv_top_brqrv_dbg_abstractcs_reg[9]),
+	.C(brqrv_top_brqrv_dbg_abstractcs_reg[10]),
+	.X(n_43591), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o41ai_1 g893636 (
+	.A1(n_35179),
+	.A2(brqrv_top_brqrv_ifu_mem_ctl_uncacheable_miss_ff),
+	.A3(n_35434),
+	.A4(n_1052),
+	.B1(n_11456),
+	.Y(n_43592), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g893637 (
+	.A1(n_28729),
+	.A2(n_28765),
+	.B1(n_28771),
+	.C1(n_323558_BAR),
+	.D1(n_28788),
+	.Y(n_43593), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_2 g893652 (
+	.A(n_43608),
+	.B(n_1131),
+	.C(n_1156),
+	.Y(n_43609), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_0 g893653 (
+	.A1(n_1078),
+	.A2(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[2]),
+	.B1_N(n_1083),
+	.Y(n_43608), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g893654 (
+	.A(n_43610),
+	.B(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_329),
+	.Y(n_43611), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g893655 (
+	.A1(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_270),
+	.A2(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_139),
+	.B1_N(addinc_brqrv_top_brqrv_exu_i_alu_add_11784_74_n_47),
+	.Y(n_43610), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g893656 (
+	.A(n_43612),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[5]),
+	.C(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[5]),
+	.X(n_43613), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g893657 (
+	.A1(brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_n_39),
+	.A2(brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_n_32),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_gte_10348_39_n_33),
+	.X(n_43612), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 g893670 (
+	.A(brqrv_top_brqrv_exu_i0_pc_x[5]),
+	.B(brqrv_top_brqrv_dec_decode_last_br_immed_x[5]),
+	.CIN(n_43630),
+	.COUT(n_43628),
+	.SUM(n_43627), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 g893671 (
+	.A(brqrv_top_brqrv_exu_i0_pc_x[4]),
+	.B(brqrv_top_brqrv_dec_decode_last_br_immed_x[4]),
+	.CIN(n_34434),
+	.COUT(n_43630),
+	.SUM(n_43629), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 g893672 (
+	.A(brqrv_top_brqrv_exu_i0_pc_x[6]),
+	.B(brqrv_top_brqrv_dec_decode_last_br_immed_x[6]),
+	.CIN(n_43628),
+	.COUT(n_43632),
+	.SUM(n_43631), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 g893673 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [22]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [25]),
+	.CIN(n_43636),
+	.COUT(n_43634),
+	.SUM(n_43633), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 g893674 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [21]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [24]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_130 ),
+	.COUT(n_43636),
+	.SUM(n_43635), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 g893675 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [23]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [25]),
+	.CIN(n_43640),
+	.COUT(n_43638),
+	.SUM(n_43637), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 g893676 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [22]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [24]),
+	.CIN(n_43642),
+	.COUT(n_43640),
+	.SUM(n_43639), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 g893677 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [21]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [23]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_128 ),
+	.COUT(n_43642),
+	.SUM(n_43641), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 g893678 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [30]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [31]),
+	.CIN(n_43646),
+	.COUT(n_43644),
+	.SUM(n_43643), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 g893679 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [29]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [30]),
+	.CIN(n_43648),
+	.COUT(n_43646),
+	.SUM(n_43645), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 g893680 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [28]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [29]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_189 ),
+	.COUT(n_43648),
+	.SUM(n_43647), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 g893689 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [18]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [19]),
+	.CIN(n_43668),
+	.COUT(n_43666),
+	.SUM(n_43665), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 g893690 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [17]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [18]),
+	.CIN(n_43670),
+	.COUT(n_43668),
+	.SUM(n_43667), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 g893691 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [16]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [17]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12685_64_n_152 ),
+	.COUT(n_43670),
+	.SUM(n_43669), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 g893692 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [33]),
+	.B(n_43645),
+	.CIN(n_43674),
+	.COUT(n_43672),
+	.SUM(n_43671), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 g893693 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [31]),
+	.B(n_43647),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12686_88_n_161 ),
+	.COUT(n_43674),
+	.SUM(n_43673), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 g893694 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [30]),
+	.B(n_43647),
+	.CIN(n_43678),
+	.COUT(n_43676),
+	.SUM(n_43675), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 g893695 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [29]),
+	.B(n_37236),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_182 ),
+	.COUT(n_43678),
+	.SUM(n_43677), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 g893696 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [18]),
+	.B(n_43669),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_149 ),
+	.COUT(n_43680),
+	.SUM(n_43679), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 g893697 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [9]),
+	.B(n_37216),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_121 ),
+	.COUT(n_43682),
+	.SUM(n_43681), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_2 g893698 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [4]),
+	.B(n_37211),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12687_88_n_106 ),
+	.COUT(n_43684),
+	.SUM(n_43683), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 g893699 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [10]),
+	.B(n_43681),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_123 ),
+	.COUT(n_43686),
+	.SUM(n_43685), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 g893700 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [4]),
+	.B(n_37176),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12688_111_n_105 ),
+	.COUT(n_43688),
+	.SUM(n_43687), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 g893702 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3658),
+	.B(n_42263),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3866),
+	.COUT(n_43692),
+	.SUM(n_43691), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 g893703 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2955),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3233),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3214),
+	.COUT(n_43694),
+	.SUM(n_43693), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 g893704 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2957),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3114),
+	.CIN(n_42319),
+	.COUT(n_43695),
+	.SUM(n_43696), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 g893705 (
+	.A(n_42337),
+	.B(n_42310),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3152),
+	.COUT(n_43698),
+	.SUM(n_43697), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 g893706 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3242),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3297),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3140),
+	.COUT(n_43700),
+	.SUM(n_43699), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 g893707 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3125),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3208),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3301),
+	.COUT(n_43702),
+	.SUM(n_43701), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 g893708 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3315),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3314),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3158),
+	.COUT(n_43704),
+	.SUM(n_43703), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 g893709 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2535),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2958),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3219),
+	.COUT(n_43706),
+	.SUM(n_43705), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 g893710 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2674),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2959),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3221),
+	.COUT(n_43708),
+	.SUM(n_43707), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 g893711 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2752),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2754),
+	.CIN(n_43712),
+	.COUT(n_43710),
+	.SUM(n_43709), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 g893712 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2519),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2577),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2553),
+	.COUT(n_43712),
+	.SUM(n_43711), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 g893713 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2682),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2340),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2679),
+	.COUT(n_43714),
+	.SUM(n_43713), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 g893714 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2336),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2801),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2812),
+	.COUT(n_43716),
+	.SUM(n_43715), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 g893715 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1431),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1261),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1675),
+	.COUT(n_43718),
+	.SUM(n_43717), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 g893717 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs2_x[32]),
+	.B(brqrv_top_brqrv_exu_i_mul_rs2_x[31]),
+	.SUM(n_42446), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 g893719 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1572),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1818),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1623),
+	.SUM(n_42360), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 g893721 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1933),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1811),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1449),
+	.SUM(n_42405), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g893930 (
+	.A1(n_32729),
+	.A2(n_35590),
+	.B1(n_260522_BAR),
+	.X(n_43940), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g893934 (
+	.A_N(n_32911),
+	.B(n_31673),
+	.Y(n_43944), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g893939 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_n_10656 ),
+	.A2(n_12430),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [7]),
+	.B2(n_144),
+	.X(n_43949), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g893948 (
+	.A_N(n_43568),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_97),
+	.Y(n_43958), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g893949 (
+	.A_N(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_154),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21379_45_groupi_n_29),
+	.Y(n_43959), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g893951 (
+	.A(n_12016),
+	.B_N(n_43191),
+	.Y(n_43961), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g893952 (
+	.A1(n_9260),
+	.A2(n_8133),
+	.B1_N(n_10026),
+	.Y(n_43962), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_2 g893953 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_4324),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3346),
+	.B1_N(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_3345),
+	.Y(n_43963), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4b_1 g893954 (
+	.A_N(n_35531),
+	.B(n_32919),
+	.C(n_11805),
+	.D(n_35601),
+	.Y(n_43964), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g893955 (
+	.A_N(n_35564),
+	.B(n_32722),
+	.C(n_32744),
+	.Y(n_43965), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g893966 (
+	.A1(n_42913),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [41]),
+	.B1(n_42914),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [119]),
+	.Y(n_43976), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g893981 (
+	.A1(brqrv_top_brqrv_dec_tlu_perfcnt_halted),
+	.A2(n_2757),
+	.B1(n_43090),
+	.X(n_43991), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g893983 (
+	.A1(n_37330),
+	.A2(n_474),
+	.B1(n_483),
+	.B2(n_37329),
+	.Y(n_43993), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g893989 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [11]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [14]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12682_54_n_100 ),
+	.Y(n_43999), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g893990 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [15]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [17]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12683_54_n_110 ),
+	.Y(n_44000), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_2 g894010 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2695),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2693),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_2562),
+	.X(n_44020), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g894020 (
+	.A(n_43469),
+	.B(n_43464),
+	.C(n_42363),
+	.X(n_44030), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g894030 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1817),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1815),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1880),
+	.X(n_44040), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_2 g894033 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1775),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1776),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1926),
+	.X(n_44043), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g894035 (
+	.A(n_43507),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1452),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1948),
+	.X(n_44045), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g894036 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1847),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1512),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1616),
+	.X(n_44046), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_2 g894037 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1496),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1755),
+	.C(n_43479),
+	.X(n_44047), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g894040 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1749),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1753),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1642),
+	.X(n_44050), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_2 g894041 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1458),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1481),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1609),
+	.X(n_44051), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_2 g894042 (
+	.A(n_43512),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1524),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1654),
+	.X(n_44052), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_2 g894048 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_923),
+	.A2(n_43546),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_36),
+	.Y(n_44058), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_2 g894052 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_1155),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_874),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13128_30_n_870),
+	.B2(n_43549),
+	.X(n_44062), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell (
+	.HI(n_44321),
+	.LO(logic_0_1_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell1 (
+	.HI(n_44322),
+	.LO(logic_0_2_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell2 (
+	.HI(n_44323),
+	.LO(logic_0_3_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell3 (
+	.HI(n_44324),
+	.LO(logic_0_4_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell4 (
+	.HI(n_44325),
+	.LO(logic_0_5_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell5 (
+	.HI(n_44326),
+	.LO(logic_0_6_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell6 (
+	.HI(n_44327),
+	.LO(logic_0_7_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell7 (
+	.HI(n_44328),
+	.LO(logic_0_8_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell8 (
+	.HI(n_44329),
+	.LO(logic_0_9_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell9 (
+	.HI(n_44330),
+	.LO(logic_0_10_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell10 (
+	.HI(n_44331),
+	.LO(logic_0_11_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell11 (
+	.HI(n_44332),
+	.LO(logic_0_12_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell12 (
+	.HI(n_44333),
+	.LO(logic_0_13_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell13 (
+	.HI(n_44334),
+	.LO(logic_0_14_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell14 (
+	.HI(n_44335),
+	.LO(logic_0_15_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell15 (
+	.HI(n_44336),
+	.LO(logic_0_16_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell16 (
+	.HI(n_44337),
+	.LO(logic_0_17_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell17 (
+	.HI(n_44338),
+	.LO(logic_0_18_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell18 (
+	.HI(n_44339),
+	.LO(logic_0_19_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell19 (
+	.HI(n_44340),
+	.LO(logic_0_20_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell20 (
+	.HI(n_44341),
+	.LO(logic_0_21_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell21 (
+	.HI(n_44342),
+	.LO(logic_0_22_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell22 (
+	.HI(n_44343),
+	.LO(logic_0_23_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell23 (
+	.HI(n_44344),
+	.LO(logic_0_24_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell24 (
+	.HI(n_44345),
+	.LO(logic_0_25_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell25 (
+	.HI(n_44346),
+	.LO(logic_0_26_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell26 (
+	.HI(n_44347),
+	.LO(logic_0_27_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell27 (
+	.HI(n_44348),
+	.LO(logic_0_28_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell28 (
+	.HI(n_44349),
+	.LO(logic_0_29_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell29 (
+	.HI(n_44350),
+	.LO(logic_0_30_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell30 (
+	.HI(n_44351),
+	.LO(logic_0_31_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell31 (
+	.HI(n_44352),
+	.LO(logic_0_32_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell32 (
+	.HI(n_44353),
+	.LO(logic_0_33_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell33 (
+	.HI(n_44354),
+	.LO(logic_0_34_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell34 (
+	.HI(n_44355),
+	.LO(logic_0_35_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell35 (
+	.HI(n_44356),
+	.LO(logic_0_36_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell36 (
+	.HI(n_44357),
+	.LO(logic_0_37_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell37 (
+	.HI(n_44358),
+	.LO(logic_0_38_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell38 (
+	.HI(n_44359),
+	.LO(logic_0_39_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell39 (
+	.HI(n_44360),
+	.LO(logic_0_40_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell40 (
+	.HI(n_44361),
+	.LO(logic_0_41_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell41 (
+	.HI(n_44362),
+	.LO(logic_0_42_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell42 (
+	.LO(logic_0_43_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell43 (
+	.LO(logic_0_44_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell44 (
+	.LO(logic_0_45_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell45 (
+	.LO(logic_0_46_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell46 (
+	.LO(logic_0_47_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell47 (
+	.LO(logic_0_48_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell48 (
+	.LO(logic_0_49_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell49 (
+	.LO(logic_0_50_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell50 (
+	.LO(logic_0_51_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell51 (
+	.LO(logic_0_52_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell52 (
+	.LO(logic_0_53_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell53 (
+	.LO(logic_0_54_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell54 (
+	.LO(logic_0_55_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell55 (
+	.LO(logic_0_56_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell56 (
+	.LO(logic_0_57_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell57 (
+	.LO(logic_0_58_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell58 (
+	.LO(logic_0_59_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell59 (
+	.LO(logic_0_60_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell60 (
+	.LO(logic_0_61_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell61 (
+	.LO(logic_0_62_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell62 (
+	.LO(logic_0_63_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell63 (
+	.LO(logic_0_64_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell64 (
+	.LO(io_out[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell65 (
+	.LO(io_out[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell66 (
+	.LO(io_out[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell67 (
+	.LO(io_out[36]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell68 (
+	.LO(io_out[37]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell69 (
+	.LO(io_out[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell70 (
+	.LO(io_out[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell71 (
+	.LO(io_out[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell72 (
+	.LO(io_out[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell73 (
+	.LO(irq[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell74 (
+	.LO(irq[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell75 (
+	.LO(irq[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell76 (
+	.LO(la_data_out[100]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell77 (
+	.LO(la_data_out[101]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell78 (
+	.LO(la_data_out[102]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell79 (
+	.LO(la_data_out[103]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell80 (
+	.LO(la_data_out[104]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell81 (
+	.LO(la_data_out[105]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell82 (
+	.LO(la_data_out[106]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell83 (
+	.LO(la_data_out[107]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell84 (
+	.LO(la_data_out[108]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell85 (
+	.LO(la_data_out[109]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell86 (
+	.LO(la_data_out[110]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell87 (
+	.LO(la_data_out[111]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell88 (
+	.LO(la_data_out[112]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell89 (
+	.LO(la_data_out[113]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell90 (
+	.LO(la_data_out[114]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell91 (
+	.LO(la_data_out[115]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell92 (
+	.LO(la_data_out[116]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell93 (
+	.LO(la_data_out[117]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell94 (
+	.LO(la_data_out[118]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell95 (
+	.LO(la_data_out[119]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell96 (
+	.LO(la_data_out[120]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell97 (
+	.LO(la_data_out[121]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell98 (
+	.LO(la_data_out[122]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell99 (
+	.LO(la_data_out[123]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell100 (
+	.LO(la_data_out[124]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell101 (
+	.LO(la_data_out[125]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell102 (
+	.LO(la_data_out[126]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell103 (
+	.LO(la_data_out[127]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell104 (
+	.LO(la_data_out[32]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell105 (
+	.LO(la_data_out[33]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell106 (
+	.LO(la_data_out[34]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell107 (
+	.LO(la_data_out[35]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell108 (
+	.LO(la_data_out[36]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell109 (
+	.LO(la_data_out[37]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell110 (
+	.LO(la_data_out[38]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell111 (
+	.LO(la_data_out[39]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell112 (
+	.LO(la_data_out[40]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell113 (
+	.LO(la_data_out[41]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell114 (
+	.LO(la_data_out[42]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell115 (
+	.LO(la_data_out[43]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell116 (
+	.LO(la_data_out[44]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell117 (
+	.LO(la_data_out[45]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell118 (
+	.LO(la_data_out[46]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell119 (
+	.LO(la_data_out[47]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell120 (
+	.LO(la_data_out[48]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell121 (
+	.LO(la_data_out[49]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell122 (
+	.LO(la_data_out[50]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell123 (
+	.LO(la_data_out[51]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell124 (
+	.LO(la_data_out[52]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell125 (
+	.LO(la_data_out[53]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell126 (
+	.LO(la_data_out[54]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell127 (
+	.LO(la_data_out[55]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell128 (
+	.LO(la_data_out[56]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell129 (
+	.LO(la_data_out[57]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell130 (
+	.LO(la_data_out[58]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell131 (
+	.LO(la_data_out[59]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell132 (
+	.LO(la_data_out[60]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell133 (
+	.LO(la_data_out[61]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell134 (
+	.LO(la_data_out[62]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell135 (
+	.LO(la_data_out[63]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell136 (
+	.LO(la_data_out[64]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell137 (
+	.LO(la_data_out[65]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell138 (
+	.LO(la_data_out[66]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell139 (
+	.LO(la_data_out[67]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell140 (
+	.LO(la_data_out[68]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell141 (
+	.LO(la_data_out[69]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell142 (
+	.LO(la_data_out[70]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell143 (
+	.LO(la_data_out[71]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell144 (
+	.LO(la_data_out[72]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell145 (
+	.LO(la_data_out[73]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell146 (
+	.LO(la_data_out[74]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell147 (
+	.LO(la_data_out[75]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell148 (
+	.LO(la_data_out[76]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell149 (
+	.LO(la_data_out[77]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell150 (
+	.LO(la_data_out[78]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell151 (
+	.LO(la_data_out[79]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell152 (
+	.LO(la_data_out[80]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell153 (
+	.LO(la_data_out[81]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell154 (
+	.LO(la_data_out[82]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell155 (
+	.LO(la_data_out[83]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell156 (
+	.LO(la_data_out[84]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell157 (
+	.LO(la_data_out[85]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell158 (
+	.LO(la_data_out[86]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell159 (
+	.LO(la_data_out[87]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell160 (
+	.LO(la_data_out[88]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell161 (
+	.LO(la_data_out[89]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell162 (
+	.LO(la_data_out[90]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell163 (
+	.LO(la_data_out[91]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell164 (
+	.LO(la_data_out[92]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell165 (
+	.LO(la_data_out[93]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell166 (
+	.LO(la_data_out[94]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell167 (
+	.LO(la_data_out[95]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell168 (
+	.LO(la_data_out[96]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell169 (
+	.LO(la_data_out[97]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell170 (
+	.LO(la_data_out[98]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell171 (
+	.LO(la_data_out[99]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell172 (
+	.LO(wbs_ack_o), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell173 (
+	.LO(wbs_dat_o[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell174 (
+	.LO(wbs_dat_o[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell175 (
+	.LO(wbs_dat_o[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell176 (
+	.LO(wbs_dat_o[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell177 (
+	.LO(wbs_dat_o[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell178 (
+	.LO(wbs_dat_o[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell179 (
+	.LO(wbs_dat_o[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell180 (
+	.LO(wbs_dat_o[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell181 (
+	.LO(wbs_dat_o[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell182 (
+	.LO(wbs_dat_o[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell183 (
+	.LO(wbs_dat_o[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell184 (
+	.LO(wbs_dat_o[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell185 (
+	.LO(wbs_dat_o[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell186 (
+	.LO(wbs_dat_o[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell187 (
+	.LO(wbs_dat_o[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell188 (
+	.LO(wbs_dat_o[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell189 (
+	.LO(wbs_dat_o[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell190 (
+	.LO(wbs_dat_o[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell191 (
+	.LO(wbs_dat_o[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell192 (
+	.LO(wbs_dat_o[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell193 (
+	.LO(wbs_dat_o[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell194 (
+	.LO(wbs_dat_o[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell195 (
+	.LO(wbs_dat_o[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell196 (
+	.LO(wbs_dat_o[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell197 (
+	.LO(wbs_dat_o[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell198 (
+	.LO(wbs_dat_o[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell199 (
+	.LO(wbs_dat_o[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell200 (
+	.LO(wbs_dat_o[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell201 (
+	.LO(wbs_dat_o[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell202 (
+	.LO(wbs_dat_o[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell203 (
+	.LO(wbs_dat_o[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell204 (
+	.LO(wbs_dat_o[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 fopt894108 (
+	.A(rst),
+	.Y(io_oeb[37]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 fopt894109 (
+	.A(rst),
+	.Y(io_oeb[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 fopt5 (
+	.A(rst),
+	.Y(io_oeb[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_8 fopt (
+	.A(la_oenb[64]),
+	.Y(n_44446), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 brqrv_top_brqrv_active_cg1_clkhdr (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_active_cg1_logic_1_1_net),
+	.GCLK(brqrv_top_brqrv_active_clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 brqrv_top_brqrv_active_cg1_tie_1_cell (
+	.HI(brqrv_top_brqrv_active_cg1_logic_1_1_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 brqrv_top_brqrv_active_cg2_clkhdr (
+	.CLK(clk),
+	.GATE(brqrv_top_brqrv_active_state),
+	.GCLK(brqrv_top_active_l2clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 brqrv_top_brqrv_dbg_dbg_abstractauto_reg_g56__2398 (
+	.A0(brqrv_top_brqrv_dbg_abstractauto_reg[1]),
+	.A1(brqrv_top_dmi_reg_wdata[1]),
+	.S(brqrv_top_brqrv_dbg_abstractauto_reg_wren),
+	.X(brqrv_top_brqrv_dbg_dbg_abstractauto_reg_n_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 brqrv_top_brqrv_dbg_dbg_abstractauto_reg_g57__5107 (
+	.A0(brqrv_top_brqrv_dbg_abstractauto_reg[0]),
+	.A1(brqrv_top_dmi_reg_wdata[0]),
+	.S(brqrv_top_brqrv_dbg_abstractauto_reg_wren),
+	.X(brqrv_top_brqrv_dbg_dbg_abstractauto_reg_n_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_abstractauto_reg_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_free_clk),
+	.D(brqrv_top_brqrv_dbg_dbg_abstractauto_reg_n_3),
+	.Q(brqrv_top_brqrv_dbg_abstractauto_reg[0]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_abstractauto_reg_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_free_clk),
+	.D(brqrv_top_brqrv_dbg_dbg_abstractauto_reg_n_2),
+	.Q(brqrv_top_brqrv_dbg_abstractauto_reg[1]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dbg_dbg_data0_reg_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_brqrv_n_7),
+	.GATE(brqrv_top_brqrv_dbg_data0_reg_wren),
+	.GCLK(brqrv_top_brqrv_dbg_dbg_data0_reg_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_data0_reg_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_data0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_data0_din[12]),
+	.Q(brqrv_top_brqrv_dbg_cmd_wrdata[12]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_data0_reg_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_data0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_data0_din[1]),
+	.Q(brqrv_top_brqrv_dbg_cmd_wrdata[1]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_data0_reg_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_data0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_data0_din[2]),
+	.Q(brqrv_top_brqrv_dbg_cmd_wrdata[2]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_data0_reg_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_data0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_data0_din[3]),
+	.Q(brqrv_top_brqrv_dbg_cmd_wrdata[3]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_data0_reg_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_data0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_data0_din[4]),
+	.Q(brqrv_top_brqrv_dbg_cmd_wrdata[4]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_data0_reg_genblock.dff_dout_reg[27]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_data0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_data0_din[27]),
+	.Q(brqrv_top_brqrv_dbg_cmd_wrdata[27]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_data0_reg_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_data0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_data0_din[5]),
+	.Q(brqrv_top_brqrv_dbg_cmd_wrdata[5]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_data0_reg_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_data0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_data0_din[6]),
+	.Q(brqrv_top_brqrv_dbg_cmd_wrdata[6]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_data0_reg_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_data0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_data0_din[7]),
+	.Q(brqrv_top_brqrv_dbg_cmd_wrdata[7]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_data0_reg_genblock.dff_dout_reg[23]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_data0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_data0_din[23]),
+	.Q(brqrv_top_brqrv_dbg_cmd_wrdata[23]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_data0_reg_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_data0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_data0_din[8]),
+	.Q(brqrv_top_brqrv_dbg_cmd_wrdata[8]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_data0_reg_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_data0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_data0_din[9]),
+	.Q(brqrv_top_brqrv_dbg_cmd_wrdata[9]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_data0_reg_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_data0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_data0_din[10]),
+	.Q(brqrv_top_brqrv_dbg_cmd_wrdata[10]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_data0_reg_genblock.dff_dout_reg[19]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_data0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_data0_din[19]),
+	.Q(brqrv_top_brqrv_dbg_cmd_wrdata[19]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_data0_reg_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_data0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_data0_din[11]),
+	.Q(brqrv_top_brqrv_dbg_cmd_wrdata[11]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_data0_reg_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_data0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_data0_din[0]),
+	.Q(brqrv_top_brqrv_dbg_cmd_wrdata[0]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_data0_reg_genblock.dff_dout_reg[31]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_data0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_data0_din[31]),
+	.Q(brqrv_top_brqrv_dbg_cmd_wrdata[31]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_data0_reg_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_data0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_data0_din[14]),
+	.Q(brqrv_top_brqrv_dbg_cmd_wrdata[14]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_data0_reg_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_data0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_data0_din[15]),
+	.Q(brqrv_top_brqrv_dbg_cmd_wrdata[15]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_data0_reg_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_data0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_data0_din[16]),
+	.Q(brqrv_top_brqrv_dbg_cmd_wrdata[16]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_data0_reg_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_data0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_data0_din[17]),
+	.Q(brqrv_top_brqrv_dbg_cmd_wrdata[17]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_data0_reg_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_data0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_data0_din[18]),
+	.Q(brqrv_top_brqrv_dbg_cmd_wrdata[18]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_data0_reg_genblock.dff_dout_reg[20]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_data0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_data0_din[20]),
+	.Q(brqrv_top_brqrv_dbg_cmd_wrdata[20]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_data0_reg_genblock.dff_dout_reg[21]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_data0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_data0_din[21]),
+	.Q(brqrv_top_brqrv_dbg_cmd_wrdata[21]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_data0_reg_genblock.dff_dout_reg[22]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_data0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_data0_din[22]),
+	.Q(brqrv_top_brqrv_dbg_cmd_wrdata[22]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_data0_reg_genblock.dff_dout_reg[24]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_data0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_data0_din[24]),
+	.Q(brqrv_top_brqrv_dbg_cmd_wrdata[24]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_data0_reg_genblock.dff_dout_reg[25]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_data0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_data0_din[25]),
+	.Q(brqrv_top_brqrv_dbg_cmd_wrdata[25]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_data0_reg_genblock.dff_dout_reg[26]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_data0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_data0_din[26]),
+	.Q(brqrv_top_brqrv_dbg_cmd_wrdata[26]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_data0_reg_genblock.dff_dout_reg[28]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_data0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_data0_din[28]),
+	.Q(brqrv_top_brqrv_dbg_cmd_wrdata[28]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_data0_reg_genblock.dff_dout_reg[29]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_data0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_data0_din[29]),
+	.Q(brqrv_top_brqrv_dbg_cmd_wrdata[29]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_data0_reg_genblock.dff_dout_reg[30]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_data0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_data0_din[30]),
+	.Q(brqrv_top_brqrv_dbg_cmd_wrdata[30]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_data0_reg_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_data0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_data0_din[13]),
+	.Q(brqrv_top_brqrv_dbg_cmd_wrdata[13]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dbg_dbg_data1_reg_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_brqrv_n_7),
+	.GATE(brqrv_top_brqrv_dbg_data1_reg_wren),
+	.GCLK(brqrv_top_brqrv_dbg_dbg_data1_reg_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_data1_reg_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_data1_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_data1_din[12]),
+	.Q(brqrv_top_brqrv_dbg_data1_reg[12]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_data1_reg_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_data1_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_data1_din[1]),
+	.Q(brqrv_top_brqrv_dbg_data1_reg[1]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_data1_reg_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_data1_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_data1_din[2]),
+	.Q(brqrv_top_brqrv_dbg_data1_reg[2]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_data1_reg_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_data1_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_data1_din[3]),
+	.Q(brqrv_top_brqrv_dbg_data1_reg[3]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_data1_reg_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_data1_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_data1_din[4]),
+	.Q(brqrv_top_brqrv_dbg_data1_reg[4]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_data1_reg_genblock.dff_dout_reg[27]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_data1_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_data1_din[27]),
+	.Q(brqrv_top_brqrv_dbg_data1_reg[27]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_data1_reg_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_data1_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_data1_din[5]),
+	.Q(brqrv_top_brqrv_dbg_data1_reg[5]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_data1_reg_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_data1_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_data1_din[6]),
+	.Q(brqrv_top_brqrv_dbg_data1_reg[6]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_data1_reg_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_data1_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_data1_din[7]),
+	.Q(brqrv_top_brqrv_dbg_data1_reg[7]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_data1_reg_genblock.dff_dout_reg[23]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_data1_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_data1_din[23]),
+	.Q(brqrv_top_brqrv_dbg_data1_reg[23]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_data1_reg_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_data1_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_data1_din[8]),
+	.Q(brqrv_top_brqrv_dbg_data1_reg[8]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_data1_reg_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_data1_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_data1_din[9]),
+	.Q(brqrv_top_brqrv_dbg_data1_reg[9]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_data1_reg_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_data1_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_data1_din[10]),
+	.Q(brqrv_top_brqrv_dbg_data1_reg[10]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_data1_reg_genblock.dff_dout_reg[19]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_data1_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_data1_din[19]),
+	.Q(brqrv_top_brqrv_dbg_data1_reg[19]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_data1_reg_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_data1_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_data1_din[11]),
+	.Q(brqrv_top_brqrv_dbg_data1_reg[11]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_data1_reg_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_data1_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_data1_din[0]),
+	.Q(brqrv_top_brqrv_dbg_data1_reg[0]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_data1_reg_genblock.dff_dout_reg[31]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_data1_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_data1_din[31]),
+	.Q(brqrv_top_brqrv_dbg_data1_reg[31]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_data1_reg_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_data1_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_data1_din[14]),
+	.Q(brqrv_top_brqrv_dbg_data1_reg[14]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_data1_reg_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_data1_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_data1_din[15]),
+	.Q(brqrv_top_brqrv_dbg_data1_reg[15]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_data1_reg_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_data1_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_data1_din[16]),
+	.Q(brqrv_top_brqrv_dbg_data1_reg[16]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_data1_reg_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_data1_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_data1_din[17]),
+	.Q(brqrv_top_brqrv_dbg_data1_reg[17]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_data1_reg_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_data1_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_data1_din[18]),
+	.Q(brqrv_top_brqrv_dbg_data1_reg[18]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_data1_reg_genblock.dff_dout_reg[20]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_data1_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_data1_din[20]),
+	.Q(brqrv_top_brqrv_dbg_data1_reg[20]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_data1_reg_genblock.dff_dout_reg[21]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_data1_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_data1_din[21]),
+	.Q(brqrv_top_brqrv_dbg_data1_reg[21]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_data1_reg_genblock.dff_dout_reg[22]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_data1_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_data1_din[22]),
+	.Q(brqrv_top_brqrv_dbg_data1_reg[22]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_data1_reg_genblock.dff_dout_reg[24]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_data1_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_data1_din[24]),
+	.Q(brqrv_top_brqrv_dbg_data1_reg[24]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_data1_reg_genblock.dff_dout_reg[25]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_data1_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_data1_din[25]),
+	.Q(brqrv_top_brqrv_dbg_data1_reg[25]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_data1_reg_genblock.dff_dout_reg[26]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_data1_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_data1_din[26]),
+	.Q(brqrv_top_brqrv_dbg_data1_reg[26]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_data1_reg_genblock.dff_dout_reg[28]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_data1_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_data1_din[28]),
+	.Q(brqrv_top_brqrv_dbg_data1_reg[28]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_data1_reg_genblock.dff_dout_reg[29]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_data1_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_data1_din[29]),
+	.Q(brqrv_top_brqrv_dbg_data1_reg[29]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_data1_reg_genblock.dff_dout_reg[30]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_data1_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_data1_din[30]),
+	.Q(brqrv_top_brqrv_dbg_data1_reg[30]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_data1_reg_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_data1_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_data1_din[13]),
+	.Q(brqrv_top_brqrv_dbg_data1_reg[13]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 brqrv_top_brqrv_dbg_dbg_free_cgc_clkhdr (
+	.CLK(brqrv_top_brqrv_n_7),
+	.GATE(brqrv_top_brqrv_dbg_dbg_free_clken),
+	.GCLK(brqrv_top_brqrv_dbg_dbg_free_clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dbg_dbg_sbaddress0_reg_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_brqrv_n_7),
+	.GATE(brqrv_top_brqrv_dbg_sbaddress0_reg_wren),
+	.GCLK(brqrv_top_brqrv_dbg_dbg_sbaddress0_reg_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbaddress0_reg_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbaddress0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbaddress0_reg_din[12]),
+	.Q(brqrv_top_brqrv_dbg_sbaddress0_reg[12]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbaddress0_reg_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbaddress0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbaddress0_reg_din[1]),
+	.Q(brqrv_top_brqrv_dbg_sbaddress0_reg[1]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbaddress0_reg_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbaddress0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbaddress0_reg_din[2]),
+	.Q(brqrv_top_brqrv_dbg_sbaddress0_reg[2]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbaddress0_reg_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbaddress0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbaddress0_reg_din[3]),
+	.Q(brqrv_top_brqrv_dbg_sbaddress0_reg[3]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbaddress0_reg_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbaddress0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbaddress0_reg_din[4]),
+	.Q(brqrv_top_brqrv_dbg_sbaddress0_reg[4]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbaddress0_reg_genblock.dff_dout_reg[27]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbaddress0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbaddress0_reg_din[27]),
+	.Q(brqrv_top_brqrv_dbg_sbaddress0_reg[27]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbaddress0_reg_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbaddress0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbaddress0_reg_din[5]),
+	.Q(brqrv_top_brqrv_dbg_sbaddress0_reg[5]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbaddress0_reg_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbaddress0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbaddress0_reg_din[6]),
+	.Q(brqrv_top_brqrv_dbg_sbaddress0_reg[6]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbaddress0_reg_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbaddress0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbaddress0_reg_din[7]),
+	.Q(brqrv_top_brqrv_dbg_sbaddress0_reg[7]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbaddress0_reg_genblock.dff_dout_reg[23]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbaddress0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbaddress0_reg_din[23]),
+	.Q(brqrv_top_brqrv_dbg_sbaddress0_reg[23]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbaddress0_reg_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbaddress0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbaddress0_reg_din[8]),
+	.Q(brqrv_top_brqrv_dbg_sbaddress0_reg[8]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbaddress0_reg_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbaddress0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbaddress0_reg_din[9]),
+	.Q(brqrv_top_brqrv_dbg_sbaddress0_reg[9]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbaddress0_reg_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbaddress0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbaddress0_reg_din[10]),
+	.Q(brqrv_top_brqrv_dbg_sbaddress0_reg[10]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbaddress0_reg_genblock.dff_dout_reg[19]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbaddress0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbaddress0_reg_din[19]),
+	.Q(brqrv_top_brqrv_dbg_sbaddress0_reg[19]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbaddress0_reg_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbaddress0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbaddress0_reg_din[11]),
+	.Q(brqrv_top_brqrv_dbg_sbaddress0_reg[11]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbaddress0_reg_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbaddress0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbaddress0_reg_din[0]),
+	.Q(brqrv_top_brqrv_dbg_sbaddress0_reg[0]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbaddress0_reg_genblock.dff_dout_reg[31]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbaddress0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbaddress0_reg_din[31]),
+	.Q(brqrv_top_brqrv_dbg_sbaddress0_reg[31]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbaddress0_reg_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbaddress0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbaddress0_reg_din[14]),
+	.Q(brqrv_top_brqrv_dbg_sbaddress0_reg[14]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbaddress0_reg_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbaddress0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbaddress0_reg_din[15]),
+	.Q(brqrv_top_brqrv_dbg_sbaddress0_reg[15]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbaddress0_reg_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbaddress0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbaddress0_reg_din[16]),
+	.Q(brqrv_top_brqrv_dbg_sbaddress0_reg[16]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbaddress0_reg_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbaddress0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbaddress0_reg_din[17]),
+	.Q(brqrv_top_brqrv_dbg_sbaddress0_reg[17]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbaddress0_reg_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbaddress0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbaddress0_reg_din[18]),
+	.Q(brqrv_top_brqrv_dbg_sbaddress0_reg[18]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbaddress0_reg_genblock.dff_dout_reg[20]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbaddress0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbaddress0_reg_din[20]),
+	.Q(brqrv_top_brqrv_dbg_sbaddress0_reg[20]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbaddress0_reg_genblock.dff_dout_reg[21]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbaddress0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbaddress0_reg_din[21]),
+	.Q(brqrv_top_brqrv_dbg_sbaddress0_reg[21]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbaddress0_reg_genblock.dff_dout_reg[22]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbaddress0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbaddress0_reg_din[22]),
+	.Q(brqrv_top_brqrv_dbg_sbaddress0_reg[22]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbaddress0_reg_genblock.dff_dout_reg[24]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbaddress0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbaddress0_reg_din[24]),
+	.Q(brqrv_top_brqrv_dbg_sbaddress0_reg[24]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbaddress0_reg_genblock.dff_dout_reg[25]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbaddress0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbaddress0_reg_din[25]),
+	.Q(brqrv_top_brqrv_dbg_sbaddress0_reg[25]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbaddress0_reg_genblock.dff_dout_reg[26]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbaddress0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbaddress0_reg_din[26]),
+	.Q(brqrv_top_brqrv_dbg_sbaddress0_reg[26]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbaddress0_reg_genblock.dff_dout_reg[28]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbaddress0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbaddress0_reg_din[28]),
+	.Q(brqrv_top_brqrv_dbg_sbaddress0_reg[28]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbaddress0_reg_genblock.dff_dout_reg[29]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbaddress0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbaddress0_reg_din[29]),
+	.Q(brqrv_top_brqrv_dbg_sbaddress0_reg[29]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbaddress0_reg_genblock.dff_dout_reg[30]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbaddress0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbaddress0_reg_din[30]),
+	.Q(brqrv_top_brqrv_dbg_sbaddress0_reg[30]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbaddress0_reg_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbaddress0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbaddress0_reg_din[13]),
+	.Q(brqrv_top_brqrv_dbg_sbaddress0_reg[13]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dbg_dbg_sbdata0_reg_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_brqrv_n_7),
+	.GATE(brqrv_top_brqrv_dbg_sbdata0_reg_wren),
+	.GCLK(brqrv_top_brqrv_dbg_dbg_sbdata0_reg_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbdata0_reg_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbdata0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbdata0_din[10]),
+	.Q(brqrv_top_brqrv_dbg_sbdata0_reg[10]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbdata0_reg_genblock.dff_dout_reg[30]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbdata0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbdata0_din[30]),
+	.Q(brqrv_top_brqrv_dbg_sbdata0_reg[30]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbdata0_reg_genblock.dff_dout_reg[28]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbdata0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbdata0_din[28]),
+	.Q(brqrv_top_brqrv_dbg_sbdata0_reg[28]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbdata0_reg_genblock.dff_dout_reg[24]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbdata0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbdata0_din[24]),
+	.Q(brqrv_top_brqrv_dbg_sbdata0_reg[24]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbdata0_reg_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbdata0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbdata0_din[16]),
+	.Q(brqrv_top_brqrv_dbg_sbdata0_reg[16]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbdata0_reg_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbdata0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbdata0_din[0]),
+	.Q(brqrv_top_brqrv_dbg_sbdata0_reg[0]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbdata0_reg_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbdata0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbdata0_din[15]),
+	.Q(brqrv_top_brqrv_dbg_sbdata0_reg[15]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbdata0_reg_genblock.dff_dout_reg[23]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbdata0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbdata0_din[23]),
+	.Q(brqrv_top_brqrv_dbg_sbdata0_reg[23]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbdata0_reg_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbdata0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbdata0_din[14]),
+	.Q(brqrv_top_brqrv_dbg_sbdata0_reg[14]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbdata0_reg_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbdata0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbdata0_din[13]),
+	.Q(brqrv_top_brqrv_dbg_sbdata0_reg[13]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbdata0_reg_genblock.dff_dout_reg[27]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbdata0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbdata0_din[27]),
+	.Q(brqrv_top_brqrv_dbg_sbdata0_reg[27]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbdata0_reg_genblock.dff_dout_reg[22]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbdata0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbdata0_din[22]),
+	.Q(brqrv_top_brqrv_dbg_sbdata0_reg[22]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbdata0_reg_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbdata0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbdata0_din[12]),
+	.Q(brqrv_top_brqrv_dbg_sbdata0_reg[12]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbdata0_reg_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbdata0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbdata0_din[11]),
+	.Q(brqrv_top_brqrv_dbg_sbdata0_reg[11]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbdata0_reg_genblock.dff_dout_reg[21]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbdata0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbdata0_din[21]),
+	.Q(brqrv_top_brqrv_dbg_sbdata0_reg[21]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbdata0_reg_genblock.dff_dout_reg[31]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbdata0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbdata0_din[31]),
+	.Q(brqrv_top_brqrv_dbg_sbdata0_reg[31]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbdata0_reg_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbdata0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbdata0_din[1]),
+	.Q(brqrv_top_brqrv_dbg_sbdata0_reg[1]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbdata0_reg_genblock.dff_dout_reg[29]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbdata0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbdata0_din[29]),
+	.Q(brqrv_top_brqrv_dbg_sbdata0_reg[29]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbdata0_reg_genblock.dff_dout_reg[26]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbdata0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbdata0_din[26]),
+	.Q(brqrv_top_brqrv_dbg_sbdata0_reg[26]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbdata0_reg_genblock.dff_dout_reg[20]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbdata0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbdata0_din[20]),
+	.Q(brqrv_top_brqrv_dbg_sbdata0_reg[20]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbdata0_reg_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbdata0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbdata0_din[8]),
+	.Q(brqrv_top_brqrv_dbg_sbdata0_reg[8]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbdata0_reg_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbdata0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbdata0_din[7]),
+	.Q(brqrv_top_brqrv_dbg_sbdata0_reg[7]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbdata0_reg_genblock.dff_dout_reg[19]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbdata0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbdata0_din[19]),
+	.Q(brqrv_top_brqrv_dbg_sbdata0_reg[19]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbdata0_reg_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbdata0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbdata0_din[6]),
+	.Q(brqrv_top_brqrv_dbg_sbdata0_reg[6]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbdata0_reg_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbdata0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbdata0_din[5]),
+	.Q(brqrv_top_brqrv_dbg_sbdata0_reg[5]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbdata0_reg_genblock.dff_dout_reg[25]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbdata0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbdata0_din[25]),
+	.Q(brqrv_top_brqrv_dbg_sbdata0_reg[25]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbdata0_reg_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbdata0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbdata0_din[18]),
+	.Q(brqrv_top_brqrv_dbg_sbdata0_reg[18]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbdata0_reg_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbdata0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbdata0_din[4]),
+	.Q(brqrv_top_brqrv_dbg_sbdata0_reg[4]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbdata0_reg_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbdata0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbdata0_din[3]),
+	.Q(brqrv_top_brqrv_dbg_sbdata0_reg[3]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbdata0_reg_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbdata0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbdata0_din[17]),
+	.Q(brqrv_top_brqrv_dbg_sbdata0_reg[17]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbdata0_reg_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbdata0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbdata0_din[2]),
+	.Q(brqrv_top_brqrv_dbg_sbdata0_reg[2]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbdata0_reg_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbdata0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbdata0_din[9]),
+	.Q(brqrv_top_brqrv_dbg_sbdata0_reg[9]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dbg_dbg_sbdata1_reg_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_brqrv_n_7),
+	.GATE(n_36614),
+	.GCLK(brqrv_top_brqrv_dbg_dbg_sbdata1_reg_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbdata1_reg_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbdata1_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbdata1_din[10]),
+	.Q(brqrv_top_brqrv_dbg_sbdata1_reg[10]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbdata1_reg_genblock.dff_dout_reg[30]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbdata1_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbdata1_din[30]),
+	.Q(brqrv_top_brqrv_dbg_sbdata1_reg[30]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbdata1_reg_genblock.dff_dout_reg[28]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbdata1_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbdata1_din[28]),
+	.Q(brqrv_top_brqrv_dbg_sbdata1_reg[28]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbdata1_reg_genblock.dff_dout_reg[24]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbdata1_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbdata1_din[24]),
+	.Q(brqrv_top_brqrv_dbg_sbdata1_reg[24]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbdata1_reg_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbdata1_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbdata1_din[16]),
+	.Q(brqrv_top_brqrv_dbg_sbdata1_reg[16]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbdata1_reg_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbdata1_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbdata1_din[0]),
+	.Q(brqrv_top_brqrv_dbg_sbdata1_reg[0]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbdata1_reg_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbdata1_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbdata1_din[15]),
+	.Q(brqrv_top_brqrv_dbg_sbdata1_reg[15]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbdata1_reg_genblock.dff_dout_reg[23]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbdata1_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbdata1_din[23]),
+	.Q(brqrv_top_brqrv_dbg_sbdata1_reg[23]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbdata1_reg_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbdata1_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbdata1_din[14]),
+	.Q(brqrv_top_brqrv_dbg_sbdata1_reg[14]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbdata1_reg_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbdata1_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbdata1_din[13]),
+	.Q(brqrv_top_brqrv_dbg_sbdata1_reg[13]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbdata1_reg_genblock.dff_dout_reg[27]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbdata1_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbdata1_din[27]),
+	.Q(brqrv_top_brqrv_dbg_sbdata1_reg[27]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbdata1_reg_genblock.dff_dout_reg[22]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbdata1_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbdata1_din[22]),
+	.Q(brqrv_top_brqrv_dbg_sbdata1_reg[22]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbdata1_reg_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbdata1_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbdata1_din[12]),
+	.Q(brqrv_top_brqrv_dbg_sbdata1_reg[12]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbdata1_reg_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbdata1_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbdata1_din[11]),
+	.Q(brqrv_top_brqrv_dbg_sbdata1_reg[11]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbdata1_reg_genblock.dff_dout_reg[21]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbdata1_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbdata1_din[21]),
+	.Q(brqrv_top_brqrv_dbg_sbdata1_reg[21]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbdata1_reg_genblock.dff_dout_reg[31]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbdata1_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbdata1_din[31]),
+	.Q(brqrv_top_brqrv_dbg_sbdata1_reg[31]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbdata1_reg_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbdata1_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbdata1_din[1]),
+	.Q(brqrv_top_brqrv_dbg_sbdata1_reg[1]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbdata1_reg_genblock.dff_dout_reg[29]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbdata1_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbdata1_din[29]),
+	.Q(brqrv_top_brqrv_dbg_sbdata1_reg[29]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbdata1_reg_genblock.dff_dout_reg[26]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbdata1_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbdata1_din[26]),
+	.Q(brqrv_top_brqrv_dbg_sbdata1_reg[26]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbdata1_reg_genblock.dff_dout_reg[20]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbdata1_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbdata1_din[20]),
+	.Q(brqrv_top_brqrv_dbg_sbdata1_reg[20]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbdata1_reg_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbdata1_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbdata1_din[8]),
+	.Q(brqrv_top_brqrv_dbg_sbdata1_reg[8]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbdata1_reg_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbdata1_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbdata1_din[7]),
+	.Q(brqrv_top_brqrv_dbg_sbdata1_reg[7]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbdata1_reg_genblock.dff_dout_reg[19]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbdata1_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbdata1_din[19]),
+	.Q(brqrv_top_brqrv_dbg_sbdata1_reg[19]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbdata1_reg_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbdata1_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbdata1_din[6]),
+	.Q(brqrv_top_brqrv_dbg_sbdata1_reg[6]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbdata1_reg_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbdata1_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbdata1_din[5]),
+	.Q(brqrv_top_brqrv_dbg_sbdata1_reg[5]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbdata1_reg_genblock.dff_dout_reg[25]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbdata1_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbdata1_din[25]),
+	.Q(brqrv_top_brqrv_dbg_sbdata1_reg[25]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbdata1_reg_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbdata1_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbdata1_din[18]),
+	.Q(brqrv_top_brqrv_dbg_sbdata1_reg[18]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbdata1_reg_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbdata1_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbdata1_din[4]),
+	.Q(brqrv_top_brqrv_dbg_sbdata1_reg[4]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbdata1_reg_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbdata1_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbdata1_din[3]),
+	.Q(brqrv_top_brqrv_dbg_sbdata1_reg[3]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbdata1_reg_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbdata1_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbdata1_din[17]),
+	.Q(brqrv_top_brqrv_dbg_sbdata1_reg[17]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbdata1_reg_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbdata1_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbdata1_din[2]),
+	.Q(brqrv_top_brqrv_dbg_sbdata1_reg[2]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbdata1_reg_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbdata1_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbdata1_din[9]),
+	.Q(brqrv_top_brqrv_dbg_sbdata1_reg[9]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_state_reg_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_free_clk),
+	.D(brqrv_top_brqrv_dbg_dbg_state_reg_n_10),
+	.Q(brqrv_top_brqrv_dbg_dbg_state[0]),
+	.RESET_B(brqrv_top_brqrv_dbg_n_1043), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_state_reg_genblock.dffs_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_free_clk),
+	.D(brqrv_top_brqrv_dbg_dbg_state_reg_n_13),
+	.Q(brqrv_top_brqrv_dbg_dbg_state[3]),
+	.RESET_B(brqrv_top_brqrv_dbg_n_1043), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_state_reg_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_free_clk),
+	.D(brqrv_top_brqrv_dbg_dbg_state_reg_n_11),
+	.Q(brqrv_top_brqrv_dbg_dbg_state[1]),
+	.RESET_B(brqrv_top_brqrv_dbg_n_1043), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_state_reg_genblock.dffs_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_free_clk),
+	.D(brqrv_top_brqrv_dbg_dbg_state_reg_n_12),
+	.Q(brqrv_top_brqrv_dbg_dbg_state[2]),
+	.RESET_B(brqrv_top_brqrv_dbg_n_1043), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dmabstractcs_busy_reg_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_free_clk),
+	.D(brqrv_top_brqrv_dbg_dmabstractcs_busy_reg_n_4),
+	.Q(brqrv_top_brqrv_dbg_abstractcs_reg[12]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dmabstractcs_error_reg_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_free_clk),
+	.D(brqrv_top_brqrv_dbg_n_1032),
+	.Q(brqrv_top_brqrv_dbg_abstractcs_reg[8]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dmabstractcs_error_reg_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_free_clk),
+	.D(brqrv_top_brqrv_dbg_n_1033),
+	.Q(brqrv_top_brqrv_dbg_abstractcs_reg[9]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dmabstractcs_error_reg_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_free_clk),
+	.D(brqrv_top_brqrv_dbg_n_1034),
+	.Q(brqrv_top_brqrv_dbg_abstractcs_reg[10]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dbg_dmcommand_reg_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_brqrv_n_7),
+	.GATE(brqrv_top_brqrv_dbg_command_wren),
+	.GCLK(brqrv_top_brqrv_dbg_dmcommand_reg_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dmcommand_reg_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_dbg_dmcommand_reg_l1clk),
+	.D(brqrv_top_dmi_reg_wdata[26]),
+	.Q(brqrv_top_brqrv_dbg_command_reg[26]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dmcommand_reg_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_dbg_dmcommand_reg_l1clk),
+	.D(brqrv_top_dmi_reg_wdata[25]),
+	.Q(brqrv_top_brqrv_dbg_command_reg[25]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dmcommand_reg_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dbg_dmcommand_reg_l1clk),
+	.D(brqrv_top_dmi_reg_wdata[16]),
+	.Q(brqrv_top_brqrv_dbg_cmd_write),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dmcommand_reg_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_dbg_dmcommand_reg_l1clk),
+	.D(brqrv_top_dmi_reg_wdata[24]),
+	.Q(brqrv_top_brqrv_dbg_command_reg[24]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dmcommand_reg_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dbg_dmcommand_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_command_transfer_din),
+	.Q(brqrv_top_brqrv_dbg_command_reg[17]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dmcommand_reg_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dbg_dmcommand_reg_l1clk),
+	.D(brqrv_top_dmi_reg_wdata[20]),
+	.Q(brqrv_top_brqrv_dbg_cmd_size[0]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dmcommand_reg_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dbg_dmcommand_reg_l1clk),
+	.D(brqrv_top_dmi_reg_wdata[19]),
+	.Q(brqrv_top_brqrv_dbg_command_reg[19]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dmcommand_reg_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dbg_dmcommand_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_command_postexec_din),
+	.Q(brqrv_top_brqrv_dbg_command_reg[18]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dmcommand_reg_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dbg_dmcommand_reg_l1clk),
+	.D(brqrv_top_dmi_reg_wdata[21]),
+	.Q(brqrv_top_brqrv_dbg_cmd_size[1]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dmcommand_reg_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dbg_dmcommand_reg_l1clk),
+	.D(brqrv_top_dmi_reg_wdata[22]),
+	.Q(brqrv_top_brqrv_dbg_command_reg[22]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dmcommand_reg_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_dbg_dmcommand_reg_l1clk),
+	.D(brqrv_top_dmi_reg_wdata[27]),
+	.Q(brqrv_top_brqrv_dbg_command_reg[27]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dmcommand_reg_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_dbg_dmcommand_reg_l1clk),
+	.D(brqrv_top_dmi_reg_wdata[29]),
+	.Q(brqrv_top_brqrv_dbg_command_reg[29]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dmcommand_reg_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_dbg_dmcommand_reg_l1clk),
+	.D(brqrv_top_dmi_reg_wdata[30]),
+	.Q(brqrv_top_brqrv_dbg_command_reg[30]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dmcommand_reg_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_dbg_dmcommand_reg_l1clk),
+	.D(brqrv_top_dmi_reg_wdata[31]),
+	.Q(brqrv_top_brqrv_dbg_command_reg[31]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dmcommand_reg_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_dbg_dmcommand_reg_l1clk),
+	.D(brqrv_top_dmi_reg_wdata[28]),
+	.Q(brqrv_top_brqrv_dbg_command_reg[28]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dbg_dmcommand_regno_reg_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_brqrv_n_7),
+	.GATE(brqrv_top_brqrv_dbg_command_regno_wren),
+	.GCLK(brqrv_top_brqrv_dbg_dmcommand_regno_reg_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dmcommand_regno_reg_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dbg_dmcommand_regno_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_command_din[4]),
+	.Q(brqrv_top_brqrv_dbg_command_reg[4]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dmcommand_regno_reg_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dbg_dmcommand_regno_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_command_din[1]),
+	.Q(brqrv_top_brqrv_dbg_command_reg[1]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dmcommand_regno_reg_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dbg_dmcommand_regno_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_command_din[2]),
+	.Q(brqrv_top_brqrv_dbg_command_reg[2]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dmcommand_regno_reg_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dbg_dmcommand_regno_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_command_din[3]),
+	.Q(brqrv_top_brqrv_dbg_command_reg[3]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dmcommand_regno_reg_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dbg_dmcommand_regno_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_command_din[0]),
+	.Q(brqrv_top_brqrv_dbg_command_reg[0]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dmcommand_regno_reg_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_dbg_dmcommand_regno_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_command_din[11]),
+	.Q(brqrv_top_brqrv_dbg_command_reg[11]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dmcommand_regno_reg_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dbg_dmcommand_regno_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_command_din[5]),
+	.Q(brqrv_top_brqrv_dbg_command_reg[5]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dmcommand_regno_reg_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dbg_dmcommand_regno_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_command_din[6]),
+	.Q(brqrv_top_brqrv_dbg_command_reg[6]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dmcommand_regno_reg_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_dbg_dmcommand_regno_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_command_din[12]),
+	.Q(brqrv_top_brqrv_dbg_command_reg[12]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dmcommand_regno_reg_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_dbg_dmcommand_regno_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_command_din[8]),
+	.Q(brqrv_top_brqrv_dbg_command_reg[8]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dmcommand_regno_reg_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_dbg_dmcommand_regno_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_command_din[9]),
+	.Q(brqrv_top_brqrv_dbg_command_reg[9]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dmcommand_regno_reg_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_dbg_dmcommand_regno_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_command_din[10]),
+	.Q(brqrv_top_brqrv_dbg_command_reg[10]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dmcommand_regno_reg_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dbg_dmcommand_regno_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_command_din[7]),
+	.Q(brqrv_top_brqrv_dbg_command_reg[7]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dmcommand_regno_reg_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_dbg_dmcommand_regno_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_command_din[13]),
+	.Q(brqrv_top_brqrv_dbg_command_reg[13]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dmcommand_regno_reg_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_dbg_dmcommand_regno_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_command_din[14]),
+	.Q(brqrv_top_brqrv_dbg_command_reg[14]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dmcommand_regno_reg_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_dbg_dmcommand_regno_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_command_din[15]),
+	.Q(brqrv_top_brqrv_dbg_command_reg[15]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 brqrv_top_brqrv_dbg_dmcontrol_dmactive_ff_g30__6260 (
+	.A0(brqrv_top_brqrv_dbg_dmcontrol_reg[0]),
+	.A1(brqrv_top_dmi_reg_wdata[0]),
+	.S(brqrv_top_brqrv_dbg_dmcontrol_wren),
+	.X(brqrv_top_brqrv_dbg_dmcontrol_dmactive_ff_n_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dmcontrol_dmactive_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_free_clk),
+	.D(brqrv_top_brqrv_dbg_dmcontrol_dmactive_ff_n_2),
+	.Q(brqrv_top_brqrv_dbg_dmcontrol_reg[0]),
+	.RESET_B(n_13971), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dmcontrol_wrenff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_free_clk),
+	.D(brqrv_top_brqrv_dbg_dmcontrol_wren),
+	.Q(brqrv_top_brqrv_dbg_dmcontrol_wren_Q),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 brqrv_top_brqrv_dbg_dmcontrolff_g81__4319 (
+	.A1(n_34423),
+	.A2(brqrv_top_brqrv_dbg_dmcontrol_reg[31]),
+	.B1(brqrv_top_brqrv_dbg_dmcontrol_wren),
+	.B2(brqrv_top_dmi_reg_wdata[31]),
+	.X(brqrv_top_brqrv_dbg_dmcontrolff_n_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 brqrv_top_brqrv_dbg_dmcontrolff_g82__8428 (
+	.A1(n_34423),
+	.A2(brqrv_top_brqrv_dbg_dmcontrol_reg[30]),
+	.B1(brqrv_top_brqrv_dbg_dmcontrol_wren),
+	.B2(brqrv_top_dmi_reg_wdata[30]),
+	.X(brqrv_top_brqrv_dbg_dmcontrolff_n_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 brqrv_top_brqrv_dbg_dmcontrolff_g83__5526 (
+	.A1(n_34423),
+	.A2(brqrv_top_brqrv_dbg_dmcontrol_reg[1]),
+	.B1(brqrv_top_brqrv_dbg_dmcontrol_wren),
+	.B2(brqrv_top_dmi_reg_wdata[1]),
+	.X(brqrv_top_brqrv_dbg_dmcontrolff_n_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dmcontrolff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_free_clk),
+	.D(brqrv_top_brqrv_dbg_dmcontrolff_n_6),
+	.Q(brqrv_top_brqrv_dbg_dmcontrol_reg[1]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dmcontrolff_genblock.dffs_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_free_clk),
+	.D(brqrv_top_brqrv_dbg_dmcontrolff_n_5),
+	.Q(brqrv_top_brqrv_dbg_dmcontrol_reg[30]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dmcontrolff_genblock.dffs_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_free_clk),
+	.D(brqrv_top_brqrv_dbg_dmcontrolff_n_4),
+	.Q(brqrv_top_brqrv_dbg_dmcontrol_reg[31]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dbg_dmi_rddata_reg_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_brqrv_n_7),
+	.GATE(brqrv_top_dmi_reg_en),
+	.GCLK(brqrv_top_brqrv_dbg_dmi_rddata_reg_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dmi_rddata_reg_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_dbg_dmi_rddata_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_dmi_reg_rdata_din[10]),
+	.Q(brqrv_top_dmi_reg_rdata[10]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dmi_rddata_reg_genblock.dff_dout_reg[30]  (
+	.CLK(brqrv_top_brqrv_dbg_dmi_rddata_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_dmi_reg_rdata_din[30]),
+	.Q(brqrv_top_dmi_reg_rdata[30]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dmi_rddata_reg_genblock.dff_dout_reg[28]  (
+	.CLK(brqrv_top_brqrv_dbg_dmi_rddata_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_dmi_reg_rdata_din[28]),
+	.Q(brqrv_top_dmi_reg_rdata[28]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dmi_rddata_reg_genblock.dff_dout_reg[24]  (
+	.CLK(brqrv_top_brqrv_dbg_dmi_rddata_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_dmi_reg_rdata_din[24]),
+	.Q(brqrv_top_dmi_reg_rdata[24]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dmi_rddata_reg_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_dbg_dmi_rddata_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_dmi_reg_rdata_din[16]),
+	.Q(brqrv_top_dmi_reg_rdata[16]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dmi_rddata_reg_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dbg_dmi_rddata_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_dmi_reg_rdata_din[0]),
+	.Q(brqrv_top_dmi_reg_rdata[0]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dmi_rddata_reg_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_dbg_dmi_rddata_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_dmi_reg_rdata_din[15]),
+	.Q(brqrv_top_dmi_reg_rdata[15]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dmi_rddata_reg_genblock.dff_dout_reg[23]  (
+	.CLK(brqrv_top_brqrv_dbg_dmi_rddata_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_dmi_reg_rdata_din[23]),
+	.Q(brqrv_top_dmi_reg_rdata[23]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dmi_rddata_reg_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_dbg_dmi_rddata_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_dmi_reg_rdata_din[14]),
+	.Q(brqrv_top_dmi_reg_rdata[14]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dmi_rddata_reg_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_dbg_dmi_rddata_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_dmi_reg_rdata_din[13]),
+	.Q(brqrv_top_dmi_reg_rdata[13]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dmi_rddata_reg_genblock.dff_dout_reg[27]  (
+	.CLK(brqrv_top_brqrv_dbg_dmi_rddata_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_dmi_reg_rdata_din[27]),
+	.Q(brqrv_top_dmi_reg_rdata[27]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dmi_rddata_reg_genblock.dff_dout_reg[22]  (
+	.CLK(brqrv_top_brqrv_dbg_dmi_rddata_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_dmi_reg_rdata_din[22]),
+	.Q(brqrv_top_dmi_reg_rdata[22]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dmi_rddata_reg_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_dbg_dmi_rddata_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_dmi_reg_rdata_din[12]),
+	.Q(brqrv_top_dmi_reg_rdata[12]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dmi_rddata_reg_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_dbg_dmi_rddata_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_dmi_reg_rdata_din[11]),
+	.Q(brqrv_top_dmi_reg_rdata[11]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dmi_rddata_reg_genblock.dff_dout_reg[21]  (
+	.CLK(brqrv_top_brqrv_dbg_dmi_rddata_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_dmi_reg_rdata_din[21]),
+	.Q(brqrv_top_dmi_reg_rdata[21]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dmi_rddata_reg_genblock.dff_dout_reg[31]  (
+	.CLK(brqrv_top_brqrv_dbg_dmi_rddata_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_dmi_reg_rdata_din[31]),
+	.Q(brqrv_top_dmi_reg_rdata[31]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dmi_rddata_reg_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dbg_dmi_rddata_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_dmi_reg_rdata_din[1]),
+	.Q(brqrv_top_dmi_reg_rdata[1]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dmi_rddata_reg_genblock.dff_dout_reg[29]  (
+	.CLK(brqrv_top_brqrv_dbg_dmi_rddata_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_dmi_reg_rdata_din[29]),
+	.Q(brqrv_top_dmi_reg_rdata[29]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dmi_rddata_reg_genblock.dff_dout_reg[26]  (
+	.CLK(brqrv_top_brqrv_dbg_dmi_rddata_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_dmi_reg_rdata_din[26]),
+	.Q(brqrv_top_dmi_reg_rdata[26]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dmi_rddata_reg_genblock.dff_dout_reg[20]  (
+	.CLK(brqrv_top_brqrv_dbg_dmi_rddata_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_dmi_reg_rdata_din[20]),
+	.Q(brqrv_top_dmi_reg_rdata[20]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dmi_rddata_reg_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_dbg_dmi_rddata_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_dmi_reg_rdata_din[8]),
+	.Q(brqrv_top_dmi_reg_rdata[8]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dmi_rddata_reg_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dbg_dmi_rddata_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_dmi_reg_rdata_din[7]),
+	.Q(brqrv_top_dmi_reg_rdata[7]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dmi_rddata_reg_genblock.dff_dout_reg[19]  (
+	.CLK(brqrv_top_brqrv_dbg_dmi_rddata_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_dmi_reg_rdata_din[19]),
+	.Q(brqrv_top_dmi_reg_rdata[19]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dmi_rddata_reg_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dbg_dmi_rddata_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_dmi_reg_rdata_din[6]),
+	.Q(brqrv_top_dmi_reg_rdata[6]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dmi_rddata_reg_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dbg_dmi_rddata_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_dmi_reg_rdata_din[5]),
+	.Q(brqrv_top_dmi_reg_rdata[5]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dmi_rddata_reg_genblock.dff_dout_reg[25]  (
+	.CLK(brqrv_top_brqrv_dbg_dmi_rddata_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_dmi_reg_rdata_din[25]),
+	.Q(brqrv_top_dmi_reg_rdata[25]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dmi_rddata_reg_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_dbg_dmi_rddata_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_dmi_reg_rdata_din[18]),
+	.Q(brqrv_top_dmi_reg_rdata[18]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dmi_rddata_reg_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dbg_dmi_rddata_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_dmi_reg_rdata_din[4]),
+	.Q(brqrv_top_dmi_reg_rdata[4]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dmi_rddata_reg_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dbg_dmi_rddata_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_dmi_reg_rdata_din[3]),
+	.Q(brqrv_top_dmi_reg_rdata[3]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dmi_rddata_reg_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_dbg_dmi_rddata_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_dmi_reg_rdata_din[17]),
+	.Q(brqrv_top_dmi_reg_rdata[17]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dmi_rddata_reg_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dbg_dmi_rddata_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_dmi_reg_rdata_din[2]),
+	.Q(brqrv_top_dmi_reg_rdata[2]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dmi_rddata_reg_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_dbg_dmi_rddata_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_dmi_reg_rdata_din[9]),
+	.Q(brqrv_top_dmi_reg_rdata[9]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dmstatus_halted_reg_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_free_clk),
+	.D(brqrv_top_brqrv_dec_tlu_dbg_halted),
+	.Q(brqrv_top_brqrv_dbg_dmstatus_halted),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 brqrv_top_brqrv_dbg_dmstatus_haveresetn_reg_g5__6783 (
+	.A(brqrv_top_brqrv_dbg_dmstatus_haveresetn),
+	.B(brqrv_top_brqrv_dbg_dmstatus_haveresetn_wren),
+	.X(brqrv_top_brqrv_dbg_dmstatus_haveresetn_reg_n_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dmstatus_haveresetn_reg_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_free_clk),
+	.D(brqrv_top_brqrv_dbg_dmstatus_haveresetn_reg_n_2),
+	.Q(brqrv_top_brqrv_dbg_dmstatus_haveresetn),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 brqrv_top_brqrv_dbg_dmstatus_resumeack_reg_g30__3680 (
+	.A0(brqrv_top_brqrv_dbg_dmstatus_resumeack),
+	.A1(brqrv_top_brqrv_dbg_dmstatus_resumeack_din),
+	.S(brqrv_top_brqrv_dbg_dmstatus_resumeack_wren),
+	.X(brqrv_top_brqrv_dbg_dmstatus_resumeack_reg_n_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dmstatus_resumeack_reg_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_free_clk),
+	.D(brqrv_top_brqrv_dbg_dmstatus_resumeack_reg_n_2),
+	.Q(brqrv_top_brqrv_dbg_dmstatus_resumeack),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_execute_commandff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_free_clk),
+	.D(brqrv_top_brqrv_dbg_execute_command_ns),
+	.Q(brqrv_top_brqrv_dbg_execute_command),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_sb_abmem_cmd_doneff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_free_clk),
+	.D(brqrv_top_brqrv_dbg_sb_abmem_cmd_doneff_n_4),
+	.Q(brqrv_top_brqrv_dbg_sb_abmem_cmd_done),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_sb_abmem_data_doneff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_free_clk),
+	.D(brqrv_top_brqrv_dbg_sb_abmem_data_doneff_n_4),
+	.Q(brqrv_top_brqrv_dbg_sb_abmem_data_done),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 brqrv_top_brqrv_dbg_sb_free_cgc_clkhdr (
+	.CLK(brqrv_top_brqrv_n_7),
+	.GATE(brqrv_top_brqrv_dbg_sb_free_clken),
+	.GCLK(brqrv_top_brqrv_dbg_sb_free_clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 brqrv_top_brqrv_dbg_sb_state_reg_g107__1617 (
+	.A1(brqrv_top_brqrv_dbg_sb_state_reg_n_0),
+	.A2(brqrv_top_brqrv_dbg_sb_state[3]),
+	.B1(brqrv_top_brqrv_dbg_n_4598),
+	.B2(brqrv_top_brqrv_dbg_n_4567),
+	.X(brqrv_top_brqrv_dbg_sb_state_reg_n_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 brqrv_top_brqrv_dbg_sb_state_reg_g108__2802 (
+	.A1(brqrv_top_brqrv_dbg_sb_state_reg_n_0),
+	.A2(brqrv_top_brqrv_dbg_sb_state[0]),
+	.B1(brqrv_top_brqrv_dbg_n_4598),
+	.B2(brqrv_top_brqrv_dbg_n_4582),
+	.X(brqrv_top_brqrv_dbg_sb_state_reg_n_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 brqrv_top_brqrv_dbg_sb_state_reg_g109__1705 (
+	.A1(brqrv_top_brqrv_dbg_sb_state_reg_n_0),
+	.A2(brqrv_top_brqrv_dbg_sb_state[2]),
+	.B1(brqrv_top_brqrv_dbg_n_4598),
+	.B2(brqrv_top_brqrv_dbg_n_4571),
+	.X(brqrv_top_brqrv_dbg_sb_state_reg_n_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 brqrv_top_brqrv_dbg_sb_state_reg_g110__5122 (
+	.A1(brqrv_top_brqrv_dbg_sb_state_reg_n_0),
+	.A2(brqrv_top_brqrv_dbg_sb_state[1]),
+	.B1(brqrv_top_brqrv_dbg_n_4598),
+	.B2(brqrv_top_brqrv_dbg_n_4575),
+	.X(brqrv_top_brqrv_dbg_sb_state_reg_n_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_dbg_sb_state_reg_g111 (
+	.A(brqrv_top_brqrv_dbg_n_4598),
+	.Y(brqrv_top_brqrv_dbg_sb_state_reg_n_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_sb_state_reg_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dbg_sb_free_clk),
+	.D(brqrv_top_brqrv_dbg_sb_state_reg_n_6),
+	.Q(brqrv_top_brqrv_dbg_sb_state[0]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_sb_state_reg_genblock.dffs_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dbg_sb_free_clk),
+	.D(brqrv_top_brqrv_dbg_sb_state_reg_n_3),
+	.Q(brqrv_top_brqrv_dbg_sb_state[3]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_sb_state_reg_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dbg_sb_free_clk),
+	.D(brqrv_top_brqrv_dbg_sb_state_reg_n_5),
+	.Q(brqrv_top_brqrv_dbg_sb_state[1]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_sb_state_reg_genblock.dffs_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dbg_sb_free_clk),
+	.D(brqrv_top_brqrv_dbg_sb_state_reg_n_4),
+	.Q(brqrv_top_brqrv_dbg_sb_state[2]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_sbcs_error_reg_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dbg_sb_free_clk),
+	.D(brqrv_top_brqrv_dbg_sbcs_error_reg_n_8),
+	.Q(brqrv_top_brqrv_dbg_sbcs_reg[12]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_sbcs_error_reg_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dbg_sb_free_clk),
+	.D(brqrv_top_brqrv_dbg_sbcs_error_reg_n_9),
+	.Q(brqrv_top_brqrv_dbg_sbcs_reg[13]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_sbcs_error_reg_genblock.dffs_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dbg_sb_free_clk),
+	.D(brqrv_top_brqrv_dbg_sbcs_error_reg_n_10),
+	.Q(brqrv_top_brqrv_dbg_sbcs_reg[14]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 brqrv_top_brqrv_dbg_sbcs_misc_reg_g130__8246 (
+	.A1(brqrv_top_brqrv_dbg_sbcs_misc_reg_n_0),
+	.A2(brqrv_top_brqrv_dbg_sbcs_reg_int[19]),
+	.B1(brqrv_top_brqrv_dbg_sbcs_wren),
+	.B2(brqrv_top_dmi_reg_wdata[19]),
+	.X(brqrv_top_brqrv_dbg_sbcs_misc_reg_n_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 brqrv_top_brqrv_dbg_sbcs_misc_reg_g131__7098 (
+	.A1(brqrv_top_brqrv_dbg_sbcs_misc_reg_n_0),
+	.A2(brqrv_top_brqrv_dbg_sbcs_reg_int[16]),
+	.B1(brqrv_top_brqrv_dbg_sbcs_wren),
+	.B2(brqrv_top_dmi_reg_wdata[16]),
+	.X(brqrv_top_brqrv_dbg_sbcs_misc_reg_n_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 brqrv_top_brqrv_dbg_sbcs_misc_reg_g132__6131 (
+	.A1(brqrv_top_brqrv_dbg_sbcs_misc_reg_n_0),
+	.A2(brqrv_top_brqrv_dbg_sbcs_reg_int[15]),
+	.B1(brqrv_top_brqrv_dbg_sbcs_wren),
+	.B2(brqrv_top_dmi_reg_wdata[15]),
+	.X(brqrv_top_brqrv_dbg_sbcs_misc_reg_n_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 brqrv_top_brqrv_dbg_sbcs_misc_reg_g133__1881 (
+	.A1(brqrv_top_brqrv_dbg_sbcs_misc_reg_n_0),
+	.A2(brqrv_top_brqrv_dbg_sbcs_reg_int[18]),
+	.B1(brqrv_top_brqrv_dbg_sbcs_wren),
+	.B2(brqrv_top_brqrv_dbg_n_1024),
+	.X(brqrv_top_brqrv_dbg_sbcs_misc_reg_n_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 brqrv_top_brqrv_dbg_sbcs_misc_reg_g134__5115 (
+	.A1(brqrv_top_brqrv_dbg_sbcs_misc_reg_n_0),
+	.A2(brqrv_top_brqrv_dbg_sbcs_reg_int[17]),
+	.B1(brqrv_top_brqrv_dbg_sbcs_wren),
+	.B2(brqrv_top_dmi_reg_wdata[17]),
+	.X(brqrv_top_brqrv_dbg_sbcs_misc_reg_n_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_dbg_sbcs_misc_reg_g135 (
+	.A(brqrv_top_brqrv_dbg_sbcs_wren),
+	.Y(brqrv_top_brqrv_dbg_sbcs_misc_reg_n_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_sbcs_misc_reg_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dbg_sb_free_clk),
+	.D(brqrv_top_brqrv_dbg_sbcs_misc_reg_n_7),
+	.Q(brqrv_top_brqrv_dbg_sbcs_reg_int[15]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_sbcs_misc_reg_genblock.dffs_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dbg_sb_free_clk),
+	.D(brqrv_top_brqrv_dbg_sbcs_misc_reg_n_5),
+	.Q(brqrv_top_brqrv_dbg_sbcs_reg_int[17]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_sbcs_misc_reg_genblock.dffs_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dbg_sb_free_clk),
+	.D(brqrv_top_brqrv_dbg_sbcs_misc_reg_n_4),
+	.Q(brqrv_top_brqrv_dbg_sbcs_reg_int[18]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_sbcs_misc_reg_genblock.dffs_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dbg_sb_free_clk),
+	.D(brqrv_top_brqrv_dbg_sbcs_misc_reg_n_3),
+	.Q(brqrv_top_brqrv_dbg_sbcs_reg_int[19]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_sbcs_misc_reg_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dbg_sb_free_clk),
+	.D(brqrv_top_brqrv_dbg_sbcs_misc_reg_n_6),
+	.Q(brqrv_top_brqrv_dbg_sbcs_reg_int[16]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 brqrv_top_brqrv_dbg_sbcs_sbbusy_reg_g30__7482 (
+	.A0(brqrv_top_brqrv_dbg_sbcs_reg[21]),
+	.A1(brqrv_top_brqrv_dbg_n_4554),
+	.S(brqrv_top_brqrv_dbg_n_4595),
+	.X(brqrv_top_brqrv_dbg_sbcs_sbbusy_reg_n_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_sbcs_sbbusy_reg_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dbg_sb_free_clk),
+	.D(brqrv_top_brqrv_dbg_sbcs_sbbusy_reg_n_2),
+	.Q(brqrv_top_brqrv_dbg_sbcs_reg[21]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 brqrv_top_brqrv_dbg_sbcs_sbbusyerror_reg_g30__4733 (
+	.A0(brqrv_top_brqrv_dbg_sbcs_reg[22]),
+	.A1(brqrv_top_brqrv_dbg_sbcs_sbbusyerror_din),
+	.S(brqrv_top_brqrv_dbg_sbcs_sbbusyerror_wren),
+	.X(brqrv_top_brqrv_dbg_sbcs_sbbusyerror_reg_n_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_sbcs_sbbusyerror_reg_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dbg_sb_free_clk),
+	.D(brqrv_top_brqrv_dbg_sbcs_sbbusyerror_reg_n_2),
+	.Q(brqrv_top_brqrv_dbg_sbcs_reg[22]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 brqrv_top_brqrv_dbg_sbcs_sbreadonaddr_reg_g30__6161 (
+	.A0(brqrv_top_brqrv_dbg_sbcs_reg[20]),
+	.A1(brqrv_top_dmi_reg_wdata[20]),
+	.S(brqrv_top_brqrv_dbg_sbcs_wren),
+	.X(brqrv_top_brqrv_dbg_sbcs_sbreadonaddr_reg_n_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_sbcs_sbreadonaddr_reg_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dbg_sb_free_clk),
+	.D(brqrv_top_brqrv_dbg_sbcs_sbreadonaddr_reg_n_2),
+	.Q(brqrv_top_brqrv_dbg_sbcs_reg[20]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_arf_gpr[1].gprff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_arf_gpr_wr_en[1]),
+	.GCLK(\brqrv_top_brqrv_dec_arf_gpr[1].gprff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[1].gprff_genblock.dff_dout_reg[28]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[1].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[60]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[60]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[1].gprff_genblock.dff_dout_reg[27]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[1].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[59]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[59]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[1].gprff_genblock.dff_dout_reg[23]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[1].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[55]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[55]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[1].gprff_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[1].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[49]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[49]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[1].gprff_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[1].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[48]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[48]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[1].gprff_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[1].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[53]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[53]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[1].gprff_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[1].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[51]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[51]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[1].gprff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[1].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[43]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[43]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[1].gprff_genblock.dff_dout_reg[26]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[1].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[58]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[58]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[1].gprff_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[1].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[52]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[52]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[1].gprff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[1].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[35]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[35]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[1].gprff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[1].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[34]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[34]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[1].gprff_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[1].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[47]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[47]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[1].gprff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[1].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[40]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[40]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[1].gprff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[1].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[33]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[33]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[1].gprff_genblock.dff_dout_reg[30]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[1].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[62]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[62]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[1].gprff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[1].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[36]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[36]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[1].gprff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[1].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[37]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[37]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[1].gprff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[1].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[38]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[38]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[1].gprff_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[1].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[54]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[54]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[1].gprff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[1].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[39]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[39]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[1].gprff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[1].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[32]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[32]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[1].gprff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[1].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[41]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[41]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[1].gprff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[1].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[42]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[42]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[1].gprff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[1].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[44]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[44]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[1].gprff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[1].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[45]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[45]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[1].gprff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[1].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[46]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[46]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[1].gprff_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[1].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[50]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[50]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[1].gprff_genblock.dff_dout_reg[24]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[1].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[56]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[56]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[1].gprff_genblock.dff_dout_reg[25]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[1].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[57]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[57]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[1].gprff_genblock.dff_dout_reg[29]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[1].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[61]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[61]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[1].gprff_genblock.dff_dout_reg[31]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[1].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[63]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[63]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_arf_gpr[2].gprff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_arf_gpr_wr_en[2]),
+	.GCLK(\brqrv_top_brqrv_dec_arf_gpr[2].gprff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[2].gprff_genblock.dff_dout_reg[28]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[2].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[92]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[92]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[2].gprff_genblock.dff_dout_reg[27]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[2].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[91]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[91]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[2].gprff_genblock.dff_dout_reg[23]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[2].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[87]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[87]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[2].gprff_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[2].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[81]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[81]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[2].gprff_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[2].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[80]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[80]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[2].gprff_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[2].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[85]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[85]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[2].gprff_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[2].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[83]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[83]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[2].gprff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[2].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[75]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[75]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[2].gprff_genblock.dff_dout_reg[26]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[2].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[90]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[90]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[2].gprff_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[2].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[84]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[84]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[2].gprff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[2].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[67]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[67]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[2].gprff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[2].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[66]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[66]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[2].gprff_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[2].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[79]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[79]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[2].gprff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[2].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[72]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[72]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[2].gprff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[2].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[65]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[65]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[2].gprff_genblock.dff_dout_reg[30]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[2].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[94]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[94]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[2].gprff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[2].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[68]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[68]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[2].gprff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[2].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[69]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[69]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[2].gprff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[2].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[70]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[70]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[2].gprff_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[2].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[86]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[86]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[2].gprff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[2].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[71]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[71]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[2].gprff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[2].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[64]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[64]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[2].gprff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[2].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[73]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[73]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[2].gprff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[2].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[74]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[74]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[2].gprff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[2].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[76]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[76]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[2].gprff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[2].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[77]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[77]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[2].gprff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[2].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[78]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[78]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[2].gprff_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[2].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[82]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[82]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[2].gprff_genblock.dff_dout_reg[24]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[2].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[88]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[88]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[2].gprff_genblock.dff_dout_reg[25]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[2].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[89]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[89]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[2].gprff_genblock.dff_dout_reg[29]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[2].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[93]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[93]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[2].gprff_genblock.dff_dout_reg[31]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[2].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[95]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[95]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_arf_gpr[3].gprff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_arf_gpr_wr_en[3]),
+	.GCLK(\brqrv_top_brqrv_dec_arf_gpr[3].gprff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[3].gprff_genblock.dff_dout_reg[28]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[3].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[124]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[124]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[3].gprff_genblock.dff_dout_reg[27]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[3].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[123]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[123]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[3].gprff_genblock.dff_dout_reg[23]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[3].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[119]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[119]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[3].gprff_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[3].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[113]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[113]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[3].gprff_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[3].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[112]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[112]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[3].gprff_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[3].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[117]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[117]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[3].gprff_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[3].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[115]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[115]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[3].gprff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[3].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[107]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[107]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[3].gprff_genblock.dff_dout_reg[26]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[3].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[122]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[122]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[3].gprff_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[3].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[116]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[116]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[3].gprff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[3].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[99]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[99]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[3].gprff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[3].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[98]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[98]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[3].gprff_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[3].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[111]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[111]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[3].gprff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[3].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[104]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[104]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[3].gprff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[3].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[97]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[97]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[3].gprff_genblock.dff_dout_reg[30]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[3].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[126]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[126]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[3].gprff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[3].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[100]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[100]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[3].gprff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[3].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[101]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[101]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[3].gprff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[3].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[102]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[102]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[3].gprff_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[3].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[118]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[118]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[3].gprff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[3].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[103]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[103]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[3].gprff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[3].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[96]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[96]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[3].gprff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[3].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[105]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[105]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[3].gprff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[3].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[106]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[106]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[3].gprff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[3].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[108]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[108]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[3].gprff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[3].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[109]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[109]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[3].gprff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[3].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[110]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[110]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[3].gprff_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[3].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[114]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[114]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[3].gprff_genblock.dff_dout_reg[24]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[3].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[120]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[120]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[3].gprff_genblock.dff_dout_reg[25]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[3].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[121]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[121]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[3].gprff_genblock.dff_dout_reg[29]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[3].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[125]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[125]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[3].gprff_genblock.dff_dout_reg[31]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[3].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[127]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[127]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_arf_gpr[4].gprff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_arf_gpr_wr_en[4]),
+	.GCLK(\brqrv_top_brqrv_dec_arf_gpr[4].gprff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[4].gprff_genblock.dff_dout_reg[28]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[4].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[156]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[156]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[4].gprff_genblock.dff_dout_reg[27]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[4].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[155]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[155]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[4].gprff_genblock.dff_dout_reg[23]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[4].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[151]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[151]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[4].gprff_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[4].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[145]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[145]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[4].gprff_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[4].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[144]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[144]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[4].gprff_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[4].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[149]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[149]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[4].gprff_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[4].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[147]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[147]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[4].gprff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[4].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[139]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[139]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[4].gprff_genblock.dff_dout_reg[26]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[4].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[154]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[154]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[4].gprff_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[4].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[148]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[148]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[4].gprff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[4].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[131]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[131]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[4].gprff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[4].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[130]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[130]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[4].gprff_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[4].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[143]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[143]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[4].gprff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[4].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[136]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[136]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[4].gprff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[4].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[129]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[129]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[4].gprff_genblock.dff_dout_reg[30]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[4].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[158]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[158]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[4].gprff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[4].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[132]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[132]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[4].gprff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[4].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[133]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[133]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[4].gprff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[4].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[134]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[134]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[4].gprff_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[4].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[150]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[150]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[4].gprff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[4].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[135]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[135]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[4].gprff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[4].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[128]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[128]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[4].gprff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[4].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[137]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[137]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[4].gprff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[4].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[138]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[138]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[4].gprff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[4].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[140]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[140]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[4].gprff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[4].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[141]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[141]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[4].gprff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[4].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[142]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[142]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[4].gprff_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[4].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[146]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[146]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[4].gprff_genblock.dff_dout_reg[24]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[4].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[152]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[152]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[4].gprff_genblock.dff_dout_reg[25]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[4].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[153]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[153]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[4].gprff_genblock.dff_dout_reg[29]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[4].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[157]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[157]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[4].gprff_genblock.dff_dout_reg[31]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[4].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[159]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[159]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_arf_gpr[5].gprff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_arf_gpr_wr_en[5]),
+	.GCLK(\brqrv_top_brqrv_dec_arf_gpr[5].gprff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[5].gprff_genblock.dff_dout_reg[28]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[5].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[188]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[188]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[5].gprff_genblock.dff_dout_reg[27]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[5].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[187]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[187]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[5].gprff_genblock.dff_dout_reg[23]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[5].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[183]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[183]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[5].gprff_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[5].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[177]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[177]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[5].gprff_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[5].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[176]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[176]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[5].gprff_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[5].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[181]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[181]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[5].gprff_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[5].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[179]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[179]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[5].gprff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[5].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[171]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[171]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[5].gprff_genblock.dff_dout_reg[26]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[5].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[186]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[186]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[5].gprff_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[5].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[180]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[180]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[5].gprff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[5].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[163]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[163]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[5].gprff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[5].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[162]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[162]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[5].gprff_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[5].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[175]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[175]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[5].gprff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[5].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[168]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[168]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[5].gprff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[5].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[161]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[161]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[5].gprff_genblock.dff_dout_reg[30]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[5].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[190]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[190]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[5].gprff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[5].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[164]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[164]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[5].gprff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[5].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[165]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[165]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[5].gprff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[5].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[166]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[166]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[5].gprff_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[5].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[182]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[182]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[5].gprff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[5].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[167]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[167]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[5].gprff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[5].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[160]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[160]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[5].gprff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[5].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[169]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[169]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[5].gprff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[5].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[170]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[170]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[5].gprff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[5].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[172]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[172]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[5].gprff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[5].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[173]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[173]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[5].gprff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[5].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[174]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[174]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[5].gprff_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[5].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[178]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[178]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[5].gprff_genblock.dff_dout_reg[24]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[5].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[184]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[184]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[5].gprff_genblock.dff_dout_reg[25]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[5].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[185]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[185]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[5].gprff_genblock.dff_dout_reg[29]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[5].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[189]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[189]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[5].gprff_genblock.dff_dout_reg[31]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[5].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[191]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[191]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_arf_gpr[6].gprff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_arf_gpr_wr_en[6]),
+	.GCLK(\brqrv_top_brqrv_dec_arf_gpr[6].gprff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[6].gprff_genblock.dff_dout_reg[28]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[6].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[220]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[220]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[6].gprff_genblock.dff_dout_reg[27]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[6].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[219]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[219]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[6].gprff_genblock.dff_dout_reg[23]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[6].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[215]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[215]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[6].gprff_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[6].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[209]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[209]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[6].gprff_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[6].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[208]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[208]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[6].gprff_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[6].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[213]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[213]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[6].gprff_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[6].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[211]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[211]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[6].gprff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[6].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[203]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[203]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[6].gprff_genblock.dff_dout_reg[26]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[6].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[218]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[218]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[6].gprff_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[6].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[212]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[212]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[6].gprff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[6].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[195]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[195]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[6].gprff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[6].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[194]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[194]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[6].gprff_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[6].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[207]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[207]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[6].gprff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[6].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[200]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[200]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[6].gprff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[6].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[193]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[193]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[6].gprff_genblock.dff_dout_reg[30]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[6].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[222]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[222]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[6].gprff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[6].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[196]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[196]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[6].gprff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[6].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[197]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[197]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[6].gprff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[6].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[198]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[198]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[6].gprff_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[6].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[214]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[214]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[6].gprff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[6].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[199]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[199]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[6].gprff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[6].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[192]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[192]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[6].gprff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[6].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[201]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[201]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[6].gprff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[6].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[202]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[202]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[6].gprff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[6].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[204]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[204]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[6].gprff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[6].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[205]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[205]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[6].gprff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[6].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[206]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[206]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[6].gprff_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[6].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[210]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[210]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[6].gprff_genblock.dff_dout_reg[24]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[6].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[216]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[216]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[6].gprff_genblock.dff_dout_reg[25]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[6].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[217]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[217]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[6].gprff_genblock.dff_dout_reg[29]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[6].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[221]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[221]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[6].gprff_genblock.dff_dout_reg[31]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[6].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[223]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[223]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_arf_gpr[7].gprff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_arf_gpr_wr_en[7]),
+	.GCLK(\brqrv_top_brqrv_dec_arf_gpr[7].gprff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[7].gprff_genblock.dff_dout_reg[28]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[7].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[252]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[252]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[7].gprff_genblock.dff_dout_reg[27]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[7].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[251]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[251]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[7].gprff_genblock.dff_dout_reg[23]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[7].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[247]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[247]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[7].gprff_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[7].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[241]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[241]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[7].gprff_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[7].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[240]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[240]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[7].gprff_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[7].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[245]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[245]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[7].gprff_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[7].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[243]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[243]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[7].gprff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[7].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[235]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[235]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[7].gprff_genblock.dff_dout_reg[26]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[7].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[250]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[250]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[7].gprff_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[7].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[244]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[244]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[7].gprff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[7].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[227]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[227]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[7].gprff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[7].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[226]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[226]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[7].gprff_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[7].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[239]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[239]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[7].gprff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[7].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[232]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[232]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[7].gprff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[7].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[225]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[225]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[7].gprff_genblock.dff_dout_reg[30]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[7].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[254]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[254]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[7].gprff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[7].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[228]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[228]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[7].gprff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[7].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[229]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[229]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[7].gprff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[7].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[230]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[230]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[7].gprff_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[7].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[246]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[246]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[7].gprff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[7].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[231]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[231]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[7].gprff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[7].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[224]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[224]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[7].gprff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[7].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[233]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[233]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[7].gprff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[7].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[234]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[234]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[7].gprff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[7].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[236]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[236]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[7].gprff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[7].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[237]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[237]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[7].gprff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[7].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[238]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[238]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[7].gprff_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[7].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[242]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[242]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[7].gprff_genblock.dff_dout_reg[24]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[7].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[248]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[248]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[7].gprff_genblock.dff_dout_reg[25]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[7].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[249]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[249]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[7].gprff_genblock.dff_dout_reg[29]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[7].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[253]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[253]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[7].gprff_genblock.dff_dout_reg[31]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[7].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[255]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[255]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_arf_gpr[8].gprff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_arf_gpr_wr_en[8]),
+	.GCLK(\brqrv_top_brqrv_dec_arf_gpr[8].gprff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[8].gprff_genblock.dff_dout_reg[28]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[8].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[284]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[284]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[8].gprff_genblock.dff_dout_reg[27]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[8].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[283]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[283]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[8].gprff_genblock.dff_dout_reg[23]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[8].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[279]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[279]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[8].gprff_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[8].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[273]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[273]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[8].gprff_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[8].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[272]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[272]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[8].gprff_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[8].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[277]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[277]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[8].gprff_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[8].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[275]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[275]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[8].gprff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[8].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[267]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[267]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[8].gprff_genblock.dff_dout_reg[26]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[8].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[282]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[282]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[8].gprff_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[8].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[276]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[276]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[8].gprff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[8].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[259]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[259]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[8].gprff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[8].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[258]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[258]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[8].gprff_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[8].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[271]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[271]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[8].gprff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[8].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[264]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[264]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[8].gprff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[8].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[257]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[257]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[8].gprff_genblock.dff_dout_reg[30]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[8].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[286]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[286]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[8].gprff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[8].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[260]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[260]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[8].gprff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[8].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[261]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[261]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[8].gprff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[8].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[262]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[262]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[8].gprff_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[8].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[278]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[278]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[8].gprff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[8].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[263]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[263]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[8].gprff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[8].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[256]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[256]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[8].gprff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[8].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[265]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[265]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[8].gprff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[8].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[266]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[266]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[8].gprff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[8].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[268]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[268]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[8].gprff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[8].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[269]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[269]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[8].gprff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[8].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[270]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[270]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[8].gprff_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[8].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[274]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[274]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[8].gprff_genblock.dff_dout_reg[24]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[8].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[280]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[280]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[8].gprff_genblock.dff_dout_reg[25]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[8].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[281]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[281]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[8].gprff_genblock.dff_dout_reg[29]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[8].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[285]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[285]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[8].gprff_genblock.dff_dout_reg[31]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[8].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[287]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[287]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_arf_gpr[9].gprff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_arf_gpr_wr_en[9]),
+	.GCLK(\brqrv_top_brqrv_dec_arf_gpr[9].gprff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[9].gprff_genblock.dff_dout_reg[28]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[9].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[316]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[316]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[9].gprff_genblock.dff_dout_reg[27]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[9].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[315]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[315]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[9].gprff_genblock.dff_dout_reg[23]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[9].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[311]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[311]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[9].gprff_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[9].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[305]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[305]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[9].gprff_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[9].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[304]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[304]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[9].gprff_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[9].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[309]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[309]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[9].gprff_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[9].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[307]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[307]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[9].gprff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[9].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[299]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[299]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[9].gprff_genblock.dff_dout_reg[26]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[9].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[314]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[314]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[9].gprff_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[9].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[308]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[308]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[9].gprff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[9].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[291]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[291]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[9].gprff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[9].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[290]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[290]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[9].gprff_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[9].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[303]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[303]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[9].gprff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[9].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[296]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[296]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[9].gprff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[9].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[289]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[289]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[9].gprff_genblock.dff_dout_reg[30]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[9].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[318]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[318]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[9].gprff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[9].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[292]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[292]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[9].gprff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[9].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[293]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[293]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[9].gprff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[9].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[294]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[294]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[9].gprff_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[9].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[310]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[310]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[9].gprff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[9].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[295]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[295]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[9].gprff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[9].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[288]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[288]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[9].gprff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[9].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[297]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[297]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[9].gprff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[9].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[298]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[298]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[9].gprff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[9].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[300]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[300]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[9].gprff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[9].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[301]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[301]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[9].gprff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[9].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[302]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[302]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[9].gprff_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[9].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[306]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[306]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[9].gprff_genblock.dff_dout_reg[24]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[9].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[312]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[312]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[9].gprff_genblock.dff_dout_reg[25]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[9].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[313]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[313]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[9].gprff_genblock.dff_dout_reg[29]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[9].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[317]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[317]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[9].gprff_genblock.dff_dout_reg[31]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[9].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[319]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[319]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_arf_gpr[10].gprff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_arf_gpr_wr_en[10]),
+	.GCLK(\brqrv_top_brqrv_dec_arf_gpr[10].gprff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[10].gprff_genblock.dff_dout_reg[28]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[10].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[348]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[348]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[10].gprff_genblock.dff_dout_reg[27]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[10].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[347]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[347]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[10].gprff_genblock.dff_dout_reg[23]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[10].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[343]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[343]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[10].gprff_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[10].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[337]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[337]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[10].gprff_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[10].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[336]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[336]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[10].gprff_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[10].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[341]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[341]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[10].gprff_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[10].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[339]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[339]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[10].gprff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[10].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[331]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[331]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[10].gprff_genblock.dff_dout_reg[26]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[10].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[346]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[346]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[10].gprff_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[10].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[340]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[340]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[10].gprff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[10].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[323]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[323]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[10].gprff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[10].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[322]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[322]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[10].gprff_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[10].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[335]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[335]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[10].gprff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[10].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[328]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[328]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[10].gprff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[10].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[321]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[321]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[10].gprff_genblock.dff_dout_reg[30]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[10].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[350]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[350]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[10].gprff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[10].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[324]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[324]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[10].gprff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[10].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[325]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[325]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[10].gprff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[10].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[326]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[326]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[10].gprff_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[10].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[342]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[342]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[10].gprff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[10].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[327]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[327]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[10].gprff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[10].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[320]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[320]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[10].gprff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[10].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[329]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[329]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[10].gprff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[10].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[330]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[330]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[10].gprff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[10].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[332]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[332]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[10].gprff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[10].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[333]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[333]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[10].gprff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[10].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[334]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[334]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[10].gprff_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[10].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[338]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[338]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[10].gprff_genblock.dff_dout_reg[24]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[10].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[344]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[344]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[10].gprff_genblock.dff_dout_reg[25]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[10].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[345]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[345]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[10].gprff_genblock.dff_dout_reg[29]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[10].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[349]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[349]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[10].gprff_genblock.dff_dout_reg[31]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[10].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[351]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[351]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_arf_gpr[11].gprff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_arf_gpr_wr_en[11]),
+	.GCLK(\brqrv_top_brqrv_dec_arf_gpr[11].gprff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[11].gprff_genblock.dff_dout_reg[28]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[11].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[380]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[380]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[11].gprff_genblock.dff_dout_reg[27]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[11].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[379]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[379]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[11].gprff_genblock.dff_dout_reg[23]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[11].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[375]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[375]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[11].gprff_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[11].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[369]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[369]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[11].gprff_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[11].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[368]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[368]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[11].gprff_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[11].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[373]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[373]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[11].gprff_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[11].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[371]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[371]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[11].gprff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[11].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[363]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[363]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[11].gprff_genblock.dff_dout_reg[26]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[11].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[378]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[378]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[11].gprff_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[11].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[372]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[372]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[11].gprff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[11].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[355]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[355]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[11].gprff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[11].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[354]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[354]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[11].gprff_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[11].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[367]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[367]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[11].gprff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[11].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[360]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[360]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[11].gprff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[11].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[353]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[353]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[11].gprff_genblock.dff_dout_reg[30]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[11].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[382]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[382]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[11].gprff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[11].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[356]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[356]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[11].gprff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[11].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[357]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[357]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[11].gprff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[11].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[358]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[358]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[11].gprff_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[11].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[374]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[374]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[11].gprff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[11].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[359]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[359]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[11].gprff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[11].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[352]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[352]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[11].gprff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[11].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[361]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[361]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[11].gprff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[11].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[362]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[362]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[11].gprff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[11].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[364]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[364]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[11].gprff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[11].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[365]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[365]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[11].gprff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[11].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[366]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[366]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[11].gprff_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[11].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[370]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[370]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[11].gprff_genblock.dff_dout_reg[24]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[11].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[376]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[376]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[11].gprff_genblock.dff_dout_reg[25]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[11].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[377]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[377]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[11].gprff_genblock.dff_dout_reg[29]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[11].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[381]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[381]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[11].gprff_genblock.dff_dout_reg[31]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[11].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[383]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[383]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_arf_gpr[12].gprff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_arf_gpr_wr_en[12]),
+	.GCLK(\brqrv_top_brqrv_dec_arf_gpr[12].gprff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[12].gprff_genblock.dff_dout_reg[28]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[12].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[412]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[412]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[12].gprff_genblock.dff_dout_reg[27]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[12].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[411]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[411]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[12].gprff_genblock.dff_dout_reg[23]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[12].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[407]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[407]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[12].gprff_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[12].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[401]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[401]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[12].gprff_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[12].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[400]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[400]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[12].gprff_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[12].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[405]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[405]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[12].gprff_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[12].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[403]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[403]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[12].gprff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[12].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[395]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[395]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[12].gprff_genblock.dff_dout_reg[26]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[12].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[410]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[410]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[12].gprff_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[12].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[404]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[404]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[12].gprff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[12].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[387]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[387]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[12].gprff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[12].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[386]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[386]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[12].gprff_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[12].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[399]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[399]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[12].gprff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[12].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[392]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[392]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[12].gprff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[12].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[385]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[385]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[12].gprff_genblock.dff_dout_reg[30]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[12].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[414]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[414]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[12].gprff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[12].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[388]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[388]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[12].gprff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[12].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[389]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[389]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[12].gprff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[12].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[390]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[390]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[12].gprff_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[12].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[406]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[406]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[12].gprff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[12].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[391]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[391]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[12].gprff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[12].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[384]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[384]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[12].gprff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[12].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[393]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[393]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[12].gprff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[12].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[394]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[394]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[12].gprff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[12].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[396]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[396]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[12].gprff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[12].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[397]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[397]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[12].gprff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[12].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[398]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[398]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[12].gprff_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[12].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[402]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[402]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[12].gprff_genblock.dff_dout_reg[24]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[12].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[408]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[408]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[12].gprff_genblock.dff_dout_reg[25]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[12].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[409]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[409]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[12].gprff_genblock.dff_dout_reg[29]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[12].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[413]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[413]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[12].gprff_genblock.dff_dout_reg[31]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[12].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[415]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[415]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_arf_gpr[13].gprff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_arf_gpr_wr_en[13]),
+	.GCLK(\brqrv_top_brqrv_dec_arf_gpr[13].gprff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[13].gprff_genblock.dff_dout_reg[28]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[13].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[444]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[444]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[13].gprff_genblock.dff_dout_reg[27]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[13].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[443]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[443]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[13].gprff_genblock.dff_dout_reg[23]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[13].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[439]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[439]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[13].gprff_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[13].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[433]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[433]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[13].gprff_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[13].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[432]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[432]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[13].gprff_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[13].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[437]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[437]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[13].gprff_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[13].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[435]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[435]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[13].gprff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[13].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[427]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[427]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[13].gprff_genblock.dff_dout_reg[26]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[13].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[442]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[442]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[13].gprff_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[13].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[436]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[436]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[13].gprff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[13].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[419]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[419]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[13].gprff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[13].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[418]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[418]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[13].gprff_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[13].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[431]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[431]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[13].gprff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[13].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[424]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[424]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[13].gprff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[13].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[417]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[417]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[13].gprff_genblock.dff_dout_reg[30]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[13].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[446]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[446]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[13].gprff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[13].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[420]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[420]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[13].gprff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[13].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[421]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[421]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[13].gprff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[13].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[422]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[422]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[13].gprff_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[13].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[438]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[438]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[13].gprff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[13].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[423]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[423]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[13].gprff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[13].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[416]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[416]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[13].gprff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[13].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[425]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[425]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[13].gprff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[13].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[426]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[426]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[13].gprff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[13].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[428]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[428]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[13].gprff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[13].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[429]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[429]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[13].gprff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[13].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[430]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[430]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[13].gprff_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[13].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[434]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[434]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[13].gprff_genblock.dff_dout_reg[24]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[13].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[440]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[440]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[13].gprff_genblock.dff_dout_reg[25]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[13].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[441]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[441]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[13].gprff_genblock.dff_dout_reg[29]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[13].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[445]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[445]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[13].gprff_genblock.dff_dout_reg[31]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[13].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[447]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[447]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_arf_gpr[14].gprff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_arf_gpr_wr_en[14]),
+	.GCLK(\brqrv_top_brqrv_dec_arf_gpr[14].gprff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[14].gprff_genblock.dff_dout_reg[28]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[14].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[476]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[476]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[14].gprff_genblock.dff_dout_reg[27]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[14].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[475]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[475]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[14].gprff_genblock.dff_dout_reg[23]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[14].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[471]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[471]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[14].gprff_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[14].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[465]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[465]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[14].gprff_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[14].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[464]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[464]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[14].gprff_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[14].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[469]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[469]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[14].gprff_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[14].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[467]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[467]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[14].gprff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[14].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[459]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[459]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[14].gprff_genblock.dff_dout_reg[26]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[14].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[474]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[474]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[14].gprff_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[14].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[468]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[468]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[14].gprff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[14].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[451]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[451]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[14].gprff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[14].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[450]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[450]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[14].gprff_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[14].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[463]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[463]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[14].gprff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[14].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[456]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[456]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[14].gprff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[14].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[449]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[449]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[14].gprff_genblock.dff_dout_reg[30]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[14].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[478]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[478]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[14].gprff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[14].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[452]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[452]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[14].gprff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[14].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[453]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[453]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[14].gprff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[14].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[454]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[454]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[14].gprff_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[14].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[470]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[470]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[14].gprff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[14].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[455]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[455]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[14].gprff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[14].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[448]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[448]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[14].gprff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[14].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[457]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[457]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[14].gprff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[14].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[458]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[458]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[14].gprff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[14].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[460]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[460]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[14].gprff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[14].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[461]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[461]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[14].gprff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[14].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[462]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[462]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[14].gprff_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[14].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[466]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[466]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[14].gprff_genblock.dff_dout_reg[24]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[14].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[472]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[472]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[14].gprff_genblock.dff_dout_reg[25]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[14].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[473]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[473]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[14].gprff_genblock.dff_dout_reg[29]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[14].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[477]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[477]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[14].gprff_genblock.dff_dout_reg[31]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[14].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[479]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[479]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_arf_gpr[15].gprff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_arf_gpr_wr_en[15]),
+	.GCLK(\brqrv_top_brqrv_dec_arf_gpr[15].gprff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[15].gprff_genblock.dff_dout_reg[28]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[15].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[508]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[508]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[15].gprff_genblock.dff_dout_reg[27]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[15].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[507]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[507]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[15].gprff_genblock.dff_dout_reg[23]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[15].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[503]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[503]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[15].gprff_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[15].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[497]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[497]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[15].gprff_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[15].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[496]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[496]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[15].gprff_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[15].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[501]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[501]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[15].gprff_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[15].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[499]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[499]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[15].gprff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[15].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[491]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[491]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[15].gprff_genblock.dff_dout_reg[26]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[15].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[506]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[506]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[15].gprff_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[15].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[500]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[500]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[15].gprff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[15].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[483]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[483]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[15].gprff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[15].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[482]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[482]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[15].gprff_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[15].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[495]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[495]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[15].gprff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[15].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[488]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[488]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[15].gprff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[15].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[481]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[481]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[15].gprff_genblock.dff_dout_reg[30]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[15].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[510]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[510]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[15].gprff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[15].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[484]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[484]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[15].gprff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[15].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[485]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[485]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[15].gprff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[15].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[486]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[486]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[15].gprff_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[15].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[502]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[502]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[15].gprff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[15].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[487]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[487]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[15].gprff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[15].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[480]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[480]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[15].gprff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[15].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[489]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[489]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[15].gprff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[15].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[490]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[490]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[15].gprff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[15].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[492]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[492]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[15].gprff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[15].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[493]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[493]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[15].gprff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[15].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[494]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[494]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[15].gprff_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[15].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[498]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[498]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[15].gprff_genblock.dff_dout_reg[24]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[15].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[504]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[504]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[15].gprff_genblock.dff_dout_reg[25]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[15].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[505]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[505]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[15].gprff_genblock.dff_dout_reg[29]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[15].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[509]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[509]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[15].gprff_genblock.dff_dout_reg[31]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[15].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[511]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[511]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_arf_gpr[16].gprff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_arf_gpr_wr_en[16]),
+	.GCLK(\brqrv_top_brqrv_dec_arf_gpr[16].gprff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[16].gprff_genblock.dff_dout_reg[28]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[16].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[540]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[540]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[16].gprff_genblock.dff_dout_reg[27]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[16].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[539]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[539]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[16].gprff_genblock.dff_dout_reg[23]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[16].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[535]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[535]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[16].gprff_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[16].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[529]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[529]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[16].gprff_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[16].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[528]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[528]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[16].gprff_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[16].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[533]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[533]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[16].gprff_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[16].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[531]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[531]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[16].gprff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[16].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[523]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[523]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[16].gprff_genblock.dff_dout_reg[26]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[16].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[538]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[538]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[16].gprff_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[16].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[532]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[532]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[16].gprff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[16].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[515]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[515]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[16].gprff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[16].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[514]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[514]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[16].gprff_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[16].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[527]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[527]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[16].gprff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[16].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[520]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[520]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[16].gprff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[16].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[513]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[513]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[16].gprff_genblock.dff_dout_reg[30]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[16].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[542]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[542]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[16].gprff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[16].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[516]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[516]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[16].gprff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[16].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[517]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[517]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[16].gprff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[16].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[518]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[518]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[16].gprff_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[16].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[534]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[534]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[16].gprff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[16].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[519]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[519]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[16].gprff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[16].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[512]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[512]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[16].gprff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[16].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[521]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[521]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[16].gprff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[16].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[522]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[522]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[16].gprff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[16].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[524]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[524]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[16].gprff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[16].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[525]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[525]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[16].gprff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[16].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[526]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[526]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[16].gprff_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[16].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[530]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[530]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[16].gprff_genblock.dff_dout_reg[24]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[16].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[536]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[536]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[16].gprff_genblock.dff_dout_reg[25]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[16].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[537]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[537]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[16].gprff_genblock.dff_dout_reg[29]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[16].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[541]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[541]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[16].gprff_genblock.dff_dout_reg[31]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[16].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[543]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[543]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_arf_gpr[17].gprff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_arf_gpr_wr_en[17]),
+	.GCLK(\brqrv_top_brqrv_dec_arf_gpr[17].gprff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[17].gprff_genblock.dff_dout_reg[28]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[17].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[572]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[572]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[17].gprff_genblock.dff_dout_reg[27]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[17].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[571]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[571]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[17].gprff_genblock.dff_dout_reg[23]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[17].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[567]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[567]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[17].gprff_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[17].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[561]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[561]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[17].gprff_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[17].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[560]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[560]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[17].gprff_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[17].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[565]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[565]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[17].gprff_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[17].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[563]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[563]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[17].gprff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[17].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[555]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[555]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[17].gprff_genblock.dff_dout_reg[26]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[17].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[570]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[570]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[17].gprff_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[17].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[564]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[564]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[17].gprff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[17].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[547]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[547]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[17].gprff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[17].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[546]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[546]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[17].gprff_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[17].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[559]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[559]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[17].gprff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[17].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[552]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[552]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[17].gprff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[17].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[545]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[545]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[17].gprff_genblock.dff_dout_reg[30]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[17].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[574]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[574]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[17].gprff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[17].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[548]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[548]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[17].gprff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[17].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[549]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[549]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[17].gprff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[17].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[550]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[550]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[17].gprff_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[17].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[566]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[566]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[17].gprff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[17].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[551]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[551]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[17].gprff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[17].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[544]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[544]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[17].gprff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[17].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[553]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[553]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[17].gprff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[17].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[554]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[554]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[17].gprff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[17].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[556]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[556]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[17].gprff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[17].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[557]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[557]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[17].gprff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[17].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[558]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[558]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[17].gprff_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[17].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[562]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[562]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[17].gprff_genblock.dff_dout_reg[24]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[17].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[568]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[568]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[17].gprff_genblock.dff_dout_reg[25]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[17].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[569]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[569]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[17].gprff_genblock.dff_dout_reg[29]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[17].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[573]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[573]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[17].gprff_genblock.dff_dout_reg[31]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[17].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[575]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[575]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_arf_gpr[18].gprff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_arf_gpr_wr_en[18]),
+	.GCLK(\brqrv_top_brqrv_dec_arf_gpr[18].gprff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[18].gprff_genblock.dff_dout_reg[28]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[18].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[604]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[604]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[18].gprff_genblock.dff_dout_reg[27]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[18].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[603]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[603]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[18].gprff_genblock.dff_dout_reg[23]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[18].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[599]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[599]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[18].gprff_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[18].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[593]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[593]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[18].gprff_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[18].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[592]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[592]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[18].gprff_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[18].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[597]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[597]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[18].gprff_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[18].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[595]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[595]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[18].gprff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[18].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[587]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[587]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[18].gprff_genblock.dff_dout_reg[26]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[18].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[602]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[602]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[18].gprff_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[18].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[596]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[596]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[18].gprff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[18].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[579]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[579]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[18].gprff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[18].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[578]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[578]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[18].gprff_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[18].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[591]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[591]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[18].gprff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[18].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[584]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[584]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[18].gprff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[18].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[577]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[577]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[18].gprff_genblock.dff_dout_reg[30]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[18].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[606]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[606]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[18].gprff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[18].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[580]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[580]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[18].gprff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[18].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[581]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[581]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[18].gprff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[18].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[582]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[582]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[18].gprff_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[18].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[598]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[598]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[18].gprff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[18].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[583]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[583]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[18].gprff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[18].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[576]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[576]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[18].gprff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[18].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[585]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[585]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[18].gprff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[18].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[586]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[586]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[18].gprff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[18].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[588]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[588]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[18].gprff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[18].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[589]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[589]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[18].gprff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[18].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[590]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[590]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[18].gprff_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[18].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[594]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[594]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[18].gprff_genblock.dff_dout_reg[24]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[18].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[600]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[600]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[18].gprff_genblock.dff_dout_reg[25]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[18].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[601]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[601]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[18].gprff_genblock.dff_dout_reg[29]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[18].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[605]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[605]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[18].gprff_genblock.dff_dout_reg[31]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[18].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[607]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[607]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_arf_gpr[19].gprff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_arf_gpr_wr_en[19]),
+	.GCLK(\brqrv_top_brqrv_dec_arf_gpr[19].gprff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[19].gprff_genblock.dff_dout_reg[28]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[19].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[636]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[636]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[19].gprff_genblock.dff_dout_reg[27]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[19].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[635]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[635]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[19].gprff_genblock.dff_dout_reg[23]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[19].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[631]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[631]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[19].gprff_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[19].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[625]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[625]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[19].gprff_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[19].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[624]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[624]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[19].gprff_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[19].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[629]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[629]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[19].gprff_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[19].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[627]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[627]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[19].gprff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[19].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[619]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[619]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[19].gprff_genblock.dff_dout_reg[26]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[19].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[634]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[634]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[19].gprff_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[19].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[628]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[628]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[19].gprff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[19].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[611]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[611]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[19].gprff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[19].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[610]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[610]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[19].gprff_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[19].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[623]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[623]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[19].gprff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[19].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[616]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[616]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[19].gprff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[19].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[609]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[609]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[19].gprff_genblock.dff_dout_reg[30]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[19].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[638]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[638]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[19].gprff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[19].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[612]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[612]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[19].gprff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[19].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[613]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[613]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[19].gprff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[19].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[614]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[614]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[19].gprff_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[19].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[630]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[630]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[19].gprff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[19].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[615]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[615]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[19].gprff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[19].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[608]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[608]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[19].gprff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[19].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[617]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[617]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[19].gprff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[19].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[618]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[618]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[19].gprff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[19].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[620]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[620]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[19].gprff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[19].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[621]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[621]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[19].gprff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[19].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[622]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[622]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[19].gprff_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[19].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[626]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[626]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[19].gprff_genblock.dff_dout_reg[24]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[19].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[632]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[632]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[19].gprff_genblock.dff_dout_reg[25]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[19].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[633]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[633]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[19].gprff_genblock.dff_dout_reg[29]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[19].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[637]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[637]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[19].gprff_genblock.dff_dout_reg[31]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[19].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[639]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[639]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_arf_gpr[20].gprff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_arf_gpr_wr_en[20]),
+	.GCLK(\brqrv_top_brqrv_dec_arf_gpr[20].gprff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[20].gprff_genblock.dff_dout_reg[28]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[20].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[668]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[668]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[20].gprff_genblock.dff_dout_reg[27]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[20].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[667]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[667]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[20].gprff_genblock.dff_dout_reg[23]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[20].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[663]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[663]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[20].gprff_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[20].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[657]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[657]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[20].gprff_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[20].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[656]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[656]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[20].gprff_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[20].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[661]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[661]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[20].gprff_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[20].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[659]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[659]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[20].gprff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[20].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[651]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[651]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[20].gprff_genblock.dff_dout_reg[26]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[20].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[666]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[666]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[20].gprff_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[20].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[660]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[660]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[20].gprff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[20].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[643]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[643]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[20].gprff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[20].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[642]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[642]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[20].gprff_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[20].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[655]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[655]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[20].gprff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[20].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[648]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[648]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[20].gprff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[20].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[641]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[641]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[20].gprff_genblock.dff_dout_reg[30]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[20].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[670]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[670]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[20].gprff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[20].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[644]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[644]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[20].gprff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[20].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[645]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[645]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[20].gprff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[20].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[646]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[646]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[20].gprff_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[20].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[662]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[662]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[20].gprff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[20].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[647]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[647]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[20].gprff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[20].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[640]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[640]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[20].gprff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[20].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[649]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[649]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[20].gprff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[20].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[650]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[650]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[20].gprff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[20].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[652]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[652]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[20].gprff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[20].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[653]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[653]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[20].gprff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[20].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[654]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[654]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[20].gprff_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[20].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[658]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[658]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[20].gprff_genblock.dff_dout_reg[24]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[20].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[664]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[664]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[20].gprff_genblock.dff_dout_reg[25]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[20].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[665]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[665]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[20].gprff_genblock.dff_dout_reg[29]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[20].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[669]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[669]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[20].gprff_genblock.dff_dout_reg[31]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[20].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[671]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[671]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_arf_gpr[21].gprff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_arf_gpr_wr_en[21]),
+	.GCLK(\brqrv_top_brqrv_dec_arf_gpr[21].gprff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[21].gprff_genblock.dff_dout_reg[28]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[21].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[700]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[700]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[21].gprff_genblock.dff_dout_reg[27]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[21].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[699]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[699]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[21].gprff_genblock.dff_dout_reg[23]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[21].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[695]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[695]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[21].gprff_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[21].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[689]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[689]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[21].gprff_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[21].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[688]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[688]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[21].gprff_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[21].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[693]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[693]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[21].gprff_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[21].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[691]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[691]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[21].gprff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[21].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[683]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[683]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[21].gprff_genblock.dff_dout_reg[26]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[21].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[698]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[698]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[21].gprff_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[21].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[692]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[692]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[21].gprff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[21].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[675]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[675]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[21].gprff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[21].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[674]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[674]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[21].gprff_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[21].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[687]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[687]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[21].gprff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[21].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[680]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[680]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[21].gprff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[21].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[673]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[673]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[21].gprff_genblock.dff_dout_reg[30]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[21].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[702]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[702]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[21].gprff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[21].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[676]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[676]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[21].gprff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[21].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[677]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[677]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[21].gprff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[21].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[678]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[678]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[21].gprff_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[21].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[694]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[694]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[21].gprff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[21].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[679]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[679]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[21].gprff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[21].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[672]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[672]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[21].gprff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[21].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[681]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[681]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[21].gprff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[21].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[682]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[682]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[21].gprff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[21].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[684]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[684]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[21].gprff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[21].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[685]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[685]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[21].gprff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[21].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[686]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[686]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[21].gprff_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[21].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[690]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[690]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[21].gprff_genblock.dff_dout_reg[24]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[21].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[696]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[696]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[21].gprff_genblock.dff_dout_reg[25]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[21].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[697]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[697]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[21].gprff_genblock.dff_dout_reg[29]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[21].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[701]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[701]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[21].gprff_genblock.dff_dout_reg[31]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[21].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[703]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[703]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_arf_gpr[22].gprff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_arf_gpr_wr_en[22]),
+	.GCLK(\brqrv_top_brqrv_dec_arf_gpr[22].gprff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[22].gprff_genblock.dff_dout_reg[28]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[22].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[732]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[732]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[22].gprff_genblock.dff_dout_reg[27]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[22].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[731]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[731]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[22].gprff_genblock.dff_dout_reg[23]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[22].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[727]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[727]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[22].gprff_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[22].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[721]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[721]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[22].gprff_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[22].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[720]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[720]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[22].gprff_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[22].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[725]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[725]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[22].gprff_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[22].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[723]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[723]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[22].gprff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[22].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[715]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[715]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[22].gprff_genblock.dff_dout_reg[26]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[22].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[730]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[730]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[22].gprff_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[22].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[724]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[724]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[22].gprff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[22].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[707]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[707]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[22].gprff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[22].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[706]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[706]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[22].gprff_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[22].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[719]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[719]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[22].gprff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[22].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[712]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[712]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[22].gprff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[22].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[705]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[705]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[22].gprff_genblock.dff_dout_reg[30]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[22].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[734]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[734]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[22].gprff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[22].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[708]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[708]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[22].gprff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[22].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[709]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[709]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[22].gprff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[22].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[710]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[710]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[22].gprff_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[22].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[726]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[726]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[22].gprff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[22].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[711]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[711]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[22].gprff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[22].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[704]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[704]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[22].gprff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[22].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[713]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[713]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[22].gprff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[22].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[714]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[714]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[22].gprff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[22].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[716]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[716]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[22].gprff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[22].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[717]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[717]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[22].gprff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[22].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[718]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[718]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[22].gprff_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[22].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[722]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[722]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[22].gprff_genblock.dff_dout_reg[24]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[22].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[728]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[728]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[22].gprff_genblock.dff_dout_reg[25]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[22].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[729]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[729]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[22].gprff_genblock.dff_dout_reg[29]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[22].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[733]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[733]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[22].gprff_genblock.dff_dout_reg[31]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[22].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[735]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[735]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_arf_gpr[23].gprff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_arf_gpr_wr_en[23]),
+	.GCLK(\brqrv_top_brqrv_dec_arf_gpr[23].gprff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[23].gprff_genblock.dff_dout_reg[28]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[23].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[764]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[764]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[23].gprff_genblock.dff_dout_reg[27]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[23].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[763]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[763]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[23].gprff_genblock.dff_dout_reg[23]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[23].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[759]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[759]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[23].gprff_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[23].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[753]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[753]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[23].gprff_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[23].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[752]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[752]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[23].gprff_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[23].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[757]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[757]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[23].gprff_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[23].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[755]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[755]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[23].gprff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[23].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[747]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[747]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[23].gprff_genblock.dff_dout_reg[26]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[23].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[762]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[762]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[23].gprff_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[23].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[756]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[756]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[23].gprff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[23].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[739]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[739]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[23].gprff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[23].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[738]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[738]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[23].gprff_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[23].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[751]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[751]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[23].gprff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[23].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[744]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[744]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[23].gprff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[23].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[737]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[737]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[23].gprff_genblock.dff_dout_reg[30]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[23].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[766]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[766]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[23].gprff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[23].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[740]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[740]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[23].gprff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[23].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[741]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[741]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[23].gprff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[23].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[742]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[742]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[23].gprff_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[23].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[758]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[758]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[23].gprff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[23].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[743]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[743]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[23].gprff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[23].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[736]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[736]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[23].gprff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[23].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[745]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[745]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[23].gprff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[23].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[746]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[746]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[23].gprff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[23].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[748]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[748]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[23].gprff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[23].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[749]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[749]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[23].gprff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[23].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[750]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[750]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[23].gprff_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[23].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[754]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[754]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[23].gprff_genblock.dff_dout_reg[24]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[23].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[760]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[760]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[23].gprff_genblock.dff_dout_reg[25]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[23].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[761]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[761]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[23].gprff_genblock.dff_dout_reg[29]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[23].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[765]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[765]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[23].gprff_genblock.dff_dout_reg[31]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[23].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[767]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[767]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_arf_gpr[24].gprff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_arf_gpr_wr_en[24]),
+	.GCLK(\brqrv_top_brqrv_dec_arf_gpr[24].gprff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[24].gprff_genblock.dff_dout_reg[28]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[24].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[796]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[796]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[24].gprff_genblock.dff_dout_reg[27]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[24].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[795]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[795]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[24].gprff_genblock.dff_dout_reg[23]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[24].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[791]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[791]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[24].gprff_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[24].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[785]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[785]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[24].gprff_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[24].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[784]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[784]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[24].gprff_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[24].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[789]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[789]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[24].gprff_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[24].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[787]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[787]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[24].gprff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[24].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[779]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[779]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[24].gprff_genblock.dff_dout_reg[26]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[24].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[794]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[794]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[24].gprff_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[24].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[788]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[788]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[24].gprff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[24].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[771]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[771]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[24].gprff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[24].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[770]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[770]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[24].gprff_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[24].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[783]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[783]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[24].gprff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[24].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[776]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[776]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[24].gprff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[24].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[769]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[769]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[24].gprff_genblock.dff_dout_reg[30]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[24].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[798]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[798]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[24].gprff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[24].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[772]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[772]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[24].gprff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[24].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[773]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[773]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[24].gprff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[24].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[774]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[774]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[24].gprff_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[24].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[790]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[790]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[24].gprff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[24].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[775]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[775]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[24].gprff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[24].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[768]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[768]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[24].gprff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[24].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[777]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[777]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[24].gprff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[24].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[778]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[778]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[24].gprff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[24].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[780]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[780]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[24].gprff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[24].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[781]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[781]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[24].gprff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[24].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[782]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[782]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[24].gprff_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[24].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[786]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[786]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[24].gprff_genblock.dff_dout_reg[24]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[24].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[792]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[792]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[24].gprff_genblock.dff_dout_reg[25]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[24].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[793]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[793]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[24].gprff_genblock.dff_dout_reg[29]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[24].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[797]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[797]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[24].gprff_genblock.dff_dout_reg[31]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[24].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[799]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[799]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_arf_gpr[25].gprff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_arf_gpr_wr_en[25]),
+	.GCLK(\brqrv_top_brqrv_dec_arf_gpr[25].gprff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[25].gprff_genblock.dff_dout_reg[28]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[25].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[828]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[828]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[25].gprff_genblock.dff_dout_reg[27]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[25].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[827]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[827]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[25].gprff_genblock.dff_dout_reg[23]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[25].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[823]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[823]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[25].gprff_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[25].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[817]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[817]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[25].gprff_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[25].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[816]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[816]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[25].gprff_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[25].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[821]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[821]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[25].gprff_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[25].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[819]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[819]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[25].gprff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[25].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[811]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[811]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[25].gprff_genblock.dff_dout_reg[26]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[25].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[826]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[826]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[25].gprff_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[25].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[820]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[820]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[25].gprff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[25].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[803]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[803]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[25].gprff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[25].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[802]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[802]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[25].gprff_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[25].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[815]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[815]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[25].gprff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[25].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[808]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[808]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[25].gprff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[25].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[801]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[801]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[25].gprff_genblock.dff_dout_reg[30]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[25].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[830]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[830]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[25].gprff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[25].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[804]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[804]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[25].gprff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[25].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[805]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[805]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[25].gprff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[25].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[806]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[806]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[25].gprff_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[25].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[822]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[822]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[25].gprff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[25].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[807]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[807]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[25].gprff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[25].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[800]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[800]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[25].gprff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[25].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[809]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[809]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[25].gprff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[25].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[810]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[810]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[25].gprff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[25].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[812]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[812]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[25].gprff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[25].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[813]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[813]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[25].gprff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[25].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[814]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[814]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[25].gprff_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[25].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[818]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[818]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[25].gprff_genblock.dff_dout_reg[24]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[25].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[824]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[824]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[25].gprff_genblock.dff_dout_reg[25]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[25].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[825]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[825]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[25].gprff_genblock.dff_dout_reg[29]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[25].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[829]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[829]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[25].gprff_genblock.dff_dout_reg[31]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[25].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[831]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[831]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_arf_gpr[26].gprff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_arf_gpr_wr_en[26]),
+	.GCLK(\brqrv_top_brqrv_dec_arf_gpr[26].gprff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[26].gprff_genblock.dff_dout_reg[28]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[26].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[860]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[860]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[26].gprff_genblock.dff_dout_reg[27]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[26].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[859]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[859]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[26].gprff_genblock.dff_dout_reg[23]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[26].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[855]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[855]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[26].gprff_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[26].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[849]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[849]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[26].gprff_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[26].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[848]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[848]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[26].gprff_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[26].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[853]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[853]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[26].gprff_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[26].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[851]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[851]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[26].gprff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[26].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[843]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[843]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[26].gprff_genblock.dff_dout_reg[26]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[26].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[858]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[858]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[26].gprff_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[26].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[852]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[852]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[26].gprff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[26].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[835]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[835]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[26].gprff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[26].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[834]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[834]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[26].gprff_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[26].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[847]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[847]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[26].gprff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[26].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[840]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[840]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[26].gprff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[26].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[833]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[833]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[26].gprff_genblock.dff_dout_reg[30]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[26].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[862]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[862]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[26].gprff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[26].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[836]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[836]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[26].gprff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[26].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[837]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[837]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[26].gprff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[26].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[838]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[838]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[26].gprff_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[26].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[854]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[854]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[26].gprff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[26].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[839]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[839]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[26].gprff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[26].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[832]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[832]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[26].gprff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[26].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[841]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[841]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[26].gprff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[26].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[842]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[842]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[26].gprff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[26].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[844]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[844]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[26].gprff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[26].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[845]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[845]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[26].gprff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[26].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[846]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[846]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[26].gprff_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[26].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[850]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[850]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[26].gprff_genblock.dff_dout_reg[24]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[26].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[856]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[856]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[26].gprff_genblock.dff_dout_reg[25]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[26].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[857]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[857]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[26].gprff_genblock.dff_dout_reg[29]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[26].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[861]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[861]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[26].gprff_genblock.dff_dout_reg[31]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[26].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[863]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[863]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_arf_gpr[27].gprff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_arf_gpr_wr_en[27]),
+	.GCLK(\brqrv_top_brqrv_dec_arf_gpr[27].gprff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[27].gprff_genblock.dff_dout_reg[28]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[27].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[892]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[892]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[27].gprff_genblock.dff_dout_reg[27]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[27].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[891]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[891]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[27].gprff_genblock.dff_dout_reg[23]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[27].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[887]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[887]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[27].gprff_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[27].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[881]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[881]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[27].gprff_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[27].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[880]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[880]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[27].gprff_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[27].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[885]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[885]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[27].gprff_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[27].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[883]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[883]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[27].gprff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[27].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[875]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[875]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[27].gprff_genblock.dff_dout_reg[26]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[27].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[890]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[890]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[27].gprff_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[27].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[884]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[884]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[27].gprff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[27].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[867]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[867]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[27].gprff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[27].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[866]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[866]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[27].gprff_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[27].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[879]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[879]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[27].gprff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[27].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[872]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[872]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[27].gprff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[27].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[865]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[865]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[27].gprff_genblock.dff_dout_reg[30]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[27].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[894]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[894]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[27].gprff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[27].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[868]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[868]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[27].gprff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[27].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[869]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[869]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[27].gprff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[27].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[870]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[870]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[27].gprff_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[27].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[886]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[886]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[27].gprff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[27].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[871]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[871]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[27].gprff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[27].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[864]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[864]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[27].gprff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[27].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[873]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[873]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[27].gprff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[27].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[874]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[874]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[27].gprff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[27].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[876]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[876]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[27].gprff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[27].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[877]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[877]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[27].gprff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[27].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[878]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[878]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[27].gprff_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[27].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[882]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[882]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[27].gprff_genblock.dff_dout_reg[24]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[27].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[888]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[888]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[27].gprff_genblock.dff_dout_reg[25]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[27].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[889]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[889]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[27].gprff_genblock.dff_dout_reg[29]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[27].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[893]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[893]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[27].gprff_genblock.dff_dout_reg[31]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[27].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[895]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[895]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_arf_gpr[28].gprff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_arf_gpr_wr_en[28]),
+	.GCLK(\brqrv_top_brqrv_dec_arf_gpr[28].gprff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[28].gprff_genblock.dff_dout_reg[28]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[28].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[924]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[924]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[28].gprff_genblock.dff_dout_reg[27]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[28].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[923]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[923]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[28].gprff_genblock.dff_dout_reg[23]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[28].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[919]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[919]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[28].gprff_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[28].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[913]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[913]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[28].gprff_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[28].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[912]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[912]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[28].gprff_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[28].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[917]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[917]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[28].gprff_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[28].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[915]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[915]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[28].gprff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[28].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[907]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[907]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[28].gprff_genblock.dff_dout_reg[26]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[28].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[922]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[922]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[28].gprff_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[28].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[916]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[916]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[28].gprff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[28].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[899]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[899]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[28].gprff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[28].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[898]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[898]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[28].gprff_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[28].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[911]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[911]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[28].gprff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[28].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[904]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[904]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[28].gprff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[28].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[897]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[897]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[28].gprff_genblock.dff_dout_reg[30]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[28].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[926]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[926]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[28].gprff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[28].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[900]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[900]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[28].gprff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[28].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[901]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[901]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[28].gprff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[28].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[902]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[902]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[28].gprff_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[28].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[918]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[918]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[28].gprff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[28].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[903]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[903]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[28].gprff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[28].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[896]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[896]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[28].gprff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[28].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[905]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[905]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[28].gprff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[28].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[906]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[906]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[28].gprff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[28].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[908]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[908]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[28].gprff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[28].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[909]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[909]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[28].gprff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[28].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[910]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[910]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[28].gprff_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[28].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[914]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[914]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[28].gprff_genblock.dff_dout_reg[24]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[28].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[920]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[920]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[28].gprff_genblock.dff_dout_reg[25]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[28].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[921]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[921]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[28].gprff_genblock.dff_dout_reg[29]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[28].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[925]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[925]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[28].gprff_genblock.dff_dout_reg[31]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[28].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[927]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[927]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_arf_gpr[29].gprff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_arf_gpr_wr_en[29]),
+	.GCLK(\brqrv_top_brqrv_dec_arf_gpr[29].gprff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[29].gprff_genblock.dff_dout_reg[28]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[29].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[956]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[956]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[29].gprff_genblock.dff_dout_reg[27]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[29].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[955]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[955]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[29].gprff_genblock.dff_dout_reg[23]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[29].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[951]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[951]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[29].gprff_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[29].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[945]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[945]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[29].gprff_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[29].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[944]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[944]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[29].gprff_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[29].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[949]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[949]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[29].gprff_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[29].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[947]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[947]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[29].gprff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[29].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[939]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[939]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[29].gprff_genblock.dff_dout_reg[26]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[29].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[954]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[954]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[29].gprff_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[29].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[948]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[948]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[29].gprff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[29].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[931]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[931]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[29].gprff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[29].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[930]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[930]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[29].gprff_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[29].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[943]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[943]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[29].gprff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[29].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[936]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[936]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[29].gprff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[29].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[929]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[929]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[29].gprff_genblock.dff_dout_reg[30]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[29].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[958]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[958]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[29].gprff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[29].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[932]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[932]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[29].gprff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[29].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[933]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[933]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[29].gprff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[29].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[934]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[934]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[29].gprff_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[29].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[950]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[950]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[29].gprff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[29].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[935]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[935]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[29].gprff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[29].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[928]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[928]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[29].gprff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[29].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[937]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[937]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[29].gprff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[29].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[938]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[938]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[29].gprff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[29].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[940]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[940]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[29].gprff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[29].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[941]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[941]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[29].gprff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[29].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[942]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[942]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[29].gprff_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[29].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[946]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[946]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[29].gprff_genblock.dff_dout_reg[24]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[29].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[952]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[952]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[29].gprff_genblock.dff_dout_reg[25]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[29].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[953]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[953]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[29].gprff_genblock.dff_dout_reg[29]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[29].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[957]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[957]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[29].gprff_genblock.dff_dout_reg[31]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[29].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[959]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[959]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_arf_gpr[30].gprff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_arf_gpr_wr_en[30]),
+	.GCLK(\brqrv_top_brqrv_dec_arf_gpr[30].gprff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[30].gprff_genblock.dff_dout_reg[28]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[30].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[988]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[988]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[30].gprff_genblock.dff_dout_reg[27]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[30].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[987]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[987]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[30].gprff_genblock.dff_dout_reg[23]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[30].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[983]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[983]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[30].gprff_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[30].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[977]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[977]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[30].gprff_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[30].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[976]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[976]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[30].gprff_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[30].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[981]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[981]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[30].gprff_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[30].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[979]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[979]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[30].gprff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[30].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[971]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[971]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[30].gprff_genblock.dff_dout_reg[26]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[30].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[986]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[986]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[30].gprff_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[30].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[980]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[980]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[30].gprff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[30].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[963]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[963]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[30].gprff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[30].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[962]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[962]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[30].gprff_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[30].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[975]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[975]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[30].gprff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[30].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[968]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[968]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[30].gprff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[30].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[961]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[961]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[30].gprff_genblock.dff_dout_reg[30]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[30].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[990]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[990]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[30].gprff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[30].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[964]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[964]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[30].gprff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[30].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[965]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[965]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[30].gprff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[30].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[966]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[966]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[30].gprff_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[30].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[982]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[982]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[30].gprff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[30].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[967]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[967]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[30].gprff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[30].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[960]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[960]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[30].gprff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[30].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[969]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[969]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[30].gprff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[30].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[970]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[970]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[30].gprff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[30].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[972]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[972]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[30].gprff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[30].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[973]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[973]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[30].gprff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[30].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[974]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[974]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[30].gprff_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[30].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[978]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[978]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[30].gprff_genblock.dff_dout_reg[24]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[30].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[984]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[984]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[30].gprff_genblock.dff_dout_reg[25]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[30].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[985]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[985]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[30].gprff_genblock.dff_dout_reg[29]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[30].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[989]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[989]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[30].gprff_genblock.dff_dout_reg[31]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[30].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[991]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[991]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_arf_gpr[31].gprff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_arf_gpr_wr_en[31]),
+	.GCLK(\brqrv_top_brqrv_dec_arf_gpr[31].gprff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[31].gprff_genblock.dff_dout_reg[28]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[31].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[1020]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[1020]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[31].gprff_genblock.dff_dout_reg[27]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[31].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[1019]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[1019]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[31].gprff_genblock.dff_dout_reg[23]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[31].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[1015]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[1015]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[31].gprff_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[31].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[1009]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[1009]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[31].gprff_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[31].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[1008]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[1008]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[31].gprff_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[31].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[1013]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[1013]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[31].gprff_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[31].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[1011]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[1011]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[31].gprff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[31].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[1003]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[1003]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[31].gprff_genblock.dff_dout_reg[26]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[31].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[1018]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[1018]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[31].gprff_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[31].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[1012]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[1012]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[31].gprff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[31].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[995]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[995]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[31].gprff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[31].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[994]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[994]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[31].gprff_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[31].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[1007]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[1007]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[31].gprff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[31].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[1000]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[1000]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[31].gprff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[31].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[993]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[993]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[31].gprff_genblock.dff_dout_reg[30]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[31].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[1022]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[1022]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[31].gprff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[31].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[996]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[996]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[31].gprff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[31].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[997]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[997]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[31].gprff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[31].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[998]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[998]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[31].gprff_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[31].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[1014]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[1014]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[31].gprff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[31].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[999]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[999]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[31].gprff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[31].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[992]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[992]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[31].gprff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[31].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[1001]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[1001]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[31].gprff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[31].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[1002]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[1002]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[31].gprff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[31].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[1004]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[1004]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[31].gprff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[31].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[1005]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[1005]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[31].gprff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[31].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[1006]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[1006]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[31].gprff_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[31].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[1010]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[1010]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[31].gprff_genblock.dff_dout_reg[24]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[31].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[1016]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[1016]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[31].gprff_genblock.dff_dout_reg[25]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[31].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[1017]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[1017]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[31].gprff_genblock.dff_dout_reg[29]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[31].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[1021]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[1021]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[31].gprff_genblock.dff_dout_reg[31]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[31].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[1023]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[1023]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6499__9315  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_24 ),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_100 ),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_98 ),
+	.C1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_114 ),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_trigger_data_match[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6500__9945  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_112 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_105 ),
+	.C(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_113 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_114 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6501__2883  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_106 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_111 ),
+	.C(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_82 ),
+	.D(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_104 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_113 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6502__2346  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_102 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_107 ),
+	.C(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_110 ),
+	.D(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_109 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_112 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6503__1666  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_65 ),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_37 ),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_66 ),
+	.C1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_71 ),
+	.D1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_108 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_111 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6504__7410  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_83 ),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_17 ),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_103 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_110 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6505__6417  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_89 ),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_28 ),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_93 ),
+	.C1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_78 ),
+	.D1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_101 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_109 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6506__5477  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_54 ),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_97 ),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_16 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_108 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6507__2398  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_89 ),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_45 ),
+	.A3(brqrv_top_brqrv_trigger_pkt_any[27]),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_35 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_107 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6508__5107  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_92 ),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_32 ),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_95 ),
+	.C1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_96 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_106 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6509__6260  (
+	.A1(brqrv_top_brqrv_trigger_pkt_any[30]),
+	.A2(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[30]),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_99 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_105 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6510__4319  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_88 ),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_39 ),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_67 ),
+	.C1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_90 ),
+	.D1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_79 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_104 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6511__8428  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_38 ),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_85 ),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_36 ),
+	.B2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_97 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_103 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6512__5526  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_88 ),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_41 ),
+	.A3(brqrv_top_brqrv_trigger_pkt_any[21]),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_22 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_102 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6513__6783  (
+	.A1(brqrv_top_brqrv_trigger_pkt_any[26]),
+	.A2(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[26]),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_94 ),
+	.C1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_5 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_101 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6514__3680  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_46 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_52 ),
+	.C(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_97 ),
+	.X(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_100 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32oi_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6515__1617  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_92 ),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_62 ),
+	.A3(brqrv_top_brqrv_trigger_pkt_any[15]),
+	.B1(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[30]),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[30]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_99 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6516__2802  (
+	.A1(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[31]),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[31]),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_91 ),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[23]),
+	.C1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_12 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_98 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6517__1705  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_40 ),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_73 ),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_86 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_96 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6518__5122  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_84 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_41 ),
+	.C(brqrv_top_brqrv_trigger_pkt_any[17]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_97 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6519__8246  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_29 ),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_75 ),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_30 ),
+	.B2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_72 ),
+	.C1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_80 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_95 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4b_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6520__7098  (
+	.A_N(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_54 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_88 ),
+	.C(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_41 ),
+	.D(brqrv_top_brqrv_trigger_pkt_any[25]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_94 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6521__6131  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_26 ),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_81 ),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_87 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_93 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6522__1881  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_58 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_85 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_91 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211o_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6523__5115  (
+	.A1(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[17]),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[17]),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_84 ),
+	.C1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_11 ),
+	.X(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_90 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4bb_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6524__7482  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_7 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_81 ),
+	.C_N(brqrv_top_brqrv_trigger_pkt_any[13]),
+	.D_N(brqrv_top_brqrv_trigger_pkt_any[12]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_92 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6525__4733  (
+	.A1(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[8]),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[8]),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_76 ),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[7]),
+	.C1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_13 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_87 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6526__6161  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_77 ),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_33 ),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_74 ),
+	.B2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_31 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_86 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6527__9315  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_44 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_56 ),
+	.C(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_73 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_89 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6528__9945  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_6 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_7 ),
+	.C(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_44 ),
+	.D(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_81 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_88 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6529__2883  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_6 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_55 ),
+	.C(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_73 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_83 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6530__2346  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_68 ),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_60 ),
+	.A3(brqrv_top_brqrv_trigger_pkt_any[23]),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_18 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_82 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6531__1666  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_77 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_1 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_85 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4b_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6532__7410  (
+	.A_N(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_14 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_74 ),
+	.C(brqrv_top_brqrv_trigger_pkt_any[15]),
+	.D(brqrv_top_brqrv_trigger_pkt_any[16]),
+	.X(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_84 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6533__6417  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_64 ),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_27 ),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_69 ),
+	.B2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_34 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_80 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31o_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6534__5477  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_68 ),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_2 ),
+	.A3(brqrv_top_brqrv_trigger_pkt_any[15]),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_21 ),
+	.X(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_79 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6535__2398  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_68 ),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_23 ),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_70 ),
+	.B2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_25 ),
+	.X(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_78 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3b_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6536__5107  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_43 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_75 ),
+	.C_N(brqrv_top_brqrv_trigger_pkt_any[6]),
+	.X(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_81 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6537__6260  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_48 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_72 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_76 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6538__4319  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_51 ),
+	.B_N(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_68 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_77 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6539__8428  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_69 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[5]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_75 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4bb_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6540__5526  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_7 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_43 ),
+	.C_N(brqrv_top_brqrv_trigger_pkt_any[12]),
+	.D_N(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_64 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_74 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6541__6783  (
+	.A_N(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_72 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_57 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_73 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6542__3680  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_53 ),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_63 ),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_50 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_71 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6543__1617  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_15 ),
+	.B_N(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_64 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_70 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6544__2802  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_65 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[3]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_72 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6545__1705  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_181 ),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_63 ),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_20 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_67 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6546__5122  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_4 ),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_63 ),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_19 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_66 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6547__8246  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_10 ),
+	.B_N(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_65 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_69 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3b_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6548__7098  (
+	.A_N(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_43 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_64 ),
+	.C(brqrv_top_brqrv_trigger_pkt_any[10]),
+	.X(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_68 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6549__6131  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_47 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_63 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_65 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6550__1881  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_9 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_10 ),
+	.C(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_47 ),
+	.D(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_63 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_64 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6551__5115  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_61 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[36]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_63 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4bb_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6552__7482  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_52 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_56 ),
+	.C_N(brqrv_top_brqrv_trigger_pkt_any[29]),
+	.D_N(brqrv_top_brqrv_trigger_pkt_any[14]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_62 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4b_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6553__4733  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_58 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_56 ),
+	.C(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_181 ),
+	.D_N(brqrv_top_brqrv_trigger_pkt_any[31]),
+	.X(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_61 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3b_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6554__6161  (
+	.A_N(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_51 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_1 ),
+	.C(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_45 ),
+	.X(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_60 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6558__9945  (
+	.A_N(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_52 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[30]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[29]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_58 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6560__2883  (
+	.A_N(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_44 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[18]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[19]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_55 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6561__2346  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_7 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_43 ),
+	.C(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_48 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_57 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6562__1666  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_6 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_42 ),
+	.C(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_46 ),
+	.X(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_56 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6563__7410  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_4 ),
+	.A2(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[0]),
+	.B1(brqrv_top_brqrv_trigger_pkt_any[0]),
+	.B2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_3 ),
+	.C1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_49 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_53 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6564__6417  (
+	.A_N(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_46 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[24]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_54 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6565__5477  (
+	.A1(brqrv_top_brqrv_trigger_pkt_any[1]),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[0]),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_49 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_50 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6566__2398  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_45 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[28]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[27]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_52 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6567__5107  (
+	.A_N(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_14 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[12]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[11]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_51 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6568  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_42 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_41 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6569__6260  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[12]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[12]),
+	.X(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_40 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6570__4319  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[18]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[18]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_39 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6571__8428  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[23]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[23]),
+	.X(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_38 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6572__5526  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[3]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[3]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_37 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6573__6783  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[21]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[21]),
+	.X(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_36 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6574__3680  (
+	.A1_N(brqrv_top_brqrv_trigger_pkt_any[28]),
+	.A2_N(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[28]),
+	.B1(brqrv_top_brqrv_trigger_pkt_any[28]),
+	.B2(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[28]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_35 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6575__1617  (
+	.A1_N(brqrv_top_brqrv_trigger_pkt_any[5]),
+	.A2_N(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[5]),
+	.B1(brqrv_top_brqrv_trigger_pkt_any[5]),
+	.B2(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[5]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_34 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6576__2802  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[15]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[15]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_33 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6577__1705  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[2]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[2]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_49 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6578__5122  (
+	.A_N(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_9 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[4]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_48 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6579__8246  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[0]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[2]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[1]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_47 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6580__7098  (
+	.A_N(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_8 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[23]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_46 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6581__6131  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[26]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[25]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[24]),
+	.X(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_45 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6582__1881  (
+	.A_N(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_14 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[15]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[12]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_44 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6583__5115  (
+	.A_N(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_15 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[9]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_43 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6584__7482  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[18]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[20]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[19]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_42 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6585__4733  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[14]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[14]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_32 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6586__6161  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[13]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[13]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_31 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6587__9315  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[4]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[4]),
+	.X(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_30 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6588__9945  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[6]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[6]),
+	.X(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_29 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6589__2883  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[24]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[24]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_28 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6590__2346  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[7]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[7]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_27 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6591__1666  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[10]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[10]),
+	.X(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_26 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6592__7410  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[9]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[9]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_25 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6593__6417  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[29]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[29]),
+	.X(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_24 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6594__5477  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[11]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[11]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_23 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6595__2398  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[22]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[22]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_22 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6596__5107  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[19]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[19]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_21 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6597__6260  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[16]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[16]),
+	.X(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_20 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6598__4319  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[1]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[1]),
+	.X(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_19 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6599__8428  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[27]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[27]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_18 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6600__5526  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[20]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[20]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_17 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6601__6783  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[25]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[25]),
+	.X(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_16 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6602__3680  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[8]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[8]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_13 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6603__1617  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[31]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[31]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_12 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6604__2802  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[17]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[17]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_11 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6605__1705  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[8]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[7]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_15 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6606__5122  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[14]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[13]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_14 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6607__8246  (
+	.A(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[26]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[26]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_5 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6608__7098  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[4]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[3]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_10 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6609__6131  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[6]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[5]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_9 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6610__1881  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[22]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[21]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_8 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6611__5115  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[11]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[10]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6612__7482  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[17]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[16]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6614  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[0]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6616  (
+	.A(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[0]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g2__4733  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_6 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_51 ),
+	.C_N(brqrv_top_brqrv_trigger_pkt_any[18]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4b_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6618__6161  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_6 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_8 ),
+	.C(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_42 ),
+	.D_N(brqrv_top_brqrv_trigger_pkt_any[15]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_1 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4bb_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g2  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_47 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_44 ),
+	.C_N(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_57 ),
+	.D_N(brqrv_top_brqrv_trigger_pkt_any[3]),
+	.X(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_181 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6499__9945  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_17 ),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_89 ),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_113 ),
+	.C1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_122 ),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_trigger_data_match[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6500__2883  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_121 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_119 ),
+	.C(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_108 ),
+	.D(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_120 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_122 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6501__2346  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_112 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_118 ),
+	.C(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_115 ),
+	.D(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_117 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_121 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6502__1666  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_107 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_114 ),
+	.C(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_104 ),
+	.D(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_109 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_120 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6503__7410  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_28 ),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_2 ),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_26 ),
+	.B2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_97 ),
+	.C1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_116 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_119 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6504__6417  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_38 ),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_100 ),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_30 ),
+	.B2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_83 ),
+	.C1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_110 ),
+	.X(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_118 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6505__5477  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_101 ),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_0 ),
+	.B1(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[62]),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[68]),
+	.C1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_7 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_117 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6506__2398  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_88 ),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_22 ),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_90 ),
+	.C1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_102 ),
+	.D1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_95 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_116 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6507__5107  (
+	.A1(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[60]),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[66]),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_105 ),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[65]),
+	.C1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_14 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_115 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6508__6260  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_91 ),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_40 ),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_111 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_114 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6509__4319  (
+	.A1(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[63]),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[69]),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_106 ),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[61]),
+	.C1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_12 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_113 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6510__8428  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_99 ),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_45 ),
+	.A3(brqrv_top_brqrv_trigger_pkt_any[59]),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_41 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_112 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6511__5526  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_36 ),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_92 ),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_31 ),
+	.B2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_87 ),
+	.C1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_94 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_111 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6512__6783  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_91 ),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_63 ),
+	.A3(brqrv_top_brqrv_trigger_pkt_any[57]),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_34 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_110 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o31a_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6513__3680  (
+	.A1(FE_DBTN39_brqrv_top_brqrv_trigger_pkt_any_61),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_50 ),
+	.A3(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_100 ),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_19 ),
+	.X(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_109 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6514__1617  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_81 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_86 ),
+	.C(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_96 ),
+	.D(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_103 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_108 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6515__2802  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_101 ),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_24 ),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_93 ),
+	.B2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_35 ),
+	.C1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_82 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_107 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6516__1705  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_66 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_100 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_106 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6517__5122  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_50 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_97 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_105 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6518__8246  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_79 ),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_37 ),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_80 ),
+	.C1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_85 ),
+	.D1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_98 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_104 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6519__7098  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_99 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_39 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_103 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6520__6131  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_58 ),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_92 ),
+	.B1(brqrv_top_brqrv_trigger_pkt_any[48]),
+	.B2(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[42]),
+	.C1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_8 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_102 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6522__1881  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_61 ),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_83 ),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_18 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_98 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4bb_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6523__5115  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_68 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_92 ),
+	.C_N(brqrv_top_brqrv_trigger_pkt_any[51]),
+	.D_N(brqrv_top_brqrv_trigger_pkt_any[44]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_101 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6524__7482  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_93 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_43 ),
+	.C(brqrv_top_brqrv_trigger_pkt_any[53]),
+	.D(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_45 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_100 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6525__4733  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_1 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_92 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_99 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6526__6161  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_88 ),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_69 ),
+	.A3(brqrv_top_brqrv_trigger_pkt_any[53]),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_21 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_96 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6527__9315  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_59 ),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_87 ),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_25 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_95 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6528__9945  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_76 ),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_29 ),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_84 ),
+	.B2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_27 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_94 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6529__2883  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_91 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_64 ),
+	.C(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_47 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_97 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6530__2346  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_57 ),
+	.B_N(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_88 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_93 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6531__1666  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_84 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[43]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_92 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6532__7410  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_11 ),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_77 ),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_23 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_90 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6533__6417  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_49 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_56 ),
+	.C(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_83 ),
+	.X(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_89 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6534__5477  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_65 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_87 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_91 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6535__2398  (
+	.A1(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[49]),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[55]),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_78 ),
+	.C1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_13 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_86 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6536__5107  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_53 ),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_75 ),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_55 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_85 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6537__6260  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_46 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_77 ),
+	.C_N(brqrv_top_brqrv_trigger_pkt_any[48]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_88 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6538__4319  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_79 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[41]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_87 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6539__8428  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_71 ),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_75 ),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_32 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_82 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6540__5526  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_74 ),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_70 ),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_20 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_81 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6541__6783  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_3 ),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_75 ),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_42 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_80 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6542__3680  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_79 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[42]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[41]),
+	.X(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_84 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6543__1617  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_78 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_45 ),
+	.C(brqrv_top_brqrv_trigger_pkt_any[55]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_83 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6544__2802  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_52 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_75 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_79 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6545  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_77 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_76 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6546__1705  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_54 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_71 ),
+	.C(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_75 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_78 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6547__5122  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_74 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_67 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_77 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6548  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_75 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_74 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6549__8246  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_73 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[74]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_75 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4b_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6551__7098  (
+	.A_N(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_66 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_70 ),
+	.C(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_64 ),
+	.D(brqrv_top_brqrv_trigger_pkt_any[69]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_73 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4b_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6552__6131  (
+	.A_N(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_56 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_64 ),
+	.C(brqrv_top_brqrv_trigger_pkt_any[52]),
+	.D(brqrv_top_brqrv_trigger_pkt_any[67]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_72 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6554__1881  (
+	.A_N(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_68 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_67 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_71 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6555__5115  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_4 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_15 ),
+	.C(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_57 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_69 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6556__7482  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_48 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_62 ),
+	.C(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_65 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_70 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6557__4733  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_60 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[50]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_68 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6558__6161  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_51 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_62 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_67 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6559__9315  (
+	.A_N(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_56 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[68]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[67]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_66 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6560__9945  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_4 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_15 ),
+	.C(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_48 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_63 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6561__2883  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_9 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_51 ),
+	.C(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_46 ),
+	.X(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_65 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6562__2346  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_15 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_44 ),
+	.C(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_49 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_64 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6564__1666  (
+	.A_N(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_51 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[45]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_59 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6565__7410  (
+	.A_N(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_46 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[44]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_58 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6566__6417  (
+	.A_N(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_52 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[41]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_62 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6567__5477  (
+	.A_N(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_49 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[62]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_61 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6568__2398  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_9 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_46 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_60 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6569__5107  (
+	.A1(brqrv_top_brqrv_trigger_pkt_any[39]),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[38]),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_33 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_55 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6570__6260  (
+	.A_N(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_16 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[53]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[54]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_54 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6571__4319  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_3 ),
+	.A2(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[32]),
+	.B1(brqrv_top_brqrv_trigger_pkt_any[38]),
+	.B2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_6 ),
+	.C1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_33 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_53 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6572__8428  (
+	.A_N(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_16 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[50]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[49]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_57 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6573__5526  (
+	.A_N(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_50 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[66]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[65]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_56 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6574  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_48 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_47 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6575  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_44 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_45 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6576__6783  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_10 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_15 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_43 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6577__3680  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[39]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[33]),
+	.X(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_42 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6578__1617  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[60]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[54]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_41 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6579__2802  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[50]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[44]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_40 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6580__1705  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[56]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[50]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_39 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6581__5122  (
+	.A1_N(FE_DBTN39_brqrv_top_brqrv_trigger_pkt_any_61),
+	.A2_N(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[55]),
+	.B1(FE_DBTN39_brqrv_top_brqrv_trigger_pkt_any_61),
+	.B2(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[55]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_38 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6582__8246  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[41]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[35]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_37 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6583__7098  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[44]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[38]),
+	.X(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_36 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6584__6131  (
+	.A1_N(brqrv_top_brqrv_trigger_pkt_any[53]),
+	.A2_N(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[47]),
+	.B1(brqrv_top_brqrv_trigger_pkt_any[53]),
+	.B2(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[47]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_35 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6585__1881  (
+	.A1_N(brqrv_top_brqrv_trigger_pkt_any[58]),
+	.A2_N(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[52]),
+	.B1(brqrv_top_brqrv_trigger_pkt_any[58]),
+	.B2(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[52]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_34 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6586__5115  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[38]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[40]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[39]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_52 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6587__7482  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[44]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[43]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[42]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_51 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6588__4733  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[62]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[64]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[63]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_50 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6589__6161  (
+	.A(FE_DBTN39_brqrv_top_brqrv_trigger_pkt_any_61),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_10 ),
+	.X(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_49 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6590__9315  (
+	.A_N(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_16 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[53]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[50]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_48 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6591__9945  (
+	.A_N(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_11 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[47]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_46 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6592__2883  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[56]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[58]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[57]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_44 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6593__2346  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[51]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[45]),
+	.X(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_32 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6594__1666  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[42]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[36]),
+	.X(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_31 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6595__7410  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[59]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[53]),
+	.X(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_30 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6596__6417  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[45]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[39]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_29 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6597__5477  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[64]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[58]),
+	.X(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_28 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6598__2398  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[43]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[37]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_27 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6599__5107  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[62]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[56]),
+	.X(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_26 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6600__6260  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[46]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[40]),
+	.X(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_25 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6601__4319  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[52]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[46]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_24 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6602__8428  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[47]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[41]),
+	.X(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_23 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6603__5526  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[49]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[43]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_22 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6604__6783  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[57]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[51]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_21 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6605__3680  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[54]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[48]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_20 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6606__1617  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[65]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[59]),
+	.X(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_19 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6607__2802  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[63]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[57]),
+	.X(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_18 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6608__1705  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[67]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[61]),
+	.X(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_17 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6609__5122  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[40]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[34]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_33 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6611__8246  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[66]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[60]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_14 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6612__7098  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[55]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[49]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_13 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6613__6131  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[69]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[63]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_12 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6614__1881  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[52]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[51]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_16 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6615__5115  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[55]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[54]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_15 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6616__7482  (
+	.A(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[42]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[48]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_8 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6617__4733  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[68]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[62]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6618__6161  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[46]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[45]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_11 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6619__9315  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[60]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[59]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_10 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6620__9945  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[49]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[48]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_9 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6621  (
+	.A(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[32]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6623  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[56]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6624  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[38]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4b_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g2__2883  (
+	.A_N(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_61 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_99 ),
+	.C(brqrv_top_brqrv_trigger_pkt_any[63]),
+	.D(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_45 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4b_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6626__2346  (
+	.A_N(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_15 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_60 ),
+	.C(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_47 ),
+	.D(brqrv_top_brqrv_trigger_pkt_any[44]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_1 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6627__1666  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_72 ),
+	.B_N(brqrv_top_brqrv_trigger_pkt_any[53]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6502__7410  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_17 ),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_89 ),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_113 ),
+	.C1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_122 ),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_trigger_data_match[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6503__6417  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_121 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_119 ),
+	.C(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_108 ),
+	.D(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_120 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_122 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6504__5477  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_112 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_118 ),
+	.C(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_115 ),
+	.D(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_117 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_121 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6505__2398  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_107 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_114 ),
+	.C(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_104 ),
+	.D(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_109 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_120 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6506__5107  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_28 ),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_2 ),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_26 ),
+	.B2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_97 ),
+	.C1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_116 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_119 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6507__6260  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_38 ),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_100 ),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_30 ),
+	.B2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_83 ),
+	.C1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_110 ),
+	.X(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_118 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6508__4319  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_101 ),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_0 ),
+	.B1(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[94]),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[106]),
+	.C1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_7 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_117 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6509__8428  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_88 ),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_22 ),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_90 ),
+	.C1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_102 ),
+	.D1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_95 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_116 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6510__5526  (
+	.A1(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[92]),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[104]),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_105 ),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[103]),
+	.C1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_14 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_115 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6511__6783  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_91 ),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_40 ),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_111 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_114 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6512__3680  (
+	.A1(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[95]),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[107]),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_106 ),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[99]),
+	.C1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_12 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_113 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6513__1617  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_99 ),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_45 ),
+	.A3(brqrv_top_brqrv_trigger_pkt_any[97]),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_41 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_112 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6514__2802  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_36 ),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_92 ),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_31 ),
+	.B2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_87 ),
+	.C1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_94 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_111 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6515__1705  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_91 ),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_63 ),
+	.A3(brqrv_top_brqrv_trigger_pkt_any[95]),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_34 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_110 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o31a_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6516__5122  (
+	.A1(FE_DBTN40_brqrv_top_brqrv_trigger_pkt_any_99),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_50 ),
+	.A3(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_100 ),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_19 ),
+	.X(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_109 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6517__8246  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_81 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_86 ),
+	.C(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_96 ),
+	.D(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_103 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_108 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6518__7098  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_101 ),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_24 ),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_93 ),
+	.B2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_35 ),
+	.C1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_82 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_107 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6519__6131  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_66 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_100 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_106 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6520__1881  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_50 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_97 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_105 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6521__5115  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_79 ),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_37 ),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_80 ),
+	.C1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_85 ),
+	.D1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_98 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_104 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6522__7482  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_99 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_39 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_103 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6523__4733  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_58 ),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_92 ),
+	.B1(brqrv_top_brqrv_trigger_pkt_any[86]),
+	.B2(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[74]),
+	.C1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_8 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_102 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6525__6161  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_61 ),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_83 ),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_18 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_98 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4bb_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6526__9315  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_68 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_92 ),
+	.C_N(brqrv_top_brqrv_trigger_pkt_any[89]),
+	.D_N(brqrv_top_brqrv_trigger_pkt_any[82]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_101 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6527__9945  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_93 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_43 ),
+	.C(brqrv_top_brqrv_trigger_pkt_any[91]),
+	.D(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_45 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_100 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6528__2883  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_1 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_92 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_99 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6529__2346  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_88 ),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_69 ),
+	.A3(brqrv_top_brqrv_trigger_pkt_any[91]),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_21 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_96 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6530__1666  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_59 ),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_87 ),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_25 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_95 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6531__7410  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_76 ),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_29 ),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_84 ),
+	.B2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_27 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_94 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6532__6417  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_91 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_64 ),
+	.C(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_47 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_97 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6533__5477  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_57 ),
+	.B_N(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_88 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_93 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6534__2398  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_84 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[81]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_92 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6535__5107  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_11 ),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_77 ),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_23 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_90 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6536__6260  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_49 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_56 ),
+	.C(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_83 ),
+	.X(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_89 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6537__4319  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_65 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_87 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_91 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6538__8428  (
+	.A1(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[81]),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[93]),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_78 ),
+	.C1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_13 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_86 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6539__5526  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_53 ),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_75 ),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_55 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_85 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6540__6783  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_46 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_77 ),
+	.C_N(brqrv_top_brqrv_trigger_pkt_any[86]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_88 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6541__3680  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_79 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[79]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_87 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6542__1617  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_71 ),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_75 ),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_32 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_82 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6543__2802  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_74 ),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_70 ),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_20 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_81 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6544__1705  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_3 ),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_75 ),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_42 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_80 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6545__5122  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_79 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[80]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[79]),
+	.X(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_84 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6546__8246  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_78 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_45 ),
+	.C(brqrv_top_brqrv_trigger_pkt_any[93]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_83 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6547__7098  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_52 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_75 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_79 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6548  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_77 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_76 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6549__6131  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_54 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_71 ),
+	.C(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_75 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_78 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6550__1881  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_74 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_67 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_77 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6551  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_75 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_74 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6552__5115  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_73 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[112]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_75 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4b_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6554__7482  (
+	.A_N(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_66 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_70 ),
+	.C(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_64 ),
+	.D(brqrv_top_brqrv_trigger_pkt_any[107]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_73 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4b_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6555__4733  (
+	.A_N(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_56 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_64 ),
+	.C(brqrv_top_brqrv_trigger_pkt_any[90]),
+	.D(brqrv_top_brqrv_trigger_pkt_any[105]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_72 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6557__6161  (
+	.A_N(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_68 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_67 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_71 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6558__9315  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_4 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_15 ),
+	.C(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_57 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_69 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6559__9945  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_48 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_62 ),
+	.C(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_65 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_70 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6560__2883  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_60 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[88]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_68 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6561__2346  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_51 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_62 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_67 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6562__1666  (
+	.A_N(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_56 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[106]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[105]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_66 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6563__7410  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_4 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_15 ),
+	.C(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_48 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_63 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6564__6417  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_9 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_51 ),
+	.C(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_46 ),
+	.X(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_65 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6565__5477  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_15 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_44 ),
+	.C(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_49 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_64 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6567__2398  (
+	.A_N(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_51 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[83]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_59 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6568__5107  (
+	.A_N(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_46 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[82]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_58 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6569__6260  (
+	.A_N(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_52 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[79]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_62 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6570__4319  (
+	.A_N(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_49 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[100]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_61 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6571__8428  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_9 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_46 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_60 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6572__5526  (
+	.A1(brqrv_top_brqrv_trigger_pkt_any[77]),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[76]),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_33 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_55 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6573__6783  (
+	.A_N(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_16 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[91]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[92]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_54 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6574__3680  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_3 ),
+	.A2(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[64]),
+	.B1(brqrv_top_brqrv_trigger_pkt_any[76]),
+	.B2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_6 ),
+	.C1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_33 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_53 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6575__1617  (
+	.A_N(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_16 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[88]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[87]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_57 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6576__2802  (
+	.A_N(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_50 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[104]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[103]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_56 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6577  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_48 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_47 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6578  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_44 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_45 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6579__1705  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_10 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_15 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_43 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6580__5122  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[77]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[65]),
+	.X(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_42 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6581__8246  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[98]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[86]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_41 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6582__7098  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[88]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[76]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_40 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6583__6131  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[94]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[82]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_39 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6584__1881  (
+	.A(FE_DBTN40_brqrv_top_brqrv_trigger_pkt_any_99),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[87]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_38 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6585__5115  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[79]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[67]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_37 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6586__7482  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[82]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[70]),
+	.X(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_36 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6587__4733  (
+	.A1_N(brqrv_top_brqrv_trigger_pkt_any[91]),
+	.A2_N(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[79]),
+	.B1(brqrv_top_brqrv_trigger_pkt_any[91]),
+	.B2(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[79]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_35 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6588__6161  (
+	.A1_N(brqrv_top_brqrv_trigger_pkt_any[96]),
+	.A2_N(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[84]),
+	.B1(brqrv_top_brqrv_trigger_pkt_any[96]),
+	.B2(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[84]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_34 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6589__9315  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[76]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[78]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[77]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_52 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6590__9945  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[82]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[81]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[80]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_51 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6591__2883  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[100]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[102]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[101]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_50 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6592__2346  (
+	.A(FE_DBTN40_brqrv_top_brqrv_trigger_pkt_any_99),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_10 ),
+	.X(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_49 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6593__1666  (
+	.A_N(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_16 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[91]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[88]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_48 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6594__7410  (
+	.A_N(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_11 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[85]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_46 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6595__6417  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[94]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[96]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[95]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_44 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6596__5477  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[89]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[77]),
+	.X(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_32 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6597__2398  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[80]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[68]),
+	.X(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_31 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6598__5107  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[97]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[85]),
+	.X(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_30 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6599__6260  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[83]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[71]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_29 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6600__4319  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[102]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[90]),
+	.X(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_28 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6601__8428  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[81]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[69]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_27 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6602__5526  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[100]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[88]),
+	.X(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_26 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6603__6783  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[84]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[72]),
+	.X(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_25 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6604__3680  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[90]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[78]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_24 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6605__1617  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[85]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[73]),
+	.X(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_23 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6606__2802  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[87]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[75]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_22 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6607__1705  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[95]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[83]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_21 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6608__5122  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[92]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[80]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_20 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6609__8246  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[103]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[91]),
+	.X(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_19 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6610__7098  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[101]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[89]),
+	.X(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_18 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6611__6131  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[105]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[93]),
+	.X(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_17 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6612__1881  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[78]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[66]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_33 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6614__5115  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[104]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[92]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_14 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6615__7482  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[93]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[81]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_13 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6616__4733  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[107]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[95]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_12 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6617__6161  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[90]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[89]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_16 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6618__9315  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[93]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[92]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_15 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6619__9945  (
+	.A(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[74]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[86]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_8 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6620__2883  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[106]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[94]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6621__2346  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[84]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[83]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_11 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6622__1666  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[98]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[97]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_10 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6623__7410  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[87]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[86]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_9 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6624  (
+	.A(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[64]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6626  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[94]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6627  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[76]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4b_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g2__6417  (
+	.A_N(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_61 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_99 ),
+	.C(brqrv_top_brqrv_trigger_pkt_any[101]),
+	.D(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_45 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4b_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6629__5477  (
+	.A_N(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_15 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_60 ),
+	.C(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_47 ),
+	.D(brqrv_top_brqrv_trigger_pkt_any[82]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_1 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6630__2398  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_72 ),
+	.B_N(brqrv_top_brqrv_trigger_pkt_any[91]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6496__5107  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_33 ),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_94 ),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_113 ),
+	.C1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_122 ),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_trigger_data_match[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6497__6260  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_121 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_119 ),
+	.C(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_109 ),
+	.D(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_120 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_122 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6498__4319  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_111 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_118 ),
+	.C(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_115 ),
+	.D(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_117 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_121 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6499__8428  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_106 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_114 ),
+	.C(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_112 ),
+	.D(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_108 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_120 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6500__5526  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_28 ),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_2 ),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_26 ),
+	.B2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_96 ),
+	.C1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_116 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_119 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6501__6783  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_37 ),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_98 ),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_30 ),
+	.B2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_90 ),
+	.C1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_107 ),
+	.X(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_118 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6502__3680  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_100 ),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_0 ),
+	.B1(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[126]),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[144]),
+	.C1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_7 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_117 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6503__1617  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_87 ),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_22 ),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_88 ),
+	.C1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_101 ),
+	.D1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_97 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_116 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6504__2802  (
+	.A1(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[124]),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[142]),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_104 ),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[141]),
+	.C1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_13 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_115 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6505__1705  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_89 ),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_39 ),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_110 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_114 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6506__5122  (
+	.A1(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[127]),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[145]),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_105 ),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[137]),
+	.C1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_8 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_113 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6507__8246  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_79 ),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_38 ),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_82 ),
+	.C1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_84 ),
+	.D1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_102 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_112 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6508__7098  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_99 ),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_44 ),
+	.A3(brqrv_top_brqrv_trigger_pkt_any[135]),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_23 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_111 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6509__6131  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_36 ),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_91 ),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_32 ),
+	.B2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_86 ),
+	.C1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_93 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_110 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6510__1881  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_80 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_85 ),
+	.C(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_95 ),
+	.D(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_103 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_109 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o31a_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6511__5115  (
+	.A1(FE_DBTN41_brqrv_top_brqrv_trigger_pkt_any_137),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_48 ),
+	.A3(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_98 ),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_18 ),
+	.X(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_108 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6512__7482  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_89 ),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_63 ),
+	.A3(brqrv_top_brqrv_trigger_pkt_any[133]),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_35 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_107 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6513__4733  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_100 ),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_31 ),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_92 ),
+	.B2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_34 ),
+	.C1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_81 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_106 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6514__6161  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_66 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_98 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_105 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6515__9315  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_48 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_96 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_104 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6516__9945  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_99 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_40 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_103 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6517__2883  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_61 ),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_90 ),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_17 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_102 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6518__2346  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_58 ),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_91 ),
+	.B1(brqrv_top_brqrv_trigger_pkt_any[124]),
+	.B2(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[106]),
+	.C1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_9 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_101 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6520__1666  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_59 ),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_86 ),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_25 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_97 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4bb_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6521__7410  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_68 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_91 ),
+	.C_N(brqrv_top_brqrv_trigger_pkt_any[127]),
+	.D_N(brqrv_top_brqrv_trigger_pkt_any[120]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_100 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6522__6417  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_1 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_91 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_99 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6523__5477  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_92 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_42 ),
+	.C(brqrv_top_brqrv_trigger_pkt_any[129]),
+	.D(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_44 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_98 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6524__2398  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_87 ),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_69 ),
+	.A3(brqrv_top_brqrv_trigger_pkt_any[129]),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_21 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_95 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6525__5107  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_50 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_56 ),
+	.C(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_90 ),
+	.X(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_94 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6526__6260  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_76 ),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_29 ),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_83 ),
+	.B2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_27 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_93 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6527__4319  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_89 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_64 ),
+	.C(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_46 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_96 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6528__8428  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_57 ),
+	.B_N(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_87 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_92 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6529__5526  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_83 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[119]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_91 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6530__6783  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_11 ),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_77 ),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_24 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_88 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6531__3680  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_78 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_44 ),
+	.C(brqrv_top_brqrv_trigger_pkt_any[131]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_90 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6532__1617  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_65 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_86 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_89 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6533__2802  (
+	.A1(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[113]),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[131]),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_78 ),
+	.C1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_12 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_85 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6534__1705  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_53 ),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_75 ),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_55 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_84 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6535__5122  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_45 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_77 ),
+	.C_N(brqrv_top_brqrv_trigger_pkt_any[124]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_87 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6536__8246  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_79 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[117]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_86 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6537__7098  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_3 ),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_75 ),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_41 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_82 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6538__6131  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_71 ),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_75 ),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_20 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_81 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6539__1881  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_74 ),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_70 ),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_19 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_80 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6540__5115  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_79 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[118]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[117]),
+	.X(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_83 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6541__7482  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_52 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_75 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_79 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6542  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_77 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_76 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6543__4733  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_54 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_71 ),
+	.C(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_75 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_78 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6544__6161  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_74 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_67 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_77 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6545  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_75 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_74 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6546__9315  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_73 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[150]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_75 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4b_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6548__9945  (
+	.A_N(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_66 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_70 ),
+	.C(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_64 ),
+	.D(brqrv_top_brqrv_trigger_pkt_any[145]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_73 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4b_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6549__2883  (
+	.A_N(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_56 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_64 ),
+	.C(brqrv_top_brqrv_trigger_pkt_any[128]),
+	.D(brqrv_top_brqrv_trigger_pkt_any[143]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_72 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6551__2346  (
+	.A_N(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_68 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_67 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_71 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6552__1666  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_5 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_14 ),
+	.C(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_57 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_69 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6553__7410  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_47 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_62 ),
+	.C(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_65 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_70 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6554__6417  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_60 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[126]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_68 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6555__5477  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_49 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_62 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_67 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6556__2398  (
+	.A_N(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_56 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[144]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[143]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_66 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6557__5107  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_5 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_14 ),
+	.C(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_47 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_63 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6558__6260  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_16 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_49 ),
+	.C(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_45 ),
+	.X(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_65 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6559__4319  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_14 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_43 ),
+	.C(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_50 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_64 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6561__8428  (
+	.A_N(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_49 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[121]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_59 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6562__5526  (
+	.A_N(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_45 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[120]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_58 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6563__6783  (
+	.A_N(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_52 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[117]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_62 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6564__3680  (
+	.A_N(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_50 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[138]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_61 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6565__1617  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_16 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_45 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_60 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6566__2802  (
+	.A1(brqrv_top_brqrv_trigger_pkt_any[115]),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[114]),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_51 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_55 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6567__1705  (
+	.A_N(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_15 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[129]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[130]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_54 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6568__5122  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_3 ),
+	.A2(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[96]),
+	.B1(brqrv_top_brqrv_trigger_pkt_any[114]),
+	.B2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_4 ),
+	.C1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_51 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_53 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6569__8246  (
+	.A_N(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_15 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[126]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[125]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_57 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6570__7098  (
+	.A_N(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_48 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[142]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[141]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_56 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6571  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_47 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_46 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6572  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_43 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_44 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6573__6131  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_10 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_14 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_42 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6574__1881  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[115]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[97]),
+	.X(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_41 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6575__5115  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[132]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[114]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_40 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6576__7482  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[126]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[108]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_39 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6577__4733  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[117]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[99]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_38 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6578__6161  (
+	.A1_N(FE_DBTN41_brqrv_top_brqrv_trigger_pkt_any_137),
+	.A2_N(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[119]),
+	.B1(FE_DBTN41_brqrv_top_brqrv_trigger_pkt_any_137),
+	.B2(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[119]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_37 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6579__9315  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[120]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[102]),
+	.X(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_36 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6580__9945  (
+	.A1_N(brqrv_top_brqrv_trigger_pkt_any[134]),
+	.A2_N(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[116]),
+	.B1(brqrv_top_brqrv_trigger_pkt_any[134]),
+	.B2(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[116]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_35 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6581__2883  (
+	.A1_N(brqrv_top_brqrv_trigger_pkt_any[129]),
+	.A2_N(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[111]),
+	.B1(brqrv_top_brqrv_trigger_pkt_any[129]),
+	.B2(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[111]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_34 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6582__2346  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[114]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[116]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[115]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_52 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6583__1666  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[116]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[98]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_51 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6584__7410  (
+	.A(FE_DBTN41_brqrv_top_brqrv_trigger_pkt_any_137),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_10 ),
+	.X(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_50 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6585__6417  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[120]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[119]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[118]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_49 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6586__5477  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[138]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[140]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[139]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_48 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6587__2398  (
+	.A_N(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_15 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[129]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[126]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_47 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6588__5107  (
+	.A_N(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_11 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[123]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_45 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6589__6260  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[132]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[134]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[133]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_43 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6590__4319  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[143]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[125]),
+	.X(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_33 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6591__8428  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[118]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[100]),
+	.X(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_32 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6592__5526  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[128]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[110]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_31 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6593__6783  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[135]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[117]),
+	.X(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_30 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6594__3680  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[121]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[103]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_29 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6595__1617  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[140]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[122]),
+	.X(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_28 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6596__2802  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[119]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[101]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_27 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6597__1705  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[138]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[120]),
+	.X(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_26 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6598__5122  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[122]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[104]),
+	.X(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_25 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6599__8246  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[123]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[105]),
+	.X(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_24 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6600__7098  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[136]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[118]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_23 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6601__6131  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[125]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[107]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_22 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6602__1881  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[133]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[115]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_21 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6603__5115  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[127]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[109]),
+	.X(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_20 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6604__7482  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[130]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[112]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_19 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6605__4733  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[141]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[123]),
+	.X(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_18 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6606__6161  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[139]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[121]),
+	.X(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_17 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6608__9315  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[142]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[124]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_13 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6609__9945  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[131]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[113]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_12 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6610__2883  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[125]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[124]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_16 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6611__2346  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[128]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[127]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_15 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6612__1666  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[131]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[130]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_14 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6613__7410  (
+	.A(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[106]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[124]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_9 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6614__6417  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[145]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[127]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_8 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6615__5477  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[144]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[126]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6616__2398  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[122]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[121]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_11 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6617__5107  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[136]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[135]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_10 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6619  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[132]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_5 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6621  (
+	.A(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[96]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6622  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[114]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4b_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g2__6260  (
+	.A_N(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_61 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_99 ),
+	.C(brqrv_top_brqrv_trigger_pkt_any[139]),
+	.D(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_44 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4b_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6623__4319  (
+	.A_N(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_14 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_60 ),
+	.C(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_46 ),
+	.D(brqrv_top_brqrv_trigger_pkt_any[120]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_1 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6624__8428  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_72 ),
+	.B_N(brqrv_top_brqrv_trigger_pkt_any[129]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_decode_cam_array[0].cam_ff_clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_dec_decode_cam_array[0].cam_ff_en ),
+	.GCLK(\brqrv_top_brqrv_dec_decode_cam_array[0].cam_ff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_cam_array[0].cam_ff_dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_dec_decode_cam_array[0].cam_ff_l1clk ),
+	.D(brqrv_top_brqrv_dec_decode_cam_in[9]),
+	.Q(brqrv_top_brqrv_dec_decode_cam_raw[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_cam_array[0].cam_ff_dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_dec_decode_cam_array[0].cam_ff_l1clk ),
+	.D(brqrv_top_brqrv_dec_decode_cam_in[8]),
+	.Q(brqrv_top_brqrv_dec_decode_cam_raw[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_cam_array[0].cam_ff_dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_dec_decode_cam_array[0].cam_ff_l1clk ),
+	.D(brqrv_top_brqrv_dec_decode_cam_in[0]),
+	.Q(brqrv_top_brqrv_dec_decode_cam_raw[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_cam_array[0].cam_ff_dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_dec_decode_cam_array[0].cam_ff_l1clk ),
+	.D(brqrv_top_brqrv_dec_decode_cam_in[7]),
+	.Q(brqrv_top_brqrv_dec_decode_cam_raw[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_cam_array[0].cam_ff_dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_dec_decode_cam_array[0].cam_ff_l1clk ),
+	.D(brqrv_top_brqrv_dec_decode_cam_in[1]),
+	.Q(brqrv_top_brqrv_dec_decode_cam_raw[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_cam_array[0].cam_ff_dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_dec_decode_cam_array[0].cam_ff_l1clk ),
+	.D(brqrv_top_brqrv_dec_decode_cam_in[4]),
+	.Q(brqrv_top_brqrv_dec_decode_cam_raw[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_cam_array[0].cam_ff_dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_dec_decode_cam_array[0].cam_ff_l1clk ),
+	.D(brqrv_top_brqrv_dec_decode_cam_in[3]),
+	.Q(brqrv_top_brqrv_dec_decode_cam_raw[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_cam_array[0].cam_ff_dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_dec_decode_cam_array[0].cam_ff_l1clk ),
+	.D(brqrv_top_brqrv_dec_decode_cam_in[2]),
+	.Q(brqrv_top_brqrv_dec_decode_cam_raw[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_cam_array[0].cam_ff_dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_dec_decode_cam_array[0].cam_ff_l1clk ),
+	.D(brqrv_top_brqrv_dec_decode_cam_in[5]),
+	.Q(brqrv_top_brqrv_dec_decode_cam_raw[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_cam_array[0].cam_ff_dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_dec_decode_cam_array[0].cam_ff_l1clk ),
+	.D(brqrv_top_brqrv_dec_decode_cam_in[6]),
+	.Q(brqrv_top_brqrv_dec_decode_cam_raw[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_decode_cam_array[1].cam_ff_clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_dec_decode_cam_array[1].cam_ff_en ),
+	.GCLK(\brqrv_top_brqrv_dec_decode_cam_array[1].cam_ff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_cam_array[1].cam_ff_dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_dec_decode_cam_array[1].cam_ff_l1clk ),
+	.D(\brqrv_top_brqrv_dec_decode_cam_in[9]_915 ),
+	.Q(brqrv_top_brqrv_dec_decode_cam_raw[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_cam_array[1].cam_ff_dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_dec_decode_cam_array[1].cam_ff_l1clk ),
+	.D(\brqrv_top_brqrv_dec_decode_cam_in[8]_914 ),
+	.Q(brqrv_top_brqrv_dec_decode_cam_raw[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_cam_array[1].cam_ff_dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_dec_decode_cam_array[1].cam_ff_l1clk ),
+	.D(\brqrv_top_brqrv_dec_decode_cam_in[0]_906 ),
+	.Q(brqrv_top_brqrv_dec_decode_cam_raw[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_cam_array[1].cam_ff_dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_dec_decode_cam_array[1].cam_ff_l1clk ),
+	.D(\brqrv_top_brqrv_dec_decode_cam_in[7]_913 ),
+	.Q(brqrv_top_brqrv_dec_decode_cam_raw[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_cam_array[1].cam_ff_dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_dec_decode_cam_array[1].cam_ff_l1clk ),
+	.D(\brqrv_top_brqrv_dec_decode_cam_in[1]_907 ),
+	.Q(brqrv_top_brqrv_dec_decode_cam_raw[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_cam_array[1].cam_ff_dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_dec_decode_cam_array[1].cam_ff_l1clk ),
+	.D(\brqrv_top_brqrv_dec_decode_cam_in[4]_910 ),
+	.Q(brqrv_top_brqrv_dec_decode_cam_raw[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_cam_array[1].cam_ff_dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_dec_decode_cam_array[1].cam_ff_l1clk ),
+	.D(\brqrv_top_brqrv_dec_decode_cam_in[3]_909 ),
+	.Q(brqrv_top_brqrv_dec_decode_cam_raw[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_cam_array[1].cam_ff_dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_dec_decode_cam_array[1].cam_ff_l1clk ),
+	.D(\brqrv_top_brqrv_dec_decode_cam_in[2]_908 ),
+	.Q(brqrv_top_brqrv_dec_decode_cam_raw[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_cam_array[1].cam_ff_dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_dec_decode_cam_array[1].cam_ff_l1clk ),
+	.D(\brqrv_top_brqrv_dec_decode_cam_in[5]_911 ),
+	.Q(brqrv_top_brqrv_dec_decode_cam_raw[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_cam_array[1].cam_ff_dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_dec_decode_cam_array[1].cam_ff_l1clk ),
+	.D(\brqrv_top_brqrv_dec_decode_cam_in[6]_912 ),
+	.Q(brqrv_top_brqrv_dec_decode_cam_raw[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_decode_cam_array[2].cam_ff_clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_dec_decode_cam_array[2].cam_ff_en ),
+	.GCLK(\brqrv_top_brqrv_dec_decode_cam_array[2].cam_ff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_cam_array[2].cam_ff_dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_dec_decode_cam_array[2].cam_ff_l1clk ),
+	.D(\brqrv_top_brqrv_dec_decode_cam_in[9]_925 ),
+	.Q(brqrv_top_brqrv_dec_decode_cam_raw[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_cam_array[2].cam_ff_dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_dec_decode_cam_array[2].cam_ff_l1clk ),
+	.D(\brqrv_top_brqrv_dec_decode_cam_in[8]_924 ),
+	.Q(brqrv_top_brqrv_dec_decode_cam_raw[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_cam_array[2].cam_ff_dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_dec_decode_cam_array[2].cam_ff_l1clk ),
+	.D(\brqrv_top_brqrv_dec_decode_cam_in[0]_916 ),
+	.Q(brqrv_top_brqrv_dec_decode_cam_raw[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_cam_array[2].cam_ff_dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_dec_decode_cam_array[2].cam_ff_l1clk ),
+	.D(\brqrv_top_brqrv_dec_decode_cam_in[7]_923 ),
+	.Q(brqrv_top_brqrv_dec_decode_cam_raw[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_cam_array[2].cam_ff_dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_dec_decode_cam_array[2].cam_ff_l1clk ),
+	.D(\brqrv_top_brqrv_dec_decode_cam_in[1]_917 ),
+	.Q(brqrv_top_brqrv_dec_decode_cam_raw[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_cam_array[2].cam_ff_dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_dec_decode_cam_array[2].cam_ff_l1clk ),
+	.D(\brqrv_top_brqrv_dec_decode_cam_in[4]_920 ),
+	.Q(brqrv_top_brqrv_dec_decode_cam_raw[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_cam_array[2].cam_ff_dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_dec_decode_cam_array[2].cam_ff_l1clk ),
+	.D(\brqrv_top_brqrv_dec_decode_cam_in[3]_919 ),
+	.Q(brqrv_top_brqrv_dec_decode_cam_raw[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_cam_array[2].cam_ff_dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_dec_decode_cam_array[2].cam_ff_l1clk ),
+	.D(\brqrv_top_brqrv_dec_decode_cam_in[2]_918 ),
+	.Q(brqrv_top_brqrv_dec_decode_cam_raw[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_cam_array[2].cam_ff_dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_dec_decode_cam_array[2].cam_ff_l1clk ),
+	.D(\brqrv_top_brqrv_dec_decode_cam_in[5]_921 ),
+	.Q(brqrv_top_brqrv_dec_decode_cam_raw[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_cam_array[2].cam_ff_dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_dec_decode_cam_array[2].cam_ff_l1clk ),
+	.D(\brqrv_top_brqrv_dec_decode_cam_in[6]_922 ),
+	.Q(brqrv_top_brqrv_dec_decode_cam_raw[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_decode_cam_array[3].cam_ff_clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_dec_decode_cam_array[3].cam_ff_en ),
+	.GCLK(\brqrv_top_brqrv_dec_decode_cam_array[3].cam_ff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_cam_array[3].cam_ff_dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_dec_decode_cam_array[3].cam_ff_l1clk ),
+	.D(\brqrv_top_brqrv_dec_decode_cam_in[9]_927 ),
+	.Q(brqrv_top_brqrv_dec_decode_cam_raw[39]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_cam_array[3].cam_ff_dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_dec_decode_cam_array[3].cam_ff_l1clk ),
+	.D(\brqrv_top_brqrv_dec_decode_cam_in[8]_926 ),
+	.Q(brqrv_top_brqrv_dec_decode_cam_raw[38]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_cam_array[3].cam_ff_dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_dec_decode_cam_array[3].cam_ff_l1clk ),
+	.D(brqrv_top_brqrv_dec_decode_cam_in[30]),
+	.Q(brqrv_top_brqrv_dec_decode_cam_raw[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_cam_array[3].cam_ff_dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_dec_decode_cam_array[3].cam_ff_l1clk ),
+	.D(brqrv_top_brqrv_dec_decode_cam_in[37]),
+	.Q(brqrv_top_brqrv_dec_decode_cam_raw[37]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_cam_array[3].cam_ff_dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_dec_decode_cam_array[3].cam_ff_l1clk ),
+	.D(brqrv_top_brqrv_dec_decode_cam_in[31]),
+	.Q(brqrv_top_brqrv_dec_decode_cam_raw[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_cam_array[3].cam_ff_dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_dec_decode_cam_array[3].cam_ff_l1clk ),
+	.D(brqrv_top_brqrv_dec_decode_cam_in[34]),
+	.Q(brqrv_top_brqrv_dec_decode_cam_raw[34]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_cam_array[3].cam_ff_dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_dec_decode_cam_array[3].cam_ff_l1clk ),
+	.D(brqrv_top_brqrv_dec_decode_cam_in[33]),
+	.Q(brqrv_top_brqrv_dec_decode_cam_raw[33]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_cam_array[3].cam_ff_dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_dec_decode_cam_array[3].cam_ff_l1clk ),
+	.D(brqrv_top_brqrv_dec_decode_cam_in[32]),
+	.Q(brqrv_top_brqrv_dec_decode_cam_raw[32]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_cam_array[3].cam_ff_dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_dec_decode_cam_array[3].cam_ff_l1clk ),
+	.D(brqrv_top_brqrv_dec_decode_cam_in[35]),
+	.Q(brqrv_top_brqrv_dec_decode_cam_raw[35]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_cam_array[3].cam_ff_dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_dec_decode_cam_array[3].cam_ff_l1clk ),
+	.D(brqrv_top_brqrv_dec_decode_cam_in[36]),
+	.Q(brqrv_top_brqrv_dec_decode_cam_raw[36]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_decode_n_962),
+	.GCLK(brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_csr_rddata_d[2]),
+	.Q(brqrv_top_brqrv_dec_decode_csr_rddata_x[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_genblock.dff_dout_reg[35]  (
+	.CLK(brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_i0_instr_d[18]),
+	.Q(brqrv_top_brqrv_dec_decode_csrimm_x[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_genblock.dff_dout_reg[33]  (
+	.CLK(brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_i0_instr_d[16]),
+	.Q(brqrv_top_brqrv_dec_decode_csrimm_x[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_genblock.dff_dout_reg[29]  (
+	.CLK(brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_csr_rddata_d[29]),
+	.Q(brqrv_top_brqrv_dec_decode_csr_rddata_x[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_genblock.dff_dout_reg[21]  (
+	.CLK(brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_csr_rddata_d[21]),
+	.Q(brqrv_top_brqrv_dec_decode_csr_rddata_x[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_csr_rddata_d[5]),
+	.Q(brqrv_top_brqrv_dec_decode_csr_rddata_x[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_csr_rddata_d[4]),
+	.Q(brqrv_top_brqrv_dec_decode_csr_rddata_x[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_genblock.dff_dout_reg[20]  (
+	.CLK(brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_csr_rddata_d[20]),
+	.Q(brqrv_top_brqrv_dec_decode_csr_rddata_x[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_csr_rddata_d[3]),
+	.Q(brqrv_top_brqrv_dec_decode_csr_rddata_x[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_genblock.dff_dout_reg[36]  (
+	.CLK(brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_l1clk),
+	.D(n_32720),
+	.Q(brqrv_top_brqrv_dec_decode_csrimm_x[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_genblock.dff_dout_reg[28]  (
+	.CLK(brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_csr_rddata_d[28]),
+	.Q(brqrv_top_brqrv_dec_decode_csr_rddata_x[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_genblock.dff_dout_reg[19]  (
+	.CLK(brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_csr_rddata_d[19]),
+	.Q(brqrv_top_brqrv_dec_decode_csr_rddata_x[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_csr_rddata_d[1]),
+	.Q(brqrv_top_brqrv_dec_decode_csr_rddata_x[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_csr_rddata_d[0]),
+	.Q(brqrv_top_brqrv_dec_decode_csr_rddata_x[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_csr_rddata_d[18]),
+	.Q(brqrv_top_brqrv_dec_decode_csr_rddata_x[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_genblock.dff_dout_reg[32]  (
+	.CLK(brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_l1clk),
+	.D(n_32738),
+	.Q(brqrv_top_brqrv_dec_decode_csrimm_x[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_genblock.dff_dout_reg[27]  (
+	.CLK(brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_csr_rddata_d[27]),
+	.Q(brqrv_top_brqrv_dec_decode_csr_rddata_x[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_csr_rddata_d[17]),
+	.Q(brqrv_top_brqrv_dec_decode_csr_rddata_x[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_genblock.dff_dout_reg[24]  (
+	.CLK(brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_csr_rddata_d[24]),
+	.Q(brqrv_top_brqrv_dec_decode_csr_rddata_x[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_genblock.dff_dout_reg[26]  (
+	.CLK(brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_csr_rddata_d[26]),
+	.Q(brqrv_top_brqrv_dec_decode_csr_rddata_x[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_csr_rddata_d[15]),
+	.Q(brqrv_top_brqrv_dec_decode_csr_rddata_x[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_csr_rddata_d[14]),
+	.Q(brqrv_top_brqrv_dec_decode_csr_rddata_x[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_genblock.dff_dout_reg[34]  (
+	.CLK(brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_i0_instr_d[17]),
+	.Q(brqrv_top_brqrv_dec_decode_csrimm_x[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_genblock.dff_dout_reg[31]  (
+	.CLK(brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_csr_rddata_d[31]),
+	.Q(brqrv_top_brqrv_dec_decode_csr_rddata_x[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_genblock.dff_dout_reg[25]  (
+	.CLK(brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_csr_rddata_d[25]),
+	.Q(brqrv_top_brqrv_dec_decode_csr_rddata_x[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_csr_rddata_d[13]),
+	.Q(brqrv_top_brqrv_dec_decode_csr_rddata_x[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_csr_rddata_d[12]),
+	.Q(brqrv_top_brqrv_dec_decode_csr_rddata_x[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_csr_rddata_d[16]),
+	.Q(brqrv_top_brqrv_dec_decode_csr_rddata_x[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_csr_rddata_d[11]),
+	.Q(brqrv_top_brqrv_dec_decode_csr_rddata_x[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_csr_rddata_d[10]),
+	.Q(brqrv_top_brqrv_dec_decode_csr_rddata_x[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_genblock.dff_dout_reg[30]  (
+	.CLK(brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_csr_rddata_d[30]),
+	.Q(brqrv_top_brqrv_dec_decode_csr_rddata_x[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_genblock.dff_dout_reg[23]  (
+	.CLK(brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_csr_rddata_d[23]),
+	.Q(brqrv_top_brqrv_dec_decode_csr_rddata_x[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_csr_rddata_d[9]),
+	.Q(brqrv_top_brqrv_dec_decode_csr_rddata_x[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_csr_rddata_d[8]),
+	.Q(brqrv_top_brqrv_dec_decode_csr_rddata_x[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_genblock.dff_dout_reg[22]  (
+	.CLK(brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_csr_rddata_d[22]),
+	.Q(brqrv_top_brqrv_dec_decode_csr_rddata_x[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_csr_rddata_d[7]),
+	.Q(brqrv_top_brqrv_dec_decode_csr_rddata_x[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_csr_rddata_d[6]),
+	.Q(brqrv_top_brqrv_dec_decode_csr_rddata_x[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_csrmiscff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_active_clk),
+	.D(brqrv_top_brqrv_dec_decode_csr_ren_qual_d),
+	.Q(brqrv_top_brqrv_dec_decode_csr_read_x),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_csrmiscff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_active_clk),
+	.D(brqrv_top_brqrv_dec_decode_csr_write_d),
+	.Q(brqrv_top_brqrv_dec_decode_n_1558),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_csrmiscff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_active_clk),
+	.D(brqrv_top_brqrv_i0_ap[0]),
+	.Q(brqrv_top_brqrv_dec_decode_n_1234),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_csrmiscff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_active_clk),
+	.D(brqrv_top_brqrv_dec_decode_csr_set_d),
+	.Q(brqrv_top_brqrv_dec_decode_n_1461),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_csrmiscff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_active_clk),
+	.D(brqrv_top_brqrv_dec_decode_csr_clr_d),
+	.Q(brqrv_top_brqrv_dec_decode_n_1428),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_decode_e1brpcff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_data_en[1]),
+	.GCLK(brqrv_top_brqrv_dec_decode_e1brpcff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_e1brpcff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_dec_decode_e1brpcff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_last_br_immed_d[9]),
+	.Q(brqrv_top_brqrv_dec_decode_last_br_immed_x[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_e1brpcff_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_dec_decode_e1brpcff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_last_br_immed_d[11]),
+	.Q(brqrv_top_brqrv_dec_decode_last_br_immed_x[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_e1brpcff_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_dec_decode_e1brpcff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_last_br_immed_d[12]),
+	.Q(brqrv_top_brqrv_dec_decode_last_br_immed_x[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_e1brpcff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_decode_e1brpcff_l1clk),
+	.D(n_42006),
+	.Q(brqrv_top_brqrv_dec_decode_last_br_immed_x[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_e1brpcff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dec_decode_e1brpcff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_last_br_immed_d[7]),
+	.Q(brqrv_top_brqrv_dec_decode_last_br_immed_x[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_e1brpcff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_dec_decode_e1brpcff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_last_br_immed_d[10]),
+	.Q(brqrv_top_brqrv_dec_decode_last_br_immed_x[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_e1brpcff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dec_decode_e1brpcff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_last_br_immed_d[8]),
+	.Q(brqrv_top_brqrv_dec_decode_last_br_immed_x[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_e1brpcff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_decode_e1brpcff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_last_br_immed_d[3]),
+	.Q(brqrv_top_brqrv_dec_decode_last_br_immed_x[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_e1brpcff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_decode_e1brpcff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_last_br_immed_d[1]),
+	.Q(brqrv_top_brqrv_dec_decode_last_br_immed_x[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_e1brpcff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_decode_e1brpcff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_last_br_immed_d[6]),
+	.Q(brqrv_top_brqrv_dec_decode_last_br_immed_x[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_e1brpcff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_decode_e1brpcff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_last_br_immed_d[4]),
+	.Q(brqrv_top_brqrv_dec_decode_last_br_immed_x[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_e1brpcff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_decode_e1brpcff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_last_br_immed_d[5]),
+	.Q(brqrv_top_brqrv_dec_decode_last_br_immed_x[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_decode_e1ff_dff_extra_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_ctl_en[1]),
+	.GCLK(brqrv_top_brqrv_dec_decode_e1ff_dff_extra_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_e1ff_dff_extra_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_decode_e1ff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_d_d[2]),
+	.Q(brqrv_top_brqrv_dec_decode_x_d[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_e1ff_dff_extra_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dec_decode_e1ff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_i0_instr_d[9]),
+	.Q(brqrv_top_brqrv_dec_decode_x_d[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_e1ff_dff_extra_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dec_decode_e1ff_dff_extra_l1clk),
+	.D(n_32409),
+	.Q(brqrv_top_brqrv_dec_decode_x_d[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_e1ff_dff_extra_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_dec_decode_e1ff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_i0_instr_d[11]),
+	.Q(brqrv_top_brqrv_dec_decode_x_d[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_e1ff_dff_extra_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_decode_e1ff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_i0_instr_d[8]),
+	.Q(brqrv_top_brqrv_dec_decode_x_d[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_e1ff_dff_extra_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_decode_e1ff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_dec_i0_decode_d),
+	.Q(brqrv_top_brqrv_dec_decode_x_d[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_e1ff_dff_extra_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_decode_e1ff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_i0_instr_d[7]),
+	.Q(brqrv_top_brqrv_dec_decode_x_d[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_e1ff_dff_extra_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_decode_e1ff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_d_d[1]),
+	.Q(brqrv_top_brqrv_dec_decode_x_d[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_e1ff_dff_extra_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_decode_e1ff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_i0_d_c[1]),
+	.Q(brqrv_top_brqrv_dec_decode_x_d[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_decode_e1ff_dff_left_dff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_decode_e1ff_dff_left_final_en),
+	.GCLK(brqrv_top_brqrv_dec_decode_e1ff_dff_left_dff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_e1ff_dff_left_dff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_decode_e1ff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_d_d[9]),
+	.Q(brqrv_top_brqrv_dec_decode_x_d[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_e1ff_dff_left_dff_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_dec_decode_e1ff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_div_p[2]),
+	.Q(brqrv_top_brqrv_dec_decode_x_d[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_e1ff_dff_left_dff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_decode_e1ff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_d_d[13]),
+	.Q(brqrv_top_brqrv_dec_decode_x_d[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_e1ff_dff_left_dff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_decode_e1ff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_d_d[14]),
+	.Q(brqrv_top_brqrv_dec_decode_x_d[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_e1ff_dff_left_dff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dec_decode_e1ff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_d_d[15]),
+	.Q(brqrv_top_brqrv_dec_decode_x_d[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_e1ff_dff_left_dff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dec_decode_e1ff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_d_d[16]),
+	.Q(brqrv_top_brqrv_dec_decode_x_d[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_e1ff_dff_left_dff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_dec_decode_e1ff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_d_d[22]),
+	.Q(brqrv_top_brqrv_dec_decode_x_d[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_e1ff_dff_left_dff_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_dec_decode_e1ff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_d_d[19]),
+	.Q(brqrv_top_brqrv_dec_decode_x_d[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_e1ff_dff_left_dff_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_dec_decode_e1ff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_d_d[20]),
+	.Q(brqrv_top_brqrv_dec_decode_x_d[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_e1ff_dff_left_dff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_decode_e1ff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_d_d[10]),
+	.Q(brqrv_top_brqrv_dec_decode_x_d[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_e1ff_dff_left_dff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_decode_e1ff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_d_d[11]),
+	.Q(brqrv_top_brqrv_dec_decode_x_d[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_e1ff_dff_left_dff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_decode_e1ff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_d_d[12]),
+	.Q(brqrv_top_brqrv_dec_decode_x_d[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_e1ff_dff_left_dff_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_dec_decode_e1ff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_d_d[21]),
+	.Q(brqrv_top_brqrv_dec_decode_x_d[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o41a_1 brqrv_top_brqrv_dec_decode_i0_pc_r_ff_g1120__5526 (
+	.A1(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_n_16),
+	.A2(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_n_11),
+	.A3(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_n_15),
+	.A4(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_n_23),
+	.B1(brqrv_top_brqrv_dec_data_en[0]),
+	.X(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_dff_dff_left_final_en), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 brqrv_top_brqrv_dec_decode_i0_pc_r_ff_g1121__6783 (
+	.A(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_n_20),
+	.B(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_n_21),
+	.C(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_n_19),
+	.D(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_n_22),
+	.Y(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_n_23), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 brqrv_top_brqrv_dec_decode_i0_pc_r_ff_g1122__3680 (
+	.A(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_n_12),
+	.B(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_n_9),
+	.C(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_n_8),
+	.D(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_n_13),
+	.Y(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_n_22), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 brqrv_top_brqrv_dec_decode_i0_pc_r_ff_g1123__1617 (
+	.A(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_n_0),
+	.B(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_n_14),
+	.C(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_n_18),
+	.D(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_n_10),
+	.Y(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_n_21), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 brqrv_top_brqrv_dec_decode_i0_pc_r_ff_g1124__2802 (
+	.A(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_n_4),
+	.B(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_n_1),
+	.C(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_n_17),
+	.D(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_n_6),
+	.Y(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_n_20), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 brqrv_top_brqrv_dec_decode_i0_pc_r_ff_g1125__1705 (
+	.A(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_n_5),
+	.B(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_n_3),
+	.C(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_n_2),
+	.D(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_n_7),
+	.Y(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_n_19), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_dec_decode_i0_pc_r_ff_g1126__5122 (
+	.A(brqrv_top_brqrv_exu_i0_pc_x[21]),
+	.B(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[21]),
+	.X(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_n_18), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_dec_decode_i0_pc_r_ff_g1127__8246 (
+	.A(brqrv_top_brqrv_exu_i0_pc_x[16]),
+	.B(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[16]),
+	.X(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_n_17), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_dec_decode_i0_pc_r_ff_g1128__7098 (
+	.A(brqrv_top_brqrv_exu_i0_pc_x[14]),
+	.B(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[14]),
+	.X(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_n_16), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_dec_decode_i0_pc_r_ff_g1129__6131 (
+	.A(brqrv_top_brqrv_exu_i0_pc_x[13]),
+	.B(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[13]),
+	.X(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_n_15), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_dec_decode_i0_pc_r_ff_g1130__1881 (
+	.A(brqrv_top_brqrv_exu_i0_pc_x[22]),
+	.B(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[22]),
+	.X(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_n_14), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_dec_decode_i0_pc_r_ff_g1131__5115 (
+	.A(brqrv_top_brqrv_exu_i0_pc_x[31]),
+	.B(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[31]),
+	.X(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_n_13), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_dec_decode_i0_pc_r_ff_g1132__7482 (
+	.A(brqrv_top_brqrv_exu_i0_pc_x[30]),
+	.B(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[30]),
+	.X(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_n_12), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_dec_decode_i0_pc_r_ff_g1133__4733 (
+	.A(brqrv_top_brqrv_exu_i0_pc_x[15]),
+	.B(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[15]),
+	.X(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_n_11), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 brqrv_top_brqrv_dec_decode_i0_pc_r_ff_g1134__6161 (
+	.A1_N(brqrv_top_brqrv_exu_i0_pc_x[23]),
+	.A2_N(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[23]),
+	.B1(brqrv_top_brqrv_exu_i0_pc_x[23]),
+	.B2(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[23]),
+	.Y(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_n_10), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_dec_decode_i0_pc_r_ff_g1135__9315 (
+	.A(brqrv_top_brqrv_exu_i0_pc_x[29]),
+	.B(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[29]),
+	.X(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_n_9), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_dec_decode_i0_pc_r_ff_g1136__9945 (
+	.A(brqrv_top_brqrv_exu_i0_pc_x[28]),
+	.B(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[28]),
+	.X(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_n_8), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_dec_decode_i0_pc_r_ff_g1137__2883 (
+	.A(brqrv_top_brqrv_exu_i0_pc_x[27]),
+	.B(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[27]),
+	.X(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_n_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_dec_decode_i0_pc_r_ff_g1138__2346 (
+	.A(brqrv_top_brqrv_exu_i0_pc_x[18]),
+	.B(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[18]),
+	.X(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_n_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_dec_decode_i0_pc_r_ff_g1139__1666 (
+	.A(brqrv_top_brqrv_exu_i0_pc_x[26]),
+	.B(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[26]),
+	.X(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_n_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_dec_decode_i0_pc_r_ff_g1140__7410 (
+	.A(brqrv_top_brqrv_exu_i0_pc_x[17]),
+	.B(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[17]),
+	.X(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_n_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_dec_decode_i0_pc_r_ff_g1141__6417 (
+	.A(brqrv_top_brqrv_exu_i0_pc_x[25]),
+	.B(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[25]),
+	.X(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_n_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_dec_decode_i0_pc_r_ff_g1142__5477 (
+	.A(brqrv_top_brqrv_exu_i0_pc_x[24]),
+	.B(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[24]),
+	.X(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_n_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_dec_decode_i0_pc_r_ff_g1143__2398 (
+	.A(brqrv_top_brqrv_exu_i0_pc_x[19]),
+	.B(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[19]),
+	.X(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_n_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_dec_decode_i0_pc_r_ff_g1144__5107 (
+	.A(brqrv_top_brqrv_exu_i0_pc_x[20]),
+	.B(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[20]),
+	.X(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_n_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_decode_i0_pc_r_ff_dff_dff_extra_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_data_en[0]),
+	.GCLK(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_dff_dff_extra_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0_pc_r_ff_dff_dff_extra_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_pc_x[1]),
+	.Q(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0_pc_r_ff_dff_dff_extra_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_pc_x[12]),
+	.Q(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0_pc_r_ff_dff_dff_extra_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_pc_x[5]),
+	.Q(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0_pc_r_ff_dff_dff_extra_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_pc_x[6]),
+	.Q(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0_pc_r_ff_dff_dff_extra_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_pc_x[7]),
+	.Q(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0_pc_r_ff_dff_dff_extra_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_pc_x[8]),
+	.Q(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0_pc_r_ff_dff_dff_extra_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_pc_x[9]),
+	.Q(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0_pc_r_ff_dff_dff_extra_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_pc_x[10]),
+	.Q(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0_pc_r_ff_dff_dff_extra_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_pc_x[11]),
+	.Q(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0_pc_r_ff_dff_dff_extra_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_pc_x[2]),
+	.Q(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0_pc_r_ff_dff_dff_extra_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_pc_x[3]),
+	.Q(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0_pc_r_ff_dff_dff_extra_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_pc_x[4]),
+	.Q(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_decode_i0_pc_r_ff_dff_dff_left_dff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_dff_dff_left_final_en),
+	.GCLK(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_dff_dff_left_dff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0_pc_r_ff_dff_dff_left_dff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_pc_x[19]),
+	.Q(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0_pc_r_ff_dff_dff_left_dff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_pc_x[14]),
+	.Q(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0_pc_r_ff_dff_dff_left_dff_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_pc_x[30]),
+	.Q(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0_pc_r_ff_dff_dff_left_dff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_pc_x[15]),
+	.Q(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0_pc_r_ff_dff_dff_left_dff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_pc_x[16]),
+	.Q(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0_pc_r_ff_dff_dff_left_dff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_pc_x[17]),
+	.Q(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0_pc_r_ff_dff_dff_left_dff_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_pc_x[26]),
+	.Q(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0_pc_r_ff_dff_dff_left_dff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_pc_x[18]),
+	.Q(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0_pc_r_ff_dff_dff_left_dff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_pc_x[13]),
+	.Q(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0_pc_r_ff_dff_dff_left_dff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_pc_x[20]),
+	.Q(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0_pc_r_ff_dff_dff_left_dff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_pc_x[21]),
+	.Q(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0_pc_r_ff_dff_dff_left_dff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_pc_x[22]),
+	.Q(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0_pc_r_ff_dff_dff_left_dff_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_pc_x[23]),
+	.Q(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0_pc_r_ff_dff_dff_left_dff_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_pc_x[24]),
+	.Q(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0_pc_r_ff_dff_dff_left_dff_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_pc_x[25]),
+	.Q(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0_pc_r_ff_dff_dff_left_dff_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_pc_x[27]),
+	.Q(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0_pc_r_ff_dff_dff_left_dff_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_pc_x[28]),
+	.Q(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0_pc_r_ff_dff_dff_left_dff_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_pc_x[29]),
+	.Q(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0_pc_r_ff_dff_dff_left_dff_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_pc_x[31]),
+	.Q(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 brqrv_top_brqrv_dec_decode_i0_r_c_ff_g80__6260 (
+	.A1(brqrv_top_brqrv_dec_decode_i0_r_c_ff_n_0),
+	.A2(brqrv_top_brqrv_dec_decode_i0_r_c[2]),
+	.B1(brqrv_top_brqrv_dec_ctl_en[0]),
+	.B2(brqrv_top_brqrv_dec_decode_i0_x_c[2]),
+	.X(brqrv_top_brqrv_dec_decode_i0_r_c_ff_n_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 brqrv_top_brqrv_dec_decode_i0_r_c_ff_g81__4319 (
+	.A1(brqrv_top_brqrv_dec_decode_i0_r_c_ff_n_0),
+	.A2(brqrv_top_brqrv_dec_decode_i0_r_c[1]),
+	.B1(brqrv_top_brqrv_dec_ctl_en[0]),
+	.B2(brqrv_top_brqrv_dec_decode_i0_x_c[1]),
+	.X(brqrv_top_brqrv_dec_decode_i0_r_c_ff_n_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 brqrv_top_brqrv_dec_decode_i0_r_c_ff_g82__8428 (
+	.A1(brqrv_top_brqrv_dec_decode_i0_r_c_ff_n_0),
+	.A2(brqrv_top_brqrv_dec_decode_i0_r_c[0]),
+	.B1(brqrv_top_brqrv_dec_ctl_en[0]),
+	.B2(brqrv_top_brqrv_dec_decode_i0_x_c[0]),
+	.X(brqrv_top_brqrv_dec_decode_i0_r_c_ff_n_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_dec_decode_i0_r_c_ff_g83 (
+	.A(brqrv_top_brqrv_dec_ctl_en[0]),
+	.Y(brqrv_top_brqrv_dec_decode_i0_r_c_ff_n_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0_r_c_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_active_clk),
+	.D(brqrv_top_brqrv_dec_decode_i0_r_c_ff_n_5),
+	.Q(brqrv_top_brqrv_dec_decode_i0_r_c[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0_r_c_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_active_clk),
+	.D(brqrv_top_brqrv_dec_decode_i0_r_c_ff_n_4),
+	.Q(brqrv_top_brqrv_dec_decode_i0_r_c[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0_r_c_ff_genblock.dffs_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_active_clk),
+	.D(brqrv_top_brqrv_dec_decode_i0_r_c_ff_n_3),
+	.Q(brqrv_top_brqrv_dec_decode_i0_r_c[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_decode_i0_result_r_ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_decode_n_964),
+	.GCLK(brqrv_top_brqrv_dec_decode_i0_result_r_ff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0_result_r_ff_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_dec_decode_i0_result_r_ff_l1clk),
+	.D(n_41916),
+	.Q(brqrv_top_brqrv_dec_i0_result_r[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0_result_r_ff_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_dec_decode_i0_result_r_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_i0_result_x[14]),
+	.Q(brqrv_top_brqrv_dec_i0_result_r[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0_result_r_ff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_decode_i0_result_r_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_i0_result_x[5]),
+	.Q(brqrv_top_brqrv_dec_i0_result_r[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0_result_r_ff_genblock.dff_dout_reg[21]  (
+	.CLK(brqrv_top_brqrv_dec_decode_i0_result_r_ff_l1clk),
+	.D(n_41915),
+	.Q(brqrv_top_brqrv_dec_i0_result_r[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0_result_r_ff_genblock.dff_dout_reg[22]  (
+	.CLK(brqrv_top_brqrv_dec_decode_i0_result_r_ff_l1clk),
+	.D(n_41914),
+	.Q(brqrv_top_brqrv_dec_i0_result_r[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0_result_r_ff_genblock.dff_dout_reg[31]  (
+	.CLK(brqrv_top_brqrv_dec_decode_i0_result_r_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_i0_result_x[31]),
+	.Q(brqrv_top_brqrv_dec_i0_result_r[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0_result_r_ff_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_dec_decode_i0_result_r_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_i0_result_x[13]),
+	.Q(brqrv_top_brqrv_dec_i0_result_r[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0_result_r_ff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_dec_decode_i0_result_r_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_i0_result_x[8]),
+	.Q(brqrv_top_brqrv_dec_i0_result_r[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0_result_r_ff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_dec_decode_i0_result_r_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_i0_result_x[9]),
+	.Q(brqrv_top_brqrv_dec_i0_result_r[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0_result_r_ff_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_dec_decode_i0_result_r_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_i0_result_x[10]),
+	.Q(brqrv_top_brqrv_dec_i0_result_r[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0_result_r_ff_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_dec_decode_i0_result_r_ff_l1clk),
+	.D(n_41917),
+	.Q(brqrv_top_brqrv_dec_i0_result_r[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0_result_r_ff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_decode_i0_result_r_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_i0_result_x[0]),
+	.Q(brqrv_top_brqrv_dec_i0_result_r[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0_result_r_ff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_decode_i0_result_r_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_i0_result_x[1]),
+	.Q(brqrv_top_brqrv_dec_i0_result_r[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0_result_r_ff_genblock.dff_dout_reg[28]  (
+	.CLK(brqrv_top_brqrv_dec_decode_i0_result_r_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_i0_result_x[28]),
+	.Q(brqrv_top_brqrv_dec_i0_result_r[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0_result_r_ff_genblock.dff_dout_reg[29]  (
+	.CLK(brqrv_top_brqrv_dec_decode_i0_result_r_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_i0_result_x[29]),
+	.Q(brqrv_top_brqrv_dec_i0_result_r[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0_result_r_ff_genblock.dff_dout_reg[27]  (
+	.CLK(brqrv_top_brqrv_dec_decode_i0_result_r_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_i0_result_x[27]),
+	.Q(brqrv_top_brqrv_dec_i0_result_r[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0_result_r_ff_genblock.dff_dout_reg[30]  (
+	.CLK(brqrv_top_brqrv_dec_decode_i0_result_r_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_i0_result_x[30]),
+	.Q(brqrv_top_brqrv_dec_i0_result_r[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0_result_r_ff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_decode_i0_result_r_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_i0_result_x[2]),
+	.Q(brqrv_top_brqrv_dec_i0_result_r[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0_result_r_ff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_decode_i0_result_r_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_i0_result_x[3]),
+	.Q(brqrv_top_brqrv_dec_i0_result_r[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0_result_r_ff_genblock.dff_dout_reg[23]  (
+	.CLK(brqrv_top_brqrv_dec_decode_i0_result_r_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_i0_result_x[23]),
+	.Q(brqrv_top_brqrv_dec_i0_result_r[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0_result_r_ff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_decode_i0_result_r_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_i0_result_x[4]),
+	.Q(brqrv_top_brqrv_dec_i0_result_r[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0_result_r_ff_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_dec_decode_i0_result_r_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_i0_result_x[18]),
+	.Q(brqrv_top_brqrv_dec_i0_result_r[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0_result_r_ff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dec_decode_i0_result_r_ff_l1clk),
+	.D(n_42166),
+	.Q(brqrv_top_brqrv_dec_i0_result_r[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0_result_r_ff_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_dec_decode_i0_result_r_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_i0_result_x[11]),
+	.Q(brqrv_top_brqrv_dec_i0_result_r[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0_result_r_ff_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_dec_decode_i0_result_r_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_i0_result_x[15]),
+	.Q(brqrv_top_brqrv_dec_i0_result_r[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0_result_r_ff_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_dec_decode_i0_result_r_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_i0_result_x[16]),
+	.Q(brqrv_top_brqrv_dec_i0_result_r[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0_result_r_ff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dec_decode_i0_result_r_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_i0_result_x[6]),
+	.Q(brqrv_top_brqrv_dec_i0_result_r[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0_result_r_ff_genblock.dff_dout_reg[19]  (
+	.CLK(brqrv_top_brqrv_dec_decode_i0_result_r_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_i0_result_x[19]),
+	.Q(brqrv_top_brqrv_dec_i0_result_r[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0_result_r_ff_genblock.dff_dout_reg[20]  (
+	.CLK(brqrv_top_brqrv_dec_decode_i0_result_r_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_i0_result_x[20]),
+	.Q(brqrv_top_brqrv_dec_i0_result_r[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0_result_r_ff_genblock.dff_dout_reg[24]  (
+	.CLK(brqrv_top_brqrv_dec_decode_i0_result_r_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_i0_result_x[24]),
+	.Q(brqrv_top_brqrv_dec_i0_result_r[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0_result_r_ff_genblock.dff_dout_reg[25]  (
+	.CLK(brqrv_top_brqrv_dec_decode_i0_result_r_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_i0_result_x[25]),
+	.Q(brqrv_top_brqrv_dec_i0_result_r[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0_result_r_ff_genblock.dff_dout_reg[26]  (
+	.CLK(brqrv_top_brqrv_dec_decode_i0_result_r_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_i0_result_x[26]),
+	.Q(brqrv_top_brqrv_dec_i0_result_r[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 brqrv_top_brqrv_dec_decode_i0_x_c_ff_g80__5526 (
+	.A1(n_3733),
+	.A2(brqrv_top_brqrv_dec_decode_i0_x_c[2]),
+	.B1(brqrv_top_brqrv_dec_ctl_en[1]),
+	.B2(brqrv_top_brqrv_mul_p[19]),
+	.X(brqrv_top_brqrv_dec_decode_i0_x_c_ff_n_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 brqrv_top_brqrv_dec_decode_i0_x_c_ff_g81__6783 (
+	.A1(n_3733),
+	.A2(brqrv_top_brqrv_dec_decode_i0_x_c[1]),
+	.B1(brqrv_top_brqrv_dec_ctl_en[1]),
+	.B2(brqrv_top_brqrv_dec_decode_i0_d_c[1]),
+	.X(brqrv_top_brqrv_dec_decode_i0_x_c_ff_n_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 brqrv_top_brqrv_dec_decode_i0_x_c_ff_g82__3680 (
+	.A1(n_3733),
+	.A2(brqrv_top_brqrv_dec_decode_i0_x_c[0]),
+	.B1(brqrv_top_brqrv_dec_ctl_en[1]),
+	.B2(n_962),
+	.X(brqrv_top_brqrv_dec_decode_i0_x_c_ff_n_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0_x_c_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_active_clk),
+	.D(brqrv_top_brqrv_dec_decode_i0_x_c_ff_n_5),
+	.Q(brqrv_top_brqrv_dec_decode_i0_x_c[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0_x_c_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_active_clk),
+	.D(brqrv_top_brqrv_dec_decode_i0_x_c_ff_n_4),
+	.Q(brqrv_top_brqrv_dec_decode_i0_x_c[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0_x_c_ff_genblock.dffs_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_active_clk),
+	.D(brqrv_top_brqrv_dec_decode_i0_x_c_ff_n_3),
+	.Q(brqrv_top_brqrv_dec_decode_i0_x_c[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0cgff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_active_clk),
+	.D(brqrv_top_brqrv_dec_decode_i0_pipe_en[1]),
+	.Q(brqrv_top_brqrv_dec_decode_i0_pipe_en[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0cgff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_active_clk),
+	.D(brqrv_top_brqrv_dec_decode_i0_pipe_en[2]),
+	.Q(brqrv_top_brqrv_dec_decode_i0_pipe_en[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0cgff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_active_clk),
+	.D(brqrv_top_brqrv_dec_i0_decode_d),
+	.Q(brqrv_top_brqrv_dec_decode_i0_pipe_en[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_decode_i0rdff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_div_p[2]),
+	.GCLK(brqrv_top_brqrv_dec_decode_i0rdff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0rdff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_decode_i0rdff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_i0_instr_d[11]),
+	.Q(brqrv_top_brqrv_dec_div_waddr_wb[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0rdff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_decode_i0rdff_l1clk),
+	.D(n_32409),
+	.Q(brqrv_top_brqrv_dec_div_waddr_wb[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0rdff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_decode_i0rdff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_i0_instr_d[8]),
+	.Q(brqrv_top_brqrv_dec_div_waddr_wb[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0rdff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_decode_i0rdff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_i0_instr_d[7]),
+	.Q(brqrv_top_brqrv_dec_div_waddr_wb[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0rdff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_decode_i0rdff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_i0_instr_d[9]),
+	.Q(brqrv_top_brqrv_dec_div_waddr_wb[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_decode_illegal_any_ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_decode_illegal_inst_en),
+	.GCLK(brqrv_top_brqrv_dec_decode_illegal_any_ff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_illegal_any_ff_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_dec_decode_illegal_any_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_i0_inst_d[10]),
+	.Q(brqrv_top_brqrv_dec_dec_illegal_inst[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_illegal_any_ff_genblock.dff_dout_reg[30]  (
+	.CLK(brqrv_top_brqrv_dec_decode_illegal_any_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_i0_inst_d[30]),
+	.Q(brqrv_top_brqrv_dec_dec_illegal_inst[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_illegal_any_ff_genblock.dff_dout_reg[28]  (
+	.CLK(brqrv_top_brqrv_dec_decode_illegal_any_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_i0_inst_d[28]),
+	.Q(brqrv_top_brqrv_dec_dec_illegal_inst[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_illegal_any_ff_genblock.dff_dout_reg[24]  (
+	.CLK(brqrv_top_brqrv_dec_decode_illegal_any_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_i0_inst_d[24]),
+	.Q(brqrv_top_brqrv_dec_dec_illegal_inst[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_illegal_any_ff_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_dec_decode_illegal_any_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_i0_inst_d[16]),
+	.Q(brqrv_top_brqrv_dec_dec_illegal_inst[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_illegal_any_ff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_decode_illegal_any_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_i0_inst_d[0]),
+	.Q(brqrv_top_brqrv_dec_dec_illegal_inst[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_illegal_any_ff_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_dec_decode_illegal_any_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_i0_inst_d[15]),
+	.Q(brqrv_top_brqrv_dec_dec_illegal_inst[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_illegal_any_ff_genblock.dff_dout_reg[23]  (
+	.CLK(brqrv_top_brqrv_dec_decode_illegal_any_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_i0_inst_d[23]),
+	.Q(brqrv_top_brqrv_dec_dec_illegal_inst[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_illegal_any_ff_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_dec_decode_illegal_any_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_i0_inst_d[14]),
+	.Q(brqrv_top_brqrv_dec_dec_illegal_inst[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_illegal_any_ff_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_dec_decode_illegal_any_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_i0_inst_d[13]),
+	.Q(brqrv_top_brqrv_dec_dec_illegal_inst[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_illegal_any_ff_genblock.dff_dout_reg[27]  (
+	.CLK(brqrv_top_brqrv_dec_decode_illegal_any_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_i0_inst_d[27]),
+	.Q(brqrv_top_brqrv_dec_dec_illegal_inst[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_illegal_any_ff_genblock.dff_dout_reg[22]  (
+	.CLK(brqrv_top_brqrv_dec_decode_illegal_any_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_i0_inst_d[22]),
+	.Q(brqrv_top_brqrv_dec_dec_illegal_inst[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_illegal_any_ff_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_dec_decode_illegal_any_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_i0_inst_d[12]),
+	.Q(brqrv_top_brqrv_dec_dec_illegal_inst[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_illegal_any_ff_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_dec_decode_illegal_any_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_i0_inst_d[11]),
+	.Q(brqrv_top_brqrv_dec_dec_illegal_inst[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_illegal_any_ff_genblock.dff_dout_reg[21]  (
+	.CLK(brqrv_top_brqrv_dec_decode_illegal_any_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_i0_inst_d[21]),
+	.Q(brqrv_top_brqrv_dec_dec_illegal_inst[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_illegal_any_ff_genblock.dff_dout_reg[31]  (
+	.CLK(brqrv_top_brqrv_dec_decode_illegal_any_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_i0_inst_d[31]),
+	.Q(brqrv_top_brqrv_dec_dec_illegal_inst[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_illegal_any_ff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_decode_illegal_any_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_i0_inst_d[1]),
+	.Q(brqrv_top_brqrv_dec_dec_illegal_inst[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_illegal_any_ff_genblock.dff_dout_reg[29]  (
+	.CLK(brqrv_top_brqrv_dec_decode_illegal_any_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_i0_inst_d[29]),
+	.Q(brqrv_top_brqrv_dec_dec_illegal_inst[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_illegal_any_ff_genblock.dff_dout_reg[26]  (
+	.CLK(brqrv_top_brqrv_dec_decode_illegal_any_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_i0_inst_d[26]),
+	.Q(brqrv_top_brqrv_dec_dec_illegal_inst[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_illegal_any_ff_genblock.dff_dout_reg[20]  (
+	.CLK(brqrv_top_brqrv_dec_decode_illegal_any_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_i0_inst_d[20]),
+	.Q(brqrv_top_brqrv_dec_dec_illegal_inst[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_illegal_any_ff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_dec_decode_illegal_any_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_i0_inst_d[8]),
+	.Q(brqrv_top_brqrv_dec_dec_illegal_inst[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_illegal_any_ff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dec_decode_illegal_any_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_i0_inst_d[7]),
+	.Q(brqrv_top_brqrv_dec_dec_illegal_inst[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_illegal_any_ff_genblock.dff_dout_reg[19]  (
+	.CLK(brqrv_top_brqrv_dec_decode_illegal_any_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_i0_inst_d[19]),
+	.Q(brqrv_top_brqrv_dec_dec_illegal_inst[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_illegal_any_ff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dec_decode_illegal_any_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_i0_inst_d[6]),
+	.Q(brqrv_top_brqrv_dec_dec_illegal_inst[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_illegal_any_ff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_decode_illegal_any_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_i0_inst_d[5]),
+	.Q(brqrv_top_brqrv_dec_dec_illegal_inst[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_illegal_any_ff_genblock.dff_dout_reg[25]  (
+	.CLK(brqrv_top_brqrv_dec_decode_illegal_any_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_i0_inst_d[25]),
+	.Q(brqrv_top_brqrv_dec_dec_illegal_inst[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_illegal_any_ff_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_dec_decode_illegal_any_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_i0_inst_d[18]),
+	.Q(brqrv_top_brqrv_dec_dec_illegal_inst[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_illegal_any_ff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_decode_illegal_any_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_i0_inst_d[4]),
+	.Q(brqrv_top_brqrv_dec_dec_illegal_inst[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_illegal_any_ff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_decode_illegal_any_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_i0_inst_d[3]),
+	.Q(brqrv_top_brqrv_dec_dec_illegal_inst[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_illegal_any_ff_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_dec_decode_illegal_any_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_i0_inst_d[17]),
+	.Q(brqrv_top_brqrv_dec_dec_illegal_inst[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_illegal_any_ff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_decode_illegal_any_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_i0_inst_d[2]),
+	.Q(brqrv_top_brqrv_dec_dec_illegal_inst[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_illegal_any_ff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_dec_decode_illegal_any_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_i0_inst_d[9]),
+	.Q(brqrv_top_brqrv_dec_dec_illegal_inst[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_lsu_idle_ff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_active_clk),
+	.D(brqrv_top_brqrv_lsu_idle_any),
+	.Q(brqrv_top_brqrv_dec_decode_lsu_idle),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 brqrv_top_brqrv_dec_decode_misc1ff_g363__1617 (
+	.A(brqrv_top_brqrv_dec_decode_misc1ff_n_6),
+	.B(brqrv_top_brqrv_dec_decode_misc1ff_n_2),
+	.C(brqrv_top_brqrv_dec_decode_misc1ff_n_9),
+	.D(brqrv_top_brqrv_dec_decode_misc1ff_n_4),
+	.Y(brqrv_top_brqrv_dec_decode_misc1ff_en), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 brqrv_top_brqrv_dec_decode_misc1ff_g364__2802 (
+	.A1(brqrv_top_brqrv_dec_dec_tlu_wr_pause_r),
+	.A2(brqrv_top_brqrv_dec_decode_misc1ff_n_0),
+	.B1(brqrv_top_brqrv_dec_decode_misc1ff_n_1),
+	.B2(brqrv_top_brqrv_dec_decode_tlu_wr_pause_r2),
+	.C1(brqrv_top_brqrv_dec_decode_misc1ff_n_8),
+	.Y(brqrv_top_brqrv_dec_decode_misc1ff_n_9), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 brqrv_top_brqrv_dec_decode_misc1ff_g365__1705 (
+	.A1(brqrv_top_brqrv_dec_decode_misc1ff_n_1),
+	.A2(brqrv_top_brqrv_dec_dec_tlu_wr_pause_r),
+	.B1(brqrv_top_brqrv_dec_decode_misc1ff_n_3),
+	.C1(brqrv_top_brqrv_dec_decode_misc1ff_n_5),
+	.D1(brqrv_top_brqrv_dec_decode_misc1ff_n_7),
+	.Y(brqrv_top_brqrv_dec_decode_misc1ff_n_8), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_dec_decode_misc1ff_g366__5122 (
+	.A1_N(brqrv_top_brqrv_dec_dec_pause_state),
+	.A2_N(brqrv_top_brqrv_dec_decode_pause_state_in),
+	.B1(brqrv_top_brqrv_dec_dec_pause_state),
+	.B2(brqrv_top_brqrv_dec_decode_pause_state_in),
+	.Y(brqrv_top_brqrv_dec_decode_misc1ff_n_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_dec_decode_misc1ff_g367__8246 (
+	.A(brqrv_top_brqrv_dec_decode_illegal_lockout),
+	.B(brqrv_top_brqrv_dec_decode_illegal_lockout_in),
+	.Y(brqrv_top_brqrv_dec_decode_misc1ff_n_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_dec_decode_misc1ff_g368__7098 (
+	.A(brqrv_top_brqrv_dec_decode_leak1_mode),
+	.B(brqrv_top_brqrv_dec_decode_leak1_i1_stall_in),
+	.Y(brqrv_top_brqrv_dec_decode_misc1ff_n_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_dec_decode_misc1ff_g369__6131 (
+	.A(brqrv_top_brqrv_dec_decode_postsync_stall),
+	.B(brqrv_top_brqrv_dec_decode_ps_stall_in),
+	.Y(brqrv_top_brqrv_dec_decode_misc1ff_n_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_dec_decode_misc1ff_g370__1881 (
+	.A(brqrv_top_brqrv_dec_extint_stall),
+	.B(brqrv_top_brqrv_dec_dec_tlu_flush_extint),
+	.Y(brqrv_top_brqrv_dec_decode_misc1ff_n_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_dec_decode_misc1ff_g371__5115 (
+	.A(brqrv_top_brqrv_dec_decode_leak1_i0_stall),
+	.B(brqrv_top_brqrv_dec_decode_leak1_i0_stall_in),
+	.Y(brqrv_top_brqrv_dec_decode_misc1ff_n_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 brqrv_top_brqrv_dec_decode_misc1ff_g372 (
+	.A(brqrv_top_brqrv_dec_decode_tlu_wr_pause_r1),
+	.Y(brqrv_top_brqrv_dec_decode_misc1ff_n_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_dec_decode_misc1ff_g373 (
+	.A(brqrv_top_brqrv_dec_decode_tlu_wr_pause_r2),
+	.Y(brqrv_top_brqrv_dec_decode_misc1ff_n_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 brqrv_top_brqrv_dec_decode_misc1ff_clkhdr_clkhdr (
+	.CLK(brqrv_top_brqrv_n_7),
+	.GATE(brqrv_top_brqrv_dec_decode_misc1ff_en),
+	.GCLK(brqrv_top_brqrv_dec_decode_misc1ff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_misc1ff_dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_decode_misc1ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_tlu_wr_pause_r1),
+	.Q(brqrv_top_brqrv_dec_decode_tlu_wr_pause_r2),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_misc1ff_dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_decode_misc1ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_tlu_wr_pause_r),
+	.Q(brqrv_top_brqrv_dec_decode_tlu_wr_pause_r1),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_misc1ff_dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_decode_misc1ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_ps_stall_in),
+	.Q(brqrv_top_brqrv_dec_decode_postsync_stall),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_misc1ff_dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_decode_misc1ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_illegal_lockout_in),
+	.Q(brqrv_top_brqrv_dec_decode_illegal_lockout),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_misc1ff_dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_decode_misc1ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_pause_state_in),
+	.Q(brqrv_top_brqrv_dec_dec_pause_state),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_misc1ff_dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_decode_misc1ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_tlu_flush_extint),
+	.Q(brqrv_top_brqrv_dec_extint_stall),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_misc1ff_dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dec_decode_misc1ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_leak1_i0_stall_in),
+	.Q(brqrv_top_brqrv_dec_decode_leak1_i0_stall),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_misc1ff_dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dec_decode_misc1ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_leak1_i1_stall_in),
+	.Q(brqrv_top_brqrv_dec_decode_leak1_mode),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 brqrv_top_brqrv_dec_decode_misc2ff_g376__7482 (
+	.A(brqrv_top_brqrv_dec_decode_misc2ff_n_7),
+	.B(brqrv_top_brqrv_dec_decode_misc2ff_n_10),
+	.C(brqrv_top_brqrv_dec_decode_misc2ff_n_2),
+	.D(brqrv_top_brqrv_dec_decode_misc2ff_n_1),
+	.Y(brqrv_top_brqrv_dec_decode_misc2ff_en), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 brqrv_top_brqrv_dec_decode_misc2ff_g377__4733 (
+	.A1(brqrv_top_brqrv_dec_decode_div_active_in),
+	.A2(brqrv_top_brqrv_dec_decode_misc2ff_n_0),
+	.B1(brqrv_top_brqrv_dec_decode_misc2ff_n_4),
+	.C1(brqrv_top_brqrv_dec_decode_misc2ff_n_9),
+	.Y(brqrv_top_brqrv_dec_decode_misc2ff_n_10), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 brqrv_top_brqrv_dec_decode_misc2ff_g378__6161 (
+	.A(brqrv_top_brqrv_dec_decode_misc2ff_n_6),
+	.B(brqrv_top_brqrv_dec_decode_misc2ff_n_3),
+	.C(brqrv_top_brqrv_dec_decode_misc2ff_n_8),
+	.D(brqrv_top_brqrv_dec_decode_misc2ff_n_5),
+	.Y(brqrv_top_brqrv_dec_decode_misc2ff_n_9), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_dec_decode_misc2ff_g379__9315 (
+	.A1_N(brqrv_top_brqrv_dec_decode_lsu_trigger_match_r[2]),
+	.A2_N(brqrv_top_brqrv_lsu_trigger_match_m[2]),
+	.B1(brqrv_top_brqrv_dec_decode_lsu_trigger_match_r[2]),
+	.B2(brqrv_top_brqrv_lsu_trigger_match_m[2]),
+	.Y(brqrv_top_brqrv_dec_decode_misc2ff_n_8), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_dec_decode_misc2ff_g380__9945 (
+	.A(brqrv_top_brqrv_dec_decode_flush_final_r),
+	.B(brqrv_top_brqrv_exu_flush_final),
+	.Y(brqrv_top_brqrv_dec_decode_misc2ff_n_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_dec_decode_misc2ff_g381__2883 (
+	.A(brqrv_top_brqrv_dec_decode_lsu_trigger_match_r[1]),
+	.B(brqrv_top_brqrv_lsu_trigger_match_m[1]),
+	.Y(brqrv_top_brqrv_dec_decode_misc2ff_n_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_dec_decode_misc2ff_g382__2346 (
+	.A(brqrv_top_brqrv_dec_decode_lsu_trigger_match_r[0]),
+	.B(brqrv_top_brqrv_lsu_trigger_match_m[0]),
+	.Y(brqrv_top_brqrv_dec_decode_misc2ff_n_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_dec_decode_misc2ff_g383__1666 (
+	.A(brqrv_top_brqrv_dec_decode_n_762),
+	.B(brqrv_top_brqrv_lsu_pmu_misaligned_m),
+	.X(brqrv_top_brqrv_dec_decode_misc2ff_n_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_dec_decode_misc2ff_g384__7410 (
+	.A(brqrv_top_brqrv_dec_decode_lsu_trigger_match_r[3]),
+	.B(brqrv_top_brqrv_lsu_trigger_match_m[3]),
+	.Y(brqrv_top_brqrv_dec_decode_misc2ff_n_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_dec_decode_misc2ff_g385__6417 (
+	.A(brqrv_top_brqrv_dec_dec_debug_valid_d),
+	.B(brqrv_top_brqrv_dec_decode_debug_valid_x),
+	.Y(brqrv_top_brqrv_dec_decode_misc2ff_n_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 brqrv_top_brqrv_dec_decode_misc2ff_g386__5477 (
+	.A_N(brqrv_top_brqrv_dec_decode_div_active_in),
+	.B(brqrv_top_brqrv_dec_dec_div_active),
+	.Y(brqrv_top_brqrv_dec_decode_misc2ff_n_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_dec_decode_misc2ff_g387 (
+	.A(brqrv_top_brqrv_dec_dec_div_active),
+	.Y(brqrv_top_brqrv_dec_decode_misc2ff_n_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 brqrv_top_brqrv_dec_decode_misc2ff_clkhdr_clkhdr (
+	.CLK(brqrv_top_brqrv_n_7),
+	.GATE(brqrv_top_brqrv_dec_decode_misc2ff_en),
+	.GCLK(brqrv_top_brqrv_dec_decode_misc2ff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_misc2ff_dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_decode_misc2ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_debug_valid_d),
+	.Q(brqrv_top_brqrv_dec_decode_debug_valid_x),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_misc2ff_dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_decode_misc2ff_l1clk),
+	.D(brqrv_top_brqrv_lsu_pmu_misaligned_m),
+	.Q(brqrv_top_brqrv_dec_decode_n_762),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_misc2ff_dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_decode_misc2ff_l1clk),
+	.D(brqrv_top_brqrv_exu_flush_final),
+	.Q(brqrv_top_brqrv_dec_decode_flush_final_r),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_misc2ff_dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_decode_misc2ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_div_active_in),
+	.Q(brqrv_top_brqrv_dec_dec_div_active),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_misc2ff_dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_decode_misc2ff_l1clk),
+	.D(brqrv_top_brqrv_lsu_trigger_match_m[0]),
+	.Q(brqrv_top_brqrv_dec_decode_lsu_trigger_match_r[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_misc2ff_dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_decode_misc2ff_l1clk),
+	.D(brqrv_top_brqrv_lsu_trigger_match_m[1]),
+	.Q(brqrv_top_brqrv_dec_decode_lsu_trigger_match_r[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_misc2ff_dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dec_decode_misc2ff_l1clk),
+	.D(brqrv_top_brqrv_lsu_trigger_match_m[2]),
+	.Q(brqrv_top_brqrv_dec_decode_lsu_trigger_match_r[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_misc2ff_dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dec_decode_misc2ff_l1clk),
+	.D(brqrv_top_brqrv_lsu_trigger_match_m[3]),
+	.Q(brqrv_top_brqrv_dec_decode_lsu_trigger_match_r[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o41a_1 brqrv_top_brqrv_dec_decode_r_d_ff_g673__2398 (
+	.A1(brqrv_top_brqrv_dec_decode_r_d_ff_n_5),
+	.A2(brqrv_top_brqrv_dec_decode_r_d_ff_n_9),
+	.A3(brqrv_top_brqrv_dec_decode_r_d_ff_n_14),
+	.A4(brqrv_top_brqrv_dec_decode_r_d_ff_n_15),
+	.B1(brqrv_top_brqrv_dec_ctl_en[0]),
+	.X(brqrv_top_brqrv_dec_decode_r_d_ff_dff_left_final_en), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 brqrv_top_brqrv_dec_decode_r_d_ff_g674__5107 (
+	.A(brqrv_top_brqrv_dec_decode_r_d_ff_n_13),
+	.B(brqrv_top_brqrv_dec_decode_r_d_ff_n_4),
+	.C(brqrv_top_brqrv_dec_decode_r_d_ff_n_1),
+	.D(brqrv_top_brqrv_dec_decode_r_d_ff_n_2),
+	.Y(brqrv_top_brqrv_dec_decode_r_d_ff_n_15), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 brqrv_top_brqrv_dec_decode_r_d_ff_g675__6260 (
+	.A(brqrv_top_brqrv_dec_decode_r_d_ff_n_3),
+	.B(brqrv_top_brqrv_dec_decode_r_d_ff_n_6),
+	.C(brqrv_top_brqrv_dec_decode_r_d_ff_n_12),
+	.D(brqrv_top_brqrv_dec_decode_r_d_ff_n_8),
+	.Y(brqrv_top_brqrv_dec_decode_r_d_ff_n_14), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 brqrv_top_brqrv_dec_decode_r_d_ff_g676__4319 (
+	.A(brqrv_top_brqrv_dec_decode_r_d_ff_n_10),
+	.B(brqrv_top_brqrv_dec_decode_r_d_ff_n_7),
+	.C(brqrv_top_brqrv_dec_decode_r_d_ff_n_0),
+	.D(brqrv_top_brqrv_dec_decode_r_d_ff_n_11),
+	.Y(brqrv_top_brqrv_dec_decode_r_d_ff_n_13), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_dec_decode_r_d_ff_g677__8428 (
+	.A1_N(brqrv_top_brqrv_dec_decode_r_d[18]),
+	.A2_N(brqrv_top_brqrv_dec_decode_x_d[22]),
+	.B1(brqrv_top_brqrv_dec_decode_r_d[18]),
+	.B2(brqrv_top_brqrv_dec_decode_x_d[22]),
+	.Y(brqrv_top_brqrv_dec_decode_r_d_ff_n_12), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 brqrv_top_brqrv_dec_decode_r_d_ff_g678__5526 (
+	.A1_N(brqrv_top_brqrv_dec_decode_r_d[13]),
+	.A2_N(brqrv_top_brqrv_dec_decode_x_d[13]),
+	.B1(brqrv_top_brqrv_dec_decode_r_d[13]),
+	.B2(brqrv_top_brqrv_dec_decode_x_d[13]),
+	.Y(brqrv_top_brqrv_dec_decode_r_d_ff_n_11), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_dec_decode_r_d_ff_g679__6783 (
+	.A(brqrv_top_brqrv_dec_decode_r_d[15]),
+	.B(brqrv_top_brqrv_dec_decode_x_d[15]),
+	.X(brqrv_top_brqrv_dec_decode_r_d_ff_n_10), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_dec_decode_r_d_ff_g680__3680 (
+	.A(brqrv_top_brqrv_dec_dec_csr_wraddr_r[7]),
+	.B(brqrv_top_brqrv_dec_decode_x_d[16]),
+	.X(brqrv_top_brqrv_dec_decode_r_d_ff_n_9), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_dec_decode_r_d_ff_g681__1617 (
+	.A(brqrv_top_brqrv_dec_decode_r_d[21]),
+	.B(brqrv_top_brqrv_dec_decode_x_d[21]),
+	.Y(brqrv_top_brqrv_dec_decode_r_d_ff_n_8), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_dec_decode_r_d_ff_g682__2802 (
+	.A(brqrv_top_brqrv_dec_decode_r_d[14]),
+	.B(brqrv_top_brqrv_dec_decode_x_d[14]),
+	.X(brqrv_top_brqrv_dec_decode_r_d_ff_n_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_dec_decode_r_d_ff_g683__1705 (
+	.A(brqrv_top_brqrv_dec_decode_r_d[23]),
+	.B(brqrv_top_brqrv_dec_decode_x_d[23]),
+	.Y(brqrv_top_brqrv_dec_decode_r_d_ff_n_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_dec_decode_r_d_ff_g684__5122 (
+	.A(brqrv_top_brqrv_dec_decode_r_d[19]),
+	.B(brqrv_top_brqrv_dec_decode_x_d[19]),
+	.X(brqrv_top_brqrv_dec_decode_r_d_ff_n_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_dec_decode_r_d_ff_g685__8246 (
+	.A(brqrv_top_brqrv_dec_decode_r_d[11]),
+	.B(brqrv_top_brqrv_dec_decode_x_d[11]),
+	.Y(brqrv_top_brqrv_dec_decode_r_d_ff_n_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_dec_decode_r_d_ff_g686__7098 (
+	.A(brqrv_top_brqrv_dec_decode_r_d[20]),
+	.B(brqrv_top_brqrv_dec_decode_x_d[20]),
+	.Y(brqrv_top_brqrv_dec_decode_r_d_ff_n_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_dec_decode_r_d_ff_g687__6131 (
+	.A(brqrv_top_brqrv_dec_decode_r_d[10]),
+	.B(brqrv_top_brqrv_dec_decode_x_d[10]),
+	.Y(brqrv_top_brqrv_dec_decode_r_d_ff_n_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_dec_decode_r_d_ff_g688__1881 (
+	.A(brqrv_top_brqrv_dec_decode_r_d[9]),
+	.B(brqrv_top_brqrv_dec_decode_x_d[9]),
+	.Y(brqrv_top_brqrv_dec_decode_r_d_ff_n_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_dec_decode_r_d_ff_g689__5115 (
+	.A(brqrv_top_brqrv_dec_decode_r_d[12]),
+	.B(brqrv_top_brqrv_dec_decode_x_d[12]),
+	.X(brqrv_top_brqrv_dec_decode_r_d_ff_n_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_decode_r_d_ff_dff_extra_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_ctl_en[0]),
+	.GCLK(brqrv_top_brqrv_dec_decode_r_d_ff_dff_extra_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_r_d_ff_dff_extra_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_dec_decode_r_d_ff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_x_d[8]),
+	.Q(brqrv_top_brqrv_dec_dec_i0_waddr_r[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_r_d_ff_dff_extra_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dec_decode_r_d_ff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_x_d[7]),
+	.Q(brqrv_top_brqrv_dec_dec_i0_waddr_r[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_r_d_ff_dff_extra_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_decode_r_d_ff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_x_d[5]),
+	.Q(brqrv_top_brqrv_dec_dec_i0_waddr_r[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_r_d_ff_dff_extra_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_decode_r_d_ff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_x_d[4]),
+	.Q(brqrv_top_brqrv_dec_dec_i0_waddr_r[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_r_d_ff_dff_extra_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dec_decode_r_d_ff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_x_d[6]),
+	.Q(brqrv_top_brqrv_dec_dec_i0_waddr_r[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_r_d_ff_dff_extra_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_decode_r_d_ff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_x_d[3]),
+	.Q(brqrv_top_brqrv_dec_decode_r_d[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_r_d_ff_dff_extra_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_decode_r_d_ff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_x_d_in[0]),
+	.Q(brqrv_top_brqrv_dec_decode_r_d[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_r_d_ff_dff_extra_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_decode_r_d_ff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_x_d_in[1]),
+	.Q(brqrv_top_brqrv_dec_decode_r_d[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_r_d_ff_dff_extra_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_decode_r_d_ff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_x_d[2]),
+	.Q(brqrv_top_brqrv_dec_decode_r_d[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_decode_r_d_ff_dff_left_dff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_decode_r_d_ff_dff_left_final_en),
+	.GCLK(brqrv_top_brqrv_dec_decode_r_d_ff_dff_left_dff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_r_d_ff_dff_left_dff_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_dec_decode_r_d_ff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_x_d[23]),
+	.Q(brqrv_top_brqrv_dec_decode_r_d[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_r_d_ff_dff_left_dff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_decode_r_d_ff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_x_d[9]),
+	.Q(brqrv_top_brqrv_dec_decode_r_d[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_r_d_ff_dff_left_dff_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_dec_decode_r_d_ff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_x_d[21]),
+	.Q(brqrv_top_brqrv_dec_decode_r_d[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_r_d_ff_dff_left_dff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_decode_r_d_ff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_x_d[13]),
+	.Q(brqrv_top_brqrv_dec_decode_r_d[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_r_d_ff_dff_left_dff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_decode_r_d_ff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_x_d[14]),
+	.Q(brqrv_top_brqrv_dec_decode_r_d[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_r_d_ff_dff_left_dff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dec_decode_r_d_ff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_x_d[15]),
+	.Q(brqrv_top_brqrv_dec_decode_r_d[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_r_d_ff_dff_left_dff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dec_decode_r_d_ff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_x_d[16]),
+	.Q(brqrv_top_brqrv_dec_dec_csr_wraddr_r[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_r_d_ff_dff_left_dff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_dec_decode_r_d_ff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_x_d[22]),
+	.Q(brqrv_top_brqrv_dec_decode_r_d[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_r_d_ff_dff_left_dff_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_dec_decode_r_d_ff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_x_d[19]),
+	.Q(brqrv_top_brqrv_dec_decode_r_d[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_r_d_ff_dff_left_dff_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_dec_decode_r_d_ff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_x_d[20]),
+	.Q(brqrv_top_brqrv_dec_decode_r_d[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_r_d_ff_dff_left_dff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_decode_r_d_ff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_x_d[10]),
+	.Q(brqrv_top_brqrv_dec_decode_r_d[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_r_d_ff_dff_left_dff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_decode_r_d_ff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_x_d[11]),
+	.Q(brqrv_top_brqrv_dec_decode_r_d[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_r_d_ff_dff_left_dff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_decode_r_d_ff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_x_d[12]),
+	.Q(brqrv_top_brqrv_dec_decode_r_d[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_decode_trap_r_ff_dff_extra_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_ctl_en[1]),
+	.GCLK(brqrv_top_brqrv_dec_decode_trap_r_ff_dff_extra_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_trap_r_ff_dff_extra_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dec_decode_trap_r_ff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_x_t[7]),
+	.Q(brqrv_top_brqrv_dec_decode_r_t[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_trap_r_ff_dff_extra_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_decode_trap_r_ff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_x_t[0]),
+	.Q(brqrv_top_brqrv_dec_decode_r_t[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_trap_r_ff_dff_extra_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_decode_trap_r_ff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_x_t[2]),
+	.Q(brqrv_top_brqrv_dec_decode_r_t[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_trap_r_ff_dff_extra_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_decode_trap_r_ff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_x_t[3]),
+	.Q(brqrv_top_brqrv_dec_decode_r_t[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_trap_r_ff_dff_extra_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_decode_trap_r_ff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_x_t[5]),
+	.Q(brqrv_top_brqrv_dec_decode_r_t[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_trap_r_ff_dff_extra_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_decode_trap_r_ff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_x_t[1]),
+	.Q(brqrv_top_brqrv_dec_decode_r_t[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_decode_trap_r_ff_dff_left_dff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_decode_trap_r_ff_dff_left_final_en),
+	.GCLK(brqrv_top_brqrv_dec_decode_trap_r_ff_dff_left_dff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_trap_r_ff_dff_left_dff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dec_decode_trap_r_ff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_x_t[15]),
+	.Q(brqrv_top_brqrv_dec_decode_r_t[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_trap_r_ff_dff_left_dff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dec_decode_trap_r_ff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_x_t[14]),
+	.Q(brqrv_top_brqrv_dec_decode_r_t[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_trap_r_ff_dff_left_dff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_decode_trap_r_ff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_x_t_in[8]),
+	.Q(brqrv_top_brqrv_dec_decode_r_t[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_trap_r_ff_dff_left_dff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_decode_trap_r_ff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_x_t_in[9]),
+	.Q(brqrv_top_brqrv_dec_decode_r_t[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_trap_r_ff_dff_left_dff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_decode_trap_r_ff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_x_t_in[10]),
+	.Q(brqrv_top_brqrv_dec_decode_r_t[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_trap_r_ff_dff_left_dff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_decode_trap_r_ff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_x_t_in[11]),
+	.Q(brqrv_top_brqrv_dec_decode_r_t[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_trap_r_ff_dff_left_dff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_decode_trap_r_ff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_x_t[12]),
+	.Q(brqrv_top_brqrv_dec_decode_r_t[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_trap_r_ff_dff_left_dff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_dec_decode_trap_r_ff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_x_t[16]),
+	.Q(brqrv_top_brqrv_dec_decode_r_t[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_decode_trap_xff_dff_extra_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_ctl_en[1]),
+	.GCLK(brqrv_top_brqrv_dec_decode_trap_xff_dff_extra_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_trap_xff_dff_extra_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_decode_trap_xff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_d_t[0]),
+	.Q(brqrv_top_brqrv_dec_decode_x_t[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_trap_xff_dff_extra_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_decode_trap_xff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_d_t[1]),
+	.Q(brqrv_top_brqrv_dec_decode_x_t[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_trap_xff_dff_extra_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_decode_trap_xff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_d_t[2]),
+	.Q(brqrv_top_brqrv_dec_decode_x_t[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_trap_xff_dff_extra_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_decode_trap_xff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_d_t[3]),
+	.Q(brqrv_top_brqrv_dec_decode_x_t[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_trap_xff_dff_extra_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_decode_trap_xff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_d_t[5]),
+	.Q(brqrv_top_brqrv_dec_decode_x_t[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_trap_xff_dff_extra_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dec_decode_trap_xff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_i0_br_unpred),
+	.Q(brqrv_top_brqrv_dec_decode_x_t[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_decode_trap_xff_dff_left_dff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_decode_trap_xff_dff_left_final_en),
+	.GCLK(brqrv_top_brqrv_dec_decode_trap_xff_dff_left_dff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_trap_xff_dff_left_dff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_decode_trap_xff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_d_t[9]),
+	.Q(brqrv_top_brqrv_dec_decode_x_t[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_trap_xff_dff_left_dff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dec_decode_trap_xff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_d_t[15]),
+	.Q(brqrv_top_brqrv_dec_decode_x_t[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_trap_xff_dff_left_dff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_dec_decode_trap_xff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_d_t[16]),
+	.Q(brqrv_top_brqrv_dec_decode_x_t[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_trap_xff_dff_left_dff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_decode_trap_xff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_d_t[8]),
+	.Q(brqrv_top_brqrv_dec_decode_x_t[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_trap_xff_dff_left_dff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_decode_trap_xff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_d_t[11]),
+	.Q(brqrv_top_brqrv_dec_decode_x_t[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_trap_xff_dff_left_dff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dec_decode_trap_xff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_ifu_i0_icaf_type[1]),
+	.Q(brqrv_top_brqrv_dec_decode_x_t[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_trap_xff_dff_left_dff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_decode_trap_xff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_d_t[12]),
+	.Q(brqrv_top_brqrv_dec_decode_x_t[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_trap_xff_dff_left_dff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_decode_trap_xff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_d_t[10]),
+	.Q(brqrv_top_brqrv_dec_decode_x_t[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o41a_1 brqrv_top_brqrv_dec_decode_wbff_dff_left_g674__7482 (
+	.A1(brqrv_top_brqrv_dec_decode_wbff_dff_left_n_5),
+	.A2(brqrv_top_brqrv_dec_decode_wbff_dff_left_n_9),
+	.A3(brqrv_top_brqrv_dec_decode_wbff_dff_left_n_14),
+	.A4(brqrv_top_brqrv_dec_decode_wbff_dff_left_n_15),
+	.B1(brqrv_top_brqrv_dec_decode_i0_wb_ctl_en),
+	.X(brqrv_top_brqrv_dec_decode_wbff_dff_left_final_en), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 brqrv_top_brqrv_dec_decode_wbff_dff_left_g675__4733 (
+	.A(brqrv_top_brqrv_dec_decode_wbff_dff_left_n_13),
+	.B(brqrv_top_brqrv_dec_decode_wbff_dff_left_n_4),
+	.C(brqrv_top_brqrv_dec_decode_wbff_dff_left_n_1),
+	.D(brqrv_top_brqrv_dec_decode_wbff_dff_left_n_2),
+	.Y(brqrv_top_brqrv_dec_decode_wbff_dff_left_n_15), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 brqrv_top_brqrv_dec_decode_wbff_dff_left_g676__6161 (
+	.A(brqrv_top_brqrv_dec_decode_wbff_dff_left_n_12),
+	.B(brqrv_top_brqrv_dec_decode_wbff_dff_left_n_3),
+	.C(brqrv_top_brqrv_dec_decode_wbff_dff_left_n_6),
+	.D(brqrv_top_brqrv_dec_decode_wbff_dff_left_n_8),
+	.Y(brqrv_top_brqrv_dec_decode_wbff_dff_left_n_14), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 brqrv_top_brqrv_dec_decode_wbff_dff_left_g677__9315 (
+	.A(brqrv_top_brqrv_dec_decode_wbff_dff_left_n_7),
+	.B(brqrv_top_brqrv_dec_decode_wbff_dff_left_n_0),
+	.C(brqrv_top_brqrv_dec_decode_wbff_dff_left_n_11),
+	.D(brqrv_top_brqrv_dec_decode_wbff_dff_left_n_10),
+	.Y(brqrv_top_brqrv_dec_decode_wbff_dff_left_n_13), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_dec_decode_wbff_dff_left_g678__9945 (
+	.A1_N(brqrv_top_brqrv_dec_decode_wbd[21]),
+	.A2_N(brqrv_top_brqrv_dec_decode_r_d[21]),
+	.B1(brqrv_top_brqrv_dec_decode_wbd[21]),
+	.B2(brqrv_top_brqrv_dec_decode_r_d[21]),
+	.Y(brqrv_top_brqrv_dec_decode_wbff_dff_left_n_12), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 brqrv_top_brqrv_dec_decode_wbff_dff_left_g679__2883 (
+	.A1_N(\brqrv_top_brqrv_dec_decode_wbff_dff_left_dff_genblock.dff_dout[3]_83 ),
+	.A2_N(brqrv_top_brqrv_dec_decode_r_d[12]),
+	.B1(\brqrv_top_brqrv_dec_decode_wbff_dff_left_dff_genblock.dff_dout[3]_83 ),
+	.B2(brqrv_top_brqrv_dec_decode_r_d[12]),
+	.Y(brqrv_top_brqrv_dec_decode_wbff_dff_left_n_11), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_dec_decode_wbff_dff_left_g680__2346 (
+	.A(\brqrv_top_brqrv_dec_decode_wbff_dff_left_dff_genblock.dff_dout[6]_86 ),
+	.B(brqrv_top_brqrv_dec_decode_r_d[15]),
+	.X(brqrv_top_brqrv_dec_decode_wbff_dff_left_n_10), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_dec_decode_wbff_dff_left_g681__1666 (
+	.A(\brqrv_top_brqrv_dec_decode_wbff_dff_left_dff_genblock.dff_dout[7]_87 ),
+	.B(brqrv_top_brqrv_dec_dec_csr_wraddr_r[7]),
+	.X(brqrv_top_brqrv_dec_decode_wbff_dff_left_n_9), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_dec_decode_wbff_dff_left_g682__7410 (
+	.A(\brqrv_top_brqrv_dec_decode_wbff_dff_left_dff_genblock.dff_dout[8]_88 ),
+	.B(brqrv_top_brqrv_dec_decode_r_d[18]),
+	.Y(brqrv_top_brqrv_dec_decode_wbff_dff_left_n_8), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_dec_decode_wbff_dff_left_g683__6417 (
+	.A(\brqrv_top_brqrv_dec_decode_wbff_dff_left_dff_genblock.dff_dout[5]_85 ),
+	.B(brqrv_top_brqrv_dec_decode_r_d[14]),
+	.X(brqrv_top_brqrv_dec_decode_wbff_dff_left_n_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_dec_decode_wbff_dff_left_g684__5477 (
+	.A(\brqrv_top_brqrv_dec_decode_wbff_dff_left_dff_genblock.dff_dout[14]_94 ),
+	.B(brqrv_top_brqrv_dec_decode_r_d[23]),
+	.Y(brqrv_top_brqrv_dec_decode_wbff_dff_left_n_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_dec_decode_wbff_dff_left_g685__2398 (
+	.A(\brqrv_top_brqrv_dec_decode_wbff_dff_left_dff_genblock.dff_dout[10]_90 ),
+	.B(brqrv_top_brqrv_dec_decode_r_d[19]),
+	.X(brqrv_top_brqrv_dec_decode_wbff_dff_left_n_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_dec_decode_wbff_dff_left_g686__5107 (
+	.A(\brqrv_top_brqrv_dec_decode_wbff_dff_left_dff_genblock.dff_dout[2]_82 ),
+	.B(brqrv_top_brqrv_dec_decode_r_d[11]),
+	.Y(brqrv_top_brqrv_dec_decode_wbff_dff_left_n_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_dec_decode_wbff_dff_left_g687__6260 (
+	.A(\brqrv_top_brqrv_dec_decode_wbff_dff_left_dff_genblock.dff_dout[11]_91 ),
+	.B(brqrv_top_brqrv_dec_decode_r_d[20]),
+	.Y(brqrv_top_brqrv_dec_decode_wbff_dff_left_n_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_dec_decode_wbff_dff_left_g688__4319 (
+	.A(\brqrv_top_brqrv_dec_decode_wbff_dff_left_dff_genblock.dff_dout[1]_81 ),
+	.B(brqrv_top_brqrv_dec_decode_r_d[10]),
+	.Y(brqrv_top_brqrv_dec_decode_wbff_dff_left_n_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_dec_decode_wbff_dff_left_g689__8428 (
+	.A(\brqrv_top_brqrv_dec_decode_wbff_dff_left_dff_genblock.dff_dout[0]_80 ),
+	.B(brqrv_top_brqrv_dec_decode_r_d[9]),
+	.Y(brqrv_top_brqrv_dec_decode_wbff_dff_left_n_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_dec_decode_wbff_dff_left_g690__5526 (
+	.A(\brqrv_top_brqrv_dec_decode_wbff_dff_left_dff_genblock.dff_dout[4]_84 ),
+	.B(brqrv_top_brqrv_dec_decode_r_d[13]),
+	.X(brqrv_top_brqrv_dec_decode_wbff_dff_left_n_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_decode_wbff_dff_left_dff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_decode_wbff_dff_left_final_en),
+	.GCLK(brqrv_top_brqrv_dec_decode_wbff_dff_left_dff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_wbff_dff_left_dff_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_dec_decode_wbff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_r_d[23]),
+	.Q(\brqrv_top_brqrv_dec_decode_wbff_dff_left_dff_genblock.dff_dout[14]_94 ),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_wbff_dff_left_dff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_dec_decode_wbff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_r_d[18]),
+	.Q(\brqrv_top_brqrv_dec_decode_wbff_dff_left_dff_genblock.dff_dout[8]_88 ),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_wbff_dff_left_dff_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_dec_decode_wbff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_r_d[20]),
+	.Q(\brqrv_top_brqrv_dec_decode_wbff_dff_left_dff_genblock.dff_dout[11]_91 ),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_wbff_dff_left_dff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dec_decode_wbff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_r_d[15]),
+	.Q(\brqrv_top_brqrv_dec_decode_wbff_dff_left_dff_genblock.dff_dout[6]_86 ),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_wbff_dff_left_dff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_decode_wbff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_r_d[14]),
+	.Q(\brqrv_top_brqrv_dec_decode_wbff_dff_left_dff_genblock.dff_dout[5]_85 ),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_wbff_dff_left_dff_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_dec_decode_wbff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_r_d[19]),
+	.Q(\brqrv_top_brqrv_dec_decode_wbff_dff_left_dff_genblock.dff_dout[10]_90 ),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_wbff_dff_left_dff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_decode_wbff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_r_d[9]),
+	.Q(\brqrv_top_brqrv_dec_decode_wbff_dff_left_dff_genblock.dff_dout[0]_80 ),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_wbff_dff_left_dff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_decode_wbff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_r_d[12]),
+	.Q(\brqrv_top_brqrv_dec_decode_wbff_dff_left_dff_genblock.dff_dout[3]_83 ),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_wbff_dff_left_dff_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_dec_decode_wbff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_r_d[21]),
+	.Q(brqrv_top_brqrv_dec_decode_wbd[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_wbff_dff_left_dff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_decode_wbff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_r_d[11]),
+	.Q(\brqrv_top_brqrv_dec_decode_wbff_dff_left_dff_genblock.dff_dout[2]_82 ),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_wbff_dff_left_dff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_decode_wbff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_r_d[10]),
+	.Q(\brqrv_top_brqrv_dec_decode_wbff_dff_left_dff_genblock.dff_dout[1]_81 ),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_wbff_dff_left_dff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dec_decode_wbff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wraddr_r[7]),
+	.Q(\brqrv_top_brqrv_dec_decode_wbff_dff_left_dff_genblock.dff_dout[7]_87 ),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_wbff_dff_left_dff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_decode_wbff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_r_d[13]),
+	.Q(\brqrv_top_brqrv_dec_decode_wbff_dff_left_dff_genblock.dff_dout[4]_84 ),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_2 brqrv_top_brqrv_dec_decode_wbnbloaddelayff_g30__6783 (
+	.A0(brqrv_top_brqrv_dec_decode_nonblock_load_valid_m_delay),
+	.A1(brqrv_top_brqrv_lsu_nonblock_load_valid_m),
+	.S(brqrv_top_brqrv_dec_ctl_en[0]),
+	.X(brqrv_top_brqrv_dec_decode_wbnbloaddelayff_n_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_wbnbloaddelayff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_active_clk),
+	.D(brqrv_top_brqrv_dec_decode_wbnbloaddelayff_n_2),
+	.Q(brqrv_top_brqrv_dec_decode_nonblock_load_valid_m_delay),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_decode_write_csr_ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_brqrv_n_7),
+	.GATE(brqrv_top_brqrv_dec_decode_csr_data_wen),
+	.GCLK(brqrv_top_brqrv_dec_decode_write_csr_ff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_write_csr_ff_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_dec_decode_write_csr_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_write_csr_data_in[12]),
+	.Q(brqrv_top_brqrv_dec_decode_write_csr_data[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_write_csr_ff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_decode_write_csr_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_write_csr_data_in[1]),
+	.Q(brqrv_top_brqrv_dec_decode_write_csr_data[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_write_csr_ff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_decode_write_csr_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_write_csr_data_in[2]),
+	.Q(brqrv_top_brqrv_dec_decode_write_csr_data[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_write_csr_ff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_decode_write_csr_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_write_csr_data_in[3]),
+	.Q(brqrv_top_brqrv_dec_decode_write_csr_data[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_write_csr_ff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_decode_write_csr_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_write_csr_data_in[4]),
+	.Q(brqrv_top_brqrv_dec_decode_write_csr_data[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_write_csr_ff_genblock.dff_dout_reg[27]  (
+	.CLK(brqrv_top_brqrv_dec_decode_write_csr_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_write_csr_data_in[27]),
+	.Q(brqrv_top_brqrv_dec_decode_write_csr_data[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_write_csr_ff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_decode_write_csr_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_write_csr_data_in[5]),
+	.Q(brqrv_top_brqrv_dec_decode_write_csr_data[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_write_csr_ff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dec_decode_write_csr_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_write_csr_data_in[6]),
+	.Q(brqrv_top_brqrv_dec_decode_write_csr_data[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_write_csr_ff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dec_decode_write_csr_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_write_csr_data_in[7]),
+	.Q(brqrv_top_brqrv_dec_decode_write_csr_data[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_write_csr_ff_genblock.dff_dout_reg[23]  (
+	.CLK(brqrv_top_brqrv_dec_decode_write_csr_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_write_csr_data_in[23]),
+	.Q(brqrv_top_brqrv_dec_decode_write_csr_data[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_write_csr_ff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_dec_decode_write_csr_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_write_csr_data_in[8]),
+	.Q(brqrv_top_brqrv_dec_decode_write_csr_data[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_write_csr_ff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_dec_decode_write_csr_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_write_csr_data_in[9]),
+	.Q(brqrv_top_brqrv_dec_decode_write_csr_data[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_write_csr_ff_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_dec_decode_write_csr_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_write_csr_data_in[10]),
+	.Q(brqrv_top_brqrv_dec_decode_write_csr_data[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_write_csr_ff_genblock.dff_dout_reg[19]  (
+	.CLK(brqrv_top_brqrv_dec_decode_write_csr_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_write_csr_data_in[19]),
+	.Q(brqrv_top_brqrv_dec_decode_write_csr_data[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_write_csr_ff_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_dec_decode_write_csr_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_write_csr_data_in[11]),
+	.Q(brqrv_top_brqrv_dec_decode_write_csr_data[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_write_csr_ff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_decode_write_csr_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_write_csr_data_in[0]),
+	.Q(brqrv_top_brqrv_dec_decode_write_csr_data[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_write_csr_ff_genblock.dff_dout_reg[31]  (
+	.CLK(brqrv_top_brqrv_dec_decode_write_csr_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_write_csr_data_in[31]),
+	.Q(brqrv_top_brqrv_dec_decode_write_csr_data[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_write_csr_ff_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_dec_decode_write_csr_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_write_csr_data_in[14]),
+	.Q(brqrv_top_brqrv_dec_decode_write_csr_data[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_write_csr_ff_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_dec_decode_write_csr_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_write_csr_data_in[15]),
+	.Q(brqrv_top_brqrv_dec_decode_write_csr_data[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_write_csr_ff_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_dec_decode_write_csr_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_write_csr_data_in[16]),
+	.Q(brqrv_top_brqrv_dec_decode_write_csr_data[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_write_csr_ff_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_dec_decode_write_csr_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_write_csr_data_in[17]),
+	.Q(brqrv_top_brqrv_dec_decode_write_csr_data[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_write_csr_ff_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_dec_decode_write_csr_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_write_csr_data_in[18]),
+	.Q(brqrv_top_brqrv_dec_decode_write_csr_data[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_write_csr_ff_genblock.dff_dout_reg[20]  (
+	.CLK(brqrv_top_brqrv_dec_decode_write_csr_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_write_csr_data_in[20]),
+	.Q(brqrv_top_brqrv_dec_decode_write_csr_data[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_write_csr_ff_genblock.dff_dout_reg[21]  (
+	.CLK(brqrv_top_brqrv_dec_decode_write_csr_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_write_csr_data_in[21]),
+	.Q(brqrv_top_brqrv_dec_decode_write_csr_data[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_write_csr_ff_genblock.dff_dout_reg[22]  (
+	.CLK(brqrv_top_brqrv_dec_decode_write_csr_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_write_csr_data_in[22]),
+	.Q(brqrv_top_brqrv_dec_decode_write_csr_data[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_write_csr_ff_genblock.dff_dout_reg[24]  (
+	.CLK(brqrv_top_brqrv_dec_decode_write_csr_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_write_csr_data_in[24]),
+	.Q(brqrv_top_brqrv_dec_decode_write_csr_data[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_write_csr_ff_genblock.dff_dout_reg[25]  (
+	.CLK(brqrv_top_brqrv_dec_decode_write_csr_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_write_csr_data_in[25]),
+	.Q(brqrv_top_brqrv_dec_decode_write_csr_data[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_write_csr_ff_genblock.dff_dout_reg[26]  (
+	.CLK(brqrv_top_brqrv_dec_decode_write_csr_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_write_csr_data_in[26]),
+	.Q(brqrv_top_brqrv_dec_decode_write_csr_data[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_write_csr_ff_genblock.dff_dout_reg[28]  (
+	.CLK(brqrv_top_brqrv_dec_decode_write_csr_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_write_csr_data_in[28]),
+	.Q(brqrv_top_brqrv_dec_decode_write_csr_data[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_write_csr_ff_genblock.dff_dout_reg[29]  (
+	.CLK(brqrv_top_brqrv_dec_decode_write_csr_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_write_csr_data_in[29]),
+	.Q(brqrv_top_brqrv_dec_decode_write_csr_data[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_write_csr_ff_genblock.dff_dout_reg[30]  (
+	.CLK(brqrv_top_brqrv_dec_decode_write_csr_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_write_csr_data_in[30]),
+	.Q(brqrv_top_brqrv_dec_decode_write_csr_data[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_write_csr_ff_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_dec_decode_write_csr_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_write_csr_data_in[13]),
+	.Q(brqrv_top_brqrv_dec_decode_write_csr_data[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 brqrv_top_brqrv_dec_tlu_csrwr_r_cgc_clkhdr (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_tlu_n_3270),
+	.GCLK(brqrv_top_brqrv_dec_tlu_csr_wr_clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_tlu_dcsr_ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_brqrv_n_7),
+	.GATE(brqrv_top_brqrv_dec_tlu_n_3388),
+	.GCLK(brqrv_top_brqrv_dec_tlu_dcsr_ff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dcsr_ff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dcsr_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dcsr_ns[3]),
+	.Q(brqrv_top_brqrv_dec_tlu_dcsr[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dcsr_ff_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dcsr_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dcsr_ns[15]),
+	.Q(brqrv_top_brqrv_dec_tlu_dcsr[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dcsr_ff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dcsr_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dcsr_ns[2]),
+	.Q(brqrv_top_brqrv_dec_tlu_dcsr[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dcsr_ff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dcsr_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dcsr_ns[6]),
+	.Q(brqrv_top_brqrv_dec_tlu_dcsr[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dcsr_ff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dcsr_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dcsr_ns[10]),
+	.Q(brqrv_top_brqrv_dec_tlu_dcsr[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dcsr_ff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dcsr_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dcsr_ns[8]),
+	.Q(brqrv_top_brqrv_dec_tlu_dcsr[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dcsr_ff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dcsr_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dcsr_ns[7]),
+	.Q(brqrv_top_brqrv_dec_tlu_dcsr[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dcsr_ff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dcsr_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dcsr_ns[11]),
+	.Q(brqrv_top_brqrv_dec_tlu_dcsr[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_tlu_dicad0_ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_tlu_n_3390),
+	.GCLK(brqrv_top_brqrv_dec_tlu_dicad0_ff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicad0_ff_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicad0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dicad0_ns[10]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicad0[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicad0_ff_genblock.dff_dout_reg[30]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicad0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dicad0_ns[30]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicad0[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicad0_ff_genblock.dff_dout_reg[28]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicad0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dicad0_ns[28]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicad0[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicad0_ff_genblock.dff_dout_reg[24]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicad0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dicad0_ns[24]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicad0[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicad0_ff_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicad0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dicad0_ns[16]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicad0[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicad0_ff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicad0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dicad0_ns[0]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicad0[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicad0_ff_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicad0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dicad0_ns[15]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicad0[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicad0_ff_genblock.dff_dout_reg[23]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicad0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dicad0_ns[23]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicad0[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicad0_ff_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicad0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dicad0_ns[14]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicad0[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicad0_ff_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicad0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dicad0_ns[13]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicad0[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicad0_ff_genblock.dff_dout_reg[27]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicad0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dicad0_ns[27]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicad0[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicad0_ff_genblock.dff_dout_reg[22]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicad0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dicad0_ns[22]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicad0[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicad0_ff_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicad0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dicad0_ns[12]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicad0[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicad0_ff_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicad0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dicad0_ns[11]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicad0[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicad0_ff_genblock.dff_dout_reg[21]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicad0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dicad0_ns[21]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicad0[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicad0_ff_genblock.dff_dout_reg[31]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicad0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dicad0_ns[31]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicad0[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicad0_ff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicad0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dicad0_ns[1]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicad0[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicad0_ff_genblock.dff_dout_reg[29]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicad0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dicad0_ns[29]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicad0[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicad0_ff_genblock.dff_dout_reg[26]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicad0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dicad0_ns[26]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicad0[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicad0_ff_genblock.dff_dout_reg[20]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicad0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dicad0_ns[20]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicad0[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicad0_ff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicad0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dicad0_ns[8]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicad0[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicad0_ff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicad0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dicad0_ns[7]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicad0[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicad0_ff_genblock.dff_dout_reg[19]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicad0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dicad0_ns[19]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicad0[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicad0_ff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicad0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dicad0_ns[6]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicad0[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicad0_ff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicad0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dicad0_ns[5]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicad0[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicad0_ff_genblock.dff_dout_reg[25]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicad0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dicad0_ns[25]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicad0[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicad0_ff_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicad0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dicad0_ns[18]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicad0[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicad0_ff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicad0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dicad0_ns[4]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicad0[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicad0_ff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicad0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dicad0_ns[3]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicad0[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicad0_ff_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicad0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dicad0_ns[17]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicad0[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicad0_ff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicad0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dicad0_ns[2]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicad0[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicad0_ff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicad0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dicad0_ns[9]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicad0[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_tlu_dicad0h_ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_tlu_n_3391),
+	.GCLK(brqrv_top_brqrv_dec_tlu_dicad0h_ff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicad0h_ff_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicad0h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dicad0h_ns[10]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicad0h[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicad0h_ff_genblock.dff_dout_reg[30]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicad0h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dicad0h_ns[30]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicad0h[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicad0h_ff_genblock.dff_dout_reg[28]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicad0h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dicad0h_ns[28]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicad0h[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicad0h_ff_genblock.dff_dout_reg[24]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicad0h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dicad0h_ns[24]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicad0h[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicad0h_ff_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicad0h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dicad0h_ns[16]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicad0h[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicad0h_ff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicad0h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dicad0h_ns[0]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicad0h[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicad0h_ff_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicad0h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dicad0h_ns[15]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicad0h[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicad0h_ff_genblock.dff_dout_reg[23]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicad0h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dicad0h_ns[23]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicad0h[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicad0h_ff_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicad0h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dicad0h_ns[14]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicad0h[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicad0h_ff_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicad0h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dicad0h_ns[13]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicad0h[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicad0h_ff_genblock.dff_dout_reg[27]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicad0h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dicad0h_ns[27]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicad0h[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicad0h_ff_genblock.dff_dout_reg[22]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicad0h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dicad0h_ns[22]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicad0h[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicad0h_ff_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicad0h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dicad0h_ns[12]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicad0h[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicad0h_ff_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicad0h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dicad0h_ns[11]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicad0h[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicad0h_ff_genblock.dff_dout_reg[21]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicad0h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dicad0h_ns[21]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicad0h[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicad0h_ff_genblock.dff_dout_reg[31]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicad0h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dicad0h_ns[31]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicad0h[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicad0h_ff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicad0h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dicad0h_ns[1]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicad0h[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicad0h_ff_genblock.dff_dout_reg[29]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicad0h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dicad0h_ns[29]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicad0h[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicad0h_ff_genblock.dff_dout_reg[26]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicad0h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dicad0h_ns[26]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicad0h[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicad0h_ff_genblock.dff_dout_reg[20]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicad0h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dicad0h_ns[20]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicad0h[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicad0h_ff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicad0h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dicad0h_ns[8]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicad0h[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicad0h_ff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicad0h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dicad0h_ns[7]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicad0h[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicad0h_ff_genblock.dff_dout_reg[19]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicad0h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dicad0h_ns[19]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicad0h[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicad0h_ff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicad0h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dicad0h_ns[6]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicad0h[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicad0h_ff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicad0h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dicad0h_ns[5]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicad0h[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicad0h_ff_genblock.dff_dout_reg[25]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicad0h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dicad0h_ns[25]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicad0h[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicad0h_ff_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicad0h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dicad0h_ns[18]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicad0h[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicad0h_ff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicad0h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dicad0h_ns[4]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicad0h[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicad0h_ff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicad0h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dicad0h_ns[3]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicad0h[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicad0h_ff_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicad0h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dicad0h_ns[17]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicad0h[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicad0h_ff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicad0h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dicad0h_ns[2]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicad0h[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicad0h_ff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicad0h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dicad0h_ns[9]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicad0h[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_tlu_dicawics_ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_tlu_wr_dicawics_r),
+	.GCLK(brqrv_top_brqrv_dec_tlu_dicawics_ff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicawics_ff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicawics_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[4]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicawics[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicawics_ff_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicawics_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[21]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicawics[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicawics_ff_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicawics_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[16]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicawics[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicawics_ff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicawics_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[12]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicawics[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicawics_ff_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicawics_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[24]),
+	.Q(brqrv_top_brqrv_dec_tlu_ic_diag_pkt[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicawics_ff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicawics_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[3]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicawics[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicawics_ff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicawics_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[11]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicawics[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicawics_ff_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicawics_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[15]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicawics[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicawics_ff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicawics_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[5]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicawics[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicawics_ff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicawics_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[9]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicawics[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicawics_ff_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicawics_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[20]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicawics[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicawics_ff_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicawics_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[14]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicawics[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicawics_ff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicawics_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[8]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicawics[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicawics_ff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicawics_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[7]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicawics[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicawics_ff_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicawics_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[13]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicawics[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicawics_ff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicawics_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[6]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicawics[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicawics_ff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicawics_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[10]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicawics[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_tlu_dpc_ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_tlu_n_3389),
+	.GCLK(brqrv_top_brqrv_dec_tlu_dpc_ff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dpc_ff_genblock.dff_dout_reg[30]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dpc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dpc_ns[31]),
+	.Q(brqrv_top_brqrv_dec_tlu_dpc[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dpc_ff_genblock.dff_dout_reg[29]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dpc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dpc_ns[30]),
+	.Q(brqrv_top_brqrv_dec_tlu_dpc[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dpc_ff_genblock.dff_dout_reg[27]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dpc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dpc_ns[28]),
+	.Q(brqrv_top_brqrv_dec_tlu_dpc[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dpc_ff_genblock.dff_dout_reg[23]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dpc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dpc_ns[24]),
+	.Q(brqrv_top_brqrv_dec_tlu_dpc[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dpc_ff_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dpc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dpc_ns[16]),
+	.Q(brqrv_top_brqrv_dec_tlu_dpc[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dpc_ff_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dpc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dpc_ns[15]),
+	.Q(brqrv_top_brqrv_dec_tlu_dpc[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dpc_ff_genblock.dff_dout_reg[22]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dpc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dpc_ns[23]),
+	.Q(brqrv_top_brqrv_dec_tlu_dpc[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dpc_ff_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dpc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dpc_ns[14]),
+	.Q(brqrv_top_brqrv_dec_tlu_dpc[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dpc_ff_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dpc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dpc_ns[13]),
+	.Q(brqrv_top_brqrv_dec_tlu_dpc[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dpc_ff_genblock.dff_dout_reg[26]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dpc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dpc_ns[27]),
+	.Q(brqrv_top_brqrv_dec_tlu_dpc[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dpc_ff_genblock.dff_dout_reg[21]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dpc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dpc_ns[22]),
+	.Q(brqrv_top_brqrv_dec_tlu_dpc[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dpc_ff_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dpc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dpc_ns[11]),
+	.Q(brqrv_top_brqrv_dec_tlu_dpc[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dpc_ff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dpc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dpc_ns[10]),
+	.Q(brqrv_top_brqrv_dec_tlu_dpc[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dpc_ff_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dpc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dpc_ns[17]),
+	.Q(brqrv_top_brqrv_dec_tlu_dpc[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dpc_ff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dpc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dpc_ns[9]),
+	.Q(brqrv_top_brqrv_dec_tlu_dpc[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dpc_ff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dpc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dpc_ns[8]),
+	.Q(brqrv_top_brqrv_dec_tlu_dpc[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dpc_ff_genblock.dff_dout_reg[28]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dpc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dpc_ns[29]),
+	.Q(brqrv_top_brqrv_dec_tlu_dpc[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dpc_ff_genblock.dff_dout_reg[25]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dpc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dpc_ns[26]),
+	.Q(brqrv_top_brqrv_dec_tlu_dpc[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dpc_ff_genblock.dff_dout_reg[19]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dpc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dpc_ns[20]),
+	.Q(brqrv_top_brqrv_dec_tlu_dpc[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dpc_ff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dpc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dpc_ns[7]),
+	.Q(brqrv_top_brqrv_dec_tlu_dpc[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dpc_ff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dpc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dpc_ns[3]),
+	.Q(brqrv_top_brqrv_dec_tlu_dpc[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dpc_ff_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dpc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dpc_ns[19]),
+	.Q(brqrv_top_brqrv_dec_tlu_dpc[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dpc_ff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dpc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dpc_ns[2]),
+	.Q(brqrv_top_brqrv_dec_tlu_dpc[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dpc_ff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dpc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dpc_ns[1]),
+	.Q(brqrv_top_brqrv_dec_tlu_dpc[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dpc_ff_genblock.dff_dout_reg[24]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dpc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dpc_ns[25]),
+	.Q(brqrv_top_brqrv_dec_tlu_dpc[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dpc_ff_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dpc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dpc_ns[18]),
+	.Q(brqrv_top_brqrv_dec_tlu_dpc[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dpc_ff_genblock.dff_dout_reg[20]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dpc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dpc_ns[21]),
+	.Q(brqrv_top_brqrv_dec_tlu_dpc[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dpc_ff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dpc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dpc_ns[4]),
+	.Q(brqrv_top_brqrv_dec_tlu_dpc[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dpc_ff_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dpc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dpc_ns[12]),
+	.Q(brqrv_top_brqrv_dec_tlu_dpc[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dpc_ff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dpc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dpc_ns[5]),
+	.Q(brqrv_top_brqrv_dec_tlu_dpc[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dpc_ff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dpc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dpc_ns[6]),
+	.Q(brqrv_top_brqrv_dec_tlu_dpc[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 brqrv_top_brqrv_dec_tlu_e4e5_cgc_clkhdr (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_tlu_n_3271),
+	.GCLK(brqrv_top_brqrv_dec_tlu_e4e5_clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 brqrv_top_brqrv_dec_tlu_e4e5_int_cgc_clkhdr (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_tlu_n_3272),
+	.GCLK(brqrv_top_brqrv_dec_tlu_e4e5_int_clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 brqrv_top_brqrv_dec_tlu_excinfo_wb_ff_clkhdr_clkhdr (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_tlu_excinfo_wb_ff_en),
+	.GCLK(brqrv_top_brqrv_dec_tlu_excinfo_wb_ff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_excinfo_wb_ff_dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_excinfo_wb_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_exc_cause_r[2]),
+	.Q(brqrv_top_brqrv_dec_tlu_excinfo_wb_ff_n_22),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_excinfo_wb_ff_dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_excinfo_wb_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_exc_cause_r[4]),
+	.Q(brqrv_top_brqrv_dec_tlu_excinfo_wb_ff_n_24),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_excinfo_wb_ff_dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_excinfo_wb_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_i0_exception_valid_r),
+	.Q(brqrv_top_brqrv_dec_tlu_excinfo_wb_ff_n_26),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_excinfo_wb_ff_dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_excinfo_wb_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_i0_trigger_hit_raw_r),
+	.Q(brqrv_top_brqrv_dec_tlu_trigger_hit_r_d1),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_excinfo_wb_ff_dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_excinfo_wb_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_exc_cause_r[3]),
+	.Q(brqrv_top_brqrv_dec_tlu_excinfo_wb_ff_n_23),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_excinfo_wb_ff_dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_excinfo_wb_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_exc_cause_r[1]),
+	.Q(brqrv_top_brqrv_dec_tlu_excinfo_wb_ff_n_21),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_excinfo_wb_ff_dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_excinfo_wb_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_pause_expired_r),
+	.Q(brqrv_top_brqrv_dec_tlu_pause_expired_wb),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_excinfo_wb_ff_dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_excinfo_wb_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_exc_cause_r[0]),
+	.Q(brqrv_top_brqrv_dec_tlu_excinfo_wb_ff_n_20),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_excinfo_wb_ff_dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_excinfo_wb_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_n_3314),
+	.Q(brqrv_top_brqrv_dec_tlu_excinfo_wb_ff_n_19),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_excinfo_wb_ff_dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_excinfo_wb_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_take_nmi),
+	.Q(brqrv_top_brqrv_dec_tlu_take_nmi_r_d1),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_excinfo_wb_ff_dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_excinfo_wb_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_exc_or_int_valid_r),
+	.Q(brqrv_top_brqrv_dec_tlu_exc_or_int_valid_r_d1),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_excinfo_wb_ff_dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_excinfo_wb_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_interrupt_valid_r),
+	.Q(brqrv_top_brqrv_dec_tlu_interrupt_valid_r_d1),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_exctype_wb_ff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_e4e5_clk),
+	.D(brqrv_top_brqrv_dec_tlu_ebreak_to_debug_mode_r),
+	.Q(brqrv_top_brqrv_dec_tlu_ebreak_to_debug_mode_r_d1),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 brqrv_top_brqrv_dec_tlu_exthaltff_g250__3680 (
+	.A(brqrv_top_brqrv_dec_tlu_exthaltff_n_5),
+	.B(brqrv_top_brqrv_dec_tlu_exthaltff_n_1),
+	.C(brqrv_top_brqrv_dec_tlu_exthaltff_n_3),
+	.D(brqrv_top_brqrv_dec_tlu_exthaltff_n_6),
+	.Y(brqrv_top_brqrv_dec_tlu_exthaltff_en), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 brqrv_top_brqrv_dec_tlu_exthaltff_g251__1617 (
+	.A(brqrv_top_brqrv_dec_tlu_exthaltff_n_4),
+	.B(brqrv_top_brqrv_dec_tlu_exthaltff_n_0),
+	.C(brqrv_top_brqrv_dec_tlu_exthaltff_n_2),
+	.Y(brqrv_top_brqrv_dec_tlu_exthaltff_n_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_dec_tlu_exthaltff_g252__2802 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timer0_int_hold_f),
+	.B(brqrv_top_brqrv_dec_tlu_int_timer0_int_hold),
+	.Y(brqrv_top_brqrv_dec_tlu_exthaltff_n_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_dec_tlu_exthaltff_g253__1705 (
+	.A(brqrv_top_brqrv_dec_tlu_dec_tlu_pmu_fw_halted),
+	.B(brqrv_top_brqrv_dec_tlu_pmu_fw_tlu_halted),
+	.X(brqrv_top_brqrv_dec_tlu_exthaltff_n_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_dec_tlu_exthaltff_g254__5122 (
+	.A(brqrv_top_brqrv_n_578),
+	.B(brqrv_top_brqrv_dec_tlu_cpu_halt_status),
+	.Y(brqrv_top_brqrv_dec_tlu_exthaltff_n_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_dec_tlu_exthaltff_g255__8246 (
+	.A(brqrv_top_brqrv_dec_tlu_internal_pmu_fw_halt_mode_f),
+	.B(brqrv_top_brqrv_dec_tlu_internal_pmu_fw_halt_mode),
+	.X(brqrv_top_brqrv_dec_tlu_exthaltff_n_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_dec_tlu_exthaltff_g256__7098 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timer1_int_hold_f),
+	.B(brqrv_top_brqrv_dec_tlu_int_timer1_int_hold),
+	.Y(brqrv_top_brqrv_dec_tlu_exthaltff_n_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_dec_tlu_exthaltff_g257__6131 (
+	.A(brqrv_top_brqrv_dec_tlu_pmu_fw_halt_req_f),
+	.B(brqrv_top_brqrv_dec_tlu_pmu_fw_halt_req_ns),
+	.X(brqrv_top_brqrv_dec_tlu_exthaltff_n_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 brqrv_top_brqrv_dec_tlu_exthaltff_clkhdr_clkhdr (
+	.CLK(brqrv_top_brqrv_n_7),
+	.GATE(brqrv_top_brqrv_dec_tlu_exthaltff_en),
+	.GCLK(brqrv_top_brqrv_dec_tlu_exthaltff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_exthaltff_dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_exthaltff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timer1_int_hold),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timer1_int_hold_f),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_exthaltff_dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_exthaltff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timer0_int_hold),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timer0_int_hold_f),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_exthaltff_dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_exthaltff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_pmu_fw_tlu_halted),
+	.Q(brqrv_top_brqrv_dec_tlu_dec_tlu_pmu_fw_halted),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_exthaltff_dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_exthaltff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_pmu_fw_halt_req_ns),
+	.Q(brqrv_top_brqrv_dec_tlu_pmu_fw_halt_req_f),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_exthaltff_dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_exthaltff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_internal_pmu_fw_halt_mode),
+	.Q(brqrv_top_brqrv_dec_tlu_internal_pmu_fw_halt_mode_f),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_exthaltff_dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_exthaltff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_cpu_halt_status),
+	.Q(brqrv_top_brqrv_n_578),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_tlu_flush_lower_ff_dff_dff_extra_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(n_32502),
+	.GCLK(brqrv_top_brqrv_dec_tlu_flush_lower_ff_dff_dff_extra_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_flush_lower_ff_dff_dff_extra_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_flush_lower_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_flush_path_r[1]),
+	.Q(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_flush_lower_ff_dff_dff_extra_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_flush_lower_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_flush_path_r[12]),
+	.Q(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_flush_lower_ff_dff_dff_extra_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_flush_lower_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_flush_path_r[5]),
+	.Q(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_flush_lower_ff_dff_dff_extra_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_flush_lower_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_flush_path_r[6]),
+	.Q(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_flush_lower_ff_dff_dff_extra_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_flush_lower_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_flush_path_r[7]),
+	.Q(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_flush_lower_ff_dff_dff_extra_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_flush_lower_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_flush_path_r[8]),
+	.Q(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_flush_lower_ff_dff_dff_extra_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_flush_lower_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_flush_path_r[9]),
+	.Q(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_flush_lower_ff_dff_dff_extra_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_flush_lower_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_flush_path_r[10]),
+	.Q(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_flush_lower_ff_dff_dff_extra_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_flush_lower_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_flush_path_r[11]),
+	.Q(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_flush_lower_ff_dff_dff_extra_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_flush_lower_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_flush_path_r[2]),
+	.Q(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_flush_lower_ff_dff_dff_extra_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_flush_lower_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_flush_path_r[3]),
+	.Q(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_flush_lower_ff_dff_dff_extra_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_flush_lower_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_flush_path_r[4]),
+	.Q(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_tlu_flush_lower_ff_dff_dff_left_dff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_tlu_flush_lower_ff_dff_dff_left_final_en),
+	.GCLK(brqrv_top_brqrv_dec_tlu_flush_lower_ff_dff_dff_left_dff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_flush_lower_ff_dff_dff_left_dff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_flush_lower_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_flush_path_r[19]),
+	.Q(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_flush_lower_ff_dff_dff_left_dff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_flush_lower_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_flush_path_r[14]),
+	.Q(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_flush_lower_ff_dff_dff_left_dff_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_flush_lower_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_flush_path_r[30]),
+	.Q(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_flush_lower_ff_dff_dff_left_dff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_flush_lower_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_flush_path_r[15]),
+	.Q(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_flush_lower_ff_dff_dff_left_dff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_flush_lower_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_flush_path_r[16]),
+	.Q(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_flush_lower_ff_dff_dff_left_dff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_flush_lower_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_flush_path_r[17]),
+	.Q(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_flush_lower_ff_dff_dff_left_dff_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_flush_lower_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_flush_path_r[26]),
+	.Q(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_flush_lower_ff_dff_dff_left_dff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_flush_lower_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_flush_path_r[18]),
+	.Q(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_flush_lower_ff_dff_dff_left_dff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_flush_lower_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_flush_path_r[13]),
+	.Q(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_flush_lower_ff_dff_dff_left_dff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_flush_lower_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_flush_path_r[20]),
+	.Q(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_flush_lower_ff_dff_dff_left_dff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_flush_lower_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_flush_path_r[21]),
+	.Q(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_flush_lower_ff_dff_dff_left_dff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_flush_lower_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_flush_path_r[22]),
+	.Q(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_flush_lower_ff_dff_dff_left_dff_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_flush_lower_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_flush_path_r[23]),
+	.Q(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_flush_lower_ff_dff_dff_left_dff_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_flush_lower_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_flush_path_r[24]),
+	.Q(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_flush_lower_ff_dff_dff_left_dff_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_flush_lower_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_flush_path_r[25]),
+	.Q(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_flush_lower_ff_dff_dff_left_dff_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_flush_lower_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_flush_path_r[27]),
+	.Q(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_flush_lower_ff_dff_dff_left_dff_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_flush_lower_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_flush_path_r[28]),
+	.Q(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_flush_lower_ff_dff_dff_left_dff_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_flush_lower_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_flush_path_r[29]),
+	.Q(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_flush_lower_ff_dff_dff_left_dff_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_flush_lower_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_flush_path_r[31]),
+	.Q(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_tlu_forcehaltctr_ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_tlu_mfdht[0]),
+	.GCLK(brqrv_top_brqrv_dec_tlu_forcehaltctr_ff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_forcehaltctr_ff_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_forcehaltctr_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_force_halt_ctr[12]),
+	.Q(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_forcehaltctr_ff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_forcehaltctr_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_force_halt_ctr[1]),
+	.Q(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_forcehaltctr_ff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_forcehaltctr_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_force_halt_ctr[2]),
+	.Q(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_forcehaltctr_ff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_forcehaltctr_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_force_halt_ctr[3]),
+	.Q(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_forcehaltctr_ff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_forcehaltctr_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_force_halt_ctr[4]),
+	.Q(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_forcehaltctr_ff_genblock.dff_dout_reg[27]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_forcehaltctr_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_force_halt_ctr[27]),
+	.Q(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_forcehaltctr_ff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_forcehaltctr_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_force_halt_ctr[5]),
+	.Q(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_forcehaltctr_ff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_forcehaltctr_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_force_halt_ctr[6]),
+	.Q(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_forcehaltctr_ff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_forcehaltctr_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_force_halt_ctr[7]),
+	.Q(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_forcehaltctr_ff_genblock.dff_dout_reg[23]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_forcehaltctr_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_force_halt_ctr[23]),
+	.Q(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_forcehaltctr_ff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_forcehaltctr_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_force_halt_ctr[8]),
+	.Q(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_forcehaltctr_ff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_forcehaltctr_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_force_halt_ctr[9]),
+	.Q(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_forcehaltctr_ff_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_forcehaltctr_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_force_halt_ctr[10]),
+	.Q(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_forcehaltctr_ff_genblock.dff_dout_reg[19]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_forcehaltctr_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_force_halt_ctr[19]),
+	.Q(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_forcehaltctr_ff_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_forcehaltctr_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_force_halt_ctr[11]),
+	.Q(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_forcehaltctr_ff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_forcehaltctr_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_force_halt_ctr[0]),
+	.Q(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_forcehaltctr_ff_genblock.dff_dout_reg[31]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_forcehaltctr_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_force_halt_ctr[31]),
+	.Q(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_forcehaltctr_ff_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_forcehaltctr_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_force_halt_ctr[14]),
+	.Q(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_forcehaltctr_ff_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_forcehaltctr_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_force_halt_ctr[15]),
+	.Q(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_forcehaltctr_ff_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_forcehaltctr_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_force_halt_ctr[16]),
+	.Q(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_forcehaltctr_ff_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_forcehaltctr_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_force_halt_ctr[17]),
+	.Q(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_forcehaltctr_ff_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_forcehaltctr_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_force_halt_ctr[18]),
+	.Q(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_forcehaltctr_ff_genblock.dff_dout_reg[20]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_forcehaltctr_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_force_halt_ctr[20]),
+	.Q(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_forcehaltctr_ff_genblock.dff_dout_reg[21]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_forcehaltctr_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_force_halt_ctr[21]),
+	.Q(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_forcehaltctr_ff_genblock.dff_dout_reg[22]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_forcehaltctr_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_force_halt_ctr[22]),
+	.Q(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_forcehaltctr_ff_genblock.dff_dout_reg[24]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_forcehaltctr_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_force_halt_ctr[24]),
+	.Q(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_forcehaltctr_ff_genblock.dff_dout_reg[25]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_forcehaltctr_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_force_halt_ctr[25]),
+	.Q(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_forcehaltctr_ff_genblock.dff_dout_reg[26]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_forcehaltctr_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_force_halt_ctr[26]),
+	.Q(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_forcehaltctr_ff_genblock.dff_dout_reg[28]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_forcehaltctr_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_force_halt_ctr[28]),
+	.Q(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_forcehaltctr_ff_genblock.dff_dout_reg[29]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_forcehaltctr_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_force_halt_ctr[29]),
+	.Q(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_forcehaltctr_ff_genblock.dff_dout_reg[30]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_forcehaltctr_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_force_halt_ctr[30]),
+	.Q(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_forcehaltctr_ff_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_forcehaltctr_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_force_halt_ctr[13]),
+	.Q(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 brqrv_top_brqrv_dec_tlu_freeff_g326__1881 (
+	.A(brqrv_top_brqrv_dec_tlu_freeff_n_9),
+	.B(brqrv_top_brqrv_dec_tlu_freeff_n_8),
+	.C(brqrv_top_brqrv_dec_tlu_freeff_n_4),
+	.D(brqrv_top_brqrv_dec_tlu_freeff_n_0),
+	.Y(brqrv_top_brqrv_dec_tlu_freeff_en), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 brqrv_top_brqrv_dec_tlu_freeff_g327__5115 (
+	.A(brqrv_top_brqrv_dec_tlu_freeff_n_5),
+	.B(brqrv_top_brqrv_dec_tlu_freeff_n_1),
+	.C(brqrv_top_brqrv_dec_tlu_freeff_n_7),
+	.D(brqrv_top_brqrv_dec_tlu_freeff_n_3),
+	.Y(brqrv_top_brqrv_dec_tlu_freeff_n_9), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_dec_tlu_freeff_g328__7482 (
+	.A(brqrv_top_brqrv_dec_tlu_freeff_n_2),
+	.B(brqrv_top_brqrv_dec_tlu_freeff_n_6),
+	.Y(brqrv_top_brqrv_dec_tlu_freeff_n_8), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_dec_tlu_freeff_g329__4733 (
+	.A(brqrv_top_brqrv_dec_tlu_debug_mode),
+	.B(brqrv_top_brqrv_dec_tlu_internal_dbg_halt_mode),
+	.X(brqrv_top_brqrv_dec_tlu_freeff_n_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_dec_tlu_freeff_g330__6161 (
+	.A(brqrv_top_brqrv_dec_tlu_force_halt),
+	.B(brqrv_top_brqrv_dec_tlu_force_halt_4648),
+	.X(brqrv_top_brqrv_dec_tlu_freeff_n_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_dec_tlu_freeff_g331__9315 (
+	.A(brqrv_top_brqrv_lsu_pmu_load_external_m),
+	.B(brqrv_top_brqrv_dec_tlu_lsu_pmu_load_external_r),
+	.X(brqrv_top_brqrv_dec_tlu_freeff_n_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_dec_tlu_freeff_g332__9945 (
+	.A(brqrv_top_brqrv_dec_tlu_debug_mode),
+	.B(brqrv_top_brqrv_dec_tlu_internal_dbg_halt_mode_f2),
+	.Y(brqrv_top_brqrv_dec_tlu_freeff_n_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_dec_tlu_freeff_g333__2883 (
+	.A(\brqrv_top_brqrv_dec_tlu_freeff_dff_dout[3]_63 ),
+	.B(n_32502),
+	.X(brqrv_top_brqrv_dec_tlu_freeff_n_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_dec_tlu_freeff_g334__2346 (
+	.A(n_11523),
+	.B(brqrv_top_brqrv_dec_tlu_e5_valid),
+	.X(brqrv_top_brqrv_dec_tlu_freeff_n_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_dec_tlu_freeff_g335__1666 (
+	.A(brqrv_top_brqrv_lsu_pmu_store_external_m),
+	.B(brqrv_top_brqrv_dec_tlu_lsu_pmu_store_external_r),
+	.X(brqrv_top_brqrv_dec_tlu_freeff_n_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_dec_tlu_freeff_g336__7410 (
+	.A(brqrv_top_brqrv_dec_dec_tlu_i0_kill_writeb_wb),
+	.B(n_36411),
+	.Y(brqrv_top_brqrv_dec_tlu_freeff_n_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 brqrv_top_brqrv_dec_tlu_freeff_clkhdr_clkhdr (
+	.CLK(brqrv_top_brqrv_n_7),
+	.GATE(brqrv_top_brqrv_dec_tlu_freeff_en),
+	.GCLK(brqrv_top_brqrv_dec_tlu_freeff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_freeff_dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_freeff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_debug_mode),
+	.Q(brqrv_top_brqrv_dec_tlu_internal_dbg_halt_mode_f2),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_freeff_dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_freeff_l1clk),
+	.D(brqrv_top_brqrv_lsu_pmu_store_external_m),
+	.Q(brqrv_top_brqrv_dec_tlu_lsu_pmu_store_external_r),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_freeff_dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_freeff_l1clk),
+	.D(brqrv_top_brqrv_lsu_pmu_load_external_m),
+	.Q(brqrv_top_brqrv_dec_tlu_lsu_pmu_load_external_r),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_freeff_dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_freeff_l1clk),
+	.D(n_11523),
+	.Q(brqrv_top_brqrv_dec_tlu_e5_valid),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_freeff_dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_freeff_l1clk),
+	.D(n_36411),
+	.Q(brqrv_top_brqrv_dec_dec_tlu_i0_kill_writeb_wb),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_freeff_dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_freeff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_force_halt_4648),
+	.Q(brqrv_top_brqrv_dec_tlu_force_halt),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_freeff_dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_freeff_l1clk),
+	.D(n_32502),
+	.Q(\brqrv_top_brqrv_dec_tlu_freeff_dff_dout[3]_63 ),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_freeff_dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_freeff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_internal_dbg_halt_mode),
+	.Q(brqrv_top_brqrv_dec_tlu_debug_mode),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_tlu_genblk4.dicad1_ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_tlu_n_3392),
+	.GCLK(\brqrv_top_brqrv_dec_tlu_genblk4.dicad1_ff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_genblk4.dicad1_ff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_dec_tlu_genblk4.dicad1_ff_l1clk ),
+	.D(brqrv_top_brqrv_dec_tlu_dicad1_ns[6]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicad1_raw[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_genblk4.dicad1_ff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_dec_tlu_genblk4.dicad1_ff_l1clk ),
+	.D(brqrv_top_brqrv_dec_tlu_dicad1_ns[5]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicad1_raw[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_genblk4.dicad1_ff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_dec_tlu_genblk4.dicad1_ff_l1clk ),
+	.D(brqrv_top_brqrv_dec_tlu_dicad1_ns[3]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicad1_raw[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_genblk4.dicad1_ff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_dec_tlu_genblk4.dicad1_ff_l1clk ),
+	.D(brqrv_top_brqrv_dec_tlu_dicad1_ns[1]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicad1_raw[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_genblk4.dicad1_ff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_dec_tlu_genblk4.dicad1_ff_l1clk ),
+	.D(brqrv_top_brqrv_dec_tlu_dicad1_ns[4]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicad1_raw[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_genblk4.dicad1_ff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_dec_tlu_genblk4.dicad1_ff_l1clk ),
+	.D(brqrv_top_brqrv_dec_tlu_dicad1_ns[2]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicad1_raw[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_genblk4.dicad1_ff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_dec_tlu_genblk4.dicad1_ff_l1clk ),
+	.D(brqrv_top_brqrv_dec_tlu_dicad1_ns[0]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicad1_raw[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_clkhdr_clkhdr  (
+	.CLK(brqrv_top_brqrv_n_7),
+	.GATE(\brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_en ),
+	.GCLK(\brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_l1clk ),
+	.D(brqrv_top_brqrv_dec_tlu_icache_wr_valid),
+	.Q(brqrv_top_brqrv_dec_tlu_ic_diag_pkt[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_l1clk ),
+	.D(brqrv_top_brqrv_dec_tlu_minstret_enable),
+	.Q(brqrv_top_brqrv_dec_tlu_minstret_enable_f),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_dff_dout_reg[27]  (
+	.CLK(\brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_l1clk ),
+	.D(brqrv_top_brqrv_dec_tlu_lsu_i0_exc_r),
+	.Q(\brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_n_62 ),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_l1clk ),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc_inc_r[0]),
+	.Q(\brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_n_38 ),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_l1clk ),
+	.D(brqrv_top_brqrv_dec_tlu_icache_rd_valid),
+	.Q(brqrv_top_brqrv_dec_tlu_ic_diag_pkt[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_l1clk ),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc_inc_r[3]),
+	.Q(\brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_n_41 ),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_l1clk ),
+	.D(brqrv_top_brqrv_dec_tlu_perfcnt_halted),
+	.Q(\brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_n_37 ),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_l1clk ),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc_inc_r[2]),
+	.Q(\brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_n_40 ),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_l1clk ),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc_inc_r[1]),
+	.Q(\brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_n_39 ),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_l1clk ),
+	.D(brqrv_top_brqrv_dec_tlu_minstretl_cout_ns),
+	.Q(brqrv_top_brqrv_dec_tlu_minstretl_cout_f),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_dff_dout_reg[30]  (
+	.CLK(\brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_l1clk ),
+	.D(brqrv_top_brqrv_dec_tlu_mdseac_locked_ns),
+	.Q(brqrv_top_brqrv_dec_tlu_mdseac_locked_f),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_l1clk ),
+	.D(brqrv_top_brqrv_dec_tlu_mstatus_ns[1]),
+	.Q(brqrv_top_brqrv_dec_tlu_mstatus[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_l1clk ),
+	.D(brqrv_top_brqrv_dec_tlu_meicidpl_ns[0]),
+	.Q(brqrv_top_brqrv_dec_tlu_meicidpl[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_l1clk ),
+	.D(brqrv_top_brqrv_dec_tlu_meicidpl_ns[1]),
+	.Q(brqrv_top_brqrv_dec_tlu_meicidpl[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_l1clk ),
+	.D(brqrv_top_brqrv_dec_tlu_meicidpl_ns[2]),
+	.Q(brqrv_top_brqrv_dec_tlu_meicidpl[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_l1clk ),
+	.D(brqrv_top_brqrv_dec_tlu_meicidpl_ns[3]),
+	.Q(brqrv_top_brqrv_dec_tlu_meicidpl[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_l1clk ),
+	.D(brqrv_top_brqrv_dec_tlu_fw_halted_ns),
+	.Q(brqrv_top_brqrv_dec_tlu_fw_halted),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_l1clk ),
+	.D(brqrv_top_brqrv_dec_tlu_mstatus_ns[0]),
+	.Q(brqrv_top_brqrv_dec_tlu_mstatus[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_l1clk ),
+	.D(brqrv_top_brqrv_dec_tlu_n_3397),
+	.Q(brqrv_top_brqrv_dec_tlu_mcyclel_cout_f),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_l1clk ),
+	.D(brqrv_top_brqrv_dec_tlu_dec_timer_t1_pulse),
+	.Q(brqrv_top_brqrv_dec_tlu_mip[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_l1clk ),
+	.D(brqrv_top_brqrv_dec_tlu_dec_timer_t0_pulse),
+	.Q(brqrv_top_brqrv_dec_tlu_mip[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_l1clk ),
+	.D(brqrv_top_brqrv_dec_tlu_ce_int),
+	.Q(brqrv_top_brqrv_dec_tlu_mip[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_dff_dout_reg[23]  (
+	.CLK(\brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_l1clk ),
+	.D(brqrv_top_brqrv_dec_tlu_ext_int_freeze),
+	.Q(brqrv_top_brqrv_dec_tlu_ext_int_freeze_d1),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_dff_dout_reg[24]  (
+	.CLK(\brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_l1clk ),
+	.D(brqrv_top_brqrv_dec_tlu_take_ext_int_start_d2),
+	.Q(brqrv_top_brqrv_dec_tlu_take_ext_int_start_d3),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_l1clk ),
+	.D(brqrv_top_brqrv_mexintpend),
+	.Q(brqrv_top_brqrv_dec_tlu_mip[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_dff_dout_reg[25]  (
+	.CLK(\brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_l1clk ),
+	.D(brqrv_top_brqrv_dec_tlu_take_ext_int_start_d1),
+	.Q(brqrv_top_brqrv_dec_tlu_take_ext_int_start_d2),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_dff_dout_reg[26]  (
+	.CLK(\brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_l1clk ),
+	.D(brqrv_top_brqrv_dec_dec_tlu_flush_extint),
+	.Q(brqrv_top_brqrv_dec_tlu_take_ext_int_start_d1),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 brqrv_top_brqrv_dec_tlu_halt_ff_g1004__6417 (
+	.A1(brqrv_top_brqrv_dec_tlu_dec_tlu_flush_pause_r_d1),
+	.A2(n_33843),
+	.B1(brqrv_top_brqrv_dec_tlu_halt_ff_n_7),
+	.C1(brqrv_top_brqrv_dec_tlu_halt_ff_n_22),
+	.D1(brqrv_top_brqrv_dec_tlu_halt_ff_n_24),
+	.Y(brqrv_top_brqrv_dec_tlu_halt_ff_en), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111oi_0 brqrv_top_brqrv_dec_tlu_halt_ff_g1005__5477 (
+	.A1(n_33843),
+	.A2(brqrv_top_brqrv_dec_tlu_dec_tlu_flush_pause_r_d1),
+	.B1(brqrv_top_brqrv_dec_tlu_halt_ff_n_20),
+	.C1(brqrv_top_brqrv_dec_tlu_halt_ff_n_23),
+	.D1(brqrv_top_brqrv_dec_tlu_halt_ff_n_19),
+	.Y(brqrv_top_brqrv_dec_tlu_halt_ff_n_24), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 brqrv_top_brqrv_dec_tlu_halt_ff_g1006__2398 (
+	.A(brqrv_top_brqrv_dec_tlu_halt_ff_n_18),
+	.B(brqrv_top_brqrv_dec_tlu_halt_ff_n_10),
+	.C(brqrv_top_brqrv_dec_tlu_halt_ff_n_11),
+	.D(brqrv_top_brqrv_dec_tlu_halt_ff_n_21),
+	.Y(brqrv_top_brqrv_dec_tlu_halt_ff_n_23), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 brqrv_top_brqrv_dec_tlu_halt_ff_g1007__5107 (
+	.A(brqrv_top_brqrv_dec_tlu_halt_ff_n_5),
+	.B(brqrv_top_brqrv_dec_tlu_halt_ff_n_9),
+	.C(brqrv_top_brqrv_dec_tlu_halt_ff_n_16),
+	.D(brqrv_top_brqrv_dec_tlu_halt_ff_n_3),
+	.Y(brqrv_top_brqrv_dec_tlu_halt_ff_n_22), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 brqrv_top_brqrv_dec_tlu_halt_ff_g1008__6260 (
+	.A(brqrv_top_brqrv_dec_tlu_halt_ff_n_17),
+	.B(brqrv_top_brqrv_dec_tlu_halt_ff_n_4),
+	.C(brqrv_top_brqrv_dec_tlu_halt_ff_n_8),
+	.D(brqrv_top_brqrv_dec_tlu_halt_ff_n_1),
+	.Y(brqrv_top_brqrv_dec_tlu_halt_ff_n_21), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_dec_tlu_halt_ff_g1009__4319 (
+	.A(brqrv_top_brqrv_dec_tlu_halt_ff_n_15),
+	.B(brqrv_top_brqrv_dec_tlu_halt_ff_n_14),
+	.Y(brqrv_top_brqrv_dec_tlu_halt_ff_n_20), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_dec_tlu_halt_ff_g1010__8428 (
+	.A(brqrv_top_brqrv_dec_tlu_halt_ff_n_6),
+	.B(brqrv_top_brqrv_dec_tlu_halt_ff_n_2),
+	.Y(brqrv_top_brqrv_dec_tlu_halt_ff_n_19), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_dec_tlu_halt_ff_g1011__5526 (
+	.A(brqrv_top_brqrv_dec_tlu_halt_ff_n_13),
+	.B(brqrv_top_brqrv_dec_tlu_halt_ff_n_12),
+	.Y(brqrv_top_brqrv_dec_tlu_halt_ff_n_18), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_dec_tlu_halt_ff_g1012__6783 (
+	.A(brqrv_top_brqrv_dec_tlu_dec_pause_state_f),
+	.B(brqrv_top_brqrv_dec_dec_pause_state),
+	.X(brqrv_top_brqrv_dec_tlu_halt_ff_n_17), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 brqrv_top_brqrv_dec_tlu_halt_ff_g1013__3680 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_trigger_hit_dmode_r_d1),
+	.A2_N(brqrv_top_brqrv_dec_tlu_trigger_hit_dmode_r),
+	.B1(brqrv_top_brqrv_dec_tlu_trigger_hit_dmode_r_d1),
+	.B2(brqrv_top_brqrv_dec_tlu_trigger_hit_dmode_r),
+	.Y(brqrv_top_brqrv_dec_tlu_halt_ff_n_16), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_dec_tlu_halt_ff_g1014__1617 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_halt_taken_f),
+	.A2_N(brqrv_top_brqrv_dec_tlu_halt_taken),
+	.B1(brqrv_top_brqrv_dec_tlu_halt_taken_f),
+	.B2(brqrv_top_brqrv_dec_tlu_halt_taken),
+	.Y(brqrv_top_brqrv_dec_tlu_halt_ff_n_15), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_dec_tlu_halt_ff_g1015__2802 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_ifu_miss_state_idle_f),
+	.A2_N(brqrv_top_brqrv_ifu_miss_state_idle),
+	.B1(brqrv_top_brqrv_dec_tlu_ifu_miss_state_idle_f),
+	.B2(brqrv_top_brqrv_ifu_miss_state_idle),
+	.Y(brqrv_top_brqrv_dec_tlu_halt_ff_n_14), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 brqrv_top_brqrv_dec_tlu_halt_ff_g1016__1705 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_dbg_halted),
+	.A2_N(brqrv_top_brqrv_dec_tlu_dbg_tlu_halted),
+	.B1(brqrv_top_brqrv_dec_tlu_dbg_halted),
+	.B2(brqrv_top_brqrv_dec_tlu_dbg_tlu_halted),
+	.Y(brqrv_top_brqrv_dec_tlu_halt_ff_n_13), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_dec_tlu_halt_ff_g1017__5122 (
+	.A(brqrv_top_brqrv_dec_tlu_resume_ack),
+	.B(brqrv_top_brqrv_dec_tlu_resume_ack_ns),
+	.X(brqrv_top_brqrv_dec_tlu_halt_ff_n_12), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_dec_tlu_halt_ff_g1018__8246 (
+	.A(brqrv_top_brqrv_dec_dec_tlu_debug_stall),
+	.B(brqrv_top_brqrv_dec_tlu_debug_halt_req_ns),
+	.Y(brqrv_top_brqrv_dec_tlu_halt_ff_n_11), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_dec_tlu_halt_ff_g1019__7098 (
+	.A(brqrv_top_brqrv_dec_tlu_debug_resume_req_f_raw),
+	.B(brqrv_top_brqrv_dec_tlu_debug_resume_req),
+	.Y(brqrv_top_brqrv_dec_tlu_halt_ff_n_10), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_dec_tlu_halt_ff_g1020__6131 (
+	.A(brqrv_top_brqrv_dec_tlu_debug_halt_req_d1),
+	.B(brqrv_top_brqrv_dec_tlu_debug_halt_req),
+	.X(brqrv_top_brqrv_dec_tlu_halt_ff_n_9), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_dec_tlu_halt_ff_g1021__1881 (
+	.A(brqrv_top_brqrv_dec_tlu_request_debug_mode_done_f),
+	.B(brqrv_top_brqrv_dec_tlu_request_debug_mode_done),
+	.X(brqrv_top_brqrv_dec_tlu_halt_ff_n_8), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_dec_tlu_halt_ff_g1022__5115 (
+	.A(brqrv_top_brqrv_dec_tlu_dbg_halt_req_held),
+	.B(brqrv_top_brqrv_dec_tlu_dbg_halt_req_held_ns),
+	.Y(brqrv_top_brqrv_dec_tlu_halt_ff_n_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_dec_tlu_halt_ff_g1023__7482 (
+	.A(brqrv_top_brqrv_dec_tlu_dec_tlu_flush_noredir_r_d1),
+	.B(brqrv_top_brqrv_dec_tlu_flush_noredir_r),
+	.Y(brqrv_top_brqrv_dec_tlu_halt_ff_n_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_dec_tlu_halt_ff_g1024__4733 (
+	.A(brqrv_top_brqrv_dec_tlu_dcsr_single_step_done_f),
+	.B(brqrv_top_brqrv_dec_tlu_dcsr_single_step_done),
+	.X(brqrv_top_brqrv_dec_tlu_halt_ff_n_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_dec_tlu_halt_ff_g1025__6161 (
+	.A(brqrv_top_brqrv_dec_tlu_dcsr_single_step_running_f),
+	.B(brqrv_top_brqrv_dec_tlu_dcsr_single_step_running),
+	.X(brqrv_top_brqrv_dec_tlu_halt_ff_n_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_dec_tlu_halt_ff_g1026__9315 (
+	.A(brqrv_top_brqrv_dec_tlu_dec_tlu_wr_pause_r_d1),
+	.B(brqrv_top_brqrv_dec_dec_tlu_wr_pause_r),
+	.X(brqrv_top_brqrv_dec_tlu_halt_ff_n_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_dec_tlu_halt_ff_g1027__9945 (
+	.A(brqrv_top_brqrv_dec_tlu_lsu_idle_any_f),
+	.B(brqrv_top_brqrv_lsu_idle_any),
+	.Y(brqrv_top_brqrv_dec_tlu_halt_ff_n_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_dec_tlu_halt_ff_g1028__2883 (
+	.A(brqrv_top_brqrv_dec_tlu_request_debug_mode_r_d1),
+	.B(brqrv_top_brqrv_dec_tlu_request_debug_mode_r),
+	.X(brqrv_top_brqrv_dec_tlu_halt_ff_n_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 brqrv_top_brqrv_dec_tlu_halt_ff_clkhdr_clkhdr (
+	.CLK(brqrv_top_brqrv_n_7),
+	.GATE(brqrv_top_brqrv_dec_tlu_halt_ff_en),
+	.GCLK(brqrv_top_brqrv_dec_tlu_halt_ff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_halt_ff_dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_halt_ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_miss_state_idle),
+	.Q(brqrv_top_brqrv_dec_tlu_ifu_miss_state_idle_f),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_halt_ff_dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_halt_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_resume_ack_ns),
+	.Q(brqrv_top_brqrv_dec_tlu_resume_ack),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_halt_ff_dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_halt_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_pause_state),
+	.Q(brqrv_top_brqrv_dec_tlu_dec_pause_state_f),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_halt_ff_dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_halt_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_debug_halt_req),
+	.Q(brqrv_top_brqrv_dec_tlu_debug_halt_req_d1),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_halt_ff_dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_halt_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_tlu_wr_pause_r),
+	.Q(brqrv_top_brqrv_dec_tlu_dec_tlu_wr_pause_r_d1),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_halt_ff_dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_halt_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_tlu_flush_pause_r),
+	.Q(brqrv_top_brqrv_dec_tlu_dec_tlu_flush_pause_r_d1),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_halt_ff_dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_halt_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dcsr_single_step_running),
+	.Q(brqrv_top_brqrv_dec_tlu_dcsr_single_step_running_f),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_halt_ff_dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_halt_ff_l1clk),
+	.D(brqrv_top_brqrv_lsu_idle_any),
+	.Q(brqrv_top_brqrv_dec_tlu_lsu_idle_any_f),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_halt_ff_dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_halt_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_request_debug_mode_done),
+	.Q(brqrv_top_brqrv_dec_tlu_request_debug_mode_done_f),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_halt_ff_dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_halt_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_request_debug_mode_r),
+	.Q(brqrv_top_brqrv_dec_tlu_request_debug_mode_r_d1),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_halt_ff_dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_halt_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dbg_halt_req_held_ns),
+	.Q(brqrv_top_brqrv_dec_tlu_dbg_halt_req_held),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_halt_ff_dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_halt_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_flush_noredir_r),
+	.Q(brqrv_top_brqrv_dec_tlu_dec_tlu_flush_noredir_r_d1),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_halt_ff_dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_halt_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_trigger_hit_dmode_r),
+	.Q(brqrv_top_brqrv_dec_tlu_trigger_hit_dmode_r_d1),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_halt_ff_dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_halt_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_debug_resume_req),
+	.Q(brqrv_top_brqrv_dec_tlu_debug_resume_req_f_raw),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_halt_ff_dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_halt_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_debug_halt_req_ns),
+	.Q(brqrv_top_brqrv_dec_dec_tlu_debug_stall),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_halt_ff_dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_halt_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dbg_tlu_halted),
+	.Q(brqrv_top_brqrv_dec_tlu_dbg_halted),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_halt_ff_dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_halt_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_halt_taken),
+	.Q(brqrv_top_brqrv_dec_tlu_halt_taken_f),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_halt_ff_dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_halt_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dcsr_single_step_done),
+	.Q(brqrv_top_brqrv_dec_tlu_dcsr_single_step_done_f),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitb0_ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_tlu_int_timers_wr_mitb0_r),
+	.GCLK(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_ff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitb0_ff_genblock.dff_dout_reg[19]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_n_6058),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitb0_ff_genblock.dff_dout_reg[30]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_ff_l1clk),
+	.D(n_1513),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitb0_ff_genblock.dff_dout_reg[28]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_ff_l1clk),
+	.D(n_1527),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitb0_ff_genblock.dff_dout_reg[24]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_n_322),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitb0_ff_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_ff_l1clk),
+	.D(n_1518),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitb0_ff_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_ff_l1clk),
+	.D(n_1519),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitb0_ff_genblock.dff_dout_reg[23]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_n_321),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitb0_ff_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_n_310),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitb0_ff_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_ff_l1clk),
+	.D(n_1511),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitb0_ff_genblock.dff_dout_reg[27]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_n_6062),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitb0_ff_genblock.dff_dout_reg[20]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_n_318),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitb0_ff_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_ff_l1clk),
+	.D(n_1514),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitb0_ff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_ff_l1clk),
+	.D(n_1809),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitb0_ff_genblock.dff_dout_reg[31]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_ff_l1clk),
+	.D(n_1808),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitb0_ff_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_n_313),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitb0_ff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_ff_l1clk),
+	.D(n_1506),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitb0_ff_genblock.dff_dout_reg[29]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_ff_l1clk),
+	.D(n_9041),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitb0_ff_genblock.dff_dout_reg[26]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_n_324),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitb0_ff_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_n_316),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitb0_ff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_ff_l1clk),
+	.D(n_1805),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitb0_ff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_ff_l1clk),
+	.D(n_1508),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitb0_ff_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_n_315),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitb0_ff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_ff_l1clk),
+	.D(n_1802),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitb0_ff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_ff_l1clk),
+	.D(n_1790),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitb0_ff_genblock.dff_dout_reg[25]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_n_323),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitb0_ff_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_n_314),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitb0_ff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_n_298),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitb0_ff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_ff_l1clk),
+	.D(n_1507),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitb0_ff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_n_300),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitb0_ff_genblock.dff_dout_reg[22]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_n_320),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitb0_ff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_n_3024),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitb0_ff_genblock.dff_dout_reg[21]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_n_319),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitb1_ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_tlu_int_timers_wr_mitb1_r),
+	.GCLK(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_ff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitb1_ff_genblock.dff_dout_reg[19]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_n_6058),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitb1_ff_genblock.dff_dout_reg[30]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_ff_l1clk),
+	.D(n_1513),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitb1_ff_genblock.dff_dout_reg[28]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_ff_l1clk),
+	.D(n_1527),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitb1_ff_genblock.dff_dout_reg[24]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_n_322),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitb1_ff_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_ff_l1clk),
+	.D(n_1518),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitb1_ff_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_ff_l1clk),
+	.D(n_1519),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitb1_ff_genblock.dff_dout_reg[23]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_n_321),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitb1_ff_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_n_310),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitb1_ff_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_ff_l1clk),
+	.D(n_1511),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitb1_ff_genblock.dff_dout_reg[27]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_n_6062),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitb1_ff_genblock.dff_dout_reg[20]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_n_318),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitb1_ff_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_ff_l1clk),
+	.D(n_1514),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitb1_ff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_ff_l1clk),
+	.D(n_1809),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitb1_ff_genblock.dff_dout_reg[31]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_ff_l1clk),
+	.D(n_1808),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitb1_ff_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_n_313),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitb1_ff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_ff_l1clk),
+	.D(n_1506),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitb1_ff_genblock.dff_dout_reg[29]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_ff_l1clk),
+	.D(n_9041),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitb1_ff_genblock.dff_dout_reg[26]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_n_324),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitb1_ff_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_n_316),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitb1_ff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_ff_l1clk),
+	.D(n_1805),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitb1_ff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_ff_l1clk),
+	.D(n_1508),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitb1_ff_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_n_315),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitb1_ff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_ff_l1clk),
+	.D(n_1802),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitb1_ff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_ff_l1clk),
+	.D(n_1790),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitb1_ff_genblock.dff_dout_reg[25]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_n_323),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitb1_ff_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_n_314),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitb1_ff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_n_298),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitb1_ff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_ff_l1clk),
+	.D(n_1507),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitb1_ff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_n_300),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitb1_ff_genblock.dff_dout_reg[22]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_n_320),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitb1_ff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_n_3024),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitb1_ff_genblock.dff_dout_reg[21]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_n_319),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ffa_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_brqrv_n_7),
+	.GATE(brqrv_top_brqrv_dec_tlu_int_timers_n_287),
+	.GCLK(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ffa_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ffa_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ffa_l1clk),
+	.D(\brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ns[1]_280 ),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ffa_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ffa_l1clk),
+	.D(\brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ns[7]_286 ),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ffa_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ffa_l1clk),
+	.D(\brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ns[0]_279 ),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ffa_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ffa_l1clk),
+	.D(\brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ns[2]_281 ),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ffa_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ffa_l1clk),
+	.D(\brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ns[5]_284 ),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ffa_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ffa_l1clk),
+	.D(\brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ns[4]_283 ),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ffa_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ffa_l1clk),
+	.D(\brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ns[3]_282 ),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ffa_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ffa_l1clk),
+	.D(\brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ns[6]_285 ),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ffb_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_brqrv_n_7),
+	.GATE(brqrv_top_brqrv_dec_tlu_int_timers_n_275),
+	.GCLK(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ffb_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ffb_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ffb_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ns[24]),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ffb_genblock.dff_dout_reg[23]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ffb_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ns[31]),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ffb_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ffb_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ns[12]),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ffb_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ffb_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ns[13]),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ffb_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ffb_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ns[14]),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ffb_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ffb_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ns[15]),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ffb_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ffb_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ns[0]),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ffb_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ffb_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ns[25]),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ffb_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ffb_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ns[26]),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ffb_genblock.dff_dout_reg[19]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ffb_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ns[27]),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ffb_genblock.dff_dout_reg[20]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ffb_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ns[28]),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ffb_genblock.dff_dout_reg[21]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ffb_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ns[29]),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ffb_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ffb_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ns[5]),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ffb_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ffb_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ns[11]),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ffb_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ffb_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ns[1]),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ffb_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ffb_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ns[2]),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ffb_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ffb_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ns[3]),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ffb_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ffb_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ns[4]),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ffb_genblock.dff_dout_reg[22]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ffb_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ns[30]),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ffb_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ffb_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ns[6]),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ffb_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ffb_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ns[7]),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ffb_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ffb_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ns[8]),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ffb_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ffb_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ns[9]),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ffb_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ffb_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ns[10]),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ffa_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_brqrv_n_7),
+	.GATE(brqrv_top_brqrv_dec_tlu_int_timers_n_297),
+	.GCLK(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ffa_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ffa_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ffa_l1clk),
+	.D(\brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ns[1]_290 ),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ffa_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ffa_l1clk),
+	.D(\brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ns[7]_296 ),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ffa_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ffa_l1clk),
+	.D(\brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ns[0]_289 ),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ffa_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ffa_l1clk),
+	.D(\brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ns[2]_291 ),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ffa_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ffa_l1clk),
+	.D(\brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ns[5]_294 ),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ffa_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ffa_l1clk),
+	.D(\brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ns[4]_293 ),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ffa_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ffa_l1clk),
+	.D(\brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ns[3]_292 ),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ffa_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ffa_l1clk),
+	.D(\brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ns[6]_295 ),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ffb_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_brqrv_n_7),
+	.GATE(brqrv_top_brqrv_dec_tlu_int_timers_n_288),
+	.GCLK(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ffb_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ffb_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ffb_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ns[24]),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ffb_genblock.dff_dout_reg[23]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ffb_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ns[31]),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ffb_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ffb_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ns[12]),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ffb_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ffb_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ns[13]),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ffb_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ffb_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ns[14]),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ffb_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ffb_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ns[15]),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ffb_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ffb_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ns[0]),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ffb_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ffb_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ns[25]),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ffb_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ffb_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ns[26]),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ffb_genblock.dff_dout_reg[19]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ffb_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ns[27]),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ffb_genblock.dff_dout_reg[20]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ffb_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ns[28]),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ffb_genblock.dff_dout_reg[21]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ffb_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ns[29]),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ffb_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ffb_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ns[5]),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ffb_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ffb_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ns[11]),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ffb_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ffb_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ns[1]),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ffb_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ffb_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ns[2]),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ffb_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ffb_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ns[3]),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ffb_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ffb_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ns[4]),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ffb_genblock.dff_dout_reg[22]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ffb_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ns[30]),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ffb_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ffb_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ns[6]),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ffb_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ffb_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ns[7]),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ffb_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ffb_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ns[8]),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ffb_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ffb_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ns[9]),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ffb_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ffb_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ns[10]),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitctl0_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_csr_wr_clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_mitctl0_0_b_ns),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitctl0_0_b),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitctl0_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_csr_wr_clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_mitctl0_ff_n_9),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitctl0[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitctl0_ff_genblock.dffs_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_csr_wr_clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_mitctl0_ff_n_10),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitctl0[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitctl1_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_csr_wr_clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_mitctl1_0_b_ns),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitctl1_0_b),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitctl1_ff_genblock.dffs_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_csr_wr_clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_mitctl1_ff_n_13),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitctl1[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitctl1_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_csr_wr_clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_mitctl1_ff_n_11),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitctl1[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitctl1_ff_genblock.dffs_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_csr_wr_clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_mitctl1_ff_n_12),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitctl1[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_tlu_mcause_ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_tlu_n_3338),
+	.GCLK(brqrv_top_brqrv_dec_tlu_mcause_ff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcause_ff_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcause_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcause_ns[12]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcause[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcause_ff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcause_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcause_ns[1]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcause[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcause_ff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcause_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcause_ns[2]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcause[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcause_ff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcause_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcause_ns[3]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcause[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcause_ff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcause_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcause_ns[4]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcause[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcause_ff_genblock.dff_dout_reg[27]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcause_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcause_ns[27]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcause[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcause_ff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcause_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcause_ns[5]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcause[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcause_ff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcause_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcause_ns[6]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcause[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcause_ff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcause_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcause_ns[7]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcause[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcause_ff_genblock.dff_dout_reg[23]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcause_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcause_ns[23]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcause[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcause_ff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcause_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcause_ns[8]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcause[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcause_ff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcause_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcause_ns[9]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcause[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcause_ff_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcause_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcause_ns[10]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcause[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcause_ff_genblock.dff_dout_reg[19]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcause_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcause_ns[19]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcause[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcause_ff_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcause_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcause_ns[11]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcause[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcause_ff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcause_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcause_ns[0]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcause[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcause_ff_genblock.dff_dout_reg[31]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcause_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcause_ns[31]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcause[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcause_ff_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcause_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcause_ns[14]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcause[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcause_ff_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcause_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcause_ns[15]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcause[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcause_ff_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcause_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcause_ns[16]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcause[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcause_ff_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcause_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcause_ns[17]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcause[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcause_ff_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcause_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcause_ns[18]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcause[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcause_ff_genblock.dff_dout_reg[20]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcause_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcause_ns[20]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcause[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcause_ff_genblock.dff_dout_reg[21]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcause_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcause_ns[21]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcause[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcause_ff_genblock.dff_dout_reg[22]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcause_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcause_ns[22]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcause[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcause_ff_genblock.dff_dout_reg[24]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcause_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcause_ns[24]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcause[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcause_ff_genblock.dff_dout_reg[25]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcause_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcause_ns[25]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcause[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcause_ff_genblock.dff_dout_reg[26]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcause_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcause_ns[26]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcause[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcause_ff_genblock.dff_dout_reg[28]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcause_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcause_ns[28]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcause[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcause_ff_genblock.dff_dout_reg[29]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcause_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcause_ns[29]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcause[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcause_ff_genblock.dff_dout_reg[30]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcause_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcause_ns[30]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcause[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcause_ff_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcause_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcause_ns[13]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcause[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_tlu_mcgc_ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_tlu_wr_mcgc_r),
+	.GCLK(brqrv_top_brqrv_dec_tlu_mcgc_ff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcgc_ff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcgc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcgc_ns[9]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcgc_int[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcgc_ff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcgc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcgc_ns[8]),
+	.Q(brqrv_top_brqrv_dec_tlu_misc_clk_override),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcgc_ff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcgc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcgc_ns[0]),
+	.Q(brqrv_top_icm_clk_override),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcgc_ff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcgc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcgc_ns[7]),
+	.Q(brqrv_top_brqrv_dec_dec_tlu_dec_clk_override),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcgc_ff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcgc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcgc_ns[1]),
+	.Q(brqrv_top_dccm_clk_override),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcgc_ff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcgc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcgc_ns[4]),
+	.Q(brqrv_top_brqrv_dec_tlu_lsu_clk_override),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcgc_ff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcgc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcgc_ns[3]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcgc_int[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcgc_ff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcgc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcgc_ns[2]),
+	.Q(brqrv_top_brqrv_dec_tlu_pic_clk_override),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcgc_ff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcgc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcgc_ns[5]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcgc_int[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcgc_ff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcgc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcgc_ns[6]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcgc_int[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcountinhibit_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_csr_wr_clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcountinhibit_ff_n_14),
+	.Q(brqrv_top_brqrv_dec_tlu_mcountinhibit[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcountinhibit_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_csr_wr_clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcountinhibit_ff_n_15),
+	.Q(brqrv_top_brqrv_dec_tlu_mcountinhibit[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcountinhibit_ff_genblock.dffs_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_csr_wr_clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcountinhibit_ff_n_16),
+	.Q(brqrv_top_brqrv_dec_tlu_mcountinhibit[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcountinhibit_ff_genblock.dffs_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_csr_wr_clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcountinhibit_ff_n_17),
+	.Q(brqrv_top_brqrv_dec_tlu_mcountinhibit[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcountinhibit_ff_genblock.dffs_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_csr_wr_clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcountinhibit_ff_n_18),
+	.Q(brqrv_top_brqrv_dec_tlu_mcountinhibit[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcountinhibit_ff_genblock.dffs_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_csr_wr_clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcountinhibit_ff_n_19),
+	.Q(brqrv_top_brqrv_dec_tlu_mcountinhibit[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_tlu_mcycleh_ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_brqrv_n_7),
+	.GATE(brqrv_top_brqrv_dec_tlu_n_3325),
+	.GCLK(brqrv_top_brqrv_dec_tlu_mcycleh_ff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcycleh_ff_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcycleh_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcycleh_ns[12]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcycleh[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcycleh_ff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcycleh_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcycleh_ns[1]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcycleh[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcycleh_ff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcycleh_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcycleh_ns[2]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcycleh[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcycleh_ff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcycleh_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcycleh_ns[3]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcycleh[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcycleh_ff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcycleh_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcycleh_ns[4]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcycleh[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcycleh_ff_genblock.dff_dout_reg[27]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcycleh_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcycleh_ns[27]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcycleh[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcycleh_ff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcycleh_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcycleh_ns[5]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcycleh[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcycleh_ff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcycleh_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcycleh_ns[6]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcycleh[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcycleh_ff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcycleh_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcycleh_ns[7]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcycleh[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcycleh_ff_genblock.dff_dout_reg[23]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcycleh_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcycleh_ns[23]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcycleh[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcycleh_ff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcycleh_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcycleh_ns[8]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcycleh[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcycleh_ff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcycleh_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcycleh_ns[9]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcycleh[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcycleh_ff_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcycleh_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcycleh_ns[10]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcycleh[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcycleh_ff_genblock.dff_dout_reg[19]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcycleh_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcycleh_ns[19]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcycleh[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcycleh_ff_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcycleh_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcycleh_ns[11]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcycleh[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcycleh_ff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcycleh_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcycleh_ns[0]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcycleh[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcycleh_ff_genblock.dff_dout_reg[31]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcycleh_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcycleh_ns[31]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcycleh[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcycleh_ff_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcycleh_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcycleh_ns[14]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcycleh[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcycleh_ff_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcycleh_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcycleh_ns[15]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcycleh[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcycleh_ff_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcycleh_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcycleh_ns[16]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcycleh[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcycleh_ff_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcycleh_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcycleh_ns[17]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcycleh[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcycleh_ff_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcycleh_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcycleh_ns[18]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcycleh[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcycleh_ff_genblock.dff_dout_reg[20]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcycleh_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcycleh_ns[20]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcycleh[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcycleh_ff_genblock.dff_dout_reg[21]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcycleh_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcycleh_ns[21]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcycleh[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcycleh_ff_genblock.dff_dout_reg[22]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcycleh_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcycleh_ns[22]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcycleh[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcycleh_ff_genblock.dff_dout_reg[24]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcycleh_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcycleh_ns[24]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcycleh[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcycleh_ff_genblock.dff_dout_reg[25]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcycleh_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcycleh_ns[25]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcycleh[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcycleh_ff_genblock.dff_dout_reg[26]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcycleh_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcycleh_ns[26]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcycleh[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcycleh_ff_genblock.dff_dout_reg[28]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcycleh_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcycleh_ns[28]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcycleh[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcycleh_ff_genblock.dff_dout_reg[29]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcycleh_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcycleh_ns[29]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcycleh[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcycleh_ff_genblock.dff_dout_reg[30]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcycleh_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcycleh_ns[30]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcycleh[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcycleh_ff_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcycleh_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcycleh_ns[13]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcycleh[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_tlu_mcyclel_aff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_brqrv_n_7),
+	.GATE(brqrv_top_brqrv_dec_tlu_n_3324),
+	.GCLK(brqrv_top_brqrv_dec_tlu_mcyclel_aff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcyclel_aff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcyclel_aff_l1clk),
+	.D(\brqrv_top_brqrv_dec_tlu_mcyclel_ns[1]_3317 ),
+	.Q(brqrv_top_brqrv_dec_tlu_mcyclel[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcyclel_aff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcyclel_aff_l1clk),
+	.D(\brqrv_top_brqrv_dec_tlu_mcyclel_ns[7]_3323 ),
+	.Q(brqrv_top_brqrv_dec_tlu_mcyclel[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcyclel_aff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcyclel_aff_l1clk),
+	.D(\brqrv_top_brqrv_dec_tlu_mcyclel_ns[0]_3316 ),
+	.Q(brqrv_top_brqrv_dec_tlu_mcyclel[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcyclel_aff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcyclel_aff_l1clk),
+	.D(\brqrv_top_brqrv_dec_tlu_mcyclel_ns[2]_3318 ),
+	.Q(brqrv_top_brqrv_dec_tlu_mcyclel[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcyclel_aff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcyclel_aff_l1clk),
+	.D(\brqrv_top_brqrv_dec_tlu_mcyclel_ns[5]_3321 ),
+	.Q(brqrv_top_brqrv_dec_tlu_mcyclel[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcyclel_aff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcyclel_aff_l1clk),
+	.D(\brqrv_top_brqrv_dec_tlu_mcyclel_ns[4]_3320 ),
+	.Q(brqrv_top_brqrv_dec_tlu_mcyclel[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcyclel_aff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcyclel_aff_l1clk),
+	.D(\brqrv_top_brqrv_dec_tlu_mcyclel_ns[3]_3319 ),
+	.Q(brqrv_top_brqrv_dec_tlu_mcyclel[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcyclel_aff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcyclel_aff_l1clk),
+	.D(\brqrv_top_brqrv_dec_tlu_mcyclel_ns[6]_3322 ),
+	.Q(brqrv_top_brqrv_dec_tlu_mcyclel[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_tlu_mcyclel_bff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_brqrv_n_7),
+	.GATE(brqrv_top_brqrv_dec_tlu_n_3315),
+	.GCLK(brqrv_top_brqrv_dec_tlu_mcyclel_bff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcyclel_bff_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcyclel_bff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcyclel_ns[24]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcyclel[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcyclel_bff_genblock.dff_dout_reg[23]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcyclel_bff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcyclel_ns[31]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcyclel[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcyclel_bff_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcyclel_bff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcyclel_ns[12]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcyclel[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcyclel_bff_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcyclel_bff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcyclel_ns[13]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcyclel[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcyclel_bff_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcyclel_bff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcyclel_ns[14]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcyclel[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcyclel_bff_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcyclel_bff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcyclel_ns[15]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcyclel[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcyclel_bff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcyclel_bff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcyclel_ns[0]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcyclel[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcyclel_bff_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcyclel_bff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcyclel_ns[25]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcyclel[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcyclel_bff_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcyclel_bff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcyclel_ns[26]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcyclel[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcyclel_bff_genblock.dff_dout_reg[19]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcyclel_bff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcyclel_ns[27]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcyclel[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcyclel_bff_genblock.dff_dout_reg[20]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcyclel_bff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcyclel_ns[28]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcyclel[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcyclel_bff_genblock.dff_dout_reg[21]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcyclel_bff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcyclel_ns[29]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcyclel[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcyclel_bff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcyclel_bff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcyclel_ns[5]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcyclel[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcyclel_bff_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcyclel_bff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcyclel_ns[11]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcyclel[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcyclel_bff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcyclel_bff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcyclel_ns[1]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcyclel[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcyclel_bff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcyclel_bff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcyclel_ns[2]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcyclel[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcyclel_bff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcyclel_bff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcyclel_ns[3]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcyclel[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcyclel_bff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcyclel_bff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcyclel_ns[4]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcyclel[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcyclel_bff_genblock.dff_dout_reg[22]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcyclel_bff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcyclel_ns[30]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcyclel[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcyclel_bff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcyclel_bff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcyclel_ns[6]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcyclel[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcyclel_bff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcyclel_bff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcyclel_ns[7]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcyclel[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcyclel_bff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcyclel_bff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcyclel_ns[8]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcyclel[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcyclel_bff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcyclel_bff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcyclel_ns[9]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcyclel[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcyclel_bff_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcyclel_bff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcyclel_ns[10]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcyclel[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_tlu_mdccmect_ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_brqrv_n_7),
+	.GATE(brqrv_top_brqrv_dec_tlu_wr_mdccmect_r),
+	.GCLK(brqrv_top_brqrv_dec_tlu_mdccmect_ff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mdccmect_ff_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mdccmect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mdccmect_ns[12]),
+	.Q(brqrv_top_brqrv_dec_tlu_mdccmect[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mdccmect_ff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mdccmect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mdccmect_ns[1]),
+	.Q(brqrv_top_brqrv_dec_tlu_mdccmect[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mdccmect_ff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mdccmect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mdccmect_ns[2]),
+	.Q(brqrv_top_brqrv_dec_tlu_mdccmect[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mdccmect_ff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mdccmect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mdccmect_ns[3]),
+	.Q(brqrv_top_brqrv_dec_tlu_mdccmect[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mdccmect_ff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mdccmect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mdccmect_ns[4]),
+	.Q(brqrv_top_brqrv_dec_tlu_mdccmect[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mdccmect_ff_genblock.dff_dout_reg[27]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mdccmect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mdccmect_ns[27]),
+	.Q(brqrv_top_brqrv_dec_tlu_mdccmect[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mdccmect_ff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mdccmect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mdccmect_ns[5]),
+	.Q(brqrv_top_brqrv_dec_tlu_mdccmect[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mdccmect_ff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mdccmect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mdccmect_ns[6]),
+	.Q(brqrv_top_brqrv_dec_tlu_mdccmect[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mdccmect_ff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mdccmect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mdccmect_ns[7]),
+	.Q(brqrv_top_brqrv_dec_tlu_mdccmect[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mdccmect_ff_genblock.dff_dout_reg[23]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mdccmect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mdccmect_ns[23]),
+	.Q(brqrv_top_brqrv_dec_tlu_mdccmect[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mdccmect_ff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mdccmect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mdccmect_ns[8]),
+	.Q(brqrv_top_brqrv_dec_tlu_mdccmect[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mdccmect_ff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mdccmect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mdccmect_ns[9]),
+	.Q(brqrv_top_brqrv_dec_tlu_mdccmect[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mdccmect_ff_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mdccmect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mdccmect_ns[10]),
+	.Q(brqrv_top_brqrv_dec_tlu_mdccmect[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mdccmect_ff_genblock.dff_dout_reg[19]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mdccmect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mdccmect_ns[19]),
+	.Q(brqrv_top_brqrv_dec_tlu_mdccmect[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mdccmect_ff_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mdccmect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mdccmect_ns[11]),
+	.Q(brqrv_top_brqrv_dec_tlu_mdccmect[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mdccmect_ff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mdccmect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mdccmect_ns[0]),
+	.Q(brqrv_top_brqrv_dec_tlu_mdccmect[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mdccmect_ff_genblock.dff_dout_reg[31]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mdccmect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mdccmect_ns[31]),
+	.Q(brqrv_top_brqrv_dec_tlu_mdccmect[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mdccmect_ff_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mdccmect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mdccmect_ns[14]),
+	.Q(brqrv_top_brqrv_dec_tlu_mdccmect[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mdccmect_ff_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mdccmect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mdccmect_ns[15]),
+	.Q(brqrv_top_brqrv_dec_tlu_mdccmect[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mdccmect_ff_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mdccmect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mdccmect_ns[16]),
+	.Q(brqrv_top_brqrv_dec_tlu_mdccmect[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mdccmect_ff_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mdccmect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mdccmect_ns[17]),
+	.Q(brqrv_top_brqrv_dec_tlu_mdccmect[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mdccmect_ff_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mdccmect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mdccmect_ns[18]),
+	.Q(brqrv_top_brqrv_dec_tlu_mdccmect[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mdccmect_ff_genblock.dff_dout_reg[20]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mdccmect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mdccmect_ns[20]),
+	.Q(brqrv_top_brqrv_dec_tlu_mdccmect[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mdccmect_ff_genblock.dff_dout_reg[21]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mdccmect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mdccmect_ns[21]),
+	.Q(brqrv_top_brqrv_dec_tlu_mdccmect[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mdccmect_ff_genblock.dff_dout_reg[22]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mdccmect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mdccmect_ns[22]),
+	.Q(brqrv_top_brqrv_dec_tlu_mdccmect[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mdccmect_ff_genblock.dff_dout_reg[24]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mdccmect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mdccmect_ns[24]),
+	.Q(brqrv_top_brqrv_dec_tlu_mdccmect[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mdccmect_ff_genblock.dff_dout_reg[25]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mdccmect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mdccmect_ns[25]),
+	.Q(brqrv_top_brqrv_dec_tlu_mdccmect[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mdccmect_ff_genblock.dff_dout_reg[26]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mdccmect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mdccmect_ns[26]),
+	.Q(brqrv_top_brqrv_dec_tlu_mdccmect[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mdccmect_ff_genblock.dff_dout_reg[28]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mdccmect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mdccmect_ns[28]),
+	.Q(brqrv_top_brqrv_dec_tlu_mdccmect[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mdccmect_ff_genblock.dff_dout_reg[29]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mdccmect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mdccmect_ns[29]),
+	.Q(brqrv_top_brqrv_dec_tlu_mdccmect[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mdccmect_ff_genblock.dff_dout_reg[30]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mdccmect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mdccmect_ns[30]),
+	.Q(brqrv_top_brqrv_dec_tlu_mdccmect[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mdccmect_ff_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mdccmect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mdccmect_ns[13]),
+	.Q(brqrv_top_brqrv_dec_tlu_mdccmect[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_tlu_mdseac_ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_tlu_mdseac_en),
+	.GCLK(brqrv_top_brqrv_dec_tlu_mdseac_ff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mdseac_ff_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mdseac_ff_l1clk),
+	.D(brqrv_top_brqrv_lsu_imprecise_error_addr_any[10]),
+	.Q(brqrv_top_brqrv_dec_tlu_mdseac[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mdseac_ff_genblock.dff_dout_reg[30]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mdseac_ff_l1clk),
+	.D(brqrv_top_brqrv_lsu_imprecise_error_addr_any[30]),
+	.Q(brqrv_top_brqrv_dec_tlu_mdseac[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mdseac_ff_genblock.dff_dout_reg[28]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mdseac_ff_l1clk),
+	.D(brqrv_top_brqrv_lsu_imprecise_error_addr_any[28]),
+	.Q(brqrv_top_brqrv_dec_tlu_mdseac[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mdseac_ff_genblock.dff_dout_reg[24]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mdseac_ff_l1clk),
+	.D(brqrv_top_brqrv_lsu_imprecise_error_addr_any[24]),
+	.Q(brqrv_top_brqrv_dec_tlu_mdseac[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mdseac_ff_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mdseac_ff_l1clk),
+	.D(brqrv_top_brqrv_lsu_imprecise_error_addr_any[16]),
+	.Q(brqrv_top_brqrv_dec_tlu_mdseac[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mdseac_ff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mdseac_ff_l1clk),
+	.D(brqrv_top_brqrv_lsu_imprecise_error_addr_any[0]),
+	.Q(brqrv_top_brqrv_dec_tlu_mdseac[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mdseac_ff_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mdseac_ff_l1clk),
+	.D(brqrv_top_brqrv_lsu_imprecise_error_addr_any[15]),
+	.Q(brqrv_top_brqrv_dec_tlu_mdseac[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mdseac_ff_genblock.dff_dout_reg[23]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mdseac_ff_l1clk),
+	.D(brqrv_top_brqrv_lsu_imprecise_error_addr_any[23]),
+	.Q(brqrv_top_brqrv_dec_tlu_mdseac[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mdseac_ff_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mdseac_ff_l1clk),
+	.D(brqrv_top_brqrv_lsu_imprecise_error_addr_any[14]),
+	.Q(brqrv_top_brqrv_dec_tlu_mdseac[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mdseac_ff_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mdseac_ff_l1clk),
+	.D(brqrv_top_brqrv_lsu_imprecise_error_addr_any[13]),
+	.Q(brqrv_top_brqrv_dec_tlu_mdseac[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mdseac_ff_genblock.dff_dout_reg[27]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mdseac_ff_l1clk),
+	.D(brqrv_top_brqrv_lsu_imprecise_error_addr_any[27]),
+	.Q(brqrv_top_brqrv_dec_tlu_mdseac[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mdseac_ff_genblock.dff_dout_reg[22]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mdseac_ff_l1clk),
+	.D(brqrv_top_brqrv_lsu_imprecise_error_addr_any[22]),
+	.Q(brqrv_top_brqrv_dec_tlu_mdseac[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mdseac_ff_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mdseac_ff_l1clk),
+	.D(brqrv_top_brqrv_lsu_imprecise_error_addr_any[12]),
+	.Q(brqrv_top_brqrv_dec_tlu_mdseac[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mdseac_ff_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mdseac_ff_l1clk),
+	.D(brqrv_top_brqrv_lsu_imprecise_error_addr_any[11]),
+	.Q(brqrv_top_brqrv_dec_tlu_mdseac[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mdseac_ff_genblock.dff_dout_reg[21]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mdseac_ff_l1clk),
+	.D(brqrv_top_brqrv_lsu_imprecise_error_addr_any[21]),
+	.Q(brqrv_top_brqrv_dec_tlu_mdseac[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mdseac_ff_genblock.dff_dout_reg[31]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mdseac_ff_l1clk),
+	.D(brqrv_top_brqrv_lsu_imprecise_error_addr_any[31]),
+	.Q(brqrv_top_brqrv_dec_tlu_mdseac[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mdseac_ff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mdseac_ff_l1clk),
+	.D(brqrv_top_brqrv_lsu_imprecise_error_addr_any[1]),
+	.Q(brqrv_top_brqrv_dec_tlu_mdseac[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mdseac_ff_genblock.dff_dout_reg[29]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mdseac_ff_l1clk),
+	.D(brqrv_top_brqrv_lsu_imprecise_error_addr_any[29]),
+	.Q(brqrv_top_brqrv_dec_tlu_mdseac[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mdseac_ff_genblock.dff_dout_reg[26]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mdseac_ff_l1clk),
+	.D(brqrv_top_brqrv_lsu_imprecise_error_addr_any[26]),
+	.Q(brqrv_top_brqrv_dec_tlu_mdseac[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mdseac_ff_genblock.dff_dout_reg[20]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mdseac_ff_l1clk),
+	.D(brqrv_top_brqrv_lsu_imprecise_error_addr_any[20]),
+	.Q(brqrv_top_brqrv_dec_tlu_mdseac[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mdseac_ff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mdseac_ff_l1clk),
+	.D(brqrv_top_brqrv_lsu_imprecise_error_addr_any[8]),
+	.Q(brqrv_top_brqrv_dec_tlu_mdseac[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mdseac_ff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mdseac_ff_l1clk),
+	.D(brqrv_top_brqrv_lsu_imprecise_error_addr_any[7]),
+	.Q(brqrv_top_brqrv_dec_tlu_mdseac[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mdseac_ff_genblock.dff_dout_reg[19]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mdseac_ff_l1clk),
+	.D(brqrv_top_brqrv_lsu_imprecise_error_addr_any[19]),
+	.Q(brqrv_top_brqrv_dec_tlu_mdseac[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mdseac_ff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mdseac_ff_l1clk),
+	.D(brqrv_top_brqrv_lsu_imprecise_error_addr_any[6]),
+	.Q(brqrv_top_brqrv_dec_tlu_mdseac[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mdseac_ff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mdseac_ff_l1clk),
+	.D(brqrv_top_brqrv_lsu_imprecise_error_addr_any[5]),
+	.Q(brqrv_top_brqrv_dec_tlu_mdseac[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mdseac_ff_genblock.dff_dout_reg[25]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mdseac_ff_l1clk),
+	.D(brqrv_top_brqrv_lsu_imprecise_error_addr_any[25]),
+	.Q(brqrv_top_brqrv_dec_tlu_mdseac[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mdseac_ff_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mdseac_ff_l1clk),
+	.D(brqrv_top_brqrv_lsu_imprecise_error_addr_any[18]),
+	.Q(brqrv_top_brqrv_dec_tlu_mdseac[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mdseac_ff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mdseac_ff_l1clk),
+	.D(brqrv_top_brqrv_lsu_imprecise_error_addr_any[4]),
+	.Q(brqrv_top_brqrv_dec_tlu_mdseac[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mdseac_ff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mdseac_ff_l1clk),
+	.D(brqrv_top_brqrv_lsu_imprecise_error_addr_any[3]),
+	.Q(brqrv_top_brqrv_dec_tlu_mdseac[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mdseac_ff_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mdseac_ff_l1clk),
+	.D(brqrv_top_brqrv_lsu_imprecise_error_addr_any[17]),
+	.Q(brqrv_top_brqrv_dec_tlu_mdseac[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mdseac_ff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mdseac_ff_l1clk),
+	.D(brqrv_top_brqrv_lsu_imprecise_error_addr_any[2]),
+	.Q(brqrv_top_brqrv_dec_tlu_mdseac[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mdseac_ff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mdseac_ff_l1clk),
+	.D(brqrv_top_brqrv_lsu_imprecise_error_addr_any[9]),
+	.Q(brqrv_top_brqrv_dec_tlu_mdseac[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_meicurpl_ff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_csr_wr_clk),
+	.D(brqrv_top_brqrv_dec_tlu_meicurpl_ns[0]),
+	.Q(brqrv_top_brqrv_dec_tlu_meicurpl[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_meicurpl_ff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_csr_wr_clk),
+	.D(brqrv_top_brqrv_dec_tlu_meicurpl_ns[3]),
+	.Q(brqrv_top_brqrv_dec_tlu_meicurpl[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_meicurpl_ff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_csr_wr_clk),
+	.D(brqrv_top_brqrv_dec_tlu_meicurpl_ns[1]),
+	.Q(brqrv_top_brqrv_dec_tlu_meicurpl[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_meicurpl_ff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_csr_wr_clk),
+	.D(brqrv_top_brqrv_dec_tlu_meicurpl_ns[2]),
+	.Q(brqrv_top_brqrv_dec_tlu_meicurpl[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_tlu_meihap_ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_tlu_wr_meicpct_r),
+	.GCLK(brqrv_top_brqrv_dec_tlu_meihap_ff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_meihap_ff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_meihap_ff_l1clk),
+	.D(brqrv_top_brqrv_pic_claimid[4]),
+	.Q(brqrv_top_brqrv_dec_tlu_meihap[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_meihap_ff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_meihap_ff_l1clk),
+	.D(brqrv_top_brqrv_pic_claimid[2]),
+	.Q(brqrv_top_brqrv_dec_tlu_meihap[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_meihap_ff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_meihap_ff_l1clk),
+	.D(brqrv_top_brqrv_pic_claimid[1]),
+	.Q(brqrv_top_brqrv_dec_tlu_meihap[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_meihap_ff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_meihap_ff_l1clk),
+	.D(brqrv_top_brqrv_pic_claimid[3]),
+	.Q(brqrv_top_brqrv_dec_tlu_meihap[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_meihap_ff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_meihap_ff_l1clk),
+	.D(brqrv_top_brqrv_pic_claimid[0]),
+	.Q(brqrv_top_brqrv_dec_tlu_meihap[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_meipt_ff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_csr_wr_clk),
+	.D(brqrv_top_brqrv_dec_tlu_meipt_ns[0]),
+	.Q(brqrv_top_brqrv_dec_tlu_meipt[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_meipt_ff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_csr_wr_clk),
+	.D(brqrv_top_brqrv_dec_tlu_meipt_ns[3]),
+	.Q(brqrv_top_brqrv_dec_tlu_meipt[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_meipt_ff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_csr_wr_clk),
+	.D(brqrv_top_brqrv_dec_tlu_meipt_ns[1]),
+	.Q(brqrv_top_brqrv_dec_tlu_meipt[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_meipt_ff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_csr_wr_clk),
+	.D(brqrv_top_brqrv_dec_tlu_meipt_ns[2]),
+	.Q(brqrv_top_brqrv_dec_tlu_meipt[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_tlu_meivt_ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_tlu_wr_meivt_r),
+	.GCLK(brqrv_top_brqrv_dec_tlu_meivt_ff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_meivt_ff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_meivt_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[19]),
+	.Q(brqrv_top_brqrv_dec_tlu_meihap[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_meivt_ff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_meivt_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[12]),
+	.Q(brqrv_top_brqrv_dec_tlu_meihap[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_meivt_ff_genblock.dff_dout_reg[20]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_meivt_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[30]),
+	.Q(brqrv_top_brqrv_dec_tlu_meihap[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_meivt_ff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_meivt_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[15]),
+	.Q(brqrv_top_brqrv_dec_tlu_meihap[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_meivt_ff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_meivt_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[16]),
+	.Q(brqrv_top_brqrv_dec_tlu_meihap[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_meivt_ff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_meivt_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[17]),
+	.Q(brqrv_top_brqrv_dec_tlu_meihap[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_meivt_ff_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_meivt_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[26]),
+	.Q(brqrv_top_brqrv_dec_tlu_meihap[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_meivt_ff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_meivt_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[18]),
+	.Q(brqrv_top_brqrv_dec_tlu_meihap[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_meivt_ff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_meivt_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[11]),
+	.Q(brqrv_top_brqrv_dec_tlu_meihap[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_meivt_ff_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_meivt_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[20]),
+	.Q(brqrv_top_brqrv_dec_tlu_meihap[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_meivt_ff_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_meivt_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[21]),
+	.Q(brqrv_top_brqrv_dec_tlu_meihap[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_meivt_ff_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_meivt_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[22]),
+	.Q(brqrv_top_brqrv_dec_tlu_meihap[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_meivt_ff_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_meivt_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[23]),
+	.Q(brqrv_top_brqrv_dec_tlu_meihap[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_meivt_ff_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_meivt_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[24]),
+	.Q(brqrv_top_brqrv_dec_tlu_meihap[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_meivt_ff_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_meivt_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[25]),
+	.Q(brqrv_top_brqrv_dec_tlu_meihap[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_meivt_ff_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_meivt_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[27]),
+	.Q(brqrv_top_brqrv_dec_tlu_meihap[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_meivt_ff_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_meivt_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[28]),
+	.Q(brqrv_top_brqrv_dec_tlu_meihap[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_meivt_ff_genblock.dff_dout_reg[19]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_meivt_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[29]),
+	.Q(brqrv_top_brqrv_dec_tlu_meihap[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_meivt_ff_genblock.dff_dout_reg[21]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_meivt_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[31]),
+	.Q(brqrv_top_brqrv_dec_tlu_meihap[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_meivt_ff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_meivt_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[10]),
+	.Q(brqrv_top_brqrv_dec_tlu_meihap[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_meivt_ff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_meivt_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[13]),
+	.Q(brqrv_top_brqrv_dec_tlu_meihap[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_meivt_ff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_meivt_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[14]),
+	.Q(brqrv_top_brqrv_dec_tlu_meihap[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_tlu_mepc_ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_tlu_n_3337),
+	.GCLK(brqrv_top_brqrv_dec_tlu_mepc_ff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mepc_ff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mepc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mepc_ns[6]),
+	.Q(brqrv_top_brqrv_dec_tlu_mepc[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mepc_ff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mepc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mepc_ns[2]),
+	.Q(brqrv_top_brqrv_dec_tlu_mepc[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mepc_ff_genblock.dff_dout_reg[29]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mepc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mepc_ns[30]),
+	.Q(brqrv_top_brqrv_dec_tlu_mepc[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mepc_ff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mepc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mepc_ns[3]),
+	.Q(brqrv_top_brqrv_dec_tlu_mepc[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mepc_ff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mepc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mepc_ns[4]),
+	.Q(brqrv_top_brqrv_dec_tlu_mepc[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mepc_ff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mepc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mepc_ns[5]),
+	.Q(brqrv_top_brqrv_dec_tlu_mepc[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mepc_ff_genblock.dff_dout_reg[25]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mepc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mepc_ns[26]),
+	.Q(brqrv_top_brqrv_dec_tlu_mepc[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mepc_ff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mepc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mepc_ns[1]),
+	.Q(brqrv_top_brqrv_dec_tlu_mepc[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mepc_ff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mepc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mepc_ns[7]),
+	.Q(brqrv_top_brqrv_dec_tlu_mepc[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mepc_ff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mepc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mepc_ns[8]),
+	.Q(brqrv_top_brqrv_dec_tlu_mepc[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mepc_ff_genblock.dff_dout_reg[21]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mepc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mepc_ns[22]),
+	.Q(brqrv_top_brqrv_dec_tlu_mepc[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mepc_ff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mepc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mepc_ns[9]),
+	.Q(brqrv_top_brqrv_dec_tlu_mepc[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mepc_ff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mepc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mepc_ns[10]),
+	.Q(brqrv_top_brqrv_dec_tlu_mepc[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mepc_ff_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mepc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mepc_ns[11]),
+	.Q(brqrv_top_brqrv_dec_tlu_mepc[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mepc_ff_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mepc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mepc_ns[12]),
+	.Q(brqrv_top_brqrv_dec_tlu_mepc[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mepc_ff_genblock.dff_dout_reg[30]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mepc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mepc_ns[31]),
+	.Q(brqrv_top_brqrv_dec_tlu_mepc[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mepc_ff_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mepc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mepc_ns[14]),
+	.Q(brqrv_top_brqrv_dec_tlu_mepc[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mepc_ff_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mepc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mepc_ns[15]),
+	.Q(brqrv_top_brqrv_dec_tlu_mepc[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mepc_ff_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mepc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mepc_ns[16]),
+	.Q(brqrv_top_brqrv_dec_tlu_mepc[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mepc_ff_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mepc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mepc_ns[17]),
+	.Q(brqrv_top_brqrv_dec_tlu_mepc[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mepc_ff_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mepc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mepc_ns[18]),
+	.Q(brqrv_top_brqrv_dec_tlu_mepc[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mepc_ff_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mepc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mepc_ns[19]),
+	.Q(brqrv_top_brqrv_dec_tlu_mepc[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mepc_ff_genblock.dff_dout_reg[19]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mepc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mepc_ns[20]),
+	.Q(brqrv_top_brqrv_dec_tlu_mepc[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mepc_ff_genblock.dff_dout_reg[20]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mepc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mepc_ns[21]),
+	.Q(brqrv_top_brqrv_dec_tlu_mepc[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mepc_ff_genblock.dff_dout_reg[22]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mepc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mepc_ns[23]),
+	.Q(brqrv_top_brqrv_dec_tlu_mepc[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mepc_ff_genblock.dff_dout_reg[23]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mepc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mepc_ns[24]),
+	.Q(brqrv_top_brqrv_dec_tlu_mepc[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mepc_ff_genblock.dff_dout_reg[24]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mepc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mepc_ns[25]),
+	.Q(brqrv_top_brqrv_dec_tlu_mepc[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mepc_ff_genblock.dff_dout_reg[26]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mepc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mepc_ns[27]),
+	.Q(brqrv_top_brqrv_dec_tlu_mepc[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mepc_ff_genblock.dff_dout_reg[27]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mepc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mepc_ns[28]),
+	.Q(brqrv_top_brqrv_dec_tlu_mepc[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mepc_ff_genblock.dff_dout_reg[28]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mepc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mepc_ns[29]),
+	.Q(brqrv_top_brqrv_dec_tlu_mepc[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mepc_ff_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mepc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mepc_ns[13]),
+	.Q(brqrv_top_brqrv_dec_tlu_mepc[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_tlu_mfdc_ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_tlu_wr_mfdc_r),
+	.GCLK(brqrv_top_brqrv_dec_tlu_mfdc_ff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mfdc_ff_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mfdc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[12]),
+	.Q(brqrv_top_brqrv_dec_tlu_mfdc_int[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mfdc_ff_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mfdc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[11]),
+	.Q(brqrv_top_brqrv_dec_tlu_external_ldfwd_disable),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mfdc_ff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mfdc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[0]),
+	.Q(brqrv_top_brqrv_dec_dec_tlu_pipelining_disable),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mfdc_ff_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mfdc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[10]),
+	.Q(brqrv_top_brqrv_dec_tlu_mfdc_int[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mfdc_ff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mfdc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[1]),
+	.Q(brqrv_top_brqrv_dec_tlu_mfdc_int[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mfdc_ff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mfdc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[7]),
+	.Q(brqrv_top_brqrv_dec_tlu_mfdc_int[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mfdc_ff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mfdc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[5]),
+	.Q(brqrv_top_brqrv_dec_tlu_mfdc_int[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mfdc_ff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mfdc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[4]),
+	.Q(brqrv_top_brqrv_dec_tlu_mfdc_int[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mfdc_ff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mfdc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[8]),
+	.Q(brqrv_top_brqrv_dec_tlu_mfdc_int[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mfdc_ff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mfdc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[9]),
+	.Q(brqrv_top_brqrv_dec_tlu_mfdc_int[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mfdc_ff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mfdc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[2]),
+	.Q(brqrv_top_brqrv_dec_tlu_wb_coalescing_disable),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mfdc_ff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mfdc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[3]),
+	.Q(brqrv_top_brqrv_dec_tlu_bpred_disable),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mfdc_ff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mfdc_ff_l1clk),
+	.D(n_1506),
+	.Q(brqrv_top_brqrv_dec_tlu_mfdc_int[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mfdc_ff_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mfdc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_n_314),
+	.Q(brqrv_top_brqrv_dec_tlu_mfdc_int[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mfdc_ff_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mfdc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_n_315),
+	.Q(brqrv_top_brqrv_dec_tlu_mfdc_int[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mfdc_ff_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mfdc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_n_316),
+	.Q(brqrv_top_brqrv_dec_tlu_mfdc_int[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mfdhs_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_free_clk),
+	.D(brqrv_top_brqrv_dec_tlu_mfdhs_ff_n_6),
+	.Q(brqrv_top_brqrv_dec_tlu_mfdhs[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mfdhs_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_free_clk),
+	.D(brqrv_top_brqrv_dec_tlu_mfdhs_ff_n_7),
+	.Q(brqrv_top_brqrv_dec_tlu_mfdhs[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mfdht_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_csr_wr_clk),
+	.D(brqrv_top_brqrv_dec_tlu_mfdht_ff_n_14),
+	.Q(brqrv_top_brqrv_dec_tlu_mfdht[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mfdht_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_csr_wr_clk),
+	.D(brqrv_top_brqrv_dec_tlu_mfdht_ff_n_15),
+	.Q(brqrv_top_brqrv_dec_tlu_mfdht[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mfdht_ff_genblock.dffs_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_csr_wr_clk),
+	.D(brqrv_top_brqrv_dec_tlu_mfdht_ff_n_16),
+	.Q(brqrv_top_brqrv_dec_tlu_mfdht[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mfdht_ff_genblock.dffs_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_csr_wr_clk),
+	.D(brqrv_top_brqrv_dec_tlu_mfdht_ff_n_17),
+	.Q(brqrv_top_brqrv_dec_tlu_mfdht[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mfdht_ff_genblock.dffs_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_csr_wr_clk),
+	.D(brqrv_top_brqrv_dec_tlu_mfdht_ff_n_18),
+	.Q(brqrv_top_brqrv_dec_tlu_mfdht[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mfdht_ff_genblock.dffs_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_csr_wr_clk),
+	.D(brqrv_top_brqrv_dec_tlu_mfdht_ff_n_19),
+	.Q(brqrv_top_brqrv_dec_tlu_mfdht[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_tlu_mhpmc3_ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_brqrv_n_7),
+	.GATE(brqrv_top_brqrv_dec_tlu_mhpmc3_wr_en),
+	.GCLK(brqrv_top_brqrv_dec_tlu_mhpmc3_ff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc3_ff_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc3_ns[12]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc3[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc3_ff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc3_ns[1]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc3[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc3_ff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc3_ns[2]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc3[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc3_ff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc3_ns[3]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc3[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc3_ff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc3_ns[4]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc3[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc3_ff_genblock.dff_dout_reg[27]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc3_ns[27]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc3[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc3_ff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc3_ns[5]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc3[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc3_ff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc3_ns[6]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc3[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc3_ff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc3_ns[7]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc3[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc3_ff_genblock.dff_dout_reg[23]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc3_ns[23]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc3[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc3_ff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc3_ns[8]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc3[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc3_ff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc3_ns[9]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc3[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc3_ff_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc3_ns[10]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc3[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc3_ff_genblock.dff_dout_reg[19]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc3_ns[19]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc3[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc3_ff_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc3_ns[11]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc3[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc3_ff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc3_ns[0]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc3[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc3_ff_genblock.dff_dout_reg[31]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc3_ns[31]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc3[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc3_ff_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc3_ns[14]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc3[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc3_ff_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc3_ns[15]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc3[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc3_ff_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc3_ns[16]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc3[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc3_ff_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc3_ns[17]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc3[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc3_ff_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc3_ns[18]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc3[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc3_ff_genblock.dff_dout_reg[20]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc3_ns[20]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc3[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc3_ff_genblock.dff_dout_reg[21]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc3_ns[21]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc3[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc3_ff_genblock.dff_dout_reg[22]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc3_ns[22]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc3[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc3_ff_genblock.dff_dout_reg[24]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc3_ns[24]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc3[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc3_ff_genblock.dff_dout_reg[25]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc3_ns[25]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc3[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc3_ff_genblock.dff_dout_reg[26]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc3_ns[26]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc3[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc3_ff_genblock.dff_dout_reg[28]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc3_ns[28]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc3[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc3_ff_genblock.dff_dout_reg[29]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc3_ns[29]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc3[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc3_ff_genblock.dff_dout_reg[30]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc3_ns[30]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc3[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc3_ff_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc3_ns[13]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc3[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_tlu_mhpmc3h_ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_brqrv_n_7),
+	.GATE(brqrv_top_brqrv_dec_tlu_mhpmc3h_wr_en),
+	.GCLK(brqrv_top_brqrv_dec_tlu_mhpmc3h_ff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc3h_ff_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc3h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc3h_ns[12]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc3h[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc3h_ff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc3h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc3h_ns[1]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc3h[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc3h_ff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc3h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc3h_ns[2]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc3h[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc3h_ff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc3h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc3h_ns[3]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc3h[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc3h_ff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc3h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc3h_ns[4]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc3h[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc3h_ff_genblock.dff_dout_reg[27]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc3h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc3h_ns[27]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc3h[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc3h_ff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc3h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc3h_ns[5]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc3h[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc3h_ff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc3h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc3h_ns[6]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc3h[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc3h_ff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc3h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc3h_ns[7]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc3h[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc3h_ff_genblock.dff_dout_reg[23]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc3h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc3h_ns[23]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc3h[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc3h_ff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc3h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc3h_ns[8]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc3h[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc3h_ff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc3h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc3h_ns[9]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc3h[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc3h_ff_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc3h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc3h_ns[10]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc3h[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc3h_ff_genblock.dff_dout_reg[19]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc3h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc3h_ns[19]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc3h[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc3h_ff_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc3h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc3h_ns[11]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc3h[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc3h_ff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc3h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc3h_ns[0]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc3h[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc3h_ff_genblock.dff_dout_reg[31]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc3h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc3h_ns[31]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc3h[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc3h_ff_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc3h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc3h_ns[14]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc3h[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc3h_ff_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc3h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc3h_ns[15]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc3h[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc3h_ff_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc3h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc3h_ns[16]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc3h[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc3h_ff_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc3h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc3h_ns[17]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc3h[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc3h_ff_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc3h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc3h_ns[18]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc3h[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc3h_ff_genblock.dff_dout_reg[20]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc3h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc3h_ns[20]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc3h[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc3h_ff_genblock.dff_dout_reg[21]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc3h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc3h_ns[21]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc3h[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc3h_ff_genblock.dff_dout_reg[22]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc3h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc3h_ns[22]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc3h[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc3h_ff_genblock.dff_dout_reg[24]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc3h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc3h_ns[24]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc3h[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc3h_ff_genblock.dff_dout_reg[25]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc3h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc3h_ns[25]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc3h[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc3h_ff_genblock.dff_dout_reg[26]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc3h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc3h_ns[26]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc3h[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc3h_ff_genblock.dff_dout_reg[28]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc3h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc3h_ns[28]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc3h[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc3h_ff_genblock.dff_dout_reg[29]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc3h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc3h_ns[29]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc3h[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc3h_ff_genblock.dff_dout_reg[30]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc3h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc3h_ns[30]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc3h[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc3h_ff_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc3h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc3h_ns[13]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc3h[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_tlu_mhpmc4_ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_brqrv_n_7),
+	.GATE(brqrv_top_brqrv_dec_tlu_mhpmc4_wr_en),
+	.GCLK(brqrv_top_brqrv_dec_tlu_mhpmc4_ff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc4_ff_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc4_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc4_ns[12]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc4[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc4_ff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc4_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc4_ns[1]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc4[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc4_ff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc4_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc4_ns[2]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc4[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc4_ff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc4_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc4_ns[3]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc4[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc4_ff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc4_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc4_ns[4]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc4[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc4_ff_genblock.dff_dout_reg[27]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc4_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc4_ns[27]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc4[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc4_ff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc4_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc4_ns[5]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc4[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc4_ff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc4_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc4_ns[6]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc4[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc4_ff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc4_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc4_ns[7]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc4[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc4_ff_genblock.dff_dout_reg[23]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc4_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc4_ns[23]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc4[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc4_ff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc4_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc4_ns[8]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc4[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc4_ff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc4_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc4_ns[9]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc4[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc4_ff_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc4_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc4_ns[10]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc4[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc4_ff_genblock.dff_dout_reg[19]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc4_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc4_ns[19]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc4[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc4_ff_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc4_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc4_ns[11]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc4[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc4_ff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc4_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc4_ns[0]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc4[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc4_ff_genblock.dff_dout_reg[31]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc4_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc4_ns[31]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc4[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc4_ff_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc4_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc4_ns[14]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc4[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc4_ff_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc4_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc4_ns[15]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc4[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc4_ff_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc4_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc4_ns[16]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc4[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc4_ff_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc4_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc4_ns[17]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc4[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc4_ff_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc4_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc4_ns[18]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc4[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc4_ff_genblock.dff_dout_reg[20]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc4_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc4_ns[20]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc4[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc4_ff_genblock.dff_dout_reg[21]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc4_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc4_ns[21]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc4[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc4_ff_genblock.dff_dout_reg[22]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc4_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc4_ns[22]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc4[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc4_ff_genblock.dff_dout_reg[24]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc4_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc4_ns[24]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc4[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc4_ff_genblock.dff_dout_reg[25]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc4_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc4_ns[25]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc4[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc4_ff_genblock.dff_dout_reg[26]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc4_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc4_ns[26]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc4[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc4_ff_genblock.dff_dout_reg[28]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc4_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc4_ns[28]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc4[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc4_ff_genblock.dff_dout_reg[29]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc4_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc4_ns[29]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc4[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc4_ff_genblock.dff_dout_reg[30]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc4_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc4_ns[30]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc4[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc4_ff_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc4_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc4_ns[13]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc4[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_tlu_mhpmc4h_ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_brqrv_n_7),
+	.GATE(brqrv_top_brqrv_dec_tlu_mhpmc4h_wr_en),
+	.GCLK(brqrv_top_brqrv_dec_tlu_mhpmc4h_ff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc4h_ff_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc4h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc4h_ns[12]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc4h[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc4h_ff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc4h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc4h_ns[1]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc4h[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc4h_ff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc4h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc4h_ns[2]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc4h[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc4h_ff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc4h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc4h_ns[3]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc4h[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc4h_ff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc4h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc4h_ns[4]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc4h[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc4h_ff_genblock.dff_dout_reg[27]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc4h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc4h_ns[27]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc4h[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc4h_ff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc4h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc4h_ns[5]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc4h[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc4h_ff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc4h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc4h_ns[6]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc4h[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc4h_ff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc4h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc4h_ns[7]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc4h[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc4h_ff_genblock.dff_dout_reg[23]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc4h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc4h_ns[23]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc4h[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc4h_ff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc4h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc4h_ns[8]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc4h[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc4h_ff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc4h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc4h_ns[9]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc4h[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc4h_ff_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc4h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc4h_ns[10]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc4h[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc4h_ff_genblock.dff_dout_reg[19]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc4h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc4h_ns[19]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc4h[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc4h_ff_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc4h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc4h_ns[11]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc4h[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc4h_ff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc4h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc4h_ns[0]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc4h[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc4h_ff_genblock.dff_dout_reg[31]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc4h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc4h_ns[31]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc4h[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc4h_ff_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc4h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc4h_ns[14]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc4h[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc4h_ff_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc4h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc4h_ns[15]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc4h[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc4h_ff_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc4h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc4h_ns[16]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc4h[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc4h_ff_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc4h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc4h_ns[17]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc4h[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc4h_ff_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc4h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc4h_ns[18]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc4h[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc4h_ff_genblock.dff_dout_reg[20]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc4h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc4h_ns[20]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc4h[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc4h_ff_genblock.dff_dout_reg[21]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc4h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc4h_ns[21]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc4h[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc4h_ff_genblock.dff_dout_reg[22]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc4h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc4h_ns[22]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc4h[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc4h_ff_genblock.dff_dout_reg[24]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc4h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc4h_ns[24]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc4h[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc4h_ff_genblock.dff_dout_reg[25]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc4h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc4h_ns[25]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc4h[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc4h_ff_genblock.dff_dout_reg[26]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc4h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc4h_ns[26]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc4h[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc4h_ff_genblock.dff_dout_reg[28]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc4h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc4h_ns[28]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc4h[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc4h_ff_genblock.dff_dout_reg[29]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc4h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc4h_ns[29]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc4h[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc4h_ff_genblock.dff_dout_reg[30]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc4h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc4h_ns[30]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc4h[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc4h_ff_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc4h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc4h_ns[13]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc4h[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_tlu_mhpmc5_ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_brqrv_n_7),
+	.GATE(brqrv_top_brqrv_dec_tlu_mhpmc5_wr_en),
+	.GCLK(brqrv_top_brqrv_dec_tlu_mhpmc5_ff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc5_ff_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc5_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc5_ns[12]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc5[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc5_ff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc5_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc5_ns[1]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc5[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc5_ff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc5_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc5_ns[2]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc5[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc5_ff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc5_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc5_ns[3]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc5[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc5_ff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc5_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc5_ns[4]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc5[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc5_ff_genblock.dff_dout_reg[27]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc5_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc5_ns[27]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc5[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc5_ff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc5_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc5_ns[5]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc5[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc5_ff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc5_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc5_ns[6]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc5[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc5_ff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc5_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc5_ns[7]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc5[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc5_ff_genblock.dff_dout_reg[23]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc5_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc5_ns[23]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc5[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc5_ff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc5_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc5_ns[8]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc5[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc5_ff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc5_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc5_ns[9]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc5[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc5_ff_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc5_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc5_ns[10]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc5[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc5_ff_genblock.dff_dout_reg[19]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc5_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc5_ns[19]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc5[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc5_ff_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc5_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc5_ns[11]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc5[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc5_ff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc5_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc5_ns[0]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc5[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc5_ff_genblock.dff_dout_reg[31]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc5_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc5_ns[31]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc5[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc5_ff_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc5_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc5_ns[14]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc5[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc5_ff_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc5_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc5_ns[15]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc5[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc5_ff_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc5_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc5_ns[16]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc5[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc5_ff_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc5_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc5_ns[17]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc5[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc5_ff_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc5_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc5_ns[18]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc5[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc5_ff_genblock.dff_dout_reg[20]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc5_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc5_ns[20]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc5[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc5_ff_genblock.dff_dout_reg[21]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc5_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc5_ns[21]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc5[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc5_ff_genblock.dff_dout_reg[22]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc5_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc5_ns[22]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc5[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc5_ff_genblock.dff_dout_reg[24]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc5_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc5_ns[24]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc5[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc5_ff_genblock.dff_dout_reg[25]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc5_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc5_ns[25]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc5[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc5_ff_genblock.dff_dout_reg[26]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc5_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc5_ns[26]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc5[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc5_ff_genblock.dff_dout_reg[28]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc5_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc5_ns[28]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc5[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc5_ff_genblock.dff_dout_reg[29]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc5_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc5_ns[29]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc5[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc5_ff_genblock.dff_dout_reg[30]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc5_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc5_ns[30]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc5[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc5_ff_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc5_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc5_ns[13]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc5[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_tlu_mhpmc5h_ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_brqrv_n_7),
+	.GATE(brqrv_top_brqrv_dec_tlu_mhpmc5h_wr_en),
+	.GCLK(brqrv_top_brqrv_dec_tlu_mhpmc5h_ff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc5h_ff_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc5h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc5h_ns[12]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc5h[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc5h_ff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc5h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc5h_ns[1]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc5h[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc5h_ff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc5h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc5h_ns[2]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc5h[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc5h_ff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc5h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc5h_ns[3]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc5h[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc5h_ff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc5h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc5h_ns[4]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc5h[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc5h_ff_genblock.dff_dout_reg[27]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc5h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc5h_ns[27]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc5h[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc5h_ff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc5h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc5h_ns[5]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc5h[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc5h_ff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc5h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc5h_ns[6]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc5h[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc5h_ff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc5h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc5h_ns[7]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc5h[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc5h_ff_genblock.dff_dout_reg[23]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc5h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc5h_ns[23]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc5h[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc5h_ff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc5h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc5h_ns[8]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc5h[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc5h_ff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc5h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc5h_ns[9]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc5h[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc5h_ff_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc5h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc5h_ns[10]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc5h[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc5h_ff_genblock.dff_dout_reg[19]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc5h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc5h_ns[19]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc5h[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc5h_ff_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc5h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc5h_ns[11]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc5h[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc5h_ff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc5h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc5h_ns[0]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc5h[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc5h_ff_genblock.dff_dout_reg[31]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc5h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc5h_ns[31]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc5h[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc5h_ff_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc5h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc5h_ns[14]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc5h[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc5h_ff_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc5h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc5h_ns[15]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc5h[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc5h_ff_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc5h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc5h_ns[16]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc5h[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc5h_ff_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc5h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc5h_ns[17]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc5h[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc5h_ff_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc5h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc5h_ns[18]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc5h[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc5h_ff_genblock.dff_dout_reg[20]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc5h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc5h_ns[20]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc5h[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc5h_ff_genblock.dff_dout_reg[21]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc5h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc5h_ns[21]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc5h[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc5h_ff_genblock.dff_dout_reg[22]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc5h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc5h_ns[22]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc5h[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc5h_ff_genblock.dff_dout_reg[24]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc5h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc5h_ns[24]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc5h[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc5h_ff_genblock.dff_dout_reg[25]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc5h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc5h_ns[25]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc5h[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc5h_ff_genblock.dff_dout_reg[26]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc5h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc5h_ns[26]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc5h[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc5h_ff_genblock.dff_dout_reg[28]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc5h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc5h_ns[28]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc5h[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc5h_ff_genblock.dff_dout_reg[29]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc5h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc5h_ns[29]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc5h[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc5h_ff_genblock.dff_dout_reg[30]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc5h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc5h_ns[30]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc5h[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc5h_ff_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc5h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc5h_ns[13]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc5h[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_tlu_mhpmc6_ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_brqrv_n_7),
+	.GATE(brqrv_top_brqrv_dec_tlu_mhpmc6_wr_en),
+	.GCLK(brqrv_top_brqrv_dec_tlu_mhpmc6_ff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc6_ff_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc6_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc6_ns[12]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc6[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc6_ff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc6_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc6_ns[1]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc6[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc6_ff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc6_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc6_ns[2]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc6[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc6_ff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc6_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc6_ns[3]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc6[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc6_ff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc6_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc6_ns[4]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc6[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc6_ff_genblock.dff_dout_reg[27]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc6_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc6_ns[27]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc6[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc6_ff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc6_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc6_ns[5]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc6[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc6_ff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc6_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc6_ns[6]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc6[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc6_ff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc6_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc6_ns[7]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc6[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc6_ff_genblock.dff_dout_reg[23]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc6_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc6_ns[23]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc6[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc6_ff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc6_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc6_ns[8]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc6[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc6_ff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc6_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc6_ns[9]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc6[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc6_ff_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc6_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc6_ns[10]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc6[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc6_ff_genblock.dff_dout_reg[19]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc6_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc6_ns[19]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc6[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc6_ff_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc6_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc6_ns[11]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc6[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc6_ff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc6_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc6_ns[0]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc6[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc6_ff_genblock.dff_dout_reg[31]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc6_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc6_ns[31]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc6[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc6_ff_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc6_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc6_ns[14]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc6[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc6_ff_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc6_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc6_ns[15]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc6[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc6_ff_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc6_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc6_ns[16]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc6[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc6_ff_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc6_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc6_ns[17]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc6[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc6_ff_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc6_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc6_ns[18]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc6[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc6_ff_genblock.dff_dout_reg[20]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc6_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc6_ns[20]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc6[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc6_ff_genblock.dff_dout_reg[21]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc6_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc6_ns[21]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc6[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc6_ff_genblock.dff_dout_reg[22]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc6_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc6_ns[22]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc6[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc6_ff_genblock.dff_dout_reg[24]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc6_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc6_ns[24]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc6[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc6_ff_genblock.dff_dout_reg[25]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc6_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc6_ns[25]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc6[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc6_ff_genblock.dff_dout_reg[26]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc6_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc6_ns[26]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc6[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc6_ff_genblock.dff_dout_reg[28]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc6_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc6_ns[28]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc6[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc6_ff_genblock.dff_dout_reg[29]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc6_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc6_ns[29]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc6[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc6_ff_genblock.dff_dout_reg[30]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc6_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc6_ns[30]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc6[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc6_ff_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc6_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc6_ns[13]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc6[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_tlu_mhpmc6h_ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_brqrv_n_7),
+	.GATE(brqrv_top_brqrv_dec_tlu_mhpmc6h_wr_en),
+	.GCLK(brqrv_top_brqrv_dec_tlu_mhpmc6h_ff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc6h_ff_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc6h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc6h_ns[12]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc6h[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc6h_ff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc6h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc6h_ns[1]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc6h[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc6h_ff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc6h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc6h_ns[2]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc6h[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc6h_ff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc6h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc6h_ns[3]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc6h[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc6h_ff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc6h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc6h_ns[4]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc6h[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc6h_ff_genblock.dff_dout_reg[27]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc6h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc6h_ns[27]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc6h[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc6h_ff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc6h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc6h_ns[5]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc6h[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc6h_ff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc6h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc6h_ns[6]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc6h[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc6h_ff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc6h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc6h_ns[7]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc6h[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc6h_ff_genblock.dff_dout_reg[23]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc6h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc6h_ns[23]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc6h[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc6h_ff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc6h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc6h_ns[8]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc6h[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc6h_ff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc6h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc6h_ns[9]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc6h[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc6h_ff_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc6h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc6h_ns[10]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc6h[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc6h_ff_genblock.dff_dout_reg[19]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc6h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc6h_ns[19]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc6h[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc6h_ff_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc6h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc6h_ns[11]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc6h[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc6h_ff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc6h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc6h_ns[0]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc6h[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc6h_ff_genblock.dff_dout_reg[31]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc6h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc6h_ns[31]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc6h[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc6h_ff_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc6h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc6h_ns[14]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc6h[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc6h_ff_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc6h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc6h_ns[15]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc6h[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc6h_ff_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc6h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc6h_ns[16]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc6h[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc6h_ff_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc6h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc6h_ns[17]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc6h[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc6h_ff_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc6h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc6h_ns[18]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc6h[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc6h_ff_genblock.dff_dout_reg[20]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc6h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc6h_ns[20]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc6h[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc6h_ff_genblock.dff_dout_reg[21]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc6h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc6h_ns[21]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc6h[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc6h_ff_genblock.dff_dout_reg[22]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc6h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc6h_ns[22]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc6h[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc6h_ff_genblock.dff_dout_reg[24]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc6h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc6h_ns[24]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc6h[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc6h_ff_genblock.dff_dout_reg[25]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc6h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc6h_ns[25]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc6h[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc6h_ff_genblock.dff_dout_reg[26]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc6h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc6h_ns[26]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc6h[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc6h_ff_genblock.dff_dout_reg[28]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc6h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc6h_ns[28]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc6h[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc6h_ff_genblock.dff_dout_reg[29]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc6h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc6h_ns[29]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc6h[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc6h_ff_genblock.dff_dout_reg[30]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc6h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc6h_ns[30]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc6h[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc6h_ff_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc6h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc6h_ns[13]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc6h[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_tlu_mhpme3_ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_tlu_wr_mhpme3_r),
+	.GCLK(brqrv_top_brqrv_dec_tlu_mhpme3_ff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpme3_ff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpme3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_event_r[9]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpme3[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpme3_ff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpme3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_event_r[4]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpme3[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpme3_ff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpme3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_event_r[0]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpme3[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpme3_ff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpme3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_event_r[2]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpme3[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpme3_ff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpme3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_event_r[5]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpme3[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpme3_ff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpme3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_event_r[3]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpme3[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpme3_ff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpme3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_event_r[1]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpme3[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_tlu_mhpme4_ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_tlu_wr_mhpme4_r),
+	.GCLK(brqrv_top_brqrv_dec_tlu_mhpme4_ff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpme4_ff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpme4_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_event_r[9]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpme4[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpme4_ff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpme4_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_event_r[4]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpme4[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpme4_ff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpme4_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_event_r[0]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpme4[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpme4_ff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpme4_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_event_r[2]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpme4[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpme4_ff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpme4_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_event_r[5]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpme4[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpme4_ff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpme4_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_event_r[3]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpme4[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpme4_ff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpme4_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_event_r[1]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpme4[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_tlu_mhpme5_ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_tlu_wr_mhpme5_r),
+	.GCLK(brqrv_top_brqrv_dec_tlu_mhpme5_ff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpme5_ff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpme5_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_event_r[9]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpme5[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpme5_ff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpme5_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_event_r[4]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpme5[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpme5_ff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpme5_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_event_r[0]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpme5[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpme5_ff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpme5_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_event_r[2]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpme5[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpme5_ff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpme5_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_event_r[5]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpme5[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpme5_ff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpme5_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_event_r[3]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpme5[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpme5_ff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpme5_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_event_r[1]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpme5[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_tlu_mhpme6_ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_tlu_wr_mhpme6_r),
+	.GCLK(brqrv_top_brqrv_dec_tlu_mhpme6_ff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpme6_ff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpme6_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_event_r[9]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpme6[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpme6_ff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpme6_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_event_r[4]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpme6[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpme6_ff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpme6_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_event_r[0]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpme6[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpme6_ff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpme6_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_event_r[2]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpme6[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpme6_ff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpme6_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_event_r[5]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpme6[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpme6_ff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpme6_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_event_r[3]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpme6[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpme6_ff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpme6_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_event_r[1]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpme6[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_tlu_miccmect_ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_brqrv_n_7),
+	.GATE(brqrv_top_brqrv_dec_tlu_wr_miccmect_r),
+	.GCLK(brqrv_top_brqrv_dec_tlu_miccmect_ff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_miccmect_ff_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_miccmect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_miccmect_ns[12]),
+	.Q(brqrv_top_brqrv_dec_tlu_miccmect[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_miccmect_ff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_miccmect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_miccmect_ns[1]),
+	.Q(brqrv_top_brqrv_dec_tlu_miccmect[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_miccmect_ff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_miccmect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_miccmect_ns[2]),
+	.Q(brqrv_top_brqrv_dec_tlu_miccmect[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_miccmect_ff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_miccmect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_miccmect_ns[3]),
+	.Q(brqrv_top_brqrv_dec_tlu_miccmect[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_miccmect_ff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_miccmect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_miccmect_ns[4]),
+	.Q(brqrv_top_brqrv_dec_tlu_miccmect[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_miccmect_ff_genblock.dff_dout_reg[27]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_miccmect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_miccmect_ns[27]),
+	.Q(brqrv_top_brqrv_dec_tlu_miccmect[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_miccmect_ff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_miccmect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_miccmect_ns[5]),
+	.Q(brqrv_top_brqrv_dec_tlu_miccmect[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_miccmect_ff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_miccmect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_miccmect_ns[6]),
+	.Q(brqrv_top_brqrv_dec_tlu_miccmect[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_miccmect_ff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_miccmect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_miccmect_ns[7]),
+	.Q(brqrv_top_brqrv_dec_tlu_miccmect[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_miccmect_ff_genblock.dff_dout_reg[23]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_miccmect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_miccmect_ns[23]),
+	.Q(brqrv_top_brqrv_dec_tlu_miccmect[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_miccmect_ff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_miccmect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_miccmect_ns[8]),
+	.Q(brqrv_top_brqrv_dec_tlu_miccmect[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_miccmect_ff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_miccmect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_miccmect_ns[9]),
+	.Q(brqrv_top_brqrv_dec_tlu_miccmect[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_miccmect_ff_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_miccmect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_miccmect_ns[10]),
+	.Q(brqrv_top_brqrv_dec_tlu_miccmect[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_miccmect_ff_genblock.dff_dout_reg[19]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_miccmect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_miccmect_ns[19]),
+	.Q(brqrv_top_brqrv_dec_tlu_miccmect[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_miccmect_ff_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_miccmect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_miccmect_ns[11]),
+	.Q(brqrv_top_brqrv_dec_tlu_miccmect[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_miccmect_ff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_miccmect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_miccmect_ns[0]),
+	.Q(brqrv_top_brqrv_dec_tlu_miccmect[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_miccmect_ff_genblock.dff_dout_reg[31]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_miccmect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_miccmect_ns[31]),
+	.Q(brqrv_top_brqrv_dec_tlu_miccmect[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_miccmect_ff_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_miccmect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_miccmect_ns[14]),
+	.Q(brqrv_top_brqrv_dec_tlu_miccmect[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_miccmect_ff_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_miccmect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_miccmect_ns[15]),
+	.Q(brqrv_top_brqrv_dec_tlu_miccmect[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_miccmect_ff_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_miccmect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_miccmect_ns[16]),
+	.Q(brqrv_top_brqrv_dec_tlu_miccmect[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_miccmect_ff_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_miccmect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_miccmect_ns[17]),
+	.Q(brqrv_top_brqrv_dec_tlu_miccmect[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_miccmect_ff_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_miccmect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_miccmect_ns[18]),
+	.Q(brqrv_top_brqrv_dec_tlu_miccmect[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_miccmect_ff_genblock.dff_dout_reg[20]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_miccmect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_miccmect_ns[20]),
+	.Q(brqrv_top_brqrv_dec_tlu_miccmect[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_miccmect_ff_genblock.dff_dout_reg[21]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_miccmect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_miccmect_ns[21]),
+	.Q(brqrv_top_brqrv_dec_tlu_miccmect[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_miccmect_ff_genblock.dff_dout_reg[22]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_miccmect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_miccmect_ns[22]),
+	.Q(brqrv_top_brqrv_dec_tlu_miccmect[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_miccmect_ff_genblock.dff_dout_reg[24]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_miccmect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_miccmect_ns[24]),
+	.Q(brqrv_top_brqrv_dec_tlu_miccmect[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_miccmect_ff_genblock.dff_dout_reg[25]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_miccmect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_miccmect_ns[25]),
+	.Q(brqrv_top_brqrv_dec_tlu_miccmect[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_miccmect_ff_genblock.dff_dout_reg[26]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_miccmect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_miccmect_ns[26]),
+	.Q(brqrv_top_brqrv_dec_tlu_miccmect[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_miccmect_ff_genblock.dff_dout_reg[28]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_miccmect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_miccmect_ns[28]),
+	.Q(brqrv_top_brqrv_dec_tlu_miccmect[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_miccmect_ff_genblock.dff_dout_reg[29]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_miccmect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_miccmect_ns[29]),
+	.Q(brqrv_top_brqrv_dec_tlu_miccmect[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_miccmect_ff_genblock.dff_dout_reg[30]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_miccmect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_miccmect_ns[30]),
+	.Q(brqrv_top_brqrv_dec_tlu_miccmect[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_miccmect_ff_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_miccmect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_miccmect_ns[13]),
+	.Q(brqrv_top_brqrv_dec_tlu_miccmect[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_tlu_micect_ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_tlu_wr_micect_r),
+	.GCLK(brqrv_top_brqrv_dec_tlu_micect_ff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_micect_ff_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_micect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_micect_ns[12]),
+	.Q(brqrv_top_brqrv_dec_tlu_micect[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_micect_ff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_micect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_micect_ns[1]),
+	.Q(brqrv_top_brqrv_dec_tlu_micect[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_micect_ff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_micect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_micect_ns[2]),
+	.Q(brqrv_top_brqrv_dec_tlu_micect[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_micect_ff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_micect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_micect_ns[3]),
+	.Q(brqrv_top_brqrv_dec_tlu_micect[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_micect_ff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_micect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_micect_ns[4]),
+	.Q(brqrv_top_brqrv_dec_tlu_micect[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_micect_ff_genblock.dff_dout_reg[27]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_micect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_micect_ns[27]),
+	.Q(brqrv_top_brqrv_dec_tlu_micect[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_micect_ff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_micect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_micect_ns[5]),
+	.Q(brqrv_top_brqrv_dec_tlu_micect[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_micect_ff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_micect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_micect_ns[6]),
+	.Q(brqrv_top_brqrv_dec_tlu_micect[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_micect_ff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_micect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_micect_ns[7]),
+	.Q(brqrv_top_brqrv_dec_tlu_micect[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_micect_ff_genblock.dff_dout_reg[23]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_micect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_micect_ns[23]),
+	.Q(brqrv_top_brqrv_dec_tlu_micect[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_micect_ff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_micect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_micect_ns[8]),
+	.Q(brqrv_top_brqrv_dec_tlu_micect[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_micect_ff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_micect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_micect_ns[9]),
+	.Q(brqrv_top_brqrv_dec_tlu_micect[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_micect_ff_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_micect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_micect_ns[10]),
+	.Q(brqrv_top_brqrv_dec_tlu_micect[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_micect_ff_genblock.dff_dout_reg[19]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_micect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_micect_ns[19]),
+	.Q(brqrv_top_brqrv_dec_tlu_micect[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_micect_ff_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_micect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_micect_ns[11]),
+	.Q(brqrv_top_brqrv_dec_tlu_micect[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_micect_ff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_micect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_micect_ns[0]),
+	.Q(brqrv_top_brqrv_dec_tlu_micect[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_micect_ff_genblock.dff_dout_reg[31]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_micect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_micect_ns[31]),
+	.Q(brqrv_top_brqrv_dec_tlu_micect[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_micect_ff_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_micect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_micect_ns[14]),
+	.Q(brqrv_top_brqrv_dec_tlu_micect[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_micect_ff_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_micect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_micect_ns[15]),
+	.Q(brqrv_top_brqrv_dec_tlu_micect[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_micect_ff_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_micect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_micect_ns[16]),
+	.Q(brqrv_top_brqrv_dec_tlu_micect[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_micect_ff_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_micect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_micect_ns[17]),
+	.Q(brqrv_top_brqrv_dec_tlu_micect[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_micect_ff_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_micect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_micect_ns[18]),
+	.Q(brqrv_top_brqrv_dec_tlu_micect[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_micect_ff_genblock.dff_dout_reg[20]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_micect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_micect_ns[20]),
+	.Q(brqrv_top_brqrv_dec_tlu_micect[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_micect_ff_genblock.dff_dout_reg[21]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_micect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_micect_ns[21]),
+	.Q(brqrv_top_brqrv_dec_tlu_micect[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_micect_ff_genblock.dff_dout_reg[22]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_micect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_micect_ns[22]),
+	.Q(brqrv_top_brqrv_dec_tlu_micect[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_micect_ff_genblock.dff_dout_reg[24]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_micect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_micect_ns[24]),
+	.Q(brqrv_top_brqrv_dec_tlu_micect[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_micect_ff_genblock.dff_dout_reg[25]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_micect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_micect_ns[25]),
+	.Q(brqrv_top_brqrv_dec_tlu_micect[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_micect_ff_genblock.dff_dout_reg[26]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_micect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_micect_ns[26]),
+	.Q(brqrv_top_brqrv_dec_tlu_micect[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_micect_ff_genblock.dff_dout_reg[28]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_micect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_micect_ns[28]),
+	.Q(brqrv_top_brqrv_dec_tlu_micect[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_micect_ff_genblock.dff_dout_reg[29]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_micect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_micect_ns[29]),
+	.Q(brqrv_top_brqrv_dec_tlu_micect[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_micect_ff_genblock.dff_dout_reg[30]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_micect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_micect_ns[30]),
+	.Q(brqrv_top_brqrv_dec_tlu_micect[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_micect_ff_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_micect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_micect_ns[13]),
+	.Q(brqrv_top_brqrv_dec_tlu_micect[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mie_ff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_csr_wr_clk),
+	.D(brqrv_top_brqrv_dec_tlu_mie_ns[0]),
+	.Q(brqrv_top_brqrv_dec_tlu_mie[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mie_ff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_csr_wr_clk),
+	.D(brqrv_top_brqrv_dec_tlu_mie_ns[1]),
+	.Q(brqrv_top_brqrv_dec_tlu_mie[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mie_ff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_csr_wr_clk),
+	.D(brqrv_top_brqrv_dec_tlu_mie_ns[2]),
+	.Q(brqrv_top_brqrv_dec_tlu_mie[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mie_ff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_csr_wr_clk),
+	.D(brqrv_top_brqrv_dec_tlu_mie_ns[3]),
+	.Q(brqrv_top_brqrv_dec_tlu_mie[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mie_ff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_csr_wr_clk),
+	.D(brqrv_top_brqrv_dec_tlu_mie_ns[4]),
+	.Q(brqrv_top_brqrv_dec_tlu_mie[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mie_ff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_csr_wr_clk),
+	.D(brqrv_top_brqrv_dec_tlu_mie_ns[5]),
+	.Q(brqrv_top_brqrv_dec_tlu_mie[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_tlu_minstreth_ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_tlu_n_3335),
+	.GCLK(brqrv_top_brqrv_dec_tlu_minstreth_ff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_minstreth_ff_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_minstreth_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_minstreth_ns[12]),
+	.Q(brqrv_top_brqrv_dec_tlu_minstreth[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_minstreth_ff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_minstreth_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_minstreth_ns[1]),
+	.Q(brqrv_top_brqrv_dec_tlu_minstreth[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_minstreth_ff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_minstreth_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_minstreth_ns[2]),
+	.Q(brqrv_top_brqrv_dec_tlu_minstreth[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_minstreth_ff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_minstreth_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_minstreth_ns[3]),
+	.Q(brqrv_top_brqrv_dec_tlu_minstreth[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_minstreth_ff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_minstreth_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_minstreth_ns[4]),
+	.Q(brqrv_top_brqrv_dec_tlu_minstreth[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_minstreth_ff_genblock.dff_dout_reg[27]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_minstreth_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_minstreth_ns[27]),
+	.Q(brqrv_top_brqrv_dec_tlu_minstreth[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_minstreth_ff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_minstreth_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_minstreth_ns[5]),
+	.Q(brqrv_top_brqrv_dec_tlu_minstreth[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_minstreth_ff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_minstreth_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_minstreth_ns[6]),
+	.Q(brqrv_top_brqrv_dec_tlu_minstreth[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_minstreth_ff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_minstreth_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_minstreth_ns[7]),
+	.Q(brqrv_top_brqrv_dec_tlu_minstreth[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_minstreth_ff_genblock.dff_dout_reg[23]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_minstreth_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_minstreth_ns[23]),
+	.Q(brqrv_top_brqrv_dec_tlu_minstreth[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_minstreth_ff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_minstreth_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_minstreth_ns[8]),
+	.Q(brqrv_top_brqrv_dec_tlu_minstreth[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_minstreth_ff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_minstreth_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_minstreth_ns[9]),
+	.Q(brqrv_top_brqrv_dec_tlu_minstreth[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_minstreth_ff_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_minstreth_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_minstreth_ns[10]),
+	.Q(brqrv_top_brqrv_dec_tlu_minstreth[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_minstreth_ff_genblock.dff_dout_reg[19]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_minstreth_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_minstreth_ns[19]),
+	.Q(brqrv_top_brqrv_dec_tlu_minstreth[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_minstreth_ff_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_minstreth_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_minstreth_ns[11]),
+	.Q(brqrv_top_brqrv_dec_tlu_minstreth[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_minstreth_ff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_minstreth_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_minstreth_ns[0]),
+	.Q(brqrv_top_brqrv_dec_tlu_minstreth[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_minstreth_ff_genblock.dff_dout_reg[31]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_minstreth_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_minstreth_ns[31]),
+	.Q(brqrv_top_brqrv_dec_tlu_minstreth[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_minstreth_ff_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_minstreth_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_minstreth_ns[14]),
+	.Q(brqrv_top_brqrv_dec_tlu_minstreth[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_minstreth_ff_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_minstreth_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_minstreth_ns[15]),
+	.Q(brqrv_top_brqrv_dec_tlu_minstreth[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_minstreth_ff_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_minstreth_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_minstreth_ns[16]),
+	.Q(brqrv_top_brqrv_dec_tlu_minstreth[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_minstreth_ff_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_minstreth_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_minstreth_ns[17]),
+	.Q(brqrv_top_brqrv_dec_tlu_minstreth[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_minstreth_ff_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_minstreth_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_minstreth_ns[18]),
+	.Q(brqrv_top_brqrv_dec_tlu_minstreth[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_minstreth_ff_genblock.dff_dout_reg[20]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_minstreth_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_minstreth_ns[20]),
+	.Q(brqrv_top_brqrv_dec_tlu_minstreth[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_minstreth_ff_genblock.dff_dout_reg[21]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_minstreth_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_minstreth_ns[21]),
+	.Q(brqrv_top_brqrv_dec_tlu_minstreth[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_minstreth_ff_genblock.dff_dout_reg[22]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_minstreth_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_minstreth_ns[22]),
+	.Q(brqrv_top_brqrv_dec_tlu_minstreth[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_minstreth_ff_genblock.dff_dout_reg[24]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_minstreth_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_minstreth_ns[24]),
+	.Q(brqrv_top_brqrv_dec_tlu_minstreth[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_minstreth_ff_genblock.dff_dout_reg[25]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_minstreth_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_minstreth_ns[25]),
+	.Q(brqrv_top_brqrv_dec_tlu_minstreth[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_minstreth_ff_genblock.dff_dout_reg[26]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_minstreth_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_minstreth_ns[26]),
+	.Q(brqrv_top_brqrv_dec_tlu_minstreth[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_minstreth_ff_genblock.dff_dout_reg[28]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_minstreth_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_minstreth_ns[28]),
+	.Q(brqrv_top_brqrv_dec_tlu_minstreth[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_minstreth_ff_genblock.dff_dout_reg[29]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_minstreth_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_minstreth_ns[29]),
+	.Q(brqrv_top_brqrv_dec_tlu_minstreth[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_minstreth_ff_genblock.dff_dout_reg[30]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_minstreth_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_minstreth_ns[30]),
+	.Q(brqrv_top_brqrv_dec_tlu_minstreth[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_minstreth_ff_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_minstreth_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_minstreth_ns[13]),
+	.Q(brqrv_top_brqrv_dec_tlu_minstreth[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_tlu_minstretl_aff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_tlu_minstret_enable),
+	.GCLK(brqrv_top_brqrv_dec_tlu_minstretl_aff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_minstretl_aff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_minstretl_aff_l1clk),
+	.D(\brqrv_top_brqrv_dec_tlu_minstretl_ns[1]_3328 ),
+	.Q(brqrv_top_brqrv_dec_tlu_minstretl[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_minstretl_aff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_minstretl_aff_l1clk),
+	.D(\brqrv_top_brqrv_dec_tlu_minstretl_ns[7]_3334 ),
+	.Q(brqrv_top_brqrv_dec_tlu_minstretl[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_minstretl_aff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_minstretl_aff_l1clk),
+	.D(\brqrv_top_brqrv_dec_tlu_minstretl_ns[0]_3327 ),
+	.Q(brqrv_top_brqrv_dec_tlu_minstretl[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_minstretl_aff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_minstretl_aff_l1clk),
+	.D(\brqrv_top_brqrv_dec_tlu_minstretl_ns[2]_3329 ),
+	.Q(brqrv_top_brqrv_dec_tlu_minstretl[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_minstretl_aff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_minstretl_aff_l1clk),
+	.D(\brqrv_top_brqrv_dec_tlu_minstretl_ns[5]_3332 ),
+	.Q(brqrv_top_brqrv_dec_tlu_minstretl[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_minstretl_aff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_minstretl_aff_l1clk),
+	.D(\brqrv_top_brqrv_dec_tlu_minstretl_ns[4]_3331 ),
+	.Q(brqrv_top_brqrv_dec_tlu_minstretl[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_minstretl_aff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_minstretl_aff_l1clk),
+	.D(\brqrv_top_brqrv_dec_tlu_minstretl_ns[3]_3330 ),
+	.Q(brqrv_top_brqrv_dec_tlu_minstretl[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_minstretl_aff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_minstretl_aff_l1clk),
+	.D(\brqrv_top_brqrv_dec_tlu_minstretl_ns[6]_3333 ),
+	.Q(brqrv_top_brqrv_dec_tlu_minstretl[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_tlu_minstretl_bff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_tlu_n_3326),
+	.GCLK(brqrv_top_brqrv_dec_tlu_minstretl_bff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_minstretl_bff_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_minstretl_bff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_minstretl_ns[24]),
+	.Q(brqrv_top_brqrv_dec_tlu_minstretl[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_minstretl_bff_genblock.dff_dout_reg[23]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_minstretl_bff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_minstretl_ns[31]),
+	.Q(brqrv_top_brqrv_dec_tlu_minstretl[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_minstretl_bff_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_minstretl_bff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_minstretl_ns[12]),
+	.Q(brqrv_top_brqrv_dec_tlu_minstretl[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_minstretl_bff_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_minstretl_bff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_minstretl_ns[13]),
+	.Q(brqrv_top_brqrv_dec_tlu_minstretl[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_minstretl_bff_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_minstretl_bff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_minstretl_ns[14]),
+	.Q(brqrv_top_brqrv_dec_tlu_minstretl[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_minstretl_bff_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_minstretl_bff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_minstretl_ns[15]),
+	.Q(brqrv_top_brqrv_dec_tlu_minstretl[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_minstretl_bff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_minstretl_bff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_minstretl_ns[0]),
+	.Q(brqrv_top_brqrv_dec_tlu_minstretl[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_minstretl_bff_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_minstretl_bff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_minstretl_ns[25]),
+	.Q(brqrv_top_brqrv_dec_tlu_minstretl[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_minstretl_bff_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_minstretl_bff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_minstretl_ns[26]),
+	.Q(brqrv_top_brqrv_dec_tlu_minstretl[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_minstretl_bff_genblock.dff_dout_reg[19]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_minstretl_bff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_minstretl_ns[27]),
+	.Q(brqrv_top_brqrv_dec_tlu_minstretl[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_minstretl_bff_genblock.dff_dout_reg[20]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_minstretl_bff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_minstretl_ns[28]),
+	.Q(brqrv_top_brqrv_dec_tlu_minstretl[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_minstretl_bff_genblock.dff_dout_reg[21]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_minstretl_bff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_minstretl_ns[29]),
+	.Q(brqrv_top_brqrv_dec_tlu_minstretl[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_minstretl_bff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_minstretl_bff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_minstretl_ns[5]),
+	.Q(brqrv_top_brqrv_dec_tlu_minstretl[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_minstretl_bff_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_minstretl_bff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_minstretl_ns[11]),
+	.Q(brqrv_top_brqrv_dec_tlu_minstretl[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_minstretl_bff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_minstretl_bff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_minstretl_ns[1]),
+	.Q(brqrv_top_brqrv_dec_tlu_minstretl[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_minstretl_bff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_minstretl_bff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_minstretl_ns[2]),
+	.Q(brqrv_top_brqrv_dec_tlu_minstretl[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_minstretl_bff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_minstretl_bff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_minstretl_ns[3]),
+	.Q(brqrv_top_brqrv_dec_tlu_minstretl[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_minstretl_bff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_minstretl_bff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_minstretl_ns[4]),
+	.Q(brqrv_top_brqrv_dec_tlu_minstretl[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_minstretl_bff_genblock.dff_dout_reg[22]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_minstretl_bff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_minstretl_ns[30]),
+	.Q(brqrv_top_brqrv_dec_tlu_minstretl[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_minstretl_bff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_minstretl_bff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_minstretl_ns[6]),
+	.Q(brqrv_top_brqrv_dec_tlu_minstretl[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_minstretl_bff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_minstretl_bff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_minstretl_ns[7]),
+	.Q(brqrv_top_brqrv_dec_tlu_minstretl[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_minstretl_bff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_minstretl_bff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_minstretl_ns[8]),
+	.Q(brqrv_top_brqrv_dec_tlu_minstretl[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_minstretl_bff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_minstretl_bff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_minstretl_ns[9]),
+	.Q(brqrv_top_brqrv_dec_tlu_minstretl[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_minstretl_bff_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_minstretl_bff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_minstretl_ns[10]),
+	.Q(brqrv_top_brqrv_dec_tlu_minstretl[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mpmc_ff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_csr_wr_clk),
+	.D(brqrv_top_brqrv_dec_tlu_mpmc_b_ns[1]),
+	.Q(brqrv_top_brqrv_dec_tlu_mpmc_b[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 brqrv_top_brqrv_dec_tlu_mpvhalt_ff_g553__2346 (
+	.A(brqrv_top_brqrv_dec_tlu_mpvhalt_ff_n_12),
+	.B(brqrv_top_brqrv_dec_tlu_reset_detect),
+	.C(brqrv_top_brqrv_dec_tlu_reset_detected),
+	.Y(brqrv_top_brqrv_dec_tlu_mpvhalt_ff_en), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 brqrv_top_brqrv_dec_tlu_mpvhalt_ff_g554__1666 (
+	.A(brqrv_top_brqrv_dec_tlu_mpvhalt_ff_n_6),
+	.B(brqrv_top_brqrv_dec_tlu_mpvhalt_ff_n_8),
+	.C(brqrv_top_brqrv_dec_tlu_mpvhalt_ff_n_5),
+	.D(brqrv_top_brqrv_dec_tlu_mpvhalt_ff_n_11),
+	.Y(brqrv_top_brqrv_dec_tlu_mpvhalt_ff_n_12), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 brqrv_top_brqrv_dec_tlu_mpvhalt_ff_g555__7410 (
+	.A1(brqrv_top_brqrv_dec_tlu_mpc_run_state_f),
+	.A2(brqrv_top_brqrv_dec_tlu_mpvhalt_ff_n_1),
+	.B1(brqrv_top_brqrv_dec_tlu_mpvhalt_ff_n_3),
+	.C1(brqrv_top_brqrv_dec_tlu_mpvhalt_ff_n_10),
+	.D1(brqrv_top_brqrv_dec_tlu_mpvhalt_ff_n_2),
+	.Y(brqrv_top_brqrv_dec_tlu_mpvhalt_ff_n_11), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 brqrv_top_brqrv_dec_tlu_mpvhalt_ff_g556__6417 (
+	.A1(brqrv_top_brqrv_dec_tlu_nmi_lsu_load_type),
+	.A2(FE_DBTN26_brqrv_top_brqrv_dec_tlu_nmi_lsu_load_type_f),
+	.B1(brqrv_top_brqrv_dec_tlu_mpvhalt_ff_n_1),
+	.B2(brqrv_top_brqrv_dec_tlu_mpc_run_state_f),
+	.C1(brqrv_top_brqrv_dec_tlu_mpvhalt_ff_n_9),
+	.Y(brqrv_top_brqrv_dec_tlu_mpvhalt_ff_n_10), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 brqrv_top_brqrv_dec_tlu_mpvhalt_ff_g557__5477 (
+	.A1(FE_DBTN26_brqrv_top_brqrv_dec_tlu_nmi_lsu_load_type_f),
+	.A2(brqrv_top_brqrv_dec_tlu_nmi_lsu_load_type),
+	.B1(brqrv_top_brqrv_dec_tlu_mpvhalt_ff_n_4),
+	.C1(brqrv_top_brqrv_dec_tlu_mpvhalt_ff_n_7),
+	.Y(brqrv_top_brqrv_dec_tlu_mpvhalt_ff_n_9), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 brqrv_top_brqrv_dec_tlu_mpvhalt_ff_g558__2398 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_dbg_run_state_f),
+	.A2_N(brqrv_top_brqrv_dec_tlu_dbg_run_state_ns),
+	.B1(brqrv_top_brqrv_dec_tlu_dbg_run_state_f),
+	.B2(brqrv_top_brqrv_dec_tlu_dbg_run_state_ns),
+	.Y(brqrv_top_brqrv_dec_tlu_mpvhalt_ff_n_8), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_dec_tlu_mpvhalt_ff_g559__5107 (
+	.A(brqrv_top_brqrv_dec_tlu_nmi_lsu_store_type_f),
+	.B(brqrv_top_brqrv_dec_tlu_nmi_lsu_store_type),
+	.Y(brqrv_top_brqrv_dec_tlu_mpvhalt_ff_n_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_dec_tlu_mpvhalt_ff_g560__6260 (
+	.A(brqrv_top_brqrv_dec_tlu_dbg_halt_state_f),
+	.B(brqrv_top_brqrv_dec_tlu_dbg_halt_state_ns),
+	.X(brqrv_top_brqrv_dec_tlu_mpvhalt_ff_n_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_dec_tlu_mpvhalt_ff_g561__4319 (
+	.A(brqrv_top_brqrv_dec_tlu_mpc_debug_run_ack_f),
+	.B(brqrv_top_brqrv_dec_tlu_mpc_debug_run_ack_ns),
+	.X(brqrv_top_brqrv_dec_tlu_mpvhalt_ff_n_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_dec_tlu_mpvhalt_ff_g562__8428 (
+	.A(brqrv_top_brqrv_dec_tlu_mpc_debug_run_req_sync_f),
+	.B(brqrv_top_brqrv_dec_tlu_mpc_debug_run_req_sync),
+	.Y(brqrv_top_brqrv_dec_tlu_mpvhalt_ff_n_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_dec_tlu_mpvhalt_ff_g563__5526 (
+	.A(brqrv_top_brqrv_dec_tlu_nmi_int_detected_f),
+	.B(brqrv_top_brqrv_dec_tlu_nmi_int_detected),
+	.Y(brqrv_top_brqrv_dec_tlu_mpvhalt_ff_n_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_dec_tlu_mpvhalt_ff_g564__6783 (
+	.A(brqrv_top_brqrv_dec_tlu_debug_brkpt_status_f),
+	.B(brqrv_top_brqrv_dec_tlu_debug_brkpt_status_ns),
+	.Y(brqrv_top_brqrv_dec_tlu_mpvhalt_ff_n_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_dec_tlu_mpvhalt_ff_g565 (
+	.A(brqrv_top_brqrv_dec_tlu_mpc_run_state_ns),
+	.Y(brqrv_top_brqrv_dec_tlu_mpvhalt_ff_n_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 brqrv_top_brqrv_dec_tlu_mpvhalt_ff_clkhdr_clkhdr (
+	.CLK(brqrv_top_brqrv_n_7),
+	.GATE(brqrv_top_brqrv_dec_tlu_mpvhalt_ff_en),
+	.GCLK(brqrv_top_brqrv_dec_tlu_mpvhalt_ff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mpvhalt_ff_dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mpvhalt_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_reset_detect),
+	.Q(brqrv_top_brqrv_dec_tlu_reset_detected),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mpvhalt_ff_dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mpvhalt_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mpvhalt_ff_dff_logic_1_1_net),
+	.Q(brqrv_top_brqrv_dec_tlu_reset_detect),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mpvhalt_ff_dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mpvhalt_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mpc_debug_run_req_sync),
+	.Q(brqrv_top_brqrv_dec_tlu_mpc_debug_run_req_sync_f),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mpvhalt_ff_dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mpvhalt_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dbg_halt_state_ns),
+	.Q(brqrv_top_brqrv_dec_tlu_dbg_halt_state_f),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mpvhalt_ff_dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mpvhalt_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_nmi_int_detected),
+	.Q(brqrv_top_brqrv_dec_tlu_nmi_int_detected_f),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mpvhalt_ff_dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mpvhalt_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dbg_run_state_ns),
+	.Q(brqrv_top_brqrv_dec_tlu_dbg_run_state_f),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mpvhalt_ff_dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mpvhalt_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mpc_debug_run_ack_ns),
+	.Q(brqrv_top_brqrv_dec_tlu_mpc_debug_run_ack_f),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mpvhalt_ff_dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mpvhalt_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_nmi_lsu_store_type),
+	.Q(brqrv_top_brqrv_dec_tlu_nmi_lsu_store_type_f),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mpvhalt_ff_dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mpvhalt_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mpc_run_state_ns),
+	.Q(brqrv_top_brqrv_dec_tlu_mpc_run_state_f),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mpvhalt_ff_dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mpvhalt_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_debug_brkpt_status_ns),
+	.Q(brqrv_top_brqrv_dec_tlu_debug_brkpt_status_f),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mpvhalt_ff_dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mpvhalt_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_nmi_lsu_load_type),
+	.Q(brqrv_top_brqrv_dec_tlu_nmi_lsu_load_type_f),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 brqrv_top_brqrv_dec_tlu_mpvhalt_ff_dff_tie_1_cell (
+	.HI(brqrv_top_brqrv_dec_tlu_mpvhalt_ff_dff_logic_1_1_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_tlu_mrac_ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_tlu_wr_mrac_r),
+	.GCLK(brqrv_top_brqrv_dec_tlu_mrac_ff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mrac_ff_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mrac_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mrac_in[10]),
+	.Q(brqrv_top_brqrv_dec_tlu_mrac_ff_0[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mrac_ff_genblock.dff_dout_reg[30]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mrac_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mrac_in[30]),
+	.Q(brqrv_top_brqrv_dec_tlu_mrac_ff_0[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mrac_ff_genblock.dff_dout_reg[28]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mrac_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mrac_in[28]),
+	.Q(brqrv_top_brqrv_dec_tlu_mrac_ff_0[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mrac_ff_genblock.dff_dout_reg[24]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mrac_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mrac_in[24]),
+	.Q(brqrv_top_brqrv_dec_tlu_mrac_ff_0[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mrac_ff_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mrac_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mrac_in[16]),
+	.Q(brqrv_top_brqrv_dec_tlu_mrac_ff_0[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mrac_ff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mrac_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mrac_in[0]),
+	.Q(brqrv_top_brqrv_dec_tlu_mrac_ff_0[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mrac_ff_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mrac_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[15]),
+	.Q(brqrv_top_brqrv_dec_tlu_mrac_ff_0[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mrac_ff_genblock.dff_dout_reg[23]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mrac_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[23]),
+	.Q(brqrv_top_brqrv_dec_tlu_mrac_ff_0[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mrac_ff_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mrac_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mrac_in[14]),
+	.Q(brqrv_top_brqrv_dec_tlu_mrac_ff_0[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mrac_ff_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mrac_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[13]),
+	.Q(brqrv_top_brqrv_dec_tlu_mrac_ff_0[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mrac_ff_genblock.dff_dout_reg[27]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mrac_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[27]),
+	.Q(brqrv_top_brqrv_dec_tlu_mrac_ff_0[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mrac_ff_genblock.dff_dout_reg[22]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mrac_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mrac_in[22]),
+	.Q(brqrv_top_brqrv_dec_tlu_mrac_ff_0[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mrac_ff_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mrac_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mrac_in[12]),
+	.Q(brqrv_top_brqrv_dec_tlu_mrac_ff_0[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mrac_ff_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mrac_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[11]),
+	.Q(brqrv_top_brqrv_dec_tlu_mrac_ff_0[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mrac_ff_genblock.dff_dout_reg[21]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mrac_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[21]),
+	.Q(brqrv_top_brqrv_dec_tlu_mrac_ff_0[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mrac_ff_genblock.dff_dout_reg[31]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mrac_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[31]),
+	.Q(brqrv_top_brqrv_dec_tlu_mrac_ff_0[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mrac_ff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mrac_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[1]),
+	.Q(brqrv_top_brqrv_dec_tlu_mrac_ff_0[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mrac_ff_genblock.dff_dout_reg[29]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mrac_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[29]),
+	.Q(brqrv_top_brqrv_dec_tlu_mrac_ff_0[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mrac_ff_genblock.dff_dout_reg[26]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mrac_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mrac_in[26]),
+	.Q(brqrv_top_brqrv_dec_tlu_mrac_ff_0[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mrac_ff_genblock.dff_dout_reg[20]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mrac_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mrac_in[20]),
+	.Q(brqrv_top_brqrv_dec_tlu_mrac_ff_0[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mrac_ff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mrac_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mrac_in[8]),
+	.Q(brqrv_top_brqrv_dec_tlu_mrac_ff_0[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mrac_ff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mrac_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[7]),
+	.Q(brqrv_top_brqrv_dec_tlu_mrac_ff_0[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mrac_ff_genblock.dff_dout_reg[19]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mrac_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[19]),
+	.Q(brqrv_top_brqrv_dec_tlu_mrac_ff_0[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mrac_ff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mrac_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mrac_in[6]),
+	.Q(brqrv_top_brqrv_dec_tlu_mrac_ff_0[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mrac_ff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mrac_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[5]),
+	.Q(brqrv_top_brqrv_dec_tlu_mrac_ff_0[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mrac_ff_genblock.dff_dout_reg[25]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mrac_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[25]),
+	.Q(brqrv_top_brqrv_dec_tlu_mrac_ff_0[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mrac_ff_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mrac_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mrac_in[18]),
+	.Q(brqrv_top_brqrv_dec_tlu_mrac_ff_0[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mrac_ff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mrac_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mrac_in[4]),
+	.Q(brqrv_top_brqrv_dec_tlu_mrac_ff_0[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mrac_ff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mrac_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[3]),
+	.Q(brqrv_top_brqrv_dec_tlu_mrac_ff_0[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mrac_ff_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mrac_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[17]),
+	.Q(brqrv_top_brqrv_dec_tlu_mrac_ff_0[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mrac_ff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mrac_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mrac_in[2]),
+	.Q(brqrv_top_brqrv_dec_tlu_mrac_ff_0[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mrac_ff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mrac_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[9]),
+	.Q(brqrv_top_brqrv_dec_tlu_mrac_ff_0[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mscause_ff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_e4e5_int_clk),
+	.D(brqrv_top_brqrv_dec_tlu_mscause_ns[0]),
+	.Q(brqrv_top_brqrv_dec_tlu_mscause[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mscause_ff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_e4e5_int_clk),
+	.D(brqrv_top_brqrv_dec_tlu_mscause_ns[3]),
+	.Q(brqrv_top_brqrv_dec_tlu_mscause[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mscause_ff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_e4e5_int_clk),
+	.D(brqrv_top_brqrv_dec_tlu_mscause_ns[1]),
+	.Q(brqrv_top_brqrv_dec_tlu_mscause[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mscause_ff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_e4e5_int_clk),
+	.D(brqrv_top_brqrv_dec_tlu_mscause_ns[2]),
+	.Q(brqrv_top_brqrv_dec_tlu_mscause[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_tlu_mscratch_ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_tlu_wr_mscratch_r),
+	.GCLK(brqrv_top_brqrv_dec_tlu_mscratch_ff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mscratch_ff_genblock.dff_dout_reg[19]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mscratch_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[19]),
+	.Q(brqrv_top_brqrv_dec_tlu_mscratch[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mscratch_ff_genblock.dff_dout_reg[30]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mscratch_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[30]),
+	.Q(brqrv_top_brqrv_dec_tlu_mscratch[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mscratch_ff_genblock.dff_dout_reg[28]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mscratch_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[28]),
+	.Q(brqrv_top_brqrv_dec_tlu_mscratch[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mscratch_ff_genblock.dff_dout_reg[24]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mscratch_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[24]),
+	.Q(brqrv_top_brqrv_dec_tlu_mscratch[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mscratch_ff_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mscratch_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[14]),
+	.Q(brqrv_top_brqrv_dec_tlu_mscratch[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mscratch_ff_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mscratch_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[13]),
+	.Q(brqrv_top_brqrv_dec_tlu_mscratch[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mscratch_ff_genblock.dff_dout_reg[23]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mscratch_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[23]),
+	.Q(brqrv_top_brqrv_dec_tlu_mscratch[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mscratch_ff_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mscratch_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[12]),
+	.Q(brqrv_top_brqrv_dec_tlu_mscratch[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mscratch_ff_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mscratch_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[11]),
+	.Q(brqrv_top_brqrv_dec_tlu_mscratch[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mscratch_ff_genblock.dff_dout_reg[27]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mscratch_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[27]),
+	.Q(brqrv_top_brqrv_dec_tlu_mscratch[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mscratch_ff_genblock.dff_dout_reg[20]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mscratch_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[20]),
+	.Q(brqrv_top_brqrv_dec_tlu_mscratch[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mscratch_ff_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mscratch_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[10]),
+	.Q(brqrv_top_brqrv_dec_tlu_mscratch[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mscratch_ff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mscratch_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[8]),
+	.Q(brqrv_top_brqrv_dec_tlu_mscratch[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mscratch_ff_genblock.dff_dout_reg[31]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mscratch_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[31]),
+	.Q(brqrv_top_brqrv_dec_tlu_mscratch[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mscratch_ff_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mscratch_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[15]),
+	.Q(brqrv_top_brqrv_dec_tlu_mscratch[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mscratch_ff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mscratch_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[6]),
+	.Q(brqrv_top_brqrv_dec_tlu_mscratch[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mscratch_ff_genblock.dff_dout_reg[29]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mscratch_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[29]),
+	.Q(brqrv_top_brqrv_dec_tlu_mscratch[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mscratch_ff_genblock.dff_dout_reg[26]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mscratch_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[26]),
+	.Q(brqrv_top_brqrv_dec_tlu_mscratch[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mscratch_ff_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mscratch_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[18]),
+	.Q(brqrv_top_brqrv_dec_tlu_mscratch[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mscratch_ff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mscratch_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[5]),
+	.Q(brqrv_top_brqrv_dec_tlu_mscratch[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mscratch_ff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mscratch_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[4]),
+	.Q(brqrv_top_brqrv_dec_tlu_mscratch[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mscratch_ff_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mscratch_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[17]),
+	.Q(brqrv_top_brqrv_dec_tlu_mscratch[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mscratch_ff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mscratch_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[3]),
+	.Q(brqrv_top_brqrv_dec_tlu_mscratch[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mscratch_ff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mscratch_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[1]),
+	.Q(brqrv_top_brqrv_dec_tlu_mscratch[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mscratch_ff_genblock.dff_dout_reg[25]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mscratch_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[25]),
+	.Q(brqrv_top_brqrv_dec_tlu_mscratch[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mscratch_ff_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mscratch_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[16]),
+	.Q(brqrv_top_brqrv_dec_tlu_mscratch[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mscratch_ff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mscratch_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[0]),
+	.Q(brqrv_top_brqrv_dec_tlu_mscratch[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mscratch_ff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mscratch_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[7]),
+	.Q(brqrv_top_brqrv_dec_tlu_mscratch[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mscratch_ff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mscratch_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[2]),
+	.Q(brqrv_top_brqrv_dec_tlu_mscratch[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mscratch_ff_genblock.dff_dout_reg[22]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mscratch_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[22]),
+	.Q(brqrv_top_brqrv_dec_tlu_mscratch[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mscratch_ff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mscratch_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[9]),
+	.Q(brqrv_top_brqrv_dec_tlu_mscratch[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mscratch_ff_genblock.dff_dout_reg[21]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mscratch_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[21]),
+	.Q(brqrv_top_brqrv_dec_tlu_mscratch[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_tlu_mtdata1_t0_ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_tlu_n_3393),
+	.GCLK(brqrv_top_brqrv_dec_tlu_mtdata1_t0_ff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata1_t0_ff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata1_t0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mtdata1_t0_ns[9]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtdata1_t0[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata1_t0_ff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata1_t0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mtdata1_t0_ns[8]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtdata1_t0[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata1_t0_ff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata1_t0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mtdata1_t0_ns[0]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[34]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata1_t0_ff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata1_t0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mtdata1_t0_ns[7]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[37]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata1_t0_ff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata1_t0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mtdata1_t0_ns[1]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[35]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata1_t0_ff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata1_t0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mtdata1_t0_ns[4]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[36]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata1_t0_ff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata1_t0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mtdata1_t0_ns[3]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[32]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata1_t0_ff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata1_t0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mtdata1_t0_ns[2]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtdata1_t0[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata1_t0_ff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata1_t0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mtdata1_t0_ns[5]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtdata1_t0[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata1_t0_ff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata1_t0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mtdata1_t0_ns[6]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtdata1_t0[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_tlu_mtdata1_t1_ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_tlu_n_3394),
+	.GCLK(brqrv_top_brqrv_dec_tlu_mtdata1_t1_ff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata1_t1_ff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata1_t1_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mtdata1_t1_ns[9]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtdata1_t1[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata1_t1_ff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata1_t1_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mtdata1_t1_ns[8]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtdata1_t1[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata1_t1_ff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata1_t1_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mtdata1_t1_ns[0]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[72]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata1_t1_ff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata1_t1_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mtdata1_t1_ns[7]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[75]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata1_t1_ff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata1_t1_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mtdata1_t1_ns[1]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[73]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata1_t1_ff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata1_t1_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mtdata1_t1_ns[4]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[74]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata1_t1_ff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata1_t1_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mtdata1_t1_ns[3]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[70]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata1_t1_ff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata1_t1_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mtdata1_t1_ns[2]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtdata1_t1[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata1_t1_ff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata1_t1_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mtdata1_t1_ns[5]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtdata1_t1[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata1_t1_ff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata1_t1_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mtdata1_t1_ns[6]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtdata1_t1[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_tlu_mtdata1_t2_ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_tlu_n_3395),
+	.GCLK(brqrv_top_brqrv_dec_tlu_mtdata1_t2_ff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata1_t2_ff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata1_t2_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mtdata1_t2_ns[9]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtdata1_t2[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata1_t2_ff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata1_t2_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mtdata1_t2_ns[8]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtdata1_t2[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata1_t2_ff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata1_t2_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mtdata1_t2_ns[0]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[110]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata1_t2_ff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata1_t2_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mtdata1_t2_ns[7]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[113]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata1_t2_ff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata1_t2_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mtdata1_t2_ns[1]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[111]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata1_t2_ff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata1_t2_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mtdata1_t2_ns[4]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[112]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata1_t2_ff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata1_t2_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mtdata1_t2_ns[3]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[108]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata1_t2_ff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata1_t2_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mtdata1_t2_ns[2]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtdata1_t2[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata1_t2_ff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata1_t2_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mtdata1_t2_ns[5]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtdata1_t2[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata1_t2_ff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata1_t2_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mtdata1_t2_ns[6]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtdata1_t2[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_tlu_mtdata1_t3_ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_tlu_n_3396),
+	.GCLK(brqrv_top_brqrv_dec_tlu_mtdata1_t3_ff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata1_t3_ff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata1_t3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mtdata1_t3_ns[9]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtdata1_t3[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata1_t3_ff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata1_t3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mtdata1_t3_ns[8]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtdata1_t3[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata1_t3_ff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata1_t3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mtdata1_t3_ns[0]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[148]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata1_t3_ff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata1_t3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mtdata1_t3_ns[7]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[151]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata1_t3_ff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata1_t3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mtdata1_t3_ns[1]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[149]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata1_t3_ff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata1_t3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mtdata1_t3_ns[4]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[150]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata1_t3_ff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata1_t3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mtdata1_t3_ns[3]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[146]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata1_t3_ff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata1_t3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mtdata1_t3_ns[2]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtdata1_t3[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata1_t3_ff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata1_t3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mtdata1_t3_ns[5]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtdata1_t3[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata1_t3_ff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata1_t3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mtdata1_t3_ns[6]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtdata1_t3[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t0_ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_tlu_wr_mtdata2_t0_r),
+	.GCLK(brqrv_top_brqrv_dec_tlu_mtdata2_t0_ff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t0_ff_genblock.dff_dout_reg[23]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[23]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t0_ff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[1]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t0_ff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[2]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t0_ff_genblock.dff_dout_reg[29]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[29]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t0_ff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[3]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t0_ff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[4]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t0_ff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[5]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t0_ff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[0]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t0_ff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[6]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t0_ff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[7]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t0_ff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[8]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t0_ff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[9]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t0_ff_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[10]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t0_ff_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[11]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t0_ff_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[12]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t0_ff_genblock.dff_dout_reg[20]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[20]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t0_ff_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[14]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t0_ff_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[15]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t0_ff_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[16]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t0_ff_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[17]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t0_ff_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[18]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t0_ff_genblock.dff_dout_reg[19]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[19]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t0_ff_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[13]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t0_ff_genblock.dff_dout_reg[21]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[21]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t0_ff_genblock.dff_dout_reg[22]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[22]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t0_ff_genblock.dff_dout_reg[24]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[24]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t0_ff_genblock.dff_dout_reg[27]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[27]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t0_ff_genblock.dff_dout_reg[28]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[28]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t0_ff_genblock.dff_dout_reg[30]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[30]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t0_ff_genblock.dff_dout_reg[31]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[31]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t0_ff_genblock.dff_dout_reg[25]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[25]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t0_ff_genblock.dff_dout_reg[26]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[26]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t1_ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_tlu_wr_mtdata2_t1_r),
+	.GCLK(brqrv_top_brqrv_dec_tlu_mtdata2_t1_ff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t1_ff_genblock.dff_dout_reg[23]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t1_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[23]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[61]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t1_ff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t1_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[1]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[39]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t1_ff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t1_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[2]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[40]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t1_ff_genblock.dff_dout_reg[29]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t1_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[29]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[67]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t1_ff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t1_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[3]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[41]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t1_ff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t1_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[4]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[42]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t1_ff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t1_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[5]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[43]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t1_ff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t1_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[0]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[38]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t1_ff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t1_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[6]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[44]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t1_ff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t1_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[7]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[45]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t1_ff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t1_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[8]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[46]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t1_ff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t1_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[9]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[47]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t1_ff_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t1_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[10]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[48]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t1_ff_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t1_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[11]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[49]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t1_ff_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t1_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[12]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[50]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t1_ff_genblock.dff_dout_reg[20]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t1_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[20]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[58]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t1_ff_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t1_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[14]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[52]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t1_ff_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t1_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[15]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[53]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t1_ff_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t1_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[16]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[54]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t1_ff_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t1_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[17]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[55]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t1_ff_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t1_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[18]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[56]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t1_ff_genblock.dff_dout_reg[19]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t1_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[19]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[57]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t1_ff_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t1_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[13]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[51]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t1_ff_genblock.dff_dout_reg[21]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t1_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[21]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[59]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t1_ff_genblock.dff_dout_reg[22]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t1_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[22]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[60]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t1_ff_genblock.dff_dout_reg[24]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t1_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[24]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[62]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t1_ff_genblock.dff_dout_reg[27]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t1_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[27]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[65]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t1_ff_genblock.dff_dout_reg[28]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t1_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[28]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[66]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t1_ff_genblock.dff_dout_reg[30]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t1_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[30]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[68]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t1_ff_genblock.dff_dout_reg[31]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t1_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[31]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[69]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t1_ff_genblock.dff_dout_reg[25]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t1_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[25]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[63]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t1_ff_genblock.dff_dout_reg[26]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t1_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[26]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[64]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t2_ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_tlu_wr_mtdata2_t2_r),
+	.GCLK(brqrv_top_brqrv_dec_tlu_mtdata2_t2_ff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t2_ff_genblock.dff_dout_reg[23]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t2_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[23]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[99]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t2_ff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t2_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[1]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[77]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t2_ff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t2_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[2]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[78]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t2_ff_genblock.dff_dout_reg[29]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t2_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[29]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[105]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t2_ff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t2_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[3]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[79]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t2_ff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t2_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[4]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[80]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t2_ff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t2_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[5]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[81]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t2_ff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t2_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[0]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[76]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t2_ff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t2_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[6]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[82]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t2_ff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t2_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[7]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[83]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t2_ff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t2_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[8]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[84]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t2_ff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t2_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[9]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[85]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t2_ff_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t2_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[10]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[86]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t2_ff_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t2_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[11]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[87]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t2_ff_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t2_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[12]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[88]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t2_ff_genblock.dff_dout_reg[20]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t2_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[20]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[96]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t2_ff_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t2_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[14]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[90]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t2_ff_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t2_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[15]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[91]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t2_ff_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t2_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[16]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[92]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t2_ff_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t2_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[17]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[93]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t2_ff_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t2_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[18]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[94]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t2_ff_genblock.dff_dout_reg[19]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t2_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[19]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[95]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t2_ff_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t2_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[13]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[89]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t2_ff_genblock.dff_dout_reg[21]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t2_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[21]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[97]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t2_ff_genblock.dff_dout_reg[22]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t2_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[22]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[98]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t2_ff_genblock.dff_dout_reg[24]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t2_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[24]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[100]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t2_ff_genblock.dff_dout_reg[27]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t2_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[27]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[103]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t2_ff_genblock.dff_dout_reg[28]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t2_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[28]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[104]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t2_ff_genblock.dff_dout_reg[30]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t2_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[30]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[106]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t2_ff_genblock.dff_dout_reg[31]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t2_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[31]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[107]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t2_ff_genblock.dff_dout_reg[25]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t2_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[25]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[101]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t2_ff_genblock.dff_dout_reg[26]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t2_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[26]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[102]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t3_ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_tlu_wr_mtdata2_t3_r),
+	.GCLK(brqrv_top_brqrv_dec_tlu_mtdata2_t3_ff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t3_ff_genblock.dff_dout_reg[23]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[23]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[137]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t3_ff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[1]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[115]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t3_ff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[2]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[116]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t3_ff_genblock.dff_dout_reg[29]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[29]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[143]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t3_ff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[3]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[117]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t3_ff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[4]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[118]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t3_ff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[5]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[119]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t3_ff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[0]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[114]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t3_ff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[6]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[120]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t3_ff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[7]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[121]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t3_ff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[8]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[122]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t3_ff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[9]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[123]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t3_ff_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[10]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[124]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t3_ff_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[11]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[125]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t3_ff_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[12]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[126]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t3_ff_genblock.dff_dout_reg[20]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[20]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[134]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t3_ff_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[14]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[128]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t3_ff_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[15]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[129]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t3_ff_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[16]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[130]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t3_ff_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[17]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[131]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t3_ff_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[18]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[132]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t3_ff_genblock.dff_dout_reg[19]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[19]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[133]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t3_ff_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[13]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[127]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t3_ff_genblock.dff_dout_reg[21]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[21]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[135]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t3_ff_genblock.dff_dout_reg[22]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[22]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[136]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t3_ff_genblock.dff_dout_reg[24]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[24]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[138]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t3_ff_genblock.dff_dout_reg[27]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[27]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[141]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t3_ff_genblock.dff_dout_reg[28]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[28]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[142]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t3_ff_genblock.dff_dout_reg[30]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[30]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[144]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t3_ff_genblock.dff_dout_reg[31]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[31]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[145]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t3_ff_genblock.dff_dout_reg[25]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[25]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[139]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t3_ff_genblock.dff_dout_reg[26]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[26]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[140]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtsel_ff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_csr_wr_clk),
+	.D(brqrv_top_brqrv_dec_tlu_mtsel_ns[0]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtsel[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtsel_ff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_csr_wr_clk),
+	.D(brqrv_top_brqrv_dec_tlu_mtsel_ns[1]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtsel[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_tlu_mtval_ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_tlu_n_3339),
+	.GCLK(brqrv_top_brqrv_dec_tlu_mtval_ff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtval_ff_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtval_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mtval_ns[12]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtval[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtval_ff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtval_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mtval_ns[1]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtval[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtval_ff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtval_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mtval_ns[2]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtval[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtval_ff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtval_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mtval_ns[3]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtval[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtval_ff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtval_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mtval_ns[4]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtval[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtval_ff_genblock.dff_dout_reg[27]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtval_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mtval_ns[27]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtval[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtval_ff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtval_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mtval_ns[5]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtval[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtval_ff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtval_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mtval_ns[6]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtval[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtval_ff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtval_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mtval_ns[7]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtval[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtval_ff_genblock.dff_dout_reg[23]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtval_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mtval_ns[23]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtval[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtval_ff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtval_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mtval_ns[8]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtval[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtval_ff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtval_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mtval_ns[9]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtval[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtval_ff_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtval_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mtval_ns[10]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtval[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtval_ff_genblock.dff_dout_reg[19]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtval_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mtval_ns[19]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtval[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtval_ff_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtval_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mtval_ns[11]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtval[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtval_ff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtval_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mtval_ns[0]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtval[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtval_ff_genblock.dff_dout_reg[31]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtval_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mtval_ns[31]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtval[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtval_ff_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtval_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mtval_ns[14]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtval[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtval_ff_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtval_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mtval_ns[15]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtval[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtval_ff_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtval_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mtval_ns[16]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtval[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtval_ff_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtval_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mtval_ns[17]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtval[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtval_ff_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtval_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mtval_ns[18]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtval[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtval_ff_genblock.dff_dout_reg[20]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtval_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mtval_ns[20]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtval[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtval_ff_genblock.dff_dout_reg[21]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtval_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mtval_ns[21]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtval[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtval_ff_genblock.dff_dout_reg[22]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtval_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mtval_ns[22]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtval[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtval_ff_genblock.dff_dout_reg[24]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtval_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mtval_ns[24]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtval[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtval_ff_genblock.dff_dout_reg[25]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtval_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mtval_ns[25]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtval[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtval_ff_genblock.dff_dout_reg[26]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtval_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mtval_ns[26]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtval[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtval_ff_genblock.dff_dout_reg[28]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtval_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mtval_ns[28]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtval[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtval_ff_genblock.dff_dout_reg[29]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtval_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mtval_ns[29]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtval[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtval_ff_genblock.dff_dout_reg[30]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtval_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mtval_ns[30]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtval[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtval_ff_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtval_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mtval_ns[13]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtval[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_tlu_mtvec_ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_tlu_wr_mtvec_r),
+	.GCLK(brqrv_top_brqrv_dec_tlu_mtvec_ff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtvec_ff_genblock.dff_dout_reg[21]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtvec_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[22]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtvec[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtvec_ff_genblock.dff_dout_reg[29]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtvec_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[30]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtvec[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtvec_ff_genblock.dff_dout_reg[27]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtvec_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[28]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtvec[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtvec_ff_genblock.dff_dout_reg[22]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtvec_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[23]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtvec[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtvec_ff_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtvec_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[15]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtvec[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtvec_ff_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtvec_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[14]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtvec[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtvec_ff_genblock.dff_dout_reg[30]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtvec_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[31]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtvec[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtvec_ff_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtvec_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[13]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtvec[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtvec_ff_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtvec_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[12]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtvec[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtvec_ff_genblock.dff_dout_reg[26]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtvec_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[27]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtvec[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtvec_ff_genblock.dff_dout_reg[20]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtvec_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[21]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtvec[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtvec_ff_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtvec_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[11]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtvec[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtvec_ff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtvec_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[10]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtvec[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtvec_ff_genblock.dff_dout_reg[19]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtvec_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[20]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtvec[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtvec_ff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtvec_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[9]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtvec[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtvec_ff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtvec_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[8]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtvec[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtvec_ff_genblock.dff_dout_reg[28]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtvec_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[29]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtvec[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtvec_ff_genblock.dff_dout_reg[25]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtvec_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[26]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtvec[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtvec_ff_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtvec_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[19]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtvec[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtvec_ff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtvec_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[7]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtvec[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtvec_ff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtvec_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[0]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtvec[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtvec_ff_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtvec_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[18]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtvec[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtvec_ff_genblock.dff_dout_reg[24]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtvec_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[25]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtvec[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtvec_ff_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtvec_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[17]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtvec[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtvec_ff_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtvec_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[16]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtvec[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtvec_ff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtvec_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[2]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtvec[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtvec_ff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtvec_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[3]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtvec[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtvec_ff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtvec_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[4]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtvec[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtvec_ff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtvec_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[5]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtvec[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtvec_ff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtvec_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[6]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtvec[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtvec_ff_genblock.dff_dout_reg[23]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtvec_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[24]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtvec[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_tlu_npwbc_ff_dff_dff_extra_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_tlu_n_3336),
+	.GCLK(brqrv_top_brqrv_dec_tlu_npwbc_ff_dff_dff_extra_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_npwbc_ff_dff_dff_extra_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_npwbc_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_npc_r[1]),
+	.Q(brqrv_top_brqrv_dec_tlu_npc_r_d1[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_npwbc_ff_dff_dff_extra_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_npwbc_ff_dff_dff_extra_l1clk),
+	.D(n_43061),
+	.Q(brqrv_top_brqrv_dec_tlu_npc_r_d1[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_npwbc_ff_dff_dff_extra_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_npwbc_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_npc_r[5]),
+	.Q(brqrv_top_brqrv_dec_tlu_npc_r_d1[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_npwbc_ff_dff_dff_extra_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_npwbc_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_npc_r[6]),
+	.Q(brqrv_top_brqrv_dec_tlu_npc_r_d1[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_npwbc_ff_dff_dff_extra_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_npwbc_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_npc_r[7]),
+	.Q(brqrv_top_brqrv_dec_tlu_npc_r_d1[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_npwbc_ff_dff_dff_extra_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_npwbc_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_npc_r[8]),
+	.Q(brqrv_top_brqrv_dec_tlu_npc_r_d1[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_npwbc_ff_dff_dff_extra_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_npwbc_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_npc_r[9]),
+	.Q(brqrv_top_brqrv_dec_tlu_npc_r_d1[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_npwbc_ff_dff_dff_extra_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_npwbc_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_npc_r[10]),
+	.Q(brqrv_top_brqrv_dec_tlu_npc_r_d1[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_npwbc_ff_dff_dff_extra_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_npwbc_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_npc_r[11]),
+	.Q(brqrv_top_brqrv_dec_tlu_npc_r_d1[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_npwbc_ff_dff_dff_extra_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_npwbc_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_npc_r[2]),
+	.Q(brqrv_top_brqrv_dec_tlu_npc_r_d1[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_npwbc_ff_dff_dff_extra_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_npwbc_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_npc_r[3]),
+	.Q(brqrv_top_brqrv_dec_tlu_npc_r_d1[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_npwbc_ff_dff_dff_extra_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_npwbc_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_npc_r[4]),
+	.Q(brqrv_top_brqrv_dec_tlu_npc_r_d1[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_tlu_npwbc_ff_dff_dff_left_dff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_tlu_npwbc_ff_dff_dff_left_final_en),
+	.GCLK(brqrv_top_brqrv_dec_tlu_npwbc_ff_dff_dff_left_dff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_npwbc_ff_dff_dff_left_dff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_npwbc_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_npc_r[19]),
+	.Q(brqrv_top_brqrv_dec_tlu_npc_r_d1[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_npwbc_ff_dff_dff_left_dff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_npwbc_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_npc_r[14]),
+	.Q(brqrv_top_brqrv_dec_tlu_npc_r_d1[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_npwbc_ff_dff_dff_left_dff_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_npwbc_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_npc_r[30]),
+	.Q(brqrv_top_brqrv_dec_tlu_npc_r_d1[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_npwbc_ff_dff_dff_left_dff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_npwbc_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_npc_r[15]),
+	.Q(brqrv_top_brqrv_dec_tlu_npc_r_d1[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_npwbc_ff_dff_dff_left_dff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_npwbc_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_npc_r[16]),
+	.Q(brqrv_top_brqrv_dec_tlu_npc_r_d1[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_npwbc_ff_dff_dff_left_dff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_npwbc_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_npc_r[17]),
+	.Q(brqrv_top_brqrv_dec_tlu_npc_r_d1[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_npwbc_ff_dff_dff_left_dff_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_npwbc_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_npc_r[26]),
+	.Q(brqrv_top_brqrv_dec_tlu_npc_r_d1[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_npwbc_ff_dff_dff_left_dff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_npwbc_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_npc_r[18]),
+	.Q(brqrv_top_brqrv_dec_tlu_npc_r_d1[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_npwbc_ff_dff_dff_left_dff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_npwbc_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_npc_r[13]),
+	.Q(brqrv_top_brqrv_dec_tlu_npc_r_d1[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_npwbc_ff_dff_dff_left_dff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_npwbc_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_npc_r[20]),
+	.Q(brqrv_top_brqrv_dec_tlu_npc_r_d1[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_npwbc_ff_dff_dff_left_dff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_npwbc_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_npc_r[21]),
+	.Q(brqrv_top_brqrv_dec_tlu_npc_r_d1[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_npwbc_ff_dff_dff_left_dff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_npwbc_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_npc_r[22]),
+	.Q(brqrv_top_brqrv_dec_tlu_npc_r_d1[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_npwbc_ff_dff_dff_left_dff_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_npwbc_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_npc_r[23]),
+	.Q(brqrv_top_brqrv_dec_tlu_npc_r_d1[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_npwbc_ff_dff_dff_left_dff_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_npwbc_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_npc_r[24]),
+	.Q(brqrv_top_brqrv_dec_tlu_npc_r_d1[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_npwbc_ff_dff_dff_left_dff_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_npwbc_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_npc_r[25]),
+	.Q(brqrv_top_brqrv_dec_tlu_npc_r_d1[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_npwbc_ff_dff_dff_left_dff_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_npwbc_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_npc_r[27]),
+	.Q(brqrv_top_brqrv_dec_tlu_npc_r_d1[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_npwbc_ff_dff_dff_left_dff_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_npwbc_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_npc_r[28]),
+	.Q(brqrv_top_brqrv_dec_tlu_npc_r_d1[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_npwbc_ff_dff_dff_left_dff_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_npwbc_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_npc_r[29]),
+	.Q(brqrv_top_brqrv_dec_tlu_npc_r_d1[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_npwbc_ff_dff_dff_left_dff_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_npwbc_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_npc_r[31]),
+	.Q(brqrv_top_brqrv_dec_tlu_npc_r_d1[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_tlu_pwbc_ff_dff_dff_extra_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(n_8430),
+	.GCLK(brqrv_top_brqrv_dec_tlu_pwbc_ff_dff_dff_extra_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_pwbc_ff_dff_dff_extra_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_pwbc_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_pc_r[1]),
+	.Q(brqrv_top_brqrv_dec_tlu_pc_r_d1[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_pwbc_ff_dff_dff_extra_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_pwbc_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_pc_r[12]),
+	.Q(brqrv_top_brqrv_dec_tlu_pc_r_d1[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_pwbc_ff_dff_dff_extra_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_pwbc_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_pc_r[5]),
+	.Q(brqrv_top_brqrv_dec_tlu_pc_r_d1[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_pwbc_ff_dff_dff_extra_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_pwbc_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_pc_r[6]),
+	.Q(brqrv_top_brqrv_dec_tlu_pc_r_d1[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_pwbc_ff_dff_dff_extra_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_pwbc_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_pc_r[7]),
+	.Q(brqrv_top_brqrv_dec_tlu_pc_r_d1[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_pwbc_ff_dff_dff_extra_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_pwbc_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_pc_r[8]),
+	.Q(brqrv_top_brqrv_dec_tlu_pc_r_d1[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_pwbc_ff_dff_dff_extra_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_pwbc_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_pc_r[9]),
+	.Q(brqrv_top_brqrv_dec_tlu_pc_r_d1[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_pwbc_ff_dff_dff_extra_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_pwbc_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_pc_r[10]),
+	.Q(brqrv_top_brqrv_dec_tlu_pc_r_d1[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_pwbc_ff_dff_dff_extra_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_pwbc_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_pc_r[11]),
+	.Q(brqrv_top_brqrv_dec_tlu_pc_r_d1[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_pwbc_ff_dff_dff_extra_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_pwbc_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_pc_r[2]),
+	.Q(brqrv_top_brqrv_dec_tlu_pc_r_d1[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_pwbc_ff_dff_dff_extra_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_pwbc_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_pc_r[3]),
+	.Q(brqrv_top_brqrv_dec_tlu_pc_r_d1[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_pwbc_ff_dff_dff_extra_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_pwbc_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_pc_r[4]),
+	.Q(brqrv_top_brqrv_dec_tlu_pc_r_d1[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_tlu_pwbc_ff_dff_dff_left_dff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_tlu_pwbc_ff_dff_dff_left_final_en),
+	.GCLK(brqrv_top_brqrv_dec_tlu_pwbc_ff_dff_dff_left_dff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_pwbc_ff_dff_dff_left_dff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_pwbc_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_pc_r[19]),
+	.Q(brqrv_top_brqrv_dec_tlu_pc_r_d1[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_pwbc_ff_dff_dff_left_dff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_pwbc_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_pc_r[14]),
+	.Q(brqrv_top_brqrv_dec_tlu_pc_r_d1[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_pwbc_ff_dff_dff_left_dff_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_pwbc_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_pc_r[30]),
+	.Q(brqrv_top_brqrv_dec_tlu_pc_r_d1[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_pwbc_ff_dff_dff_left_dff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_pwbc_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_pc_r[15]),
+	.Q(brqrv_top_brqrv_dec_tlu_pc_r_d1[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_pwbc_ff_dff_dff_left_dff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_pwbc_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_pc_r[16]),
+	.Q(brqrv_top_brqrv_dec_tlu_pc_r_d1[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_pwbc_ff_dff_dff_left_dff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_pwbc_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_pc_r[17]),
+	.Q(brqrv_top_brqrv_dec_tlu_pc_r_d1[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_pwbc_ff_dff_dff_left_dff_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_pwbc_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_pc_r[26]),
+	.Q(brqrv_top_brqrv_dec_tlu_pc_r_d1[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_pwbc_ff_dff_dff_left_dff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_pwbc_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_pc_r[18]),
+	.Q(brqrv_top_brqrv_dec_tlu_pc_r_d1[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_pwbc_ff_dff_dff_left_dff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_pwbc_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_pc_r[13]),
+	.Q(brqrv_top_brqrv_dec_tlu_pc_r_d1[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_pwbc_ff_dff_dff_left_dff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_pwbc_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_pc_r[20]),
+	.Q(brqrv_top_brqrv_dec_tlu_pc_r_d1[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_pwbc_ff_dff_dff_left_dff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_pwbc_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_pc_r[21]),
+	.Q(brqrv_top_brqrv_dec_tlu_pc_r_d1[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_pwbc_ff_dff_dff_left_dff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_pwbc_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_pc_r[22]),
+	.Q(brqrv_top_brqrv_dec_tlu_pc_r_d1[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_pwbc_ff_dff_dff_left_dff_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_pwbc_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_pc_r[23]),
+	.Q(brqrv_top_brqrv_dec_tlu_pc_r_d1[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_pwbc_ff_dff_dff_left_dff_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_pwbc_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_pc_r[24]),
+	.Q(brqrv_top_brqrv_dec_tlu_pc_r_d1[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_pwbc_ff_dff_dff_left_dff_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_pwbc_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_pc_r[25]),
+	.Q(brqrv_top_brqrv_dec_tlu_pc_r_d1[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_pwbc_ff_dff_dff_left_dff_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_pwbc_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_pc_r[27]),
+	.Q(brqrv_top_brqrv_dec_tlu_pc_r_d1[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_pwbc_ff_dff_dff_left_dff_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_pwbc_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_pc_r[28]),
+	.Q(brqrv_top_brqrv_dec_tlu_pc_r_d1[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_pwbc_ff_dff_dff_left_dff_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_pwbc_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_pc_r[29]),
+	.Q(brqrv_top_brqrv_dec_tlu_pc_r_d1[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_pwbc_ff_dff_dff_left_dff_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_pwbc_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_pc_r[31]),
+	.Q(brqrv_top_brqrv_dec_tlu_pc_r_d1[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_syncro_ff_sync_ff1_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_free_clk),
+	.D(brqrv_top_brqrv_dec_tlu_syncro_ff_sync_ff1_logic_1_1_net),
+	.Q(brqrv_top_brqrv_dec_tlu_syncro_ff_din_ff1[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 brqrv_top_brqrv_dec_tlu_syncro_ff_sync_ff1_tie_1_cell (
+	.HI(brqrv_top_brqrv_dec_tlu_syncro_ff_sync_ff1_logic_1_1_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_syncro_ff_sync_ff2_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_free_clk),
+	.D(brqrv_top_brqrv_dec_tlu_syncro_ff_din_ff1[0]),
+	.Q(brqrv_top_brqrv_dec_tlu_mpc_debug_run_req_sync),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_addr_dff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_brqrv_n_7),
+	.GATE(brqrv_top_brqrv_dma_ctrl_fifo_cmd_en[0]),
+	.GCLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_addr_dff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_addr_dff_genblock.dff_dout_reg[23]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[23]),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_693),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_addr_dff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[1]),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_583),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_addr_dff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[2]),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_588),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_addr_dff_genblock.dff_dout_reg[27]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[27]),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_713),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_addr_dff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[3]),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_593),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_addr_dff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[4]),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_598),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_addr_dff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[5]),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_603),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_addr_dff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[0]),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_578),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_addr_dff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[6]),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_608),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_addr_dff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[7]),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_613),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_addr_dff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[8]),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_618),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_addr_dff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[9]),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_623),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_addr_dff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[10]),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_628),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_addr_dff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[11]),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_633),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_addr_dff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[12]),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_638),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_addr_dff_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[20]),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_678),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_addr_dff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[14]),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_648),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_addr_dff_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[15]),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_653),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_addr_dff_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[16]),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_658),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_addr_dff_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[17]),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_663),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_addr_dff_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[18]),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_668),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_addr_dff_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[19]),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_673),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_addr_dff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[13]),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_643),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_addr_dff_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[21]),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_683),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_addr_dff_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[22]),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_688),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_addr_dff_genblock.dff_dout_reg[24]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[24]),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_698),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_addr_dff_genblock.dff_dout_reg[25]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[25]),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_703),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_addr_dff_genblock.dff_dout_reg[26]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[26]),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_708),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_addr_dff_genblock.dff_dout_reg[28]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[30]),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_718),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_addr_dff_genblock.dff_dout_reg[29]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[31]),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_723),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_byteen_dff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_buffer_c1_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_byteen_dff_n_19 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_782),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_byteen_dff_genblock.dffs_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_buffer_c1_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_byteen_dff_n_25 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_812),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_byteen_dff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_buffer_c1_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_byteen_dff_n_18 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_777),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_byteen_dff_genblock.dffs_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_buffer_c1_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_byteen_dff_n_20 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_787),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_byteen_dff_genblock.dffs_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_buffer_c1_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_byteen_dff_n_23 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_802),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_byteen_dff_genblock.dffs_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_buffer_c1_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_byteen_dff_n_22 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_797),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_byteen_dff_genblock.dffs_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_buffer_c1_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_byteen_dff_n_21 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_792),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_byteen_dff_genblock.dffs_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_buffer_c1_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_byteen_dff_n_24 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_807),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_brqrv_n_7),
+	.GATE(brqrv_top_brqrv_dma_ctrl_fifo_data_en[0]),
+	.GCLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_n_1413),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_1064),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_n_1401),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_1004),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_n_1402),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_1009),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_n_1403),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_1014),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_n_1404),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_1019),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_genblock.dff_dout_reg[59]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_n_1459),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_1294),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_n_1405),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_1024),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_n_1406),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_1029),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_n_1407),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_1034),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_genblock.dff_dout_reg[55]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_n_1455),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_1274),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_n_1408),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_1039),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_n_1409),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_1044),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_n_1410),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_1049),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_genblock.dff_dout_reg[51]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_n_1451),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_1254),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_n_1411),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_1054),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_n_1412),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_1059),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_n_1400),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_999),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_n_1414),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_1069),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_n_1415),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_1074),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_n_1416),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_1079),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_n_1417),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_1084),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_genblock.dff_dout_reg[43]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_n_1443),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_1214),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_n_1418),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_1089),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_n_1419),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_1094),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_n_1420),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_1099),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_genblock.dff_dout_reg[39]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_n_1439),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_1194),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_n_1421),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_1104),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_n_1422),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_1109),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_genblock.dff_dout_reg[23]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_n_1423),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_1114),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_genblock.dff_dout_reg[35]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_n_1435),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_1174),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_genblock.dff_dout_reg[24]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_n_1424),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_1119),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_genblock.dff_dout_reg[25]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_n_1425),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_1124),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_genblock.dff_dout_reg[45]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_n_1445),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_1224),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_genblock.dff_dout_reg[27]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_n_1427),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_1134),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_genblock.dff_dout_reg[28]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_n_1428),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_1139),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_genblock.dff_dout_reg[29]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_n_1429),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_1144),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_genblock.dff_dout_reg[30]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_n_1430),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_1149),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_genblock.dff_dout_reg[31]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_n_1431),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_1154),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_genblock.dff_dout_reg[32]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_n_1432),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_1159),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_genblock.dff_dout_reg[33]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_n_1433),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_1164),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_genblock.dff_dout_reg[34]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_n_1434),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_1169),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_genblock.dff_dout_reg[36]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_n_1436),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_1179),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_genblock.dff_dout_reg[37]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_n_1437),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_1184),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_genblock.dff_dout_reg[38]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_n_1438),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_1189),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_genblock.dff_dout_reg[40]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_n_1440),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_1199),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_genblock.dff_dout_reg[41]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_n_1441),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_1204),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_genblock.dff_dout_reg[42]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_n_1442),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_1209),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_genblock.dff_dout_reg[44]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_n_1444),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_1219),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_genblock.dff_dout_reg[26]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_n_1426),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_1129),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_genblock.dff_dout_reg[46]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_n_1446),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_1229),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_genblock.dff_dout_reg[47]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_n_1447),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_1234),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_genblock.dff_dout_reg[48]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_n_1448),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_1239),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_genblock.dff_dout_reg[49]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_n_1449),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_1244),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_genblock.dff_dout_reg[50]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_n_1450),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_1249),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_genblock.dff_dout_reg[52]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_n_1452),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_1259),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_genblock.dff_dout_reg[53]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_n_1453),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_1264),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_genblock.dff_dout_reg[54]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_n_1454),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_1269),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_genblock.dff_dout_reg[56]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_n_1456),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_1279),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_genblock.dff_dout_reg[57]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_n_1457),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_1284),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_genblock.dff_dout_reg[58]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_n_1458),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_1289),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_genblock.dff_dout_reg[60]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_n_1460),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_1299),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_genblock.dff_dout_reg[61]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_n_1461),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_1304),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_genblock.dff_dout_reg[62]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_n_1462),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_1309),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_genblock.dff_dout_reg[63]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_n_1463),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_1314),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_dbg_dff_g30__3680  (
+	.A0(brqrv_top_brqrv_dma_ctrl_fifo_dbg[0]),
+	.A1(brqrv_top_brqrv_dbg_cmd_valid),
+	.S(brqrv_top_brqrv_dma_ctrl_fifo_cmd_en[0]),
+	.X(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_dbg_dff_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_dbg_dff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_buffer_c1_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_dbg_dff_n_2 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_dbg[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_done_bus_dff_g35__1617  (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_reset[0]),
+	.B(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_done_bus_dff_n_0 ),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_done_bus_dff_din_new [0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_done_bus_dff_g36__2802  (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_done_bus[0]),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_done_bus_en[0]),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_done_bus_dff_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_done_bus_dff_genblk1.dffsc_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_free_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_done_bus_dff_din_new [0]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_done_bus[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_done_dff_g35__1705  (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_reset[0]),
+	.B(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_done_dff_n_0 ),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_done_dff_din_new [0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_done_dff_g36__5122  (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_done[0]),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_done_en[0]),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_done_dff_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_done_dff_genblk1.dffsc_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_free_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_done_dff_din_new [0]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_done[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_error_dff_genblk1.dffsc_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_free_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_error_dff_din_new [0]),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_823),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_error_dff_genblk1.dffsc_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_free_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_error_dff_din_new [1]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_error[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_rpend_dff_g35__8246  (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_reset[0]),
+	.B(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_rpend_dff_n_0 ),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_rpend_dff_din_new [0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_rpend_dff_g36__7098  (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_rpend[0]),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_pend_en[0]),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_rpend_dff_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_rpend_dff_genblk1.dffsc_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_free_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_rpend_dff_din_new [0]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_rpend[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_sz_dff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_buffer_c1_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_sz_dff_n_8 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_742),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_sz_dff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_buffer_c1_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_sz_dff_n_9 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_747),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_sz_dff_genblock.dffs_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_buffer_c1_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_sz_dff_n_10 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_752),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_valid_dff_g35__6131  (
+	.A(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_valid_dff_n_0 ),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_reset[0]),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_valid_dff_din_new [0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_valid_dff_g36__1881  (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_valid[0]),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_cmd_en[0]),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_valid_dff_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_valid_dff_genblk1.dffsc_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_free_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_valid_dff_din_new [0]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_valid[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_write_dff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_buffer_c1_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_write_dff_n_4 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_write[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_addr_dff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_brqrv_n_7),
+	.GATE(brqrv_top_brqrv_dma_ctrl_fifo_cmd_en[1]),
+	.GCLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_addr_dff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_addr_dff_genblock.dff_dout_reg[23]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[23]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[55]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_addr_dff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[1]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[33]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_addr_dff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[2]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[34]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_addr_dff_genblock.dff_dout_reg[27]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[27]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[59]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_addr_dff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[3]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[35]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_addr_dff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[4]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[36]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_addr_dff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[5]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[37]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_addr_dff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[0]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[32]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_addr_dff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[6]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[38]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_addr_dff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[7]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[39]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_addr_dff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[8]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[40]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_addr_dff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[9]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[41]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_addr_dff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[10]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[42]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_addr_dff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[11]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[43]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_addr_dff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[12]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[44]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_addr_dff_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[20]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[52]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_addr_dff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[14]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[46]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_addr_dff_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[15]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[47]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_addr_dff_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[16]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[48]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_addr_dff_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[17]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[49]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_addr_dff_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[18]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[50]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_addr_dff_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[19]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[51]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_addr_dff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[13]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[45]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_addr_dff_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[21]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[53]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_addr_dff_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[22]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[54]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_addr_dff_genblock.dff_dout_reg[24]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[24]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[56]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_addr_dff_genblock.dff_dout_reg[25]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[25]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[57]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_addr_dff_genblock.dff_dout_reg[26]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[26]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[58]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_addr_dff_genblock.dff_dout_reg[28]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[30]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[62]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_addr_dff_genblock.dff_dout_reg[29]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[31]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[63]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_byteen_dff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_buffer_c1_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_byteen_dff_n_19 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_byteen[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_byteen_dff_genblock.dffs_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_buffer_c1_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_byteen_dff_n_25 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_byteen[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_byteen_dff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_buffer_c1_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_byteen_dff_n_18 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_byteen[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_byteen_dff_genblock.dffs_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_buffer_c1_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_byteen_dff_n_20 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_byteen[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_byteen_dff_genblock.dffs_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_buffer_c1_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_byteen_dff_n_23 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_byteen[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_byteen_dff_genblock.dffs_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_buffer_c1_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_byteen_dff_n_22 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_byteen[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_byteen_dff_genblock.dffs_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_buffer_c1_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_byteen_dff_n_21 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_byteen[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_byteen_dff_genblock.dffs_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_buffer_c1_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_byteen_dff_n_24 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_byteen[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_brqrv_n_7),
+	.GATE(brqrv_top_brqrv_dma_ctrl_fifo_data_en[1]),
+	.GCLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_data_in[13]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[77]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_data_in[1]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[65]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_data_in[2]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[66]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_data_in[3]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[67]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_data_in[4]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[68]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_genblock.dff_dout_reg[59]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_data_in[59]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[123]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_data_in[5]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[69]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_data_in[6]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[70]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_data_in[7]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[71]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_genblock.dff_dout_reg[55]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_data_in[55]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[119]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_data_in[8]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[72]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_data_in[9]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[73]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_data_in[10]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[74]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_genblock.dff_dout_reg[51]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_data_in[51]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[115]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_data_in[11]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[75]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_data_in[12]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[76]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_data_in[0]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[64]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_data_in[14]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[78]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_data_in[15]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[79]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_data_in[16]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[80]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_data_in[17]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[81]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_genblock.dff_dout_reg[43]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_data_in[43]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[107]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_data_in[18]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[82]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_data_in[19]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[83]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_data_in[20]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[84]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_genblock.dff_dout_reg[39]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_data_in[39]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[103]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_data_in[21]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[85]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_data_in[22]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[86]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_genblock.dff_dout_reg[23]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_data_in[23]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[87]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_genblock.dff_dout_reg[35]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_data_in[35]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[99]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_genblock.dff_dout_reg[24]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_data_in[24]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[88]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_genblock.dff_dout_reg[25]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_data_in[25]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[89]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_genblock.dff_dout_reg[45]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_data_in[45]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[109]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_genblock.dff_dout_reg[27]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_data_in[27]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[91]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_genblock.dff_dout_reg[28]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_data_in[28]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[92]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_genblock.dff_dout_reg[29]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_data_in[29]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[93]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_genblock.dff_dout_reg[30]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_data_in[30]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[94]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_genblock.dff_dout_reg[31]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_data_in[31]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[95]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_genblock.dff_dout_reg[32]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_data_in[32]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[96]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_genblock.dff_dout_reg[33]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_data_in[33]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[97]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_genblock.dff_dout_reg[34]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_data_in[34]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[98]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_genblock.dff_dout_reg[36]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_data_in[36]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[100]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_genblock.dff_dout_reg[37]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_data_in[37]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[101]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_genblock.dff_dout_reg[38]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_data_in[38]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[102]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_genblock.dff_dout_reg[40]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_data_in[40]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[104]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_genblock.dff_dout_reg[41]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_data_in[41]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[105]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_genblock.dff_dout_reg[42]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_data_in[42]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[106]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_genblock.dff_dout_reg[44]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_data_in[44]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[108]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_genblock.dff_dout_reg[26]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_data_in[26]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[90]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_genblock.dff_dout_reg[46]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_data_in[46]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[110]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_genblock.dff_dout_reg[47]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_data_in[47]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[111]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_genblock.dff_dout_reg[48]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_data_in[48]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[112]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_genblock.dff_dout_reg[49]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_data_in[49]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[113]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_genblock.dff_dout_reg[50]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_data_in[50]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[114]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_genblock.dff_dout_reg[52]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_data_in[52]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[116]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_genblock.dff_dout_reg[53]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_data_in[53]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[117]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_genblock.dff_dout_reg[54]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_data_in[54]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[118]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_genblock.dff_dout_reg[56]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_data_in[56]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[120]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_genblock.dff_dout_reg[57]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_data_in[57]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[121]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_genblock.dff_dout_reg[58]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_data_in[58]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[122]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_genblock.dff_dout_reg[60]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_data_in[60]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[124]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_genblock.dff_dout_reg[61]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_data_in[61]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[125]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_genblock.dff_dout_reg[62]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_data_in[62]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[126]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_genblock.dff_dout_reg[63]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_data_in[63]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[127]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_dbg_dff_g30__5115  (
+	.A0(brqrv_top_brqrv_dma_ctrl_fifo_dbg[1]),
+	.A1(brqrv_top_brqrv_dbg_cmd_valid),
+	.S(brqrv_top_brqrv_dma_ctrl_fifo_cmd_en[1]),
+	.X(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_dbg_dff_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_dbg_dff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_buffer_c1_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_dbg_dff_n_2 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_dbg[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_done_bus_dff_g35__7482  (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_reset[1]),
+	.B(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_done_bus_dff_n_0 ),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_done_bus_dff_din_new [0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_done_bus_dff_g36__4733  (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_done_bus[1]),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_done_bus_en[1]),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_done_bus_dff_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_done_bus_dff_genblk1.dffsc_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_free_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_done_bus_dff_din_new [0]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_done_bus[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_done_dff_g35__6161  (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_reset[1]),
+	.B(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_done_dff_n_0 ),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_done_dff_din_new [0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_done_dff_g36__9315  (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_done[1]),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_done_en[1]),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_done_dff_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_done_dff_genblk1.dffsc_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_free_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_done_dff_din_new [0]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_done[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_error_dff_genblk1.dffsc_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_free_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_error_dff_din_new [0]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_error[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_error_dff_genblk1.dffsc_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_free_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_error_dff_din_new [1]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_error[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_rpend_dff_g35__9945  (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_reset[1]),
+	.B(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_rpend_dff_n_0 ),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_rpend_dff_din_new [0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_rpend_dff_g36__2883  (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_rpend[1]),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_pend_en[1]),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_rpend_dff_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_rpend_dff_genblk1.dffsc_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_free_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_rpend_dff_din_new [0]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_rpend[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_sz_dff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_buffer_c1_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_sz_dff_n_8 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_sz[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_sz_dff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_buffer_c1_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_sz_dff_n_9 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_sz[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_sz_dff_genblock.dffs_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_buffer_c1_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_sz_dff_n_10 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_sz[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_valid_dff_g35__2346  (
+	.A(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_valid_dff_n_0 ),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_reset[1]),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_valid_dff_din_new [0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_valid_dff_g36__1666  (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_valid[1]),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_cmd_en[1]),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_valid_dff_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_valid_dff_genblk1.dffsc_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_free_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_valid_dff_din_new [0]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_valid[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_write_dff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_buffer_c1_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_write_dff_n_4 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_write[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_addr_dff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_brqrv_n_7),
+	.GATE(brqrv_top_brqrv_dma_ctrl_fifo_cmd_en[2]),
+	.GCLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_addr_dff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_addr_dff_genblock.dff_dout_reg[23]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[23]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[87]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_addr_dff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[1]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[65]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_addr_dff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[2]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[66]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_addr_dff_genblock.dff_dout_reg[27]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[27]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[91]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_addr_dff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[3]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[67]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_addr_dff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[4]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[68]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_addr_dff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[5]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[69]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_addr_dff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[0]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[64]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_addr_dff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[6]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[70]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_addr_dff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[7]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[71]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_addr_dff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[8]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[72]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_addr_dff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[9]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[73]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_addr_dff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[10]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[74]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_addr_dff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[11]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[75]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_addr_dff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[12]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[76]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_addr_dff_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[20]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[84]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_addr_dff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[14]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[78]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_addr_dff_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[15]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[79]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_addr_dff_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[16]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[80]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_addr_dff_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[17]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[81]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_addr_dff_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[18]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[82]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_addr_dff_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[19]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[83]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_addr_dff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[13]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[77]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_addr_dff_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[21]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[85]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_addr_dff_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[22]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[86]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_addr_dff_genblock.dff_dout_reg[24]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[24]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[88]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_addr_dff_genblock.dff_dout_reg[25]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[25]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[89]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_addr_dff_genblock.dff_dout_reg[26]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[26]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[90]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_addr_dff_genblock.dff_dout_reg[28]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[30]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[94]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_addr_dff_genblock.dff_dout_reg[29]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[31]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[95]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_byteen_dff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_buffer_c1_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_byteen_dff_n_19 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_byteen[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_byteen_dff_genblock.dffs_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_buffer_c1_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_byteen_dff_n_25 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_byteen[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_byteen_dff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_buffer_c1_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_byteen_dff_n_18 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_byteen[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_byteen_dff_genblock.dffs_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_buffer_c1_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_byteen_dff_n_20 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_byteen[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_byteen_dff_genblock.dffs_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_buffer_c1_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_byteen_dff_n_23 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_byteen[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_byteen_dff_genblock.dffs_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_buffer_c1_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_byteen_dff_n_22 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_byteen[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_byteen_dff_genblock.dffs_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_buffer_c1_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_byteen_dff_n_21 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_byteen[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_byteen_dff_genblock.dffs_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_buffer_c1_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_byteen_dff_n_24 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_byteen[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_brqrv_n_7),
+	.GATE(brqrv_top_brqrv_dma_ctrl_fifo_data_en[2]),
+	.GCLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[1]_1469 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[129]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[2]_1470 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[130]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[3]_1471 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[131]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_genblock.dff_dout_reg[61]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[61]_1529 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[189]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[4]_1472 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[132]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[5]_1473 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[133]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[6]_1474 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[134]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_genblock.dff_dout_reg[57]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[57]_1525 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[185]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[7]_1475 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[135]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[8]_1476 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[136]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[9]_1477 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[137]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[10]_1478 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[138]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[11]_1479 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[139]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[12]_1480 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[140]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[13]_1481 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[141]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_genblock.dff_dout_reg[49]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[49]_1517 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[177]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[14]_1482 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[142]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[15]_1483 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[143]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[17]_1485 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[145]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_genblock.dff_dout_reg[45]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[45]_1513 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[173]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[18]_1486 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[146]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[19]_1487 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[147]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[20]_1488 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[148]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_genblock.dff_dout_reg[41]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[41]_1509 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[169]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[21]_1489 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[149]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[22]_1490 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[150]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_genblock.dff_dout_reg[23]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[23]_1491 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[151]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_genblock.dff_dout_reg[24]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[24]_1492 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[152]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_genblock.dff_dout_reg[25]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[25]_1493 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[153]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_genblock.dff_dout_reg[26]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[26]_1494 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[154]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_genblock.dff_dout_reg[27]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[27]_1495 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[155]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_genblock.dff_dout_reg[28]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[28]_1496 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[156]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_genblock.dff_dout_reg[29]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[29]_1497 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[157]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_genblock.dff_dout_reg[30]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[30]_1498 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[158]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_genblock.dff_dout_reg[31]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[31]_1499 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[159]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_genblock.dff_dout_reg[32]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[32]_1500 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[160]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_genblock.dff_dout_reg[33]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[33]_1501 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[161]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_genblock.dff_dout_reg[34]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[34]_1502 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[162]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_genblock.dff_dout_reg[35]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[35]_1503 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[163]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_genblock.dff_dout_reg[36]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[36]_1504 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[164]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_genblock.dff_dout_reg[37]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[37]_1505 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[165]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_genblock.dff_dout_reg[38]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[38]_1506 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[166]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_genblock.dff_dout_reg[39]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[39]_1507 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[167]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_genblock.dff_dout_reg[40]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[40]_1508 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[168]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_genblock.dff_dout_reg[42]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[42]_1510 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[170]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_genblock.dff_dout_reg[43]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[43]_1511 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[171]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_genblock.dff_dout_reg[44]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[44]_1512 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[172]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_genblock.dff_dout_reg[46]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[46]_1514 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[174]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_genblock.dff_dout_reg[47]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[47]_1515 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[175]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_genblock.dff_dout_reg[48]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[48]_1516 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[176]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_genblock.dff_dout_reg[50]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[50]_1518 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[178]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_genblock.dff_dout_reg[51]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[51]_1519 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[179]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_genblock.dff_dout_reg[52]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[52]_1520 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[180]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_genblock.dff_dout_reg[53]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[53]_1521 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[181]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_genblock.dff_dout_reg[54]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[54]_1522 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[182]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_genblock.dff_dout_reg[55]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[55]_1523 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[183]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_genblock.dff_dout_reg[56]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[56]_1524 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[184]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_genblock.dff_dout_reg[58]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[58]_1526 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[186]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_genblock.dff_dout_reg[59]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[59]_1527 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[187]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_genblock.dff_dout_reg[60]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[60]_1528 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[188]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_genblock.dff_dout_reg[62]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[62]_1530 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[190]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_genblock.dff_dout_reg[63]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[63]_1531 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[191]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[0]_1468 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[128]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[16]_1484 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[144]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_dbg_dff_g30__7410  (
+	.A0(brqrv_top_brqrv_dma_ctrl_fifo_dbg[2]),
+	.A1(brqrv_top_brqrv_dbg_cmd_valid),
+	.S(brqrv_top_brqrv_dma_ctrl_fifo_cmd_en[2]),
+	.X(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_dbg_dff_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_dbg_dff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_buffer_c1_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_dbg_dff_n_2 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_dbg[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_done_bus_dff_g35__6417  (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_reset[2]),
+	.B(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_done_bus_dff_n_0 ),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_done_bus_dff_din_new [0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_done_bus_dff_g36__5477  (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_done_bus[2]),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_done_bus_en[2]),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_done_bus_dff_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_done_bus_dff_genblk1.dffsc_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_free_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_done_bus_dff_din_new [0]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_done_bus[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_done_dff_g35__2398  (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_reset[2]),
+	.B(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_done_dff_n_0 ),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_done_dff_din_new [0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_done_dff_g36__5107  (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_done[2]),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_done_en[2]),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_done_dff_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_done_dff_genblk1.dffsc_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_free_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_done_dff_din_new [0]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_done[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_error_dff_genblk1.dffsc_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_free_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_error_dff_din_new [0]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_error[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_error_dff_genblk1.dffsc_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_free_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_error_dff_din_new [1]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_error[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_rpend_dff_g35__6260  (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_reset[2]),
+	.B(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_rpend_dff_n_0 ),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_rpend_dff_din_new [0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_rpend_dff_g36__4319  (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_rpend[2]),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_pend_en[2]),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_rpend_dff_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_rpend_dff_genblk1.dffsc_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_free_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_rpend_dff_din_new [0]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_rpend[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_sz_dff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_buffer_c1_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_sz_dff_n_8 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_sz[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_sz_dff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_buffer_c1_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_sz_dff_n_9 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_sz[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_sz_dff_genblock.dffs_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_buffer_c1_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_sz_dff_n_10 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_sz[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_valid_dff_g35__8428  (
+	.A(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_valid_dff_n_0 ),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_reset[2]),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_valid_dff_din_new [0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_valid_dff_g36__5526  (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_valid[2]),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_cmd_en[2]),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_valid_dff_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_valid_dff_genblk1.dffsc_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_free_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_valid_dff_din_new [0]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_valid[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_write_dff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_buffer_c1_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_write_dff_n_4 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_write[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_addr_dff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_brqrv_n_7),
+	.GATE(brqrv_top_brqrv_dma_ctrl_fifo_cmd_en[3]),
+	.GCLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_addr_dff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_addr_dff_genblock.dff_dout_reg[23]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[23]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[119]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_addr_dff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[1]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[97]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_addr_dff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[2]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[98]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_addr_dff_genblock.dff_dout_reg[27]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[27]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[123]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_addr_dff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[3]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[99]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_addr_dff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[4]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[100]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_addr_dff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[5]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[101]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_addr_dff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[0]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[96]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_addr_dff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[6]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[102]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_addr_dff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[7]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[103]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_addr_dff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[8]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[104]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_addr_dff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[9]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[105]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_addr_dff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[10]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[106]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_addr_dff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[11]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[107]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_addr_dff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[12]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[108]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_addr_dff_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[20]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[116]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_addr_dff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[14]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[110]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_addr_dff_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[15]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[111]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_addr_dff_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[16]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[112]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_addr_dff_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[17]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[113]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_addr_dff_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[18]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[114]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_addr_dff_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[19]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[115]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_addr_dff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[13]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[109]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_addr_dff_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[21]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[117]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_addr_dff_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[22]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[118]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_addr_dff_genblock.dff_dout_reg[24]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[24]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[120]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_addr_dff_genblock.dff_dout_reg[25]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[25]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[121]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_addr_dff_genblock.dff_dout_reg[26]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[26]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[122]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_addr_dff_genblock.dff_dout_reg[28]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[30]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[126]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_addr_dff_genblock.dff_dout_reg[29]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[31]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[127]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_byteen_dff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_buffer_c1_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_byteen_dff_n_19 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_byteen[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_byteen_dff_genblock.dffs_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_buffer_c1_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_byteen_dff_n_25 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_byteen[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_byteen_dff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_buffer_c1_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_byteen_dff_n_18 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_byteen[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_byteen_dff_genblock.dffs_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_buffer_c1_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_byteen_dff_n_20 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_byteen[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_byteen_dff_genblock.dffs_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_buffer_c1_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_byteen_dff_n_23 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_byteen[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_byteen_dff_genblock.dffs_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_buffer_c1_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_byteen_dff_n_22 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_byteen[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_byteen_dff_genblock.dffs_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_buffer_c1_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_byteen_dff_n_21 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_byteen[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_byteen_dff_genblock.dffs_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_buffer_c1_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_byteen_dff_n_24 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_byteen[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_brqrv_n_7),
+	.GATE(brqrv_top_brqrv_dma_ctrl_fifo_data_en[3]),
+	.GCLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[13]_1547 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[205]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[1]_1535 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[193]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[2]_1536 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[194]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[3]_1537 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[195]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[4]_1538 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[196]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_genblock.dff_dout_reg[59]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[59]_1593 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[251]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[5]_1539 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[197]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[6]_1540 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[198]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[7]_1541 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[199]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_genblock.dff_dout_reg[55]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[55]_1589 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[247]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[8]_1542 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[200]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[9]_1543 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[201]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[10]_1544 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[202]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_genblock.dff_dout_reg[51]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[51]_1585 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[243]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[11]_1545 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[203]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[12]_1546 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[204]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[0]_1534 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[192]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[14]_1548 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[206]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[15]_1549 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[207]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[16]_1550 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[208]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[17]_1551 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[209]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_genblock.dff_dout_reg[43]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[43]_1577 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[235]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[18]_1552 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[210]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[19]_1553 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[211]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[20]_1554 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[212]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_genblock.dff_dout_reg[39]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[39]_1573 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[231]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[21]_1555 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[213]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[22]_1556 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[214]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_genblock.dff_dout_reg[23]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[23]_1557 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[215]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_genblock.dff_dout_reg[35]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[35]_1569 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[227]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_genblock.dff_dout_reg[24]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[24]_1558 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[216]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_genblock.dff_dout_reg[25]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[25]_1559 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[217]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_genblock.dff_dout_reg[45]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[45]_1579 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[237]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_genblock.dff_dout_reg[27]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[27]_1561 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[219]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_genblock.dff_dout_reg[28]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[28]_1562 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[220]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_genblock.dff_dout_reg[29]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[29]_1563 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[221]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_genblock.dff_dout_reg[30]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[30]_1564 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[222]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_genblock.dff_dout_reg[31]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[31]_1565 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[223]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_genblock.dff_dout_reg[32]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[32]_1566 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[224]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_genblock.dff_dout_reg[33]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[33]_1567 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[225]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_genblock.dff_dout_reg[34]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[34]_1568 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[226]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_genblock.dff_dout_reg[36]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[36]_1570 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[228]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_genblock.dff_dout_reg[37]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[37]_1571 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[229]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_genblock.dff_dout_reg[38]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[38]_1572 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[230]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_genblock.dff_dout_reg[40]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[40]_1574 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[232]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_genblock.dff_dout_reg[41]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[41]_1575 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[233]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_genblock.dff_dout_reg[42]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[42]_1576 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[234]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_genblock.dff_dout_reg[44]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[44]_1578 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[236]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_genblock.dff_dout_reg[26]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[26]_1560 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[218]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_genblock.dff_dout_reg[46]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[46]_1580 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[238]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_genblock.dff_dout_reg[47]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[47]_1581 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[239]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_genblock.dff_dout_reg[48]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[48]_1582 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[240]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_genblock.dff_dout_reg[49]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[49]_1583 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[241]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_genblock.dff_dout_reg[50]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[50]_1584 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[242]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_genblock.dff_dout_reg[52]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[52]_1586 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[244]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_genblock.dff_dout_reg[53]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[53]_1587 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[245]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_genblock.dff_dout_reg[54]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[54]_1588 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[246]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_genblock.dff_dout_reg[56]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[56]_1590 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[248]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_genblock.dff_dout_reg[57]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[57]_1591 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[249]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_genblock.dff_dout_reg[58]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[58]_1592 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[250]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_genblock.dff_dout_reg[60]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[60]_1594 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[252]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_genblock.dff_dout_reg[61]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[61]_1595 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[253]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_genblock.dff_dout_reg[62]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[62]_1596 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[254]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_genblock.dff_dout_reg[63]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[63]_1597 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[255]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_dbg_dff_g30__6783  (
+	.A0(brqrv_top_brqrv_dma_ctrl_fifo_dbg[3]),
+	.A1(brqrv_top_brqrv_dbg_cmd_valid),
+	.S(brqrv_top_brqrv_dma_ctrl_fifo_cmd_en[3]),
+	.X(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_dbg_dff_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_dbg_dff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_buffer_c1_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_dbg_dff_n_2 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_dbg[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_done_bus_dff_g35__3680  (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_reset[3]),
+	.B(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_done_bus_dff_n_0 ),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_done_bus_dff_din_new [0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_done_bus_dff_g36__1617  (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_done_bus[3]),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_done_bus_en[3]),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_done_bus_dff_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_done_bus_dff_genblk1.dffsc_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_free_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_done_bus_dff_din_new [0]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_done_bus[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_done_dff_g35__2802  (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_reset[3]),
+	.B(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_done_dff_n_0 ),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_done_dff_din_new [0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_done_dff_g36__1705  (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_done[3]),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_done_en[3]),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_done_dff_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_done_dff_genblk1.dffsc_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_free_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_done_dff_din_new [0]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_done[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_error_dff_genblk1.dffsc_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_free_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_error_dff_din_new [0]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_error[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_error_dff_genblk1.dffsc_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_free_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_error_dff_din_new [1]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_error[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_rpend_dff_g35__5122  (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_reset[3]),
+	.B(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_rpend_dff_n_0 ),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_rpend_dff_din_new [0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_rpend_dff_g36__8246  (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_rpend[3]),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_pend_en[3]),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_rpend_dff_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_rpend_dff_genblk1.dffsc_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_free_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_rpend_dff_din_new [0]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_rpend[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_sz_dff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_buffer_c1_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_sz_dff_n_8 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_sz[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_sz_dff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_buffer_c1_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_sz_dff_n_9 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_sz[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_sz_dff_genblock.dffs_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_buffer_c1_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_sz_dff_n_10 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_sz[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_valid_dff_g35__7098  (
+	.A(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_valid_dff_n_0 ),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_reset[3]),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_valid_dff_din_new [0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_valid_dff_g36__6131  (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_valid[3]),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_cmd_en[3]),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_valid_dff_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_valid_dff_genblk1.dffsc_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_free_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_valid_dff_din_new [0]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_valid[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_write_dff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_buffer_c1_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_write_dff_n_4 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_write[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_addr_dff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_brqrv_n_7),
+	.GATE(brqrv_top_brqrv_dma_ctrl_fifo_cmd_en[4]),
+	.GCLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_addr_dff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_addr_dff_genblock.dff_dout_reg[23]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[23]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[151]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_addr_dff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[1]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[129]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_addr_dff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[2]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[130]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_addr_dff_genblock.dff_dout_reg[27]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[27]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[155]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_addr_dff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[3]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[131]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_addr_dff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[4]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[132]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_addr_dff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[5]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[133]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_addr_dff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[0]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[128]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_addr_dff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[6]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[134]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_addr_dff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[7]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[135]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_addr_dff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[8]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[136]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_addr_dff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[9]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[137]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_addr_dff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[10]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[138]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_addr_dff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[11]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[139]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_addr_dff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[12]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[140]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_addr_dff_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[20]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[148]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_addr_dff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[14]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[142]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_addr_dff_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[15]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[143]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_addr_dff_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[16]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[144]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_addr_dff_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[17]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[145]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_addr_dff_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[18]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[146]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_addr_dff_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[19]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[147]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_addr_dff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[13]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[141]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_addr_dff_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[21]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[149]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_addr_dff_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[22]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[150]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_addr_dff_genblock.dff_dout_reg[24]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[24]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[152]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_addr_dff_genblock.dff_dout_reg[25]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[25]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[153]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_addr_dff_genblock.dff_dout_reg[26]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[26]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[154]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_addr_dff_genblock.dff_dout_reg[28]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[30]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[158]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_addr_dff_genblock.dff_dout_reg[29]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[31]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[159]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_byteen_dff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_buffer_c1_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_byteen_dff_n_19 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_byteen[33]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_byteen_dff_genblock.dffs_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_buffer_c1_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_byteen_dff_n_25 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_byteen[39]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_byteen_dff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_buffer_c1_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_byteen_dff_n_18 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_byteen[32]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_byteen_dff_genblock.dffs_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_buffer_c1_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_byteen_dff_n_20 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_byteen[34]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_byteen_dff_genblock.dffs_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_buffer_c1_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_byteen_dff_n_23 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_byteen[37]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_byteen_dff_genblock.dffs_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_buffer_c1_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_byteen_dff_n_22 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_byteen[36]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_byteen_dff_genblock.dffs_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_buffer_c1_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_byteen_dff_n_21 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_byteen[35]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_byteen_dff_genblock.dffs_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_buffer_c1_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_byteen_dff_n_24 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_byteen[38]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_brqrv_n_7),
+	.GATE(brqrv_top_brqrv_dma_ctrl_fifo_data_en[4]),
+	.GCLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_genblock.dff_dout_reg[47]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[47]_1647 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[303]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_genblock.dff_dout_reg[38]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[38]_1638 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[294]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_genblock.dff_dout_reg[39]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[39]_1639 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[295]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_genblock.dff_dout_reg[61]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[61]_1661 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[317]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_genblock.dff_dout_reg[40]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[40]_1640 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[296]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_genblock.dff_dout_reg[41]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[41]_1641 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[297]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_genblock.dff_dout_reg[42]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[42]_1642 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[298]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_genblock.dff_dout_reg[43]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[43]_1643 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[299]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_genblock.dff_dout_reg[44]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[44]_1644 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[300]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_genblock.dff_dout_reg[45]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[45]_1645 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[301]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_genblock.dff_dout_reg[46]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[46]_1646 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[302]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_genblock.dff_dout_reg[53]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[53]_1653 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[309]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[0]_1600 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[256]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_genblock.dff_dout_reg[48]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[48]_1648 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[304]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_genblock.dff_dout_reg[49]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[49]_1649 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[305]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_genblock.dff_dout_reg[50]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[50]_1650 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[306]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_genblock.dff_dout_reg[51]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[51]_1651 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[307]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_genblock.dff_dout_reg[52]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[52]_1652 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[308]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_genblock.dff_dout_reg[54]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[54]_1654 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[310]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_genblock.dff_dout_reg[55]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[55]_1655 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[311]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_genblock.dff_dout_reg[56]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[56]_1656 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[312]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_genblock.dff_dout_reg[57]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[57]_1657 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[313]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_genblock.dff_dout_reg[58]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[58]_1658 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[314]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_genblock.dff_dout_reg[59]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[59]_1659 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[315]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_genblock.dff_dout_reg[60]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[60]_1660 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[316]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[8]_1608 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[264]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_genblock.dff_dout_reg[63]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[63]_1663 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[319]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_genblock.dff_dout_reg[37]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[37]_1637 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[293]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[1]_1601 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[257]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[2]_1602 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[258]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[3]_1603 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[259]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_genblock.dff_dout_reg[33]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[33]_1633 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[289]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[4]_1604 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[260]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[5]_1605 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[261]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[6]_1606 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[262]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[21]_1621 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[277]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[7]_1607 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[263]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_genblock.dff_dout_reg[62]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[62]_1662 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[318]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[9]_1609 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[265]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[12]_1612 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[268]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[13]_1613 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[269]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[14]_1614 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[270]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[15]_1615 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[271]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[19]_1619 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[275]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_genblock.dff_dout_reg[23]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[23]_1623 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[279]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_genblock.dff_dout_reg[27]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[27]_1627 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[283]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_genblock.dff_dout_reg[32]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[32]_1632 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[288]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_genblock.dff_dout_reg[34]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[34]_1634 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[290]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_genblock.dff_dout_reg[35]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[35]_1635 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[291]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_genblock.dff_dout_reg[36]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[36]_1636 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[292]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[20]_1620 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[276]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[11]_1611 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[267]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[16]_1616 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[272]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_genblock.dff_dout_reg[29]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[29]_1629 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[285]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[17]_1617 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[273]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[18]_1618 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[274]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[10]_1610 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[266]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_genblock.dff_dout_reg[31]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[31]_1631 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[287]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_genblock.dff_dout_reg[24]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[24]_1624 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[280]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_genblock.dff_dout_reg[25]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[25]_1625 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[281]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_genblock.dff_dout_reg[26]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[26]_1626 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[282]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_genblock.dff_dout_reg[28]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[28]_1628 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[284]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_genblock.dff_dout_reg[30]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[30]_1630 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[286]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[22]_1622 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[278]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_dbg_dff_g30__1881  (
+	.A0(brqrv_top_brqrv_dma_ctrl_fifo_dbg[4]),
+	.A1(brqrv_top_brqrv_dbg_cmd_valid),
+	.S(brqrv_top_brqrv_dma_ctrl_fifo_cmd_en[4]),
+	.X(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_dbg_dff_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_dbg_dff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_buffer_c1_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_dbg_dff_n_2 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_dbg[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_done_bus_dff_g35__5115  (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_reset[4]),
+	.B(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_done_bus_dff_n_0 ),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_done_bus_dff_din_new [0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_done_bus_dff_g36__7482  (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_done_bus[4]),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_done_bus_en[4]),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_done_bus_dff_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_done_bus_dff_genblk1.dffsc_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_free_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_done_bus_dff_din_new [0]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_done_bus[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_done_dff_g35__4733  (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_reset[4]),
+	.B(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_done_dff_n_0 ),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_done_dff_din_new [0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_done_dff_g36__6161  (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_done[4]),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_done_en[4]),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_done_dff_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_done_dff_genblk1.dffsc_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_free_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_done_dff_din_new [0]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_done[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_error_dff_genblk1.dffsc_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_free_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_error_dff_din_new [0]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_error[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_error_dff_genblk1.dffsc_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_free_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_error_dff_din_new [1]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_error[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_rpend_dff_g35__9315  (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_reset[4]),
+	.B(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_rpend_dff_n_0 ),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_rpend_dff_din_new [0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_rpend_dff_g36__9945  (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_rpend[4]),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_pend_en[4]),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_rpend_dff_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_rpend_dff_genblk1.dffsc_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_free_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_rpend_dff_din_new [0]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_rpend[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_sz_dff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_buffer_c1_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_sz_dff_n_8 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_sz[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_sz_dff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_buffer_c1_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_sz_dff_n_9 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_sz[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_sz_dff_genblock.dffs_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_buffer_c1_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_sz_dff_n_10 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_sz[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_valid_dff_g35__2883  (
+	.A(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_valid_dff_n_0 ),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_reset[4]),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_valid_dff_din_new [0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_valid_dff_g36__2346  (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_valid[4]),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_cmd_en[4]),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_valid_dff_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_valid_dff_genblk1.dffsc_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_free_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_valid_dff_din_new [0]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_valid[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_write_dff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_buffer_c1_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_write_dff_n_4 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_write[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 \brqrv_top_brqrv_dma_ctrl_Gen_dccm_enable.addr_dccm_rangecheck_g224__1666  (
+	.A(\brqrv_top_brqrv_dma_ctrl_Gen_dccm_enable.addr_dccm_rangecheck_n_4 ),
+	.B(\brqrv_top_brqrv_dma_ctrl_Gen_dccm_enable.addr_dccm_rangecheck_n_1 ),
+	.C(\brqrv_top_brqrv_dma_ctrl_Gen_dccm_enable.addr_dccm_rangecheck_n_2 ),
+	.X(brqrv_top_brqrv_dma_ctrl_dma_mem_addr_in_dccm), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 \brqrv_top_brqrv_dma_ctrl_Gen_dccm_enable.addr_dccm_rangecheck_g225__7410  (
+	.A(n_36806),
+	.B(n_36807),
+	.C(\brqrv_top_brqrv_dma_ctrl_Gen_dccm_enable.addr_dccm_rangecheck_n_0 ),
+	.D(\brqrv_top_brqrv_dma_ctrl_Gen_dccm_enable.addr_dccm_rangecheck_n_3 ),
+	.Y(\brqrv_top_brqrv_dma_ctrl_Gen_dccm_enable.addr_dccm_rangecheck_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4b_1 \brqrv_top_brqrv_dma_ctrl_Gen_dccm_enable.addr_dccm_rangecheck_g226__6417  (
+	.A_N(n_36809),
+	.B(n_36818),
+	.C(n_36808),
+	.D(n_36819),
+	.Y(\brqrv_top_brqrv_dma_ctrl_Gen_dccm_enable.addr_dccm_rangecheck_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 \brqrv_top_brqrv_dma_ctrl_Gen_dccm_enable.addr_dccm_rangecheck_g227__5477  (
+	.A(n_36805),
+	.B(n_36804),
+	.C(n_36802),
+	.D(n_36803),
+	.Y(\brqrv_top_brqrv_dma_ctrl_Gen_dccm_enable.addr_dccm_rangecheck_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 \brqrv_top_brqrv_dma_ctrl_Gen_dccm_enable.addr_dccm_rangecheck_g228__2398  (
+	.A(n_36817),
+	.B(n_36816),
+	.C(n_36814),
+	.D(n_36815),
+	.Y(\brqrv_top_brqrv_dma_ctrl_Gen_dccm_enable.addr_dccm_rangecheck_n_1 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 \brqrv_top_brqrv_dma_ctrl_Gen_dccm_enable.addr_dccm_rangecheck_g229__5107  (
+	.A(n_36813),
+	.B(n_36811),
+	.C(n_36810),
+	.D(n_36812),
+	.X(\brqrv_top_brqrv_dma_ctrl_Gen_dccm_enable.addr_dccm_rangecheck_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 \brqrv_top_brqrv_dma_ctrl_Gen_iccm_enable.addr_iccm_rangecheck_g202__6260  (
+	.A(\brqrv_top_brqrv_dma_ctrl_Gen_iccm_enable.addr_iccm_rangecheck_n_1 ),
+	.B(\brqrv_top_brqrv_dma_ctrl_Gen_iccm_enable.addr_iccm_rangecheck_n_2 ),
+	.C(\brqrv_top_brqrv_dma_ctrl_Gen_iccm_enable.addr_iccm_rangecheck_n_4 ),
+	.Y(brqrv_top_brqrv_dma_ctrl_dma_mem_addr_in_iccm), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4b_1 \brqrv_top_brqrv_dma_ctrl_Gen_iccm_enable.addr_iccm_rangecheck_g203__4319  (
+	.A(n_36818),
+	.B(\brqrv_top_brqrv_dma_ctrl_Gen_iccm_enable.addr_iccm_rangecheck_n_0 ),
+	.C(\brqrv_top_brqrv_dma_ctrl_Gen_iccm_enable.addr_iccm_rangecheck_n_3 ),
+	.D_N(n_36819),
+	.X(\brqrv_top_brqrv_dma_ctrl_Gen_iccm_enable.addr_iccm_rangecheck_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \brqrv_top_brqrv_dma_ctrl_Gen_iccm_enable.addr_iccm_rangecheck_g204__8428  (
+	.A(n_36808),
+	.B(n_36807),
+	.C(n_36809),
+	.D(n_36806),
+	.Y(\brqrv_top_brqrv_dma_ctrl_Gen_iccm_enable.addr_iccm_rangecheck_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \brqrv_top_brqrv_dma_ctrl_Gen_iccm_enable.addr_iccm_rangecheck_g205__5526  (
+	.A(n_36804),
+	.B(n_36803),
+	.C(n_36805),
+	.D(n_36802),
+	.Y(\brqrv_top_brqrv_dma_ctrl_Gen_iccm_enable.addr_iccm_rangecheck_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \brqrv_top_brqrv_dma_ctrl_Gen_iccm_enable.addr_iccm_rangecheck_g206__6783  (
+	.A(n_36816),
+	.B(n_36815),
+	.C(n_36817),
+	.D(n_36814),
+	.Y(\brqrv_top_brqrv_dma_ctrl_Gen_iccm_enable.addr_iccm_rangecheck_n_1 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \brqrv_top_brqrv_dma_ctrl_Gen_iccm_enable.addr_iccm_rangecheck_g207__3680  (
+	.A(n_36812),
+	.B(n_36811),
+	.C(n_36813),
+	.D(n_36810),
+	.Y(\brqrv_top_brqrv_dma_ctrl_Gen_iccm_enable.addr_iccm_rangecheck_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_RdPtr_dff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_free_clk),
+	.D(brqrv_top_brqrv_dma_ctrl_RdPtr_dff_n_8),
+	.Q(brqrv_top_brqrv_dma_mem_tag[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_RdPtr_dff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_free_clk),
+	.D(brqrv_top_brqrv_dma_ctrl_RdPtr_dff_n_9),
+	.Q(brqrv_top_brqrv_dma_mem_tag[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_RdPtr_dff_genblock.dffs_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_free_clk),
+	.D(brqrv_top_brqrv_dma_ctrl_RdPtr_dff_n_10),
+	.Q(brqrv_top_brqrv_dma_mem_tag[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_RspPtr_dff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_free_clk),
+	.D(brqrv_top_brqrv_dma_ctrl_RspPtr_dff_n_8),
+	.Q(brqrv_top_brqrv_dma_ctrl_RspPtr[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_RspPtr_dff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_free_clk),
+	.D(brqrv_top_brqrv_dma_ctrl_RspPtr_dff_n_9),
+	.Q(brqrv_top_brqrv_dma_ctrl_RspPtr[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_RspPtr_dff_genblock.dffs_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_free_clk),
+	.D(brqrv_top_brqrv_dma_ctrl_RspPtr_dff_n_10),
+	.Q(brqrv_top_brqrv_dma_ctrl_RspPtr[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_WrPtr_dff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_free_clk),
+	.D(brqrv_top_brqrv_dma_ctrl_WrPtr_dff_n_8),
+	.Q(brqrv_top_brqrv_dma_ctrl_WrPtr[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_WrPtr_dff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_free_clk),
+	.D(brqrv_top_brqrv_dma_ctrl_WrPtr_dff_n_9),
+	.Q(brqrv_top_brqrv_dma_ctrl_WrPtr[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_WrPtr_dff_genblock.dffs_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_free_clk),
+	.D(brqrv_top_brqrv_dma_ctrl_WrPtr_dff_n_10),
+	.Q(brqrv_top_brqrv_dma_ctrl_WrPtr[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 brqrv_top_brqrv_dma_ctrl_addr_pic_rangecheck_g195__1617 (
+	.A(brqrv_top_brqrv_dma_ctrl_addr_pic_rangecheck_n_3),
+	.B(brqrv_top_brqrv_dma_ctrl_addr_pic_rangecheck_n_1),
+	.C(brqrv_top_brqrv_dma_ctrl_addr_pic_rangecheck_n_0),
+	.X(brqrv_top_brqrv_dma_ctrl_dma_mem_addr_in_pic), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4b_1 brqrv_top_brqrv_dma_ctrl_addr_pic_rangecheck_g196__2802 (
+	.A_N(n_36810),
+	.B(brqrv_top_brqrv_dma_ctrl_addr_pic_rangecheck_n_2),
+	.C(n_36819),
+	.D(n_36809),
+	.X(brqrv_top_brqrv_dma_ctrl_addr_pic_rangecheck_n_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4b_1 brqrv_top_brqrv_dma_ctrl_addr_pic_rangecheck_g197__1705 (
+	.A(n_36816),
+	.B(n_36815),
+	.C(n_36817),
+	.D_N(n_36818),
+	.Y(brqrv_top_brqrv_dma_ctrl_addr_pic_rangecheck_n_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4b_1 brqrv_top_brqrv_dma_ctrl_addr_pic_rangecheck_g198__5122 (
+	.A(n_36806),
+	.B(n_36805),
+	.C(n_36807),
+	.D_N(n_36808),
+	.Y(brqrv_top_brqrv_dma_ctrl_addr_pic_rangecheck_n_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 brqrv_top_brqrv_dma_ctrl_addr_pic_rangecheck_g199__8246 (
+	.A(n_36813),
+	.B(n_36812),
+	.C(n_36811),
+	.D(n_36814),
+	.Y(brqrv_top_brqrv_dma_ctrl_addr_pic_rangecheck_n_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_dbg_dma_bubble_ff_genblk1.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_bus_clk),
+	.D(brqrv_top_brqrv_dbg_dma_bubble),
+	.Q(brqrv_top_brqrv_dma_ctrl_dbg_dma_bubble_bus),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 brqrv_top_brqrv_dma_ctrl_dma_buffer_c1cgc_clkhdr (
+	.CLK(brqrv_top_brqrv_n_7),
+	.GATE(brqrv_top_brqrv_dma_ctrl_dma_buffer_c1_clken),
+	.GCLK(brqrv_top_brqrv_dma_ctrl_dma_buffer_c1_clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 brqrv_top_brqrv_dma_ctrl_dma_bus_cgc_clkhdr (
+	.CLK(brqrv_top_brqrv_n_7),
+	.GATE(brqrv_top_brqrv_dma_ctrl_dma_bus_cgc_logic_1_1_net),
+	.GCLK(brqrv_top_brqrv_dma_ctrl_dma_bus_clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 brqrv_top_brqrv_dma_ctrl_dma_bus_cgc_tie_1_cell (
+	.HI(brqrv_top_brqrv_dma_ctrl_dma_bus_cgc_logic_1_1_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_dma_dbg_cmd_doneff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_free_clk),
+	.D(brqrv_top_brqrv_dma_dbg_cmd_done),
+	.Q(brqrv_top_brqrv_dma_ctrl_dma_dbg_cmd_done_q),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 brqrv_top_brqrv_dma_ctrl_dma_free_cgc_clkhdr (
+	.CLK(brqrv_top_brqrv_n_7),
+	.GATE(brqrv_top_brqrv_dma_ctrl_dma_free_clken),
+	.GCLK(brqrv_top_brqrv_dma_ctrl_dma_free_clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_fifo_full_bus_ff_genblk1.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_bus_clk),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_full_spec),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_full_spec_bus),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_dma_ctrl_mstr_prtyff_genblock.dffs_g21__7098  (
+	.A(brqrv_top_brqrv_dma_ctrl_bus_cmd_sent),
+	.B(brqrv_top_brqrv_dma_ctrl_axi_mstr_priority),
+	.X(\brqrv_top_brqrv_dma_ctrl_mstr_prtyff_genblock.dffs_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_mstr_prtyff_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_bus_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_mstr_prtyff_genblock.dffs_n_2 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_axi_mstr_priority),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_nack_count_dff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_free_clk),
+	.D(brqrv_top_brqrv_dma_ctrl_nack_count_dff_n_8),
+	.Q(brqrv_top_brqrv_dma_ctrl_dma_nack_count[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_nack_count_dff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_free_clk),
+	.D(brqrv_top_brqrv_dma_ctrl_nack_count_dff_n_9),
+	.Q(brqrv_top_brqrv_dma_ctrl_dma_nack_count[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_nack_count_dff_genblock.dffs_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_free_clk),
+	.D(brqrv_top_brqrv_dma_ctrl_nack_count_dff_n_10),
+	.Q(brqrv_top_brqrv_dma_ctrl_dma_nack_count[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \brqrv_top_brqrv_dma_ctrl_rdbuf_vldff_genblk1.dffsc_g16__6131  (
+	.A(brqrv_top_brqrv_dma_ctrl_rdbuf_cmd_sent),
+	.B_N(brqrv_top_brqrv_dma_ctrl_rdbuf_vld),
+	.Y(\brqrv_top_brqrv_dma_ctrl_rdbuf_vldff_genblk1.dffsc_din_new [0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_rdbuf_vldff_genblk1.dffsc_genblk1.dffsc_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_bus_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_rdbuf_vldff_genblk1.dffsc_din_new [0]),
+	.Q(brqrv_top_brqrv_dma_ctrl_rdbuf_vld),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \brqrv_top_brqrv_dma_ctrl_wrbuf_data_vldff_genblk1.dffsc_g16__1881  (
+	.A(brqrv_top_brqrv_dma_ctrl_wrbuf_cmd_sent),
+	.B_N(brqrv_top_brqrv_dma_ctrl_wrbuf_data_vld),
+	.Y(\brqrv_top_brqrv_dma_ctrl_wrbuf_data_vldff_genblk1.dffsc_din_new [0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_wrbuf_data_vldff_genblk1.dffsc_genblk1.dffsc_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_bus_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_wrbuf_data_vldff_genblk1.dffsc_din_new [0]),
+	.Q(brqrv_top_brqrv_dma_ctrl_wrbuf_data_vld),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \brqrv_top_brqrv_dma_ctrl_wrbuf_vldff_genblk1.dffsc_g16__5115  (
+	.A(brqrv_top_brqrv_dma_ctrl_wrbuf_cmd_sent),
+	.B_N(brqrv_top_brqrv_dma_ctrl_wrbuf_vld),
+	.Y(\brqrv_top_brqrv_dma_ctrl_wrbuf_vldff_genblk1.dffsc_din_new [0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_wrbuf_vldff_genblk1.dffsc_genblk1.dffsc_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_bus_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_wrbuf_vldff_genblk1.dffsc_din_new [0]),
+	.Q(brqrv_top_brqrv_dma_ctrl_wrbuf_vld),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_exu_i_alu_i_pc_ff_dff_dff_extra_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_data_en[1]),
+	.GCLK(brqrv_top_brqrv_exu_i_alu_i_pc_ff_dff_dff_extra_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_alu_i_pc_ff_dff_dff_extra_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_exu_i_alu_i_pc_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_ifu_i0_pc[9]),
+	.Q(brqrv_top_brqrv_exu_i0_pc_x[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_alu_i_pc_ff_dff_dff_extra_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_exu_i_alu_i_pc_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_ifu_i0_pc[11]),
+	.Q(brqrv_top_brqrv_exu_i0_pc_x[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_alu_i_pc_ff_dff_dff_extra_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_exu_i_alu_i_pc_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_ifu_i0_pc[12]),
+	.Q(brqrv_top_brqrv_exu_i0_pc_x[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_alu_i_pc_ff_dff_dff_extra_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_exu_i_alu_i_pc_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_ifu_i0_pc[5]),
+	.Q(brqrv_top_brqrv_exu_i0_pc_x[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_alu_i_pc_ff_dff_dff_extra_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_exu_i_alu_i_pc_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_ifu_i0_pc[3]),
+	.Q(brqrv_top_brqrv_exu_i0_pc_x[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_alu_i_pc_ff_dff_dff_extra_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_exu_i_alu_i_pc_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_ifu_i0_pc[8]),
+	.Q(brqrv_top_brqrv_exu_i0_pc_x[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_alu_i_pc_ff_dff_dff_extra_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_exu_i_alu_i_pc_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_ifu_i0_pc[2]),
+	.Q(brqrv_top_brqrv_exu_i0_pc_x[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_alu_i_pc_ff_dff_dff_extra_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_exu_i_alu_i_pc_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_ifu_i0_pc[1]),
+	.Q(brqrv_top_brqrv_exu_i0_pc_x[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_alu_i_pc_ff_dff_dff_extra_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_exu_i_alu_i_pc_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_ifu_i0_pc[10]),
+	.Q(brqrv_top_brqrv_exu_i0_pc_x[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_alu_i_pc_ff_dff_dff_extra_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_exu_i_alu_i_pc_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_ifu_i0_pc[7]),
+	.Q(brqrv_top_brqrv_exu_i0_pc_x[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_alu_i_pc_ff_dff_dff_extra_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_exu_i_alu_i_pc_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_ifu_i0_pc[6]),
+	.Q(brqrv_top_brqrv_exu_i0_pc_x[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_alu_i_pc_ff_dff_dff_extra_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_exu_i_alu_i_pc_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_ifu_i0_pc[4]),
+	.Q(brqrv_top_brqrv_exu_i0_pc_x[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_exu_i_alu_i_pc_ff_dff_dff_left_dff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_exu_i_alu_i_pc_ff_dff_dff_left_final_en),
+	.GCLK(brqrv_top_brqrv_exu_i_alu_i_pc_ff_dff_dff_left_dff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_alu_i_pc_ff_dff_dff_left_dff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_exu_i_alu_i_pc_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_ifu_i0_pc[13]),
+	.Q(brqrv_top_brqrv_exu_i0_pc_x[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_alu_i_pc_ff_dff_dff_left_dff_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_exu_i_alu_i_pc_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_ifu_i0_pc[30]),
+	.Q(brqrv_top_brqrv_exu_i0_pc_x[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_alu_i_pc_ff_dff_dff_left_dff_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_exu_i_alu_i_pc_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_ifu_i0_pc[28]),
+	.Q(brqrv_top_brqrv_exu_i0_pc_x[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_alu_i_pc_ff_dff_dff_left_dff_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_exu_i_alu_i_pc_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_ifu_i0_pc[24]),
+	.Q(brqrv_top_brqrv_exu_i0_pc_x[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_alu_i_pc_ff_dff_dff_left_dff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_exu_i_alu_i_pc_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_ifu_i0_pc[16]),
+	.Q(brqrv_top_brqrv_exu_i0_pc_x[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_alu_i_pc_ff_dff_dff_left_dff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_exu_i_alu_i_pc_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_ifu_i0_pc[15]),
+	.Q(brqrv_top_brqrv_exu_i0_pc_x[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_alu_i_pc_ff_dff_dff_left_dff_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_exu_i_alu_i_pc_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_ifu_i0_pc[23]),
+	.Q(brqrv_top_brqrv_exu_i0_pc_x[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_alu_i_pc_ff_dff_dff_left_dff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_exu_i_alu_i_pc_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_ifu_i0_pc[14]),
+	.Q(brqrv_top_brqrv_exu_i0_pc_x[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_alu_i_pc_ff_dff_dff_left_dff_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_exu_i_alu_i_pc_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_ifu_i0_pc[31]),
+	.Q(brqrv_top_brqrv_exu_i0_pc_x[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_alu_i_pc_ff_dff_dff_left_dff_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_exu_i_alu_i_pc_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_ifu_i0_pc[27]),
+	.Q(brqrv_top_brqrv_exu_i0_pc_x[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_alu_i_pc_ff_dff_dff_left_dff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_exu_i_alu_i_pc_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_ifu_i0_pc[22]),
+	.Q(brqrv_top_brqrv_exu_i0_pc_x[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_alu_i_pc_ff_dff_dff_left_dff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_exu_i_alu_i_pc_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_ifu_i0_pc[21]),
+	.Q(brqrv_top_brqrv_exu_i0_pc_x[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_alu_i_pc_ff_dff_dff_left_dff_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_exu_i_alu_i_pc_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_ifu_i0_pc[29]),
+	.Q(brqrv_top_brqrv_exu_i0_pc_x[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_alu_i_pc_ff_dff_dff_left_dff_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_exu_i_alu_i_pc_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_ifu_i0_pc[26]),
+	.Q(brqrv_top_brqrv_exu_i0_pc_x[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_alu_i_pc_ff_dff_dff_left_dff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_exu_i_alu_i_pc_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_ifu_i0_pc[20]),
+	.Q(brqrv_top_brqrv_exu_i0_pc_x[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_alu_i_pc_ff_dff_dff_left_dff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_exu_i_alu_i_pc_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_ifu_i0_pc[19]),
+	.Q(brqrv_top_brqrv_exu_i0_pc_x[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_alu_i_pc_ff_dff_dff_left_dff_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_exu_i_alu_i_pc_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_ifu_i0_pc[25]),
+	.Q(brqrv_top_brqrv_exu_i0_pc_x[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_alu_i_pc_ff_dff_dff_left_dff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_exu_i_alu_i_pc_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_ifu_i0_pc[18]),
+	.Q(brqrv_top_brqrv_exu_i0_pc_x[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_alu_i_pc_ff_dff_dff_left_dff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_exu_i_alu_i_pc_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_ifu_i0_pc[17]),
+	.Q(brqrv_top_brqrv_exu_i0_pc_x[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_exu_i_alu_i_result_ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(n_962),
+	.GCLK(brqrv_top_brqrv_exu_i_alu_i_result_ff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_alu_i_result_ff_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_exu_i_alu_i_result_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_alu_result[12]),
+	.Q(brqrv_top_brqrv_exu_alu_result_x[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_alu_i_result_ff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_exu_i_alu_i_result_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_alu_result[1]),
+	.Q(brqrv_top_brqrv_exu_alu_result_x[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_alu_i_result_ff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_exu_i_alu_i_result_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_alu_result[2]),
+	.Q(brqrv_top_brqrv_exu_alu_result_x[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_alu_i_result_ff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_exu_i_alu_i_result_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_alu_result[3]),
+	.Q(brqrv_top_brqrv_exu_alu_result_x[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_alu_i_result_ff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_exu_i_alu_i_result_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_alu_result[4]),
+	.Q(brqrv_top_brqrv_exu_alu_result_x[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_alu_i_result_ff_genblock.dff_dout_reg[27]  (
+	.CLK(brqrv_top_brqrv_exu_i_alu_i_result_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_alu_result[27]),
+	.Q(brqrv_top_brqrv_exu_alu_result_x[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_alu_i_result_ff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_exu_i_alu_i_result_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_alu_result[5]),
+	.Q(brqrv_top_brqrv_exu_alu_result_x[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_alu_i_result_ff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_exu_i_alu_i_result_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_alu_result[6]),
+	.Q(brqrv_top_brqrv_exu_alu_result_x[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_alu_i_result_ff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_exu_i_alu_i_result_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_alu_result[7]),
+	.Q(brqrv_top_brqrv_exu_alu_result_x[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_alu_i_result_ff_genblock.dff_dout_reg[23]  (
+	.CLK(brqrv_top_brqrv_exu_i_alu_i_result_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_alu_result[23]),
+	.Q(brqrv_top_brqrv_exu_alu_result_x[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_alu_i_result_ff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_exu_i_alu_i_result_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_alu_result[8]),
+	.Q(brqrv_top_brqrv_exu_alu_result_x[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_alu_i_result_ff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_exu_i_alu_i_result_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_alu_result[9]),
+	.Q(brqrv_top_brqrv_exu_alu_result_x[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_alu_i_result_ff_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_exu_i_alu_i_result_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_alu_result[10]),
+	.Q(brqrv_top_brqrv_exu_alu_result_x[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_alu_i_result_ff_genblock.dff_dout_reg[19]  (
+	.CLK(brqrv_top_brqrv_exu_i_alu_i_result_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_alu_result[19]),
+	.Q(brqrv_top_brqrv_exu_alu_result_x[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_alu_i_result_ff_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_exu_i_alu_i_result_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_alu_result[11]),
+	.Q(brqrv_top_brqrv_exu_alu_result_x[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_alu_i_result_ff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_exu_i_alu_i_result_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_alu_result[0]),
+	.Q(brqrv_top_brqrv_exu_alu_result_x[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_alu_i_result_ff_genblock.dff_dout_reg[31]  (
+	.CLK(brqrv_top_brqrv_exu_i_alu_i_result_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_alu_result[31]),
+	.Q(brqrv_top_brqrv_exu_alu_result_x[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_alu_i_result_ff_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_exu_i_alu_i_result_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_alu_result[14]),
+	.Q(brqrv_top_brqrv_exu_alu_result_x[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_alu_i_result_ff_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_exu_i_alu_i_result_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_alu_result[15]),
+	.Q(brqrv_top_brqrv_exu_alu_result_x[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_alu_i_result_ff_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_exu_i_alu_i_result_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_alu_result[16]),
+	.Q(brqrv_top_brqrv_exu_alu_result_x[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_alu_i_result_ff_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_exu_i_alu_i_result_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_alu_result[17]),
+	.Q(brqrv_top_brqrv_exu_alu_result_x[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_alu_i_result_ff_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_exu_i_alu_i_result_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_alu_result[18]),
+	.Q(brqrv_top_brqrv_exu_alu_result_x[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_alu_i_result_ff_genblock.dff_dout_reg[20]  (
+	.CLK(brqrv_top_brqrv_exu_i_alu_i_result_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_alu_result[20]),
+	.Q(brqrv_top_brqrv_exu_alu_result_x[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_alu_i_result_ff_genblock.dff_dout_reg[21]  (
+	.CLK(brqrv_top_brqrv_exu_i_alu_i_result_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_alu_result[21]),
+	.Q(brqrv_top_brqrv_exu_alu_result_x[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_alu_i_result_ff_genblock.dff_dout_reg[22]  (
+	.CLK(brqrv_top_brqrv_exu_i_alu_i_result_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_alu_result[22]),
+	.Q(brqrv_top_brqrv_exu_alu_result_x[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_alu_i_result_ff_genblock.dff_dout_reg[24]  (
+	.CLK(brqrv_top_brqrv_exu_i_alu_i_result_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_alu_result[24]),
+	.Q(brqrv_top_brqrv_exu_alu_result_x[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_alu_i_result_ff_genblock.dff_dout_reg[25]  (
+	.CLK(brqrv_top_brqrv_exu_i_alu_i_result_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_alu_result[25]),
+	.Q(brqrv_top_brqrv_exu_alu_result_x[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_alu_i_result_ff_genblock.dff_dout_reg[26]  (
+	.CLK(brqrv_top_brqrv_exu_i_alu_i_result_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_alu_result[26]),
+	.Q(brqrv_top_brqrv_exu_alu_result_x[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_alu_i_result_ff_genblock.dff_dout_reg[28]  (
+	.CLK(brqrv_top_brqrv_exu_i_alu_i_result_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_alu_result[28]),
+	.Q(brqrv_top_brqrv_exu_alu_result_x[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_alu_i_result_ff_genblock.dff_dout_reg[29]  (
+	.CLK(brqrv_top_brqrv_exu_i_alu_i_result_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_alu_result[29]),
+	.Q(brqrv_top_brqrv_exu_alu_result_x[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_alu_i_result_ff_genblock.dff_dout_reg[30]  (
+	.CLK(brqrv_top_brqrv_exu_i_alu_i_result_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_alu_result[30]),
+	.Q(brqrv_top_brqrv_exu_alu_result_x[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_alu_i_result_ff_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_exu_i_alu_i_result_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_alu_result[13]),
+	.Q(brqrv_top_brqrv_exu_alu_result_x[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_exu_i_csr_rs1_x_ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_exu_x_data_en_q1),
+	.GCLK(brqrv_top_brqrv_exu_i_csr_rs1_x_ff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_csr_rs1_x_ff_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_exu_i_csr_rs1_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_rs1_d[10]),
+	.Q(brqrv_top_brqrv_exu_csr_rs1_x[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_csr_rs1_x_ff_genblock.dff_dout_reg[30]  (
+	.CLK(brqrv_top_brqrv_exu_i_csr_rs1_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_rs1_d[30]),
+	.Q(brqrv_top_brqrv_exu_csr_rs1_x[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_csr_rs1_x_ff_genblock.dff_dout_reg[28]  (
+	.CLK(brqrv_top_brqrv_exu_i_csr_rs1_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_rs1_d[28]),
+	.Q(brqrv_top_brqrv_exu_csr_rs1_x[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_csr_rs1_x_ff_genblock.dff_dout_reg[24]  (
+	.CLK(brqrv_top_brqrv_exu_i_csr_rs1_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_rs1_d[24]),
+	.Q(brqrv_top_brqrv_exu_csr_rs1_x[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_csr_rs1_x_ff_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_exu_i_csr_rs1_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_rs1_d[16]),
+	.Q(brqrv_top_brqrv_exu_csr_rs1_x[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_csr_rs1_x_ff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_exu_i_csr_rs1_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_rs1_d[0]),
+	.Q(brqrv_top_brqrv_exu_csr_rs1_x[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_csr_rs1_x_ff_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_exu_i_csr_rs1_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_rs1_d[15]),
+	.Q(brqrv_top_brqrv_exu_csr_rs1_x[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_csr_rs1_x_ff_genblock.dff_dout_reg[23]  (
+	.CLK(brqrv_top_brqrv_exu_i_csr_rs1_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_rs1_d[23]),
+	.Q(brqrv_top_brqrv_exu_csr_rs1_x[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_csr_rs1_x_ff_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_exu_i_csr_rs1_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_rs1_d[14]),
+	.Q(brqrv_top_brqrv_exu_csr_rs1_x[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_csr_rs1_x_ff_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_exu_i_csr_rs1_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_rs1_d[13]),
+	.Q(brqrv_top_brqrv_exu_csr_rs1_x[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_csr_rs1_x_ff_genblock.dff_dout_reg[27]  (
+	.CLK(brqrv_top_brqrv_exu_i_csr_rs1_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_rs1_d[27]),
+	.Q(brqrv_top_brqrv_exu_csr_rs1_x[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_csr_rs1_x_ff_genblock.dff_dout_reg[22]  (
+	.CLK(brqrv_top_brqrv_exu_i_csr_rs1_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_rs1_d[22]),
+	.Q(brqrv_top_brqrv_exu_csr_rs1_x[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_csr_rs1_x_ff_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_exu_i_csr_rs1_x_ff_l1clk),
+	.D(n_43042),
+	.Q(brqrv_top_brqrv_exu_csr_rs1_x[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_csr_rs1_x_ff_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_exu_i_csr_rs1_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_rs1_d[11]),
+	.Q(brqrv_top_brqrv_exu_csr_rs1_x[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_csr_rs1_x_ff_genblock.dff_dout_reg[21]  (
+	.CLK(brqrv_top_brqrv_exu_i_csr_rs1_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_rs1_d[21]),
+	.Q(brqrv_top_brqrv_exu_csr_rs1_x[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_csr_rs1_x_ff_genblock.dff_dout_reg[31]  (
+	.CLK(brqrv_top_brqrv_exu_i_csr_rs1_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_rs1_d[31]),
+	.Q(brqrv_top_brqrv_exu_csr_rs1_x[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_csr_rs1_x_ff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_exu_i_csr_rs1_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_rs1_d[1]),
+	.Q(brqrv_top_brqrv_exu_csr_rs1_x[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_csr_rs1_x_ff_genblock.dff_dout_reg[29]  (
+	.CLK(brqrv_top_brqrv_exu_i_csr_rs1_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_rs1_d[29]),
+	.Q(brqrv_top_brqrv_exu_csr_rs1_x[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_csr_rs1_x_ff_genblock.dff_dout_reg[26]  (
+	.CLK(brqrv_top_brqrv_exu_i_csr_rs1_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_rs1_d[26]),
+	.Q(brqrv_top_brqrv_exu_csr_rs1_x[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_csr_rs1_x_ff_genblock.dff_dout_reg[20]  (
+	.CLK(brqrv_top_brqrv_exu_i_csr_rs1_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_rs1_d[20]),
+	.Q(brqrv_top_brqrv_exu_csr_rs1_x[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_csr_rs1_x_ff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_exu_i_csr_rs1_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_rs1_d[8]),
+	.Q(brqrv_top_brqrv_exu_csr_rs1_x[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_csr_rs1_x_ff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_exu_i_csr_rs1_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_rs1_d[7]),
+	.Q(brqrv_top_brqrv_exu_csr_rs1_x[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_csr_rs1_x_ff_genblock.dff_dout_reg[19]  (
+	.CLK(brqrv_top_brqrv_exu_i_csr_rs1_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_rs1_d[19]),
+	.Q(brqrv_top_brqrv_exu_csr_rs1_x[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_csr_rs1_x_ff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_exu_i_csr_rs1_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_rs1_d[6]),
+	.Q(brqrv_top_brqrv_exu_csr_rs1_x[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_csr_rs1_x_ff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_exu_i_csr_rs1_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_rs1_d[5]),
+	.Q(brqrv_top_brqrv_exu_csr_rs1_x[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_csr_rs1_x_ff_genblock.dff_dout_reg[25]  (
+	.CLK(brqrv_top_brqrv_exu_i_csr_rs1_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_rs1_d[25]),
+	.Q(brqrv_top_brqrv_exu_csr_rs1_x[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_csr_rs1_x_ff_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_exu_i_csr_rs1_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_rs1_d[18]),
+	.Q(brqrv_top_brqrv_exu_csr_rs1_x[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_csr_rs1_x_ff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_exu_i_csr_rs1_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_rs1_d[4]),
+	.Q(brqrv_top_brqrv_exu_csr_rs1_x[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_csr_rs1_x_ff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_exu_i_csr_rs1_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_rs1_d[3]),
+	.Q(brqrv_top_brqrv_exu_csr_rs1_x[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_csr_rs1_x_ff_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_exu_i_csr_rs1_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_rs1_d[17]),
+	.Q(brqrv_top_brqrv_exu_csr_rs1_x[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_csr_rs1_x_ff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_exu_i_csr_rs1_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_rs1_d[2]),
+	.Q(brqrv_top_brqrv_exu_csr_rs1_x[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_csr_rs1_x_ff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_exu_i_csr_rs1_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_rs1_d[9]),
+	.Q(brqrv_top_brqrv_exu_csr_rs1_x[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_a_ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_enable ),
+	.GCLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_a_ff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_a_ff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_a_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_in [13]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_a_ff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_a_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_in [1]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_a_ff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_a_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_in [2]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_a_ff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_a_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_in [3]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_a_ff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_a_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_in [4]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_a_ff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_a_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_in [5]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_a_ff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_a_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_in [6]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_a_ff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_a_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_in [7]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_a_ff_genblock.dff_dout_reg[25]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_a_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_in [25]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_a_ff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_a_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_in [8]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_a_ff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_a_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_in [9]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_a_ff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_a_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_in [10]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_a_ff_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_a_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_in [21]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_a_ff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_a_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_in [11]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_a_ff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_a_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_in [12]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_a_ff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_a_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_in [0]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_a_ff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_a_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_in [14]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_a_ff_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_a_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_in [15]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_a_ff_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_a_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_in [16]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_a_ff_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_a_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_in [17]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_a_ff_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_a_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_in [18]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_a_ff_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_a_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_in [19]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_a_ff_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_a_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_in [20]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_a_ff_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_a_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_in [22]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_a_ff_genblock.dff_dout_reg[23]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_a_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_in [23]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_a_ff_genblock.dff_dout_reg[24]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_a_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_in [24]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_a_ff_genblock.dff_dout_reg[26]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_a_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_in [26]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_a_ff_genblock.dff_dout_reg[27]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_a_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_in [27]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_a_ff_genblock.dff_dout_reg[28]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_a_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_in [28]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_a_ff_genblock.dff_dout_reg[29]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_a_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_in [29]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_a_ff_genblock.dff_dout_reg[30]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_a_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_in [30]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_a_ff_genblock.dff_dout_reg[31]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_a_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_in [31]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_a_ff_genblock.dff_dout_reg[32]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_a_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_in [32]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [32]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_b_ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_enable ),
+	.GCLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_b_ff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_b_ff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_b_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_in [13]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_b_ff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_b_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_in [1]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_b_ff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_b_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_in [2]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_b_ff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_b_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_in [3]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_b_ff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_b_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_in [4]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_b_ff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_b_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_in [5]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_b_ff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_b_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_in [6]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_b_ff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_b_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_in [7]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_b_ff_genblock.dff_dout_reg[25]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_b_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_in [25]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_b_ff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_b_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_in [8]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_b_ff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_b_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_in [9]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_b_ff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_b_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_in [10]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_b_ff_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_b_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_in [21]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_b_ff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_b_ff_l1clk ),
+	.D(n_42165),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_b_ff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_b_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_in [12]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_b_ff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_b_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_in [0]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_b_ff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_b_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_in [14]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_b_ff_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_b_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_in [15]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_b_ff_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_b_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_in [16]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_b_ff_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_b_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_in [17]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_b_ff_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_b_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_in [18]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_b_ff_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_b_ff_l1clk ),
+	.D(n_42164),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_b_ff_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_b_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_in [20]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_b_ff_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_b_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_in [22]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_b_ff_genblock.dff_dout_reg[23]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_b_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_in [23]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_b_ff_genblock.dff_dout_reg[24]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_b_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_in [24]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_b_ff_genblock.dff_dout_reg[26]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_b_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_in [26]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_b_ff_genblock.dff_dout_reg[27]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_b_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_in [27]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_b_ff_genblock.dff_dout_reg[28]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_b_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_in [28]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_b_ff_genblock.dff_dout_reg[29]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_b_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_in [29]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_b_ff_genblock.dff_dout_reg[30]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_b_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_in [30]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_b_ff_genblock.dff_dout_reg[31]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_b_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_in [31]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_2 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_b_ff_genblock.dff_dout_reg[32]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_b_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_in [32]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [33]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_misc_ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_misc_enable ),
+	.GCLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_misc_ff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_misc_ff_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_misc_ff_l1clk ),
+	.D(brqrv_top_brqrv_div_p[2]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_valid_ff ),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_misc_ff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_misc_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_finish ),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_finish_ff ),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_misc_ff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_misc_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_count_in [1]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_count_ff [1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_misc_ff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_misc_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_count_in [2]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_count_ff [2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_misc_ff_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_misc_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_control_in [0]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_control_ff [0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_misc_ff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_misc_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_count_in [3]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_count_ff [3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_misc_ff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_misc_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_count_in [4]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_count_ff [4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_misc_ff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_misc_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_count_in [5]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_count_ff [5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_misc_ff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_misc_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_count_in [6]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_count_ff [6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_misc_ff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_misc_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_count_in [0]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_count_ff [0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_misc_ff_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_misc_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_control_in [2]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_control_ff [2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_misc_ff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_misc_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift [1]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_2 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_misc_ff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_misc_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift [2]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_misc_ff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_misc_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift [3]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_misc_ff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_misc_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift [4]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_misc_ff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_misc_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable ),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable_ff ),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_misc_ff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_misc_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_by_zero_case ),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_by_zero_case_ff ),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_misc_ff_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_misc_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_control_in [1]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_control_ff [1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_2 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_misc_ff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_misc_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift [0]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_q_ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_rq_enable ),
+	.GCLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_q_ff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_q_ff_genblock.dff_dout_reg[28]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_q_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_in [28]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_q_ff_genblock.dff_dout_reg[27]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_q_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_in [27]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_q_ff_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_q_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_in [15]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_q_ff_genblock.dff_dout_reg[26]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_q_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_in [26]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_q_ff_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_q_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_in [16]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_q_ff_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_q_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_in [20]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_q_ff_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_q_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_in [19]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_q_ff_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_q_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_in [17]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_q_ff_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_q_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_in [21]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_q_ff_genblock.dff_dout_reg[23]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_q_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_in [23]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_q_ff_genblock.dff_dout_reg[30]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_q_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_in [30]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_q_ff_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_q_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_in [22]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_q_ff_genblock.dff_dout_reg[24]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_q_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_in [24]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_q_ff_genblock.dff_dout_reg[25]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_q_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_in [25]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_q_ff_genblock.dff_dout_reg[29]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_q_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_in [29]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_q_ff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_q_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_in [0]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_q_ff_genblock.dff_dout_reg[31]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_q_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_in [31]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_q_ff_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_q_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_in [18]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_q_ff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_q_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_in [1]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_q_ff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_q_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_in [2]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_q_ff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_q_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_in [3]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_q_ff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_q_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_in [8]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_q_ff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_q_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_in [4]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_q_ff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_q_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_in [5]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_q_ff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_q_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_in [6]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_q_ff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_q_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_in [7]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_q_ff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_q_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_in [11]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_q_ff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_q_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_in [9]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_q_ff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_q_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_in [10]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_q_ff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_q_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_in [12]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_q_ff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_q_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_in [13]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_q_ff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_q_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_in [14]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_r_ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_rq_enable ),
+	.GCLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_r_ff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_r_ff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_r_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_in [13]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_r_ff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_r_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_in [1]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_r_ff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_r_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_in [2]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_r_ff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_r_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_in [3]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_r_ff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_r_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_in [4]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_r_ff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_r_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_in [5]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_r_ff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_r_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_in [6]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_r_ff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_r_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_in [7]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_r_ff_genblock.dff_dout_reg[25]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_r_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_in [25]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_r_ff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_r_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_in [8]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_r_ff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_r_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_in [9]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_r_ff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_r_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_in [10]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_r_ff_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_r_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_in [21]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_r_ff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_r_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_in [11]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_r_ff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_r_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_in [12]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_r_ff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_r_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_in [0]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_r_ff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_r_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_in [14]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_r_ff_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_r_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_in [15]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_r_ff_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_r_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_in [16]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_r_ff_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_r_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_in [17]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_r_ff_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_r_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_in [18]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_r_ff_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_r_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_in [19]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_r_ff_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_r_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_in [20]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_r_ff_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_r_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_in [22]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_r_ff_genblock.dff_dout_reg[23]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_r_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_in [23]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_r_ff_genblock.dff_dout_reg[24]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_r_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_in [24]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_r_ff_genblock.dff_dout_reg[26]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_r_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_in [26]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_r_ff_genblock.dff_dout_reg[27]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_r_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_in [27]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_r_ff_genblock.dff_dout_reg[28]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_r_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_in [28]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_r_ff_genblock.dff_dout_reg[29]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_r_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_in [29]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_r_ff_genblock.dff_dout_reg[30]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_r_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_in [30]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_r_ff_genblock.dff_dout_reg[31]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_r_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_in [31]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_r_ff_genblock.dff_dout_reg[32]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_r_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_in [32]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [32]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_exu_i_flush_path_x_ff_dff_dff_extra_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_data_en[1]),
+	.GCLK(brqrv_top_brqrv_exu_i_flush_path_x_ff_dff_dff_extra_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_flush_path_x_ff_dff_dff_extra_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_exu_i_flush_path_x_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_flush_path_d[12]),
+	.Q(brqrv_top_brqrv_exu_i0_flush_path_x[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_flush_path_x_ff_dff_dff_extra_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_exu_i_flush_path_x_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_flush_path_d[11]),
+	.Q(brqrv_top_brqrv_exu_i0_flush_path_x[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_flush_path_x_ff_dff_dff_extra_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_exu_i_flush_path_x_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_flush_path_d[9]),
+	.Q(brqrv_top_brqrv_exu_i0_flush_path_x[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_flush_path_x_ff_dff_dff_extra_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_exu_i_flush_path_x_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_flush_path_d[5]),
+	.Q(brqrv_top_brqrv_exu_i0_flush_path_x[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_flush_path_x_ff_dff_dff_extra_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_exu_i_flush_path_x_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_flush_path_d[4]),
+	.Q(brqrv_top_brqrv_exu_i0_flush_path_x[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_flush_path_x_ff_dff_dff_extra_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_exu_i_flush_path_x_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_flush_path_d[8]),
+	.Q(brqrv_top_brqrv_exu_i0_flush_path_x[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_flush_path_x_ff_dff_dff_extra_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_exu_i_flush_path_x_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_flush_path_d[3]),
+	.Q(brqrv_top_brqrv_exu_i0_flush_path_x[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_flush_path_x_ff_dff_dff_extra_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_exu_i_flush_path_x_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_flush_path_d[2]),
+	.Q(brqrv_top_brqrv_exu_i0_flush_path_x[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_flush_path_x_ff_dff_dff_extra_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_exu_i_flush_path_x_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_flush_path_d[10]),
+	.Q(brqrv_top_brqrv_exu_i0_flush_path_x[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_flush_path_x_ff_dff_dff_extra_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_exu_i_flush_path_x_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_flush_path_d[7]),
+	.Q(brqrv_top_brqrv_exu_i0_flush_path_x[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_flush_path_x_ff_dff_dff_extra_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_exu_i_flush_path_x_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_flush_path_d[1]),
+	.Q(brqrv_top_brqrv_exu_i0_flush_path_x[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_flush_path_x_ff_dff_dff_extra_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_exu_i_flush_path_x_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_flush_path_d[6]),
+	.Q(brqrv_top_brqrv_exu_i0_flush_path_x[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_exu_i_flush_path_x_ff_dff_dff_left_dff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_exu_i_flush_path_x_ff_dff_dff_left_final_en),
+	.GCLK(brqrv_top_brqrv_exu_i_flush_path_x_ff_dff_dff_left_dff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_flush_path_x_ff_dff_dff_left_dff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_exu_i_flush_path_x_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_flush_path_d[13]),
+	.Q(brqrv_top_brqrv_exu_i0_flush_path_x[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_flush_path_x_ff_dff_dff_left_dff_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_exu_i_flush_path_x_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_flush_path_d[30]),
+	.Q(brqrv_top_brqrv_exu_i0_flush_path_x[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_flush_path_x_ff_dff_dff_left_dff_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_exu_i_flush_path_x_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_flush_path_d[28]),
+	.Q(brqrv_top_brqrv_exu_i0_flush_path_x[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_flush_path_x_ff_dff_dff_left_dff_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_exu_i_flush_path_x_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_flush_path_d[24]),
+	.Q(brqrv_top_brqrv_exu_i0_flush_path_x[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_flush_path_x_ff_dff_dff_left_dff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_exu_i_flush_path_x_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_flush_path_d[16]),
+	.Q(brqrv_top_brqrv_exu_i0_flush_path_x[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_flush_path_x_ff_dff_dff_left_dff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_exu_i_flush_path_x_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_flush_path_d[15]),
+	.Q(brqrv_top_brqrv_exu_i0_flush_path_x[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_flush_path_x_ff_dff_dff_left_dff_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_exu_i_flush_path_x_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_flush_path_d[23]),
+	.Q(brqrv_top_brqrv_exu_i0_flush_path_x[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_flush_path_x_ff_dff_dff_left_dff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_exu_i_flush_path_x_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_flush_path_d[14]),
+	.Q(brqrv_top_brqrv_exu_i0_flush_path_x[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_flush_path_x_ff_dff_dff_left_dff_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_exu_i_flush_path_x_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_flush_path_d[31]),
+	.Q(brqrv_top_brqrv_exu_i0_flush_path_x[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_flush_path_x_ff_dff_dff_left_dff_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_exu_i_flush_path_x_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_flush_path_d[27]),
+	.Q(brqrv_top_brqrv_exu_i0_flush_path_x[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_flush_path_x_ff_dff_dff_left_dff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_exu_i_flush_path_x_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_flush_path_d[22]),
+	.Q(brqrv_top_brqrv_exu_i0_flush_path_x[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_flush_path_x_ff_dff_dff_left_dff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_exu_i_flush_path_x_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_flush_path_d[21]),
+	.Q(brqrv_top_brqrv_exu_i0_flush_path_x[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_flush_path_x_ff_dff_dff_left_dff_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_exu_i_flush_path_x_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_flush_path_d[29]),
+	.Q(brqrv_top_brqrv_exu_i0_flush_path_x[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_flush_path_x_ff_dff_dff_left_dff_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_exu_i_flush_path_x_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_flush_path_d[26]),
+	.Q(brqrv_top_brqrv_exu_i0_flush_path_x[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_flush_path_x_ff_dff_dff_left_dff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_exu_i_flush_path_x_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_flush_path_d[20]),
+	.Q(brqrv_top_brqrv_exu_i0_flush_path_x[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_flush_path_x_ff_dff_dff_left_dff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_exu_i_flush_path_x_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_flush_path_d[19]),
+	.Q(brqrv_top_brqrv_exu_i0_flush_path_x[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_flush_path_x_ff_dff_dff_left_dff_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_exu_i_flush_path_x_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_flush_path_d[25]),
+	.Q(brqrv_top_brqrv_exu_i0_flush_path_x[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_flush_path_x_ff_dff_dff_left_dff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_exu_i_flush_path_x_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_flush_path_d[18]),
+	.Q(brqrv_top_brqrv_exu_i0_flush_path_x[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_flush_path_x_ff_dff_dff_left_dff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_exu_i_flush_path_x_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_flush_path_d[17]),
+	.Q(brqrv_top_brqrv_exu_i0_flush_path_x[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o41a_1 brqrv_top_brqrv_exu_i_flush_r_ff_g1120__7482 (
+	.A1(brqrv_top_brqrv_exu_i_flush_r_ff_n_16),
+	.A2(brqrv_top_brqrv_exu_i_flush_r_ff_n_11),
+	.A3(brqrv_top_brqrv_exu_i_flush_r_ff_n_15),
+	.A4(brqrv_top_brqrv_exu_i_flush_r_ff_n_23),
+	.B1(brqrv_top_brqrv_dec_data_en[0]),
+	.X(brqrv_top_brqrv_exu_i_flush_r_ff_dff_dff_left_final_en), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 brqrv_top_brqrv_exu_i_flush_r_ff_g1121__4733 (
+	.A(brqrv_top_brqrv_exu_i_flush_r_ff_n_20),
+	.B(brqrv_top_brqrv_exu_i_flush_r_ff_n_21),
+	.C(brqrv_top_brqrv_exu_i_flush_r_ff_n_19),
+	.D(brqrv_top_brqrv_exu_i_flush_r_ff_n_22),
+	.Y(brqrv_top_brqrv_exu_i_flush_r_ff_n_23), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 brqrv_top_brqrv_exu_i_flush_r_ff_g1122__6161 (
+	.A(brqrv_top_brqrv_exu_i_flush_r_ff_n_12),
+	.B(brqrv_top_brqrv_exu_i_flush_r_ff_n_9),
+	.C(brqrv_top_brqrv_exu_i_flush_r_ff_n_8),
+	.D(brqrv_top_brqrv_exu_i_flush_r_ff_n_13),
+	.Y(brqrv_top_brqrv_exu_i_flush_r_ff_n_22), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 brqrv_top_brqrv_exu_i_flush_r_ff_g1123__9315 (
+	.A(brqrv_top_brqrv_exu_i_flush_r_ff_n_0),
+	.B(brqrv_top_brqrv_exu_i_flush_r_ff_n_14),
+	.C(brqrv_top_brqrv_exu_i_flush_r_ff_n_18),
+	.D(brqrv_top_brqrv_exu_i_flush_r_ff_n_10),
+	.Y(brqrv_top_brqrv_exu_i_flush_r_ff_n_21), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 brqrv_top_brqrv_exu_i_flush_r_ff_g1124__9945 (
+	.A(brqrv_top_brqrv_exu_i_flush_r_ff_n_4),
+	.B(brqrv_top_brqrv_exu_i_flush_r_ff_n_1),
+	.C(brqrv_top_brqrv_exu_i_flush_r_ff_n_17),
+	.D(brqrv_top_brqrv_exu_i_flush_r_ff_n_6),
+	.Y(brqrv_top_brqrv_exu_i_flush_r_ff_n_20), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 brqrv_top_brqrv_exu_i_flush_r_ff_g1125__2883 (
+	.A(brqrv_top_brqrv_exu_i_flush_r_ff_n_5),
+	.B(brqrv_top_brqrv_exu_i_flush_r_ff_n_3),
+	.C(brqrv_top_brqrv_exu_i_flush_r_ff_n_2),
+	.D(brqrv_top_brqrv_exu_i_flush_r_ff_n_7),
+	.Y(brqrv_top_brqrv_exu_i_flush_r_ff_n_19), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_flush_r_ff_g1126__2346 (
+	.A(brqrv_top_brqrv_exu_i0_flush_path_x[21]),
+	.B(brqrv_top_brqrv_exu_i0_flush_path_upper_r[21]),
+	.X(brqrv_top_brqrv_exu_i_flush_r_ff_n_18), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_flush_r_ff_g1127__1666 (
+	.A(brqrv_top_brqrv_exu_i0_flush_path_x[16]),
+	.B(brqrv_top_brqrv_exu_i0_flush_path_upper_r[16]),
+	.X(brqrv_top_brqrv_exu_i_flush_r_ff_n_17), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_flush_r_ff_g1128__7410 (
+	.A(brqrv_top_brqrv_exu_i0_flush_path_x[14]),
+	.B(brqrv_top_brqrv_exu_i0_flush_path_upper_r[14]),
+	.X(brqrv_top_brqrv_exu_i_flush_r_ff_n_16), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_flush_r_ff_g1129__6417 (
+	.A(brqrv_top_brqrv_exu_i0_flush_path_x[13]),
+	.B(brqrv_top_brqrv_exu_i0_flush_path_upper_r[13]),
+	.X(brqrv_top_brqrv_exu_i_flush_r_ff_n_15), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_flush_r_ff_g1130__5477 (
+	.A(brqrv_top_brqrv_exu_i0_flush_path_x[22]),
+	.B(brqrv_top_brqrv_exu_i0_flush_path_upper_r[22]),
+	.X(brqrv_top_brqrv_exu_i_flush_r_ff_n_14), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_flush_r_ff_g1131__2398 (
+	.A(brqrv_top_brqrv_exu_i0_flush_path_x[31]),
+	.B(brqrv_top_brqrv_exu_i0_flush_path_upper_r[31]),
+	.X(brqrv_top_brqrv_exu_i_flush_r_ff_n_13), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_flush_r_ff_g1132__5107 (
+	.A(brqrv_top_brqrv_exu_i0_flush_path_x[30]),
+	.B(brqrv_top_brqrv_exu_i0_flush_path_upper_r[30]),
+	.X(brqrv_top_brqrv_exu_i_flush_r_ff_n_12), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_flush_r_ff_g1133__6260 (
+	.A(brqrv_top_brqrv_exu_i0_flush_path_x[15]),
+	.B(brqrv_top_brqrv_exu_i0_flush_path_upper_r[15]),
+	.X(brqrv_top_brqrv_exu_i_flush_r_ff_n_11), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 brqrv_top_brqrv_exu_i_flush_r_ff_g1134__4319 (
+	.A1_N(brqrv_top_brqrv_exu_i0_flush_path_x[23]),
+	.A2_N(brqrv_top_brqrv_exu_i0_flush_path_upper_r[23]),
+	.B1(brqrv_top_brqrv_exu_i0_flush_path_x[23]),
+	.B2(brqrv_top_brqrv_exu_i0_flush_path_upper_r[23]),
+	.Y(brqrv_top_brqrv_exu_i_flush_r_ff_n_10), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_flush_r_ff_g1135__8428 (
+	.A(brqrv_top_brqrv_exu_i0_flush_path_x[29]),
+	.B(brqrv_top_brqrv_exu_i0_flush_path_upper_r[29]),
+	.X(brqrv_top_brqrv_exu_i_flush_r_ff_n_9), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_flush_r_ff_g1136__5526 (
+	.A(brqrv_top_brqrv_exu_i0_flush_path_x[28]),
+	.B(brqrv_top_brqrv_exu_i0_flush_path_upper_r[28]),
+	.X(brqrv_top_brqrv_exu_i_flush_r_ff_n_8), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_flush_r_ff_g1137__6783 (
+	.A(brqrv_top_brqrv_exu_i0_flush_path_x[27]),
+	.B(brqrv_top_brqrv_exu_i0_flush_path_upper_r[27]),
+	.X(brqrv_top_brqrv_exu_i_flush_r_ff_n_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_flush_r_ff_g1138__3680 (
+	.A(brqrv_top_brqrv_exu_i0_flush_path_x[18]),
+	.B(brqrv_top_brqrv_exu_i0_flush_path_upper_r[18]),
+	.X(brqrv_top_brqrv_exu_i_flush_r_ff_n_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_flush_r_ff_g1139__1617 (
+	.A(brqrv_top_brqrv_exu_i0_flush_path_x[26]),
+	.B(brqrv_top_brqrv_exu_i0_flush_path_upper_r[26]),
+	.X(brqrv_top_brqrv_exu_i_flush_r_ff_n_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_flush_r_ff_g1140__2802 (
+	.A(brqrv_top_brqrv_exu_i0_flush_path_x[17]),
+	.B(brqrv_top_brqrv_exu_i0_flush_path_upper_r[17]),
+	.X(brqrv_top_brqrv_exu_i_flush_r_ff_n_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_flush_r_ff_g1141__1705 (
+	.A(brqrv_top_brqrv_exu_i0_flush_path_x[25]),
+	.B(brqrv_top_brqrv_exu_i0_flush_path_upper_r[25]),
+	.X(brqrv_top_brqrv_exu_i_flush_r_ff_n_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_flush_r_ff_g1142__5122 (
+	.A(brqrv_top_brqrv_exu_i0_flush_path_x[24]),
+	.B(brqrv_top_brqrv_exu_i0_flush_path_upper_r[24]),
+	.X(brqrv_top_brqrv_exu_i_flush_r_ff_n_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_flush_r_ff_g1143__8246 (
+	.A(brqrv_top_brqrv_exu_i0_flush_path_x[19]),
+	.B(brqrv_top_brqrv_exu_i0_flush_path_upper_r[19]),
+	.X(brqrv_top_brqrv_exu_i_flush_r_ff_n_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_flush_r_ff_g1144__7098 (
+	.A(brqrv_top_brqrv_exu_i0_flush_path_x[20]),
+	.B(brqrv_top_brqrv_exu_i0_flush_path_upper_r[20]),
+	.X(brqrv_top_brqrv_exu_i_flush_r_ff_n_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_exu_i_flush_r_ff_dff_dff_extra_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_data_en[0]),
+	.GCLK(brqrv_top_brqrv_exu_i_flush_r_ff_dff_dff_extra_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_flush_r_ff_dff_dff_extra_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_exu_i_flush_r_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_flush_path_x[1]),
+	.Q(brqrv_top_brqrv_exu_i0_flush_path_upper_r[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_flush_r_ff_dff_dff_extra_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_exu_i_flush_r_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_flush_path_x[12]),
+	.Q(brqrv_top_brqrv_exu_i0_flush_path_upper_r[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_flush_r_ff_dff_dff_extra_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_exu_i_flush_r_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_flush_path_x[5]),
+	.Q(brqrv_top_brqrv_exu_i0_flush_path_upper_r[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_flush_r_ff_dff_dff_extra_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_exu_i_flush_r_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_flush_path_x[6]),
+	.Q(brqrv_top_brqrv_exu_i0_flush_path_upper_r[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_flush_r_ff_dff_dff_extra_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_exu_i_flush_r_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_flush_path_x[7]),
+	.Q(brqrv_top_brqrv_exu_i0_flush_path_upper_r[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_flush_r_ff_dff_dff_extra_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_exu_i_flush_r_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_flush_path_x[8]),
+	.Q(brqrv_top_brqrv_exu_i0_flush_path_upper_r[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_flush_r_ff_dff_dff_extra_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_exu_i_flush_r_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_flush_path_x[9]),
+	.Q(brqrv_top_brqrv_exu_i0_flush_path_upper_r[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_flush_r_ff_dff_dff_extra_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_exu_i_flush_r_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_flush_path_x[10]),
+	.Q(brqrv_top_brqrv_exu_i0_flush_path_upper_r[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_flush_r_ff_dff_dff_extra_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_exu_i_flush_r_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_flush_path_x[11]),
+	.Q(brqrv_top_brqrv_exu_i0_flush_path_upper_r[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_flush_r_ff_dff_dff_extra_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_exu_i_flush_r_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_flush_path_x[2]),
+	.Q(brqrv_top_brqrv_exu_i0_flush_path_upper_r[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_flush_r_ff_dff_dff_extra_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_exu_i_flush_r_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_flush_path_x[3]),
+	.Q(brqrv_top_brqrv_exu_i0_flush_path_upper_r[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_flush_r_ff_dff_dff_extra_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_exu_i_flush_r_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_flush_path_x[4]),
+	.Q(brqrv_top_brqrv_exu_i0_flush_path_upper_r[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_exu_i_flush_r_ff_dff_dff_left_dff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_exu_i_flush_r_ff_dff_dff_left_final_en),
+	.GCLK(brqrv_top_brqrv_exu_i_flush_r_ff_dff_dff_left_dff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_flush_r_ff_dff_dff_left_dff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_exu_i_flush_r_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_flush_path_x[19]),
+	.Q(brqrv_top_brqrv_exu_i0_flush_path_upper_r[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_flush_r_ff_dff_dff_left_dff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_exu_i_flush_r_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_flush_path_x[14]),
+	.Q(brqrv_top_brqrv_exu_i0_flush_path_upper_r[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_flush_r_ff_dff_dff_left_dff_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_exu_i_flush_r_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_flush_path_x[30]),
+	.Q(brqrv_top_brqrv_exu_i0_flush_path_upper_r[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_flush_r_ff_dff_dff_left_dff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_exu_i_flush_r_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_flush_path_x[15]),
+	.Q(brqrv_top_brqrv_exu_i0_flush_path_upper_r[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_flush_r_ff_dff_dff_left_dff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_exu_i_flush_r_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_flush_path_x[16]),
+	.Q(brqrv_top_brqrv_exu_i0_flush_path_upper_r[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_flush_r_ff_dff_dff_left_dff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_exu_i_flush_r_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_flush_path_x[17]),
+	.Q(brqrv_top_brqrv_exu_i0_flush_path_upper_r[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_flush_r_ff_dff_dff_left_dff_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_exu_i_flush_r_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_flush_path_x[26]),
+	.Q(brqrv_top_brqrv_exu_i0_flush_path_upper_r[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_flush_r_ff_dff_dff_left_dff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_exu_i_flush_r_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_flush_path_x[18]),
+	.Q(brqrv_top_brqrv_exu_i0_flush_path_upper_r[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_flush_r_ff_dff_dff_left_dff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_exu_i_flush_r_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_flush_path_x[13]),
+	.Q(brqrv_top_brqrv_exu_i0_flush_path_upper_r[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_flush_r_ff_dff_dff_left_dff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_exu_i_flush_r_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_flush_path_x[20]),
+	.Q(brqrv_top_brqrv_exu_i0_flush_path_upper_r[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_flush_r_ff_dff_dff_left_dff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_exu_i_flush_r_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_flush_path_x[21]),
+	.Q(brqrv_top_brqrv_exu_i0_flush_path_upper_r[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_flush_r_ff_dff_dff_left_dff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_exu_i_flush_r_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_flush_path_x[22]),
+	.Q(brqrv_top_brqrv_exu_i0_flush_path_upper_r[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_flush_r_ff_dff_dff_left_dff_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_exu_i_flush_r_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_flush_path_x[23]),
+	.Q(brqrv_top_brqrv_exu_i0_flush_path_upper_r[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_flush_r_ff_dff_dff_left_dff_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_exu_i_flush_r_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_flush_path_x[24]),
+	.Q(brqrv_top_brqrv_exu_i0_flush_path_upper_r[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_flush_r_ff_dff_dff_left_dff_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_exu_i_flush_r_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_flush_path_x[25]),
+	.Q(brqrv_top_brqrv_exu_i0_flush_path_upper_r[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_flush_r_ff_dff_dff_left_dff_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_exu_i_flush_r_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_flush_path_x[27]),
+	.Q(brqrv_top_brqrv_exu_i0_flush_path_upper_r[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_flush_r_ff_dff_dff_left_dff_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_exu_i_flush_r_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_flush_path_x[28]),
+	.Q(brqrv_top_brqrv_exu_i0_flush_path_upper_r[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_flush_r_ff_dff_dff_left_dff_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_exu_i_flush_r_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_flush_path_x[29]),
+	.Q(brqrv_top_brqrv_exu_i0_flush_path_upper_r[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_flush_r_ff_dff_dff_left_dff_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_exu_i_flush_r_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_flush_path_x[31]),
+	.Q(brqrv_top_brqrv_exu_i0_flush_path_upper_r[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 brqrv_top_brqrv_exu_i_misc_ff_clkhdr_clkhdr (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_exu_i_misc_ff_en),
+	.GCLK(brqrv_top_brqrv_exu_i_misc_ff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_misc_ff_dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_exu_i_misc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_i0_branch_d),
+	.Q(brqrv_top_brqrv_exu_i0_branch_x),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_misc_ff_dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_exu_i_misc_ff_l1clk),
+	.D(brqrv_top_brqrv_mul_p[19]),
+	.Q(brqrv_top_brqrv_exu_mul_valid_x),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_misc_ff_dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_exu_i_misc_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_ghr_d_ns[0]),
+	.Q(brqrv_top_brqrv_exu_ghr_d[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_misc_ff_dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_exu_i_misc_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_ghr_d_ns[1]),
+	.Q(brqrv_top_brqrv_exu_ghr_d[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_misc_ff_dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_exu_i_misc_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_ghr_d_ns[5]),
+	.Q(brqrv_top_brqrv_exu_ghr_d[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_misc_ff_dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_exu_i_misc_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_ghr_d_ns[4]),
+	.Q(brqrv_top_brqrv_exu_ghr_d[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_misc_ff_dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_exu_i_misc_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_ghr_d_ns[3]),
+	.Q(brqrv_top_brqrv_exu_ghr_d[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_misc_ff_dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_exu_i_misc_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_ghr_d_ns[2]),
+	.Q(brqrv_top_brqrv_exu_ghr_d[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_misc_ff_dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_exu_i_misc_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_ghr_d_ns[6]),
+	.Q(brqrv_top_brqrv_exu_ghr_d[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_exu_i_mul_i_a_x_ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_mul_p[19]),
+	.GCLK(brqrv_top_brqrv_exu_i_mul_i_a_x_ff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_mul_i_a_x_ff_genblock.dff_dout_reg[33]  (
+	.CLK(brqrv_top_brqrv_exu_i_mul_i_a_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_n_254),
+	.Q(brqrv_top_brqrv_exu_i_mul_low_x),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_mul_i_a_x_ff_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_exu_i_mul_i_a_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_mul_rs1_ext_in[13]),
+	.Q(brqrv_top_brqrv_exu_i_mul_rs1_x[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_mul_i_a_x_ff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_exu_i_mul_i_a_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_mul_rs1_ext_in[1]),
+	.Q(brqrv_top_brqrv_exu_i_mul_rs1_x[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_mul_i_a_x_ff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_exu_i_mul_i_a_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_mul_rs1_ext_in[2]),
+	.Q(brqrv_top_brqrv_exu_i_mul_rs1_x[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_mul_i_a_x_ff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_exu_i_mul_i_a_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_mul_rs1_ext_in[3]),
+	.Q(brqrv_top_brqrv_exu_i_mul_rs1_x[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_mul_i_a_x_ff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_exu_i_mul_i_a_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_mul_rs1_ext_in[4]),
+	.Q(brqrv_top_brqrv_exu_i_mul_rs1_x[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_mul_i_a_x_ff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_exu_i_mul_i_a_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_mul_rs1_ext_in[5]),
+	.Q(brqrv_top_brqrv_exu_i_mul_rs1_x[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_mul_i_a_x_ff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_exu_i_mul_i_a_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_mul_rs1_ext_in[6]),
+	.Q(brqrv_top_brqrv_exu_i_mul_rs1_x[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_mul_i_a_x_ff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_exu_i_mul_i_a_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_mul_rs1_ext_in[7]),
+	.Q(brqrv_top_brqrv_exu_i_mul_rs1_x[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_mul_i_a_x_ff_genblock.dff_dout_reg[25]  (
+	.CLK(brqrv_top_brqrv_exu_i_mul_i_a_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_mul_rs1_ext_in[25]),
+	.Q(brqrv_top_brqrv_exu_i_mul_rs1_x[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_mul_i_a_x_ff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_exu_i_mul_i_a_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_mul_rs1_ext_in[8]),
+	.Q(brqrv_top_brqrv_exu_i_mul_rs1_x[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_mul_i_a_x_ff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_exu_i_mul_i_a_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_mul_rs1_ext_in[9]),
+	.Q(brqrv_top_brqrv_exu_i_mul_rs1_x[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_mul_i_a_x_ff_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_exu_i_mul_i_a_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_mul_rs1_ext_in[10]),
+	.Q(brqrv_top_brqrv_exu_i_mul_rs1_x[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_mul_i_a_x_ff_genblock.dff_dout_reg[21]  (
+	.CLK(brqrv_top_brqrv_exu_i_mul_i_a_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_mul_rs1_ext_in[21]),
+	.Q(brqrv_top_brqrv_exu_i_mul_rs1_x[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_mul_i_a_x_ff_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_exu_i_mul_i_a_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_mul_rs1_ext_in[11]),
+	.Q(brqrv_top_brqrv_exu_i_mul_rs1_x[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_mul_i_a_x_ff_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_exu_i_mul_i_a_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_mul_rs1_ext_in[12]),
+	.Q(brqrv_top_brqrv_exu_i_mul_rs1_x[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_mul_i_a_x_ff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_exu_i_mul_i_a_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_mul_rs1_ext_in[0]),
+	.Q(brqrv_top_brqrv_exu_i_mul_rs1_x[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_mul_i_a_x_ff_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_exu_i_mul_i_a_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_mul_rs1_ext_in[14]),
+	.Q(brqrv_top_brqrv_exu_i_mul_rs1_x[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_mul_i_a_x_ff_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_exu_i_mul_i_a_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_mul_rs1_ext_in[15]),
+	.Q(brqrv_top_brqrv_exu_i_mul_rs1_x[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_mul_i_a_x_ff_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_exu_i_mul_i_a_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_mul_rs1_ext_in[16]),
+	.Q(brqrv_top_brqrv_exu_i_mul_rs1_x[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_mul_i_a_x_ff_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_exu_i_mul_i_a_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_mul_rs1_ext_in[17]),
+	.Q(brqrv_top_brqrv_exu_i_mul_rs1_x[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_mul_i_a_x_ff_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_exu_i_mul_i_a_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_mul_rs1_ext_in[18]),
+	.Q(brqrv_top_brqrv_exu_i_mul_rs1_x[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_mul_i_a_x_ff_genblock.dff_dout_reg[19]  (
+	.CLK(brqrv_top_brqrv_exu_i_mul_i_a_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_mul_rs1_ext_in[19]),
+	.Q(brqrv_top_brqrv_exu_i_mul_rs1_x[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_mul_i_a_x_ff_genblock.dff_dout_reg[20]  (
+	.CLK(brqrv_top_brqrv_exu_i_mul_i_a_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_mul_rs1_ext_in[20]),
+	.Q(brqrv_top_brqrv_exu_i_mul_rs1_x[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_mul_i_a_x_ff_genblock.dff_dout_reg[22]  (
+	.CLK(brqrv_top_brqrv_exu_i_mul_i_a_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_mul_rs1_ext_in[22]),
+	.Q(brqrv_top_brqrv_exu_i_mul_rs1_x[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_mul_i_a_x_ff_genblock.dff_dout_reg[23]  (
+	.CLK(brqrv_top_brqrv_exu_i_mul_i_a_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_mul_rs1_ext_in[23]),
+	.Q(brqrv_top_brqrv_exu_i_mul_rs1_x[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_mul_i_a_x_ff_genblock.dff_dout_reg[24]  (
+	.CLK(brqrv_top_brqrv_exu_i_mul_i_a_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_mul_rs1_ext_in[24]),
+	.Q(brqrv_top_brqrv_exu_i_mul_rs1_x[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_mul_i_a_x_ff_genblock.dff_dout_reg[26]  (
+	.CLK(brqrv_top_brqrv_exu_i_mul_i_a_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_mul_rs1_ext_in[26]),
+	.Q(brqrv_top_brqrv_exu_i_mul_rs1_x[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_mul_i_a_x_ff_genblock.dff_dout_reg[27]  (
+	.CLK(brqrv_top_brqrv_exu_i_mul_i_a_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_mul_rs1_ext_in[27]),
+	.Q(brqrv_top_brqrv_exu_i_mul_rs1_x[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_mul_i_a_x_ff_genblock.dff_dout_reg[28]  (
+	.CLK(brqrv_top_brqrv_exu_i_mul_i_a_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_mul_rs1_ext_in[28]),
+	.Q(brqrv_top_brqrv_exu_i_mul_rs1_x[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_mul_i_a_x_ff_genblock.dff_dout_reg[29]  (
+	.CLK(brqrv_top_brqrv_exu_i_mul_i_a_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_mul_rs1_ext_in[29]),
+	.Q(brqrv_top_brqrv_exu_i_mul_rs1_x[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_mul_i_a_x_ff_genblock.dff_dout_reg[30]  (
+	.CLK(brqrv_top_brqrv_exu_i_mul_i_a_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_mul_rs1_ext_in[30]),
+	.Q(brqrv_top_brqrv_exu_i_mul_rs1_x[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_mul_i_a_x_ff_genblock.dff_dout_reg[31]  (
+	.CLK(brqrv_top_brqrv_exu_i_mul_i_a_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_mul_rs1_ext_in[31]),
+	.Q(brqrv_top_brqrv_exu_i_mul_rs1_x[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_mul_i_a_x_ff_genblock.dff_dout_reg[32]  (
+	.CLK(brqrv_top_brqrv_exu_i_mul_i_a_x_ff_l1clk),
+	.D(n_42149),
+	.Q(brqrv_top_brqrv_exu_i_mul_rs1_x[32]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_exu_i_mul_i_b_x_ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_mul_p[19]),
+	.GCLK(brqrv_top_brqrv_exu_i_mul_i_b_x_ff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_mul_i_b_x_ff_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_exu_i_mul_i_b_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_mul_rs2_ext_in[13]),
+	.Q(brqrv_top_brqrv_exu_i_mul_rs2_x[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_mul_i_b_x_ff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_exu_i_mul_i_b_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_mul_rs2_ext_in[1]),
+	.Q(brqrv_top_brqrv_exu_i_mul_rs2_x[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_mul_i_b_x_ff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_exu_i_mul_i_b_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_mul_rs2_ext_in[2]),
+	.Q(brqrv_top_brqrv_exu_i_mul_rs2_x[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_mul_i_b_x_ff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_exu_i_mul_i_b_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_mul_rs2_ext_in[3]),
+	.Q(brqrv_top_brqrv_exu_i_mul_rs2_x[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_mul_i_b_x_ff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_exu_i_mul_i_b_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_mul_rs2_ext_in[4]),
+	.Q(brqrv_top_brqrv_exu_i_mul_rs2_x[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_mul_i_b_x_ff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_exu_i_mul_i_b_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_mul_rs2_ext_in[5]),
+	.Q(brqrv_top_brqrv_exu_i_mul_rs2_x[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_mul_i_b_x_ff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_exu_i_mul_i_b_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_mul_rs2_ext_in[6]),
+	.Q(brqrv_top_brqrv_exu_i_mul_rs2_x[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_mul_i_b_x_ff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_exu_i_mul_i_b_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_mul_rs2_ext_in[7]),
+	.Q(brqrv_top_brqrv_exu_i_mul_rs2_x[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_mul_i_b_x_ff_genblock.dff_dout_reg[25]  (
+	.CLK(brqrv_top_brqrv_exu_i_mul_i_b_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_mul_rs2_ext_in[25]),
+	.Q(brqrv_top_brqrv_exu_i_mul_rs2_x[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_mul_i_b_x_ff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_exu_i_mul_i_b_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_mul_rs2_ext_in[8]),
+	.Q(brqrv_top_brqrv_exu_i_mul_rs2_x[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_mul_i_b_x_ff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_exu_i_mul_i_b_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_mul_rs2_ext_in[9]),
+	.Q(brqrv_top_brqrv_exu_i_mul_rs2_x[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_mul_i_b_x_ff_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_exu_i_mul_i_b_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_mul_rs2_ext_in[10]),
+	.Q(brqrv_top_brqrv_exu_i_mul_rs2_x[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_mul_i_b_x_ff_genblock.dff_dout_reg[21]  (
+	.CLK(brqrv_top_brqrv_exu_i_mul_i_b_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_mul_rs2_ext_in[21]),
+	.Q(brqrv_top_brqrv_exu_i_mul_rs2_x[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_mul_i_b_x_ff_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_exu_i_mul_i_b_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_mul_rs2_ext_in[11]),
+	.Q(brqrv_top_brqrv_exu_i_mul_rs2_x[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_mul_i_b_x_ff_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_exu_i_mul_i_b_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_mul_rs2_ext_in[12]),
+	.Q(brqrv_top_brqrv_exu_i_mul_rs2_x[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_mul_i_b_x_ff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_exu_i_mul_i_b_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_mul_rs2_ext_in[0]),
+	.Q(brqrv_top_brqrv_exu_i_mul_rs2_x[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_mul_i_b_x_ff_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_exu_i_mul_i_b_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_mul_rs2_ext_in[14]),
+	.Q(brqrv_top_brqrv_exu_i_mul_rs2_x[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_mul_i_b_x_ff_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_exu_i_mul_i_b_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_mul_rs2_ext_in[15]),
+	.Q(brqrv_top_brqrv_exu_i_mul_rs2_x[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_mul_i_b_x_ff_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_exu_i_mul_i_b_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_mul_rs2_ext_in[16]),
+	.Q(brqrv_top_brqrv_exu_i_mul_rs2_x[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_mul_i_b_x_ff_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_exu_i_mul_i_b_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_mul_rs2_ext_in[17]),
+	.Q(brqrv_top_brqrv_exu_i_mul_rs2_x[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_mul_i_b_x_ff_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_exu_i_mul_i_b_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_mul_rs2_ext_in[18]),
+	.Q(brqrv_top_brqrv_exu_i_mul_rs2_x[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_mul_i_b_x_ff_genblock.dff_dout_reg[19]  (
+	.CLK(brqrv_top_brqrv_exu_i_mul_i_b_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_mul_rs2_ext_in[19]),
+	.Q(brqrv_top_brqrv_exu_i_mul_rs2_x[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_mul_i_b_x_ff_genblock.dff_dout_reg[20]  (
+	.CLK(brqrv_top_brqrv_exu_i_mul_i_b_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_mul_rs2_ext_in[20]),
+	.Q(brqrv_top_brqrv_exu_i_mul_rs2_x[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_mul_i_b_x_ff_genblock.dff_dout_reg[22]  (
+	.CLK(brqrv_top_brqrv_exu_i_mul_i_b_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_mul_rs2_ext_in[22]),
+	.Q(brqrv_top_brqrv_exu_i_mul_rs2_x[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_mul_i_b_x_ff_genblock.dff_dout_reg[23]  (
+	.CLK(brqrv_top_brqrv_exu_i_mul_i_b_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_mul_rs2_ext_in[23]),
+	.Q(brqrv_top_brqrv_exu_i_mul_rs2_x[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_mul_i_b_x_ff_genblock.dff_dout_reg[24]  (
+	.CLK(brqrv_top_brqrv_exu_i_mul_i_b_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_mul_rs2_ext_in[24]),
+	.Q(brqrv_top_brqrv_exu_i_mul_rs2_x[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_mul_i_b_x_ff_genblock.dff_dout_reg[26]  (
+	.CLK(brqrv_top_brqrv_exu_i_mul_i_b_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_mul_rs2_ext_in[26]),
+	.Q(brqrv_top_brqrv_exu_i_mul_rs2_x[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_mul_i_b_x_ff_genblock.dff_dout_reg[27]  (
+	.CLK(brqrv_top_brqrv_exu_i_mul_i_b_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_mul_rs2_ext_in[27]),
+	.Q(brqrv_top_brqrv_exu_i_mul_rs2_x[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_mul_i_b_x_ff_genblock.dff_dout_reg[28]  (
+	.CLK(brqrv_top_brqrv_exu_i_mul_i_b_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_mul_rs2_ext_in[28]),
+	.Q(brqrv_top_brqrv_exu_i_mul_rs2_x[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_mul_i_b_x_ff_genblock.dff_dout_reg[29]  (
+	.CLK(brqrv_top_brqrv_exu_i_mul_i_b_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_mul_rs2_ext_in[29]),
+	.Q(brqrv_top_brqrv_exu_i_mul_rs2_x[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_mul_i_b_x_ff_genblock.dff_dout_reg[30]  (
+	.CLK(brqrv_top_brqrv_exu_i_mul_i_b_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_mul_rs2_ext_in[30]),
+	.Q(brqrv_top_brqrv_exu_i_mul_rs2_x[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_mul_i_b_x_ff_genblock.dff_dout_reg[31]  (
+	.CLK(brqrv_top_brqrv_exu_i_mul_i_b_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_mul_rs2_ext_in[31]),
+	.Q(brqrv_top_brqrv_exu_i_mul_rs2_x[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_mul_i_b_x_ff_genblock.dff_dout_reg[32]  (
+	.CLK(brqrv_top_brqrv_exu_i_mul_i_b_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_mul_rs2_ext_in[32]),
+	.Q(brqrv_top_brqrv_exu_i_mul_rs2_x[32]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_exu_i_npc_r_ff_dff_dff_extra_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_data_en[0]),
+	.GCLK(brqrv_top_brqrv_exu_i_npc_r_ff_dff_dff_extra_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_npc_r_ff_dff_dff_extra_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_exu_i_npc_r_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_pred_correct_npc_x[3]),
+	.Q(brqrv_top_brqrv_exu_pred_correct_npc_r[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_npc_r_ff_dff_dff_extra_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_exu_i_npc_r_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_pred_correct_npc_x[12]),
+	.Q(brqrv_top_brqrv_exu_pred_correct_npc_r[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_npc_r_ff_dff_dff_extra_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_exu_i_npc_r_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_pred_correct_npc_x[1]),
+	.Q(brqrv_top_brqrv_exu_pred_correct_npc_r[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_npc_r_ff_dff_dff_extra_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_exu_i_npc_r_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_pred_correct_npc_x[7]),
+	.Q(brqrv_top_brqrv_exu_pred_correct_npc_r[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_npc_r_ff_dff_dff_extra_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_exu_i_npc_r_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_pred_correct_npc_x[10]),
+	.Q(brqrv_top_brqrv_exu_pred_correct_npc_r[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_npc_r_ff_dff_dff_extra_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_exu_i_npc_r_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_pred_correct_npc_x[9]),
+	.Q(brqrv_top_brqrv_exu_pred_correct_npc_r[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_npc_r_ff_dff_dff_extra_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_exu_i_npc_r_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_pred_correct_npc_x[8]),
+	.Q(brqrv_top_brqrv_exu_pred_correct_npc_r[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_npc_r_ff_dff_dff_extra_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_exu_i_npc_r_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_pred_correct_npc_x[11]),
+	.Q(brqrv_top_brqrv_exu_pred_correct_npc_r[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_npc_r_ff_dff_dff_extra_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_exu_i_npc_r_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_pred_correct_npc_x[2]),
+	.Q(brqrv_top_brqrv_exu_pred_correct_npc_r[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_npc_r_ff_dff_dff_extra_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_exu_i_npc_r_ff_dff_dff_extra_l1clk),
+	.D(n_43631),
+	.Q(brqrv_top_brqrv_exu_pred_correct_npc_r[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_npc_r_ff_dff_dff_extra_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_exu_i_npc_r_ff_dff_dff_extra_l1clk),
+	.D(n_43629),
+	.Q(brqrv_top_brqrv_exu_pred_correct_npc_r[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_npc_r_ff_dff_dff_extra_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_exu_i_npc_r_ff_dff_dff_extra_l1clk),
+	.D(n_43627),
+	.Q(brqrv_top_brqrv_exu_pred_correct_npc_r[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_exu_i_npc_r_ff_dff_dff_left_dff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_exu_i_npc_r_ff_dff_dff_left_final_en),
+	.GCLK(brqrv_top_brqrv_exu_i_npc_r_ff_dff_dff_left_dff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_npc_r_ff_dff_dff_left_dff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_exu_i_npc_r_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_pred_correct_npc_x[13]),
+	.Q(brqrv_top_brqrv_exu_pred_correct_npc_r[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_npc_r_ff_dff_dff_left_dff_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_exu_i_npc_r_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_pred_correct_npc_x[30]),
+	.Q(brqrv_top_brqrv_exu_pred_correct_npc_r[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_npc_r_ff_dff_dff_left_dff_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_exu_i_npc_r_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_pred_correct_npc_x[28]),
+	.Q(brqrv_top_brqrv_exu_pred_correct_npc_r[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_npc_r_ff_dff_dff_left_dff_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_exu_i_npc_r_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_pred_correct_npc_x[24]),
+	.Q(brqrv_top_brqrv_exu_pred_correct_npc_r[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_npc_r_ff_dff_dff_left_dff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_exu_i_npc_r_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_pred_correct_npc_x[16]),
+	.Q(brqrv_top_brqrv_exu_pred_correct_npc_r[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_npc_r_ff_dff_dff_left_dff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_exu_i_npc_r_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_pred_correct_npc_x[15]),
+	.Q(brqrv_top_brqrv_exu_pred_correct_npc_r[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_npc_r_ff_dff_dff_left_dff_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_exu_i_npc_r_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_pred_correct_npc_x[23]),
+	.Q(brqrv_top_brqrv_exu_pred_correct_npc_r[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_npc_r_ff_dff_dff_left_dff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_exu_i_npc_r_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_pred_correct_npc_x[14]),
+	.Q(brqrv_top_brqrv_exu_pred_correct_npc_r[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_npc_r_ff_dff_dff_left_dff_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_exu_i_npc_r_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_pred_correct_npc_x[31]),
+	.Q(brqrv_top_brqrv_exu_pred_correct_npc_r[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_npc_r_ff_dff_dff_left_dff_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_exu_i_npc_r_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_pred_correct_npc_x[27]),
+	.Q(brqrv_top_brqrv_exu_pred_correct_npc_r[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_npc_r_ff_dff_dff_left_dff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_exu_i_npc_r_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_pred_correct_npc_x[22]),
+	.Q(brqrv_top_brqrv_exu_pred_correct_npc_r[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_npc_r_ff_dff_dff_left_dff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_exu_i_npc_r_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_pred_correct_npc_x[21]),
+	.Q(brqrv_top_brqrv_exu_pred_correct_npc_r[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_npc_r_ff_dff_dff_left_dff_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_exu_i_npc_r_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_pred_correct_npc_x[29]),
+	.Q(brqrv_top_brqrv_exu_pred_correct_npc_r[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_npc_r_ff_dff_dff_left_dff_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_exu_i_npc_r_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_pred_correct_npc_x[26]),
+	.Q(brqrv_top_brqrv_exu_pred_correct_npc_r[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_npc_r_ff_dff_dff_left_dff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_exu_i_npc_r_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_pred_correct_npc_x[20]),
+	.Q(brqrv_top_brqrv_exu_pred_correct_npc_r[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_npc_r_ff_dff_dff_left_dff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_exu_i_npc_r_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_pred_correct_npc_x[19]),
+	.Q(brqrv_top_brqrv_exu_pred_correct_npc_r[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_npc_r_ff_dff_dff_left_dff_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_exu_i_npc_r_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_pred_correct_npc_x[25]),
+	.Q(brqrv_top_brqrv_exu_pred_correct_npc_r[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_npc_r_ff_dff_dff_left_dff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_exu_i_npc_r_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_pred_correct_npc_x[18]),
+	.Q(brqrv_top_brqrv_exu_pred_correct_npc_r[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_npc_r_ff_dff_dff_left_dff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_exu_i_npc_r_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_pred_correct_npc_x[17]),
+	.Q(brqrv_top_brqrv_exu_pred_correct_npc_r[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_exu_i_predictpacket_x_ff_dff_left_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_data_en[1]),
+	.GCLK(brqrv_top_brqrv_exu_i_predictpacket_x_ff_dff_left_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_predictpacket_x_ff_dff_left_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_exu_i_predictpacket_x_ff_dff_left_l1clk),
+	.D(brqrv_top_brqrv_dec_i0_predict_p_d[41]),
+	.Q(brqrv_top_brqrv_exu_i0_predict_p_x[41]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_predictpacket_x_ff_dff_left_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_exu_i_predictpacket_x_ff_dff_left_l1clk),
+	.D(brqrv_top_brqrv_dec_i0_predict_p_d[36]),
+	.Q(brqrv_top_brqrv_exu_i0_predict_p_x[36]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_predictpacket_x_ff_dff_left_genblock.dff_dout_reg[22]  (
+	.CLK(brqrv_top_brqrv_exu_i_predictpacket_x_ff_dff_left_l1clk),
+	.D(brqrv_top_brqrv_ifu_i0_pc[1]),
+	.Q(brqrv_top_brqrv_exu_i0_predict_p_x[53]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_predictpacket_x_ff_dff_left_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_exu_i_predictpacket_x_ff_dff_left_l1clk),
+	.D(brqrv_top_brqrv_dec_i0_predict_p_d[37]),
+	.Q(brqrv_top_brqrv_exu_i0_predict_p_x[37]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_predictpacket_x_ff_dff_left_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_exu_i_predictpacket_x_ff_dff_left_l1clk),
+	.D(brqrv_top_brqrv_dec_i0_predict_p_d[38]),
+	.Q(brqrv_top_brqrv_exu_i0_predict_p_x[38]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_predictpacket_x_ff_dff_left_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_exu_i_predictpacket_x_ff_dff_left_l1clk),
+	.D(brqrv_top_brqrv_dec_i0_predict_p_d[39]),
+	.Q(brqrv_top_brqrv_exu_i0_predict_p_x[39]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_predictpacket_x_ff_dff_left_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_exu_i_predictpacket_x_ff_dff_left_l1clk),
+	.D(brqrv_top_brqrv_dec_i0_predict_p_d[48]),
+	.Q(brqrv_top_brqrv_exu_i0_predict_p_x[48]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_predictpacket_x_ff_dff_left_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_exu_i_predictpacket_x_ff_dff_left_l1clk),
+	.D(brqrv_top_brqrv_dec_i0_predict_p_d[40]),
+	.Q(brqrv_top_brqrv_exu_i0_predict_p_x[40]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_predictpacket_x_ff_dff_left_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_exu_i_predictpacket_x_ff_dff_left_l1clk),
+	.D(brqrv_top_brqrv_dec_i0_predict_p_d[35]),
+	.Q(brqrv_top_brqrv_exu_i0_predict_p_x[35]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_predictpacket_x_ff_dff_left_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_exu_i_predictpacket_x_ff_dff_left_l1clk),
+	.D(brqrv_top_brqrv_dec_i0_predict_p_d[42]),
+	.Q(brqrv_top_brqrv_exu_i0_predict_p_x[42]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_predictpacket_x_ff_dff_left_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_exu_i_predictpacket_x_ff_dff_left_l1clk),
+	.D(brqrv_top_brqrv_dec_i0_predict_p_d[43]),
+	.Q(brqrv_top_brqrv_exu_i0_predict_p_x[43]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_predictpacket_x_ff_dff_left_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_exu_i_predictpacket_x_ff_dff_left_l1clk),
+	.D(brqrv_top_brqrv_dec_i0_predict_p_d[44]),
+	.Q(brqrv_top_brqrv_exu_i0_predict_p_x[44]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_predictpacket_x_ff_dff_left_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_exu_i_predictpacket_x_ff_dff_left_l1clk),
+	.D(brqrv_top_brqrv_dec_i0_predict_p_d[45]),
+	.Q(brqrv_top_brqrv_exu_i0_predict_p_x[45]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_predictpacket_x_ff_dff_left_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_exu_i_predictpacket_x_ff_dff_left_l1clk),
+	.D(brqrv_top_brqrv_dec_i0_predict_p_d[46]),
+	.Q(brqrv_top_brqrv_exu_i0_predict_p_x[46]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_predictpacket_x_ff_dff_left_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_exu_i_predictpacket_x_ff_dff_left_l1clk),
+	.D(brqrv_top_brqrv_dec_i0_predict_p_d[47]),
+	.Q(brqrv_top_brqrv_exu_i0_predict_p_x[47]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_predictpacket_x_ff_dff_left_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_exu_i_predictpacket_x_ff_dff_left_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_i0_instr_d[31]),
+	.Q(brqrv_top_brqrv_exu_i0_predict_p_x[49]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_predictpacket_x_ff_dff_left_genblock.dff_dout_reg[19]  (
+	.CLK(brqrv_top_brqrv_exu_i_predictpacket_x_ff_dff_left_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_predict_p_d[50]),
+	.Q(brqrv_top_brqrv_exu_i0_predict_p_x[50]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_predictpacket_x_ff_dff_left_genblock.dff_dout_reg[21]  (
+	.CLK(brqrv_top_brqrv_exu_i_predictpacket_x_ff_dff_left_l1clk),
+	.D(FE_DBTN25_brqrv_top_brqrv_ifu_aln_first2B),
+	.Q(brqrv_top_brqrv_exu_i0_predict_p_x[52]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_predictpacket_x_ff_dff_left_genblock.dff_dout_reg[23]  (
+	.CLK(brqrv_top_brqrv_exu_i_predictpacket_x_ff_dff_left_l1clk),
+	.D(n_54),
+	.Q(brqrv_top_brqrv_exu_i0_predict_p_x[54]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_predictpacket_x_ff_dff_left_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_exu_i_predictpacket_x_ff_dff_left_l1clk),
+	.D(brqrv_top_brqrv_dec_i0_predict_p_d[31]),
+	.Q(brqrv_top_brqrv_exu_i0_predict_p_x[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_predictpacket_x_ff_dff_left_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_exu_i_predictpacket_x_ff_dff_left_l1clk),
+	.D(brqrv_top_brqrv_i0_brp[1]),
+	.Q(brqrv_top_brqrv_exu_i0_predict_p_x[32]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_predictpacket_x_ff_dff_left_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_exu_i_predictpacket_x_ff_dff_left_l1clk),
+	.D(brqrv_top_brqrv_dec_i0_predict_p_d[33]),
+	.Q(brqrv_top_brqrv_exu_i0_predict_p_x[33]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_predictpacket_x_ff_dff_left_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_exu_i_predictpacket_x_ff_dff_left_l1clk),
+	.D(brqrv_top_brqrv_dec_i0_predict_p_d[34]),
+	.Q(brqrv_top_brqrv_exu_i0_predict_p_x[34]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_predictpacket_x_ff_dff_left_genblock.dff_dout_reg[20]  (
+	.CLK(brqrv_top_brqrv_exu_i_predictpacket_x_ff_dff_left_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_predict_p_d[51]),
+	.Q(brqrv_top_brqrv_exu_i0_predict_p_x[51]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_predictpacket_x_ff_dff_left_genblock.dff_dout_reg[24]  (
+	.CLK(brqrv_top_brqrv_exu_i_predictpacket_x_ff_dff_left_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_predict_p_d[55]),
+	.Q(brqrv_top_brqrv_exu_i0_predict_p_x[55]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_exu_i_predpipe_r_ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_exu_r_data_en_q2),
+	.GCLK(brqrv_top_brqrv_exu_i_predpipe_r_ff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_predpipe_r_ff_genblock.dff_dout_reg[19]  (
+	.CLK(brqrv_top_brqrv_exu_i_predpipe_r_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_predpipe_x[19]),
+	.Q(brqrv_top_brqrv_exu_i0_br_fghr_r[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_predpipe_r_ff_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_exu_i_predpipe_r_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_predpipe_x[18]),
+	.Q(brqrv_top_brqrv_exu_i0_br_fghr_r[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_predpipe_r_ff_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_exu_i_predpipe_r_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_predpipe_x[16]),
+	.Q(brqrv_top_brqrv_exu_i0_br_fghr_r[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_predpipe_r_ff_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_exu_i_predpipe_r_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_predpipe_x[11]),
+	.Q(brqrv_top_brqrv_exu_i0_br_index_r[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_predpipe_r_ff_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_exu_i_predpipe_r_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_predpipe_x[10]),
+	.Q(brqrv_top_brqrv_exu_i0_br_index_r[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_predpipe_r_ff_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_exu_i_predpipe_r_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_predpipe_x[15]),
+	.Q(brqrv_top_brqrv_exu_i0_br_fghr_r[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_predpipe_r_ff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_exu_i_predpipe_r_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_predpipe_x[9]),
+	.Q(brqrv_top_brqrv_exu_i0_br_index_r[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_predpipe_r_ff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_exu_i_predpipe_r_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_predpipe_x[8]),
+	.Q(brqrv_top_brqrv_exu_i0_br_index_r[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_predpipe_r_ff_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_exu_i_predpipe_r_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_predpipe_x[17]),
+	.Q(brqrv_top_brqrv_exu_i0_br_fghr_r[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_predpipe_r_ff_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_exu_i_predpipe_r_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_predpipe_x[13]),
+	.Q(brqrv_top_brqrv_exu_i0_br_fghr_r[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_predpipe_r_ff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_exu_i_predpipe_r_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_predpipe_x[6]),
+	.Q(brqrv_top_brqrv_exu_i0_br_index_r[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_predpipe_r_ff_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_exu_i_predpipe_r_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_predpipe_x[12]),
+	.Q(brqrv_top_brqrv_exu_i0_br_index_r[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_predpipe_r_ff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_exu_i_predpipe_r_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_predpipe_x[7]),
+	.Q(brqrv_top_brqrv_exu_i0_br_index_r[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_predpipe_r_ff_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_exu_i_predpipe_r_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_predpipe_x[14]),
+	.Q(brqrv_top_brqrv_exu_i0_br_fghr_r[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_exu_i_predpipe_x_ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_exu_x_data_en_q2),
+	.GCLK(brqrv_top_brqrv_exu_i_predpipe_x_ff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_predpipe_x_ff_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_exu_i_predpipe_x_ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_i0_bp_index[6]),
+	.Q(brqrv_top_brqrv_exu_predpipe_x[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_predpipe_x_ff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_exu_i_predpipe_x_ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_i0_bp_btag[2]),
+	.Q(brqrv_top_brqrv_exu_predpipe_x[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_predpipe_x_ff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_exu_i_predpipe_x_ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_i0_bp_index[2]),
+	.Q(brqrv_top_brqrv_exu_predpipe_x[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_predpipe_x_ff_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_exu_i_predpipe_x_ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_i0_bp_fghr[4]),
+	.Q(brqrv_top_brqrv_exu_predpipe_x[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_predpipe_x_ff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_exu_i_predpipe_x_ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_i0_bp_index[4]),
+	.Q(brqrv_top_brqrv_exu_predpipe_x[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_predpipe_x_ff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_exu_i_predpipe_x_ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_i0_bp_index[5]),
+	.Q(brqrv_top_brqrv_exu_predpipe_x[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_predpipe_x_ff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_exu_i_predpipe_x_ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_i0_bp_btag[1]),
+	.Q(brqrv_top_brqrv_exu_predpipe_x[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_predpipe_x_ff_genblock.dff_dout_reg[19]  (
+	.CLK(brqrv_top_brqrv_exu_i_predpipe_x_ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_i0_bp_fghr[6]),
+	.Q(brqrv_top_brqrv_exu_predpipe_x[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_predpipe_x_ff_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_exu_i_predpipe_x_ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_i0_bp_index[8]),
+	.Q(brqrv_top_brqrv_exu_predpipe_x[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_predpipe_x_ff_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_exu_i_predpipe_x_ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_i0_bp_fghr[0]),
+	.Q(brqrv_top_brqrv_exu_predpipe_x[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_predpipe_x_ff_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_exu_i_predpipe_x_ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_i0_bp_fghr[2]),
+	.Q(brqrv_top_brqrv_exu_predpipe_x[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_predpipe_x_ff_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_exu_i_predpipe_x_ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_i0_bp_fghr[3]),
+	.Q(brqrv_top_brqrv_exu_predpipe_x[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_predpipe_x_ff_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_exu_i_predpipe_x_ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_i0_bp_fghr[5]),
+	.Q(brqrv_top_brqrv_exu_predpipe_x[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_predpipe_x_ff_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_exu_i_predpipe_x_ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_i0_bp_index[7]),
+	.Q(brqrv_top_brqrv_exu_predpipe_x[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_predpipe_x_ff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_exu_i_predpipe_x_ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_i0_bp_btag[0]),
+	.Q(brqrv_top_brqrv_exu_predpipe_x[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_predpipe_x_ff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_exu_i_predpipe_x_ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_i0_bp_btag[3]),
+	.Q(brqrv_top_brqrv_exu_predpipe_x[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_predpipe_x_ff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_exu_i_predpipe_x_ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_i0_bp_btag[4]),
+	.Q(brqrv_top_brqrv_exu_predpipe_x[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_predpipe_x_ff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_exu_i_predpipe_x_ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_i0_bp_btag[5]),
+	.Q(brqrv_top_brqrv_exu_predpipe_x[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_predpipe_x_ff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_exu_i_predpipe_x_ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_i0_bp_index[3]),
+	.Q(brqrv_top_brqrv_exu_predpipe_x[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_predpipe_x_ff_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_exu_i_predpipe_x_ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_i0_bp_fghr[1]),
+	.Q(brqrv_top_brqrv_exu_predpipe_x[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_exu_i_r_ff0_dff_left_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_ctl_en[0]),
+	.GCLK(brqrv_top_brqrv_exu_i_r_ff0_dff_left_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_r_ff0_dff_left_genblock.dff_dout_reg[24]  (
+	.CLK(brqrv_top_brqrv_exu_i_r_ff0_dff_left_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_predict_p_x[55]),
+	.Q(brqrv_top_brqrv_exu_i0_br_mp_r),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_r_ff0_dff_left_genblock.dff_dout_reg[22]  (
+	.CLK(brqrv_top_brqrv_exu_i_r_ff0_dff_left_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_predict_p_x[53]),
+	.Q(brqrv_top_brqrv_exu_n_1748),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_r_ff0_dff_left_genblock.dff_dout_reg[19]  (
+	.CLK(brqrv_top_brqrv_exu_i_r_ff0_dff_left_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_predict_p_x[50]),
+	.Q(brqrv_top_brqrv_exu_n_1745),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_r_ff0_dff_left_genblock.dff_dout_reg[21]  (
+	.CLK(brqrv_top_brqrv_exu_i_r_ff0_dff_left_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_predict_p_x[52]),
+	.Q(brqrv_top_brqrv_exu_pmu_i0_pc4),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_r_ff0_dff_left_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_exu_i_r_ff0_dff_left_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_predict_p_x[32]),
+	.Q(brqrv_top_brqrv_exu_i0_br_way_r),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_r_ff0_dff_left_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_exu_i_r_ff0_dff_left_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_predict_p_x[35]),
+	.Q(brqrv_top_brqrv_exu_i0_br_start_error_r),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_r_ff0_dff_left_genblock.dff_dout_reg[23]  (
+	.CLK(brqrv_top_brqrv_exu_i_r_ff0_dff_left_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_predict_p_x[54]),
+	.Q(brqrv_top_brqrv_exu_pmu_i0_br_ataken),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_r_ff0_dff_left_genblock.dff_dout_reg[20]  (
+	.CLK(brqrv_top_brqrv_exu_i_r_ff0_dff_left_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_predict_p_x[51]),
+	.Q(brqrv_top_brqrv_exu_n_1746),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_r_ff0_dff_left_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_exu_i_r_ff0_dff_left_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_predict_p_x[37]),
+	.Q(brqrv_top_brqrv_exu_i0_br_valid_r),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_r_ff0_dff_left_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_exu_i_r_ff0_dff_left_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_predict_p_x[36]),
+	.Q(brqrv_top_brqrv_exu_i0_br_error_r),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_r_ff0_dff_left_genblock.dff_dout_reg[25]  (
+	.CLK(brqrv_top_brqrv_exu_i_r_ff0_dff_left_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_pred_correct_upper_x),
+	.Q(brqrv_top_brqrv_exu_i0_pred_correct_upper_r),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_exu_i_x_ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_ctl_en[1]),
+	.GCLK(brqrv_top_brqrv_exu_i_x_ff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_x_ff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_exu_i_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_pred_correct_upper_d),
+	.Q(brqrv_top_brqrv_exu_i0_pred_correct_upper_x),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_x_ff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_exu_i_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_taken_d),
+	.Q(brqrv_top_brqrv_exu_i0_taken_x),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_x_ff_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_exu_i_x_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_i0_predict_p_d[37]),
+	.Q(brqrv_top_brqrv_exu_n_1728),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_x_ff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_exu_i_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_ghr_x_ns[1]),
+	.Q(brqrv_top_brqrv_exu_ghr_x[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_x_ff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_exu_i_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_flush_upper_d),
+	.Q(brqrv_top_brqrv_exu_i0_flush_upper_x),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_x_ff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_exu_i_x_ff_l1clk),
+	.D(n_43138),
+	.Q(brqrv_top_brqrv_exu_ghr_x[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_x_ff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_exu_i_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_ghr_x_ns[2]),
+	.Q(brqrv_top_brqrv_exu_ghr_x[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_x_ff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_exu_i_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_ghr_x_ns[5]),
+	.Q(brqrv_top_brqrv_exu_ghr_x[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_x_ff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_exu_i_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_ghr_x_ns[4]),
+	.Q(brqrv_top_brqrv_exu_ghr_x[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_x_ff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_exu_i_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_ghr_x_ns[3]),
+	.Q(brqrv_top_brqrv_exu_ghr_x[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_x_ff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_exu_i_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_ghr_x_ns[6]),
+	.Q(brqrv_top_brqrv_exu_ghr_x[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 brqrv_top_brqrv_free_cg1_clkhdr (
+	.CLK(brqrv_top_brqrv_n_7),
+	.GATE(brqrv_top_brqrv_free_cg1_logic_1_1_net),
+	.GCLK(brqrv_top_brqrv_free_clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 brqrv_top_brqrv_free_cg1_tie_1_cell (
+	.HI(brqrv_top_brqrv_free_cg1_logic_1_1_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 brqrv_top_brqrv_free_cg2_clkhdr (
+	.CLK(clk),
+	.GATE(brqrv_top_brqrv_free_cg2_logic_1_1_net),
+	.GCLK(brqrv_top_brqrv_n_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 brqrv_top_brqrv_free_cg2_tie_1_cell (
+	.HI(brqrv_top_brqrv_free_cg2_logic_1_1_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_bundle1ff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_active_clk),
+	.D(brqrv_top_brqrv_ifu_aln_q0off_in),
+	.Q(brqrv_top_brqrv_ifu_aln_q0off),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_bundle1ff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_active_clk),
+	.D(brqrv_top_brqrv_ifu_aln_q1off_in),
+	.Q(brqrv_top_brqrv_ifu_aln_q1off),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_bundle1ff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_active_clk),
+	.D(brqrv_top_brqrv_ifu_aln_wrptr_in[0]),
+	.Q(brqrv_top_brqrv_ifu_aln_wrptr[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_bundle1ff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_active_clk),
+	.D(brqrv_top_brqrv_ifu_aln_rdptr_in[1]),
+	.Q(brqrv_top_brqrv_ifu_aln_rdptr[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_bundle1ff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_active_clk),
+	.D(brqrv_top_brqrv_ifu_aln_rdptr_in[0]),
+	.Q(brqrv_top_brqrv_ifu_aln_rdptr[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_bundle1ff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_active_clk),
+	.D(brqrv_top_brqrv_ifu_aln_q2off_in),
+	.Q(brqrv_top_brqrv_ifu_aln_q2off),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_bundle1ff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_active_clk),
+	.D(brqrv_top_brqrv_ifu_aln_wrptr_in[1]),
+	.Q(brqrv_top_brqrv_ifu_aln_wrptr[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 brqrv_top_brqrv_ifu_aln_bundle2ff_clkhdr_clkhdr (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_ifu_aln_bundle2ff_en),
+	.GCLK(brqrv_top_brqrv_ifu_aln_bundle2ff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_bundle2ff_dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_bundle2ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_aln_f0val_in[0]),
+	.Q(brqrv_top_brqrv_ifu_aln_f0val[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_bundle2ff_dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_bundle2ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_aln_f0val_in[1]),
+	.Q(brqrv_top_brqrv_ifu_aln_f0val[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_bundle2ff_dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_bundle2ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_aln_f1val_in[0]),
+	.Q(brqrv_top_brqrv_ifu_aln_f1val[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_bundle2ff_dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_bundle2ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_aln_f1val_in[1]),
+	.Q(brqrv_top_brqrv_ifu_aln_f1val[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_bundle2ff_dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_bundle2ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_aln_f2val_in[0]),
+	.Q(brqrv_top_brqrv_ifu_aln_f2val[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_bundle2ff_dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_bundle2ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_aln_f2val_in[1]),
+	.Q(brqrv_top_brqrv_ifu_aln_f2val[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_aln_genblk1.brdata0ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_ifu_aln_qwen[0]),
+	.GCLK(\brqrv_top_brqrv_ifu_aln_genblk1.brdata0ff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.brdata0ff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.brdata0ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_hist1_f[1]),
+	.Q(brqrv_top_brqrv_ifu_aln_brdata0[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.brdata0ff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.brdata0ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_hist0_f[1]),
+	.Q(brqrv_top_brqrv_ifu_aln_brdata0[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.brdata0ff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.brdata0ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_ret_f[0]),
+	.Q(brqrv_top_brqrv_ifu_aln_brdata0[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.brdata0ff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.brdata0ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_pc4_f[1]),
+	.Q(brqrv_top_brqrv_ifu_aln_brdata0[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.brdata0ff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.brdata0ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_way_f[0]),
+	.Q(brqrv_top_brqrv_ifu_aln_brdata0[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.brdata0ff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.brdata0ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_hist1_f[0]),
+	.Q(brqrv_top_brqrv_ifu_aln_brdata0[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.brdata0ff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.brdata0ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_hist0_f[0]),
+	.Q(brqrv_top_brqrv_ifu_aln_brdata0[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.brdata0ff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.brdata0ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.sv2v_tmp_F09CF [5]),
+	.Q(brqrv_top_brqrv_ifu_aln_brdata0[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.brdata0ff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.brdata0ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_ret_f[1]),
+	.Q(brqrv_top_brqrv_ifu_aln_brdata0[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.brdata0ff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.brdata0ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_way_f[1]),
+	.Q(brqrv_top_brqrv_ifu_aln_brdata0[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.brdata0ff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.brdata0ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_valid_f[0]),
+	.Q(brqrv_top_brqrv_ifu_aln_brdata0[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.brdata0ff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.brdata0ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ic_access_fault_f[1]),
+	.Q(brqrv_top_brqrv_ifu_aln_brdata0[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.brdata0ff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.brdata0ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ic_access_fault_f[0]),
+	.Q(brqrv_top_brqrv_ifu_aln_brdata0[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.brdata0ff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.brdata0ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_valid_f[1]),
+	.Q(brqrv_top_brqrv_ifu_aln_brdata0[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_aln_genblk1.brdata1ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_ifu_aln_qwen[1]),
+	.GCLK(\brqrv_top_brqrv_ifu_aln_genblk1.brdata1ff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.brdata1ff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.brdata1ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_hist1_f[1]),
+	.Q(brqrv_top_brqrv_ifu_aln_brdata1[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.brdata1ff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.brdata1ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_hist0_f[1]),
+	.Q(brqrv_top_brqrv_ifu_aln_brdata1[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.brdata1ff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.brdata1ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_ret_f[0]),
+	.Q(brqrv_top_brqrv_ifu_aln_brdata1[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.brdata1ff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.brdata1ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_pc4_f[1]),
+	.Q(brqrv_top_brqrv_ifu_aln_brdata1[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.brdata1ff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.brdata1ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_way_f[0]),
+	.Q(brqrv_top_brqrv_ifu_aln_brdata1[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.brdata1ff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.brdata1ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_hist1_f[0]),
+	.Q(brqrv_top_brqrv_ifu_aln_brdata1[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.brdata1ff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.brdata1ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_hist0_f[0]),
+	.Q(brqrv_top_brqrv_ifu_aln_brdata1[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.brdata1ff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.brdata1ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.sv2v_tmp_F09CF [5]),
+	.Q(brqrv_top_brqrv_ifu_aln_brdata1[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.brdata1ff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.brdata1ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_ret_f[1]),
+	.Q(brqrv_top_brqrv_ifu_aln_brdata1[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.brdata1ff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.brdata1ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_way_f[1]),
+	.Q(brqrv_top_brqrv_ifu_aln_brdata1[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.brdata1ff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.brdata1ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_valid_f[0]),
+	.Q(brqrv_top_brqrv_ifu_aln_brdata1[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.brdata1ff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.brdata1ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ic_access_fault_f[1]),
+	.Q(brqrv_top_brqrv_ifu_aln_brdata1[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.brdata1ff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.brdata1ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ic_access_fault_f[0]),
+	.Q(brqrv_top_brqrv_ifu_aln_brdata1[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.brdata1ff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.brdata1ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_valid_f[1]),
+	.Q(brqrv_top_brqrv_ifu_aln_brdata1[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_aln_genblk1.brdata2ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_ifu_aln_qwen[2]),
+	.GCLK(\brqrv_top_brqrv_ifu_aln_genblk1.brdata2ff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.brdata2ff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.brdata2ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_hist1_f[1]),
+	.Q(brqrv_top_brqrv_ifu_aln_brdata2[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.brdata2ff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.brdata2ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_hist0_f[1]),
+	.Q(brqrv_top_brqrv_ifu_aln_brdata2[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.brdata2ff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.brdata2ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_ret_f[0]),
+	.Q(brqrv_top_brqrv_ifu_aln_brdata2[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.brdata2ff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.brdata2ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_pc4_f[1]),
+	.Q(brqrv_top_brqrv_ifu_aln_brdata2[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.brdata2ff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.brdata2ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_way_f[0]),
+	.Q(brqrv_top_brqrv_ifu_aln_brdata2[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.brdata2ff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.brdata2ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_hist1_f[0]),
+	.Q(brqrv_top_brqrv_ifu_aln_brdata2[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.brdata2ff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.brdata2ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_hist0_f[0]),
+	.Q(brqrv_top_brqrv_ifu_aln_brdata2[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.brdata2ff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.brdata2ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.sv2v_tmp_F09CF [5]),
+	.Q(brqrv_top_brqrv_ifu_aln_brdata2[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.brdata2ff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.brdata2ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_ret_f[1]),
+	.Q(brqrv_top_brqrv_ifu_aln_brdata2[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.brdata2ff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.brdata2ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_way_f[1]),
+	.Q(brqrv_top_brqrv_ifu_aln_brdata2[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.brdata2ff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.brdata2ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_valid_f[0]),
+	.Q(brqrv_top_brqrv_ifu_aln_brdata2[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.brdata2ff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.brdata2ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ic_access_fault_f[1]),
+	.Q(brqrv_top_brqrv_ifu_aln_brdata2[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.brdata2ff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.brdata2ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ic_access_fault_f[0]),
+	.Q(brqrv_top_brqrv_ifu_aln_brdata2[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.brdata2ff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.brdata2ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_valid_f[1]),
+	.Q(brqrv_top_brqrv_ifu_aln_brdata2[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_ifu_aln_qwen[0]),
+	.GCLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_genblock.dff_dout_reg[33]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[15]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc0[33]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_genblock.dff_dout_reg[24]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[6]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc0[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_genblock.dff_dout_reg[25]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_l1clk ),
+	.D(n_43949),
+	.Q(brqrv_top_brqrv_ifu_aln_misc0[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_genblock.dff_dout_reg[48]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[30]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc0[48]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_genblock.dff_dout_reg[26]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[8]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc0[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_genblock.dff_dout_reg[27]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[9]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc0[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_genblock.dff_dout_reg[28]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[10]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc0[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_genblock.dff_dout_reg[29]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[11]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc0[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_genblock.dff_dout_reg[30]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[12]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc0[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_genblock.dff_dout_reg[31]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[13]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc0[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_genblock.dff_dout_reg[32]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[14]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc0[32]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_genblock.dff_dout_reg[40]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[22]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc0[40]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_fghr_f[0]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc0[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_genblock.dff_dout_reg[34]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[16]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc0[34]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_genblock.dff_dout_reg[35]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[17]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc0[35]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_genblock.dff_dout_reg[36]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[18]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc0[36]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_genblock.dff_dout_reg[37]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[19]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc0[37]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_genblock.dff_dout_reg[39]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[21]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc0[39]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_genblock.dff_dout_reg[41]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[23]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc0[41]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_genblock.dff_dout_reg[42]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[24]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc0[42]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_genblock.dff_dout_reg[43]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[25]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc0[43]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_genblock.dff_dout_reg[44]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[26]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc0[44]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_genblock.dff_dout_reg[45]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[27]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc0[45]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_genblock.dff_dout_reg[46]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[28]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc0[46]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_genblock.dff_dout_reg[47]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[29]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc0[47]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_poffset_f[1]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc0[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_genblock.dff_dout_reg[51]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ic_access_fault_type_f[1]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc0[51]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_genblock.dff_dout_reg[23]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[5]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc0[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_fghr_f[1]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc0[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_fghr_f[2]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc0[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_fghr_f[3]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc0[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[1]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc0[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_fghr_f[4]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc0[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_fghr_f[5]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc0[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_fghr_f[6]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc0[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_poffset_f[8]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc0[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_poffset_f[0]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc0[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_genblock.dff_dout_reg[49]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[31]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc0[49]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_poffset_f[2]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc0[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_poffset_f[3]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc0[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_poffset_f[4]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc0[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_poffset_f[5]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc0[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_poffset_f[6]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc0[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_poffset_f[7]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc0[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_poffset_f[9]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc0[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_poffset_f[10]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc0[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_poffset_f[11]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc0[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[2]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc0[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[3]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc0[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[4]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc0[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_genblock.dff_dout_reg[38]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[20]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc0[38]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_ifu_aln_qwen[1]),
+	.GCLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_genblock.dff_dout_reg[33]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[15]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc1[33]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_genblock.dff_dout_reg[24]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[6]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc1[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_genblock.dff_dout_reg[25]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_l1clk ),
+	.D(n_43949),
+	.Q(brqrv_top_brqrv_ifu_aln_misc1[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_genblock.dff_dout_reg[48]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[30]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc1[48]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_genblock.dff_dout_reg[26]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[8]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc1[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_genblock.dff_dout_reg[27]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[9]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc1[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_genblock.dff_dout_reg[28]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[10]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc1[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_genblock.dff_dout_reg[29]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[11]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc1[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_genblock.dff_dout_reg[30]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[12]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc1[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_genblock.dff_dout_reg[31]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[13]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc1[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_genblock.dff_dout_reg[32]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[14]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc1[32]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_genblock.dff_dout_reg[40]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[22]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc1[40]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_fghr_f[0]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc1[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_genblock.dff_dout_reg[34]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[16]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc1[34]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_genblock.dff_dout_reg[35]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[17]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc1[35]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_genblock.dff_dout_reg[36]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[18]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc1[36]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_genblock.dff_dout_reg[37]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[19]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc1[37]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_genblock.dff_dout_reg[39]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[21]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc1[39]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_genblock.dff_dout_reg[41]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[23]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc1[41]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_genblock.dff_dout_reg[42]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[24]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc1[42]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_genblock.dff_dout_reg[43]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[25]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc1[43]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_genblock.dff_dout_reg[44]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[26]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc1[44]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_genblock.dff_dout_reg[45]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[27]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc1[45]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_genblock.dff_dout_reg[46]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[28]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc1[46]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_genblock.dff_dout_reg[47]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[29]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc1[47]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_poffset_f[1]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc1[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_genblock.dff_dout_reg[51]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ic_access_fault_type_f[1]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc1[51]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_genblock.dff_dout_reg[23]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[5]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc1[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_fghr_f[1]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc1[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_fghr_f[2]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc1[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_fghr_f[3]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc1[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[1]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc1[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_fghr_f[4]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc1[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_fghr_f[5]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc1[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_fghr_f[6]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc1[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_poffset_f[8]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc1[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_poffset_f[0]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc1[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_genblock.dff_dout_reg[49]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[31]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc1[49]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_poffset_f[2]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc1[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_poffset_f[3]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc1[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_poffset_f[4]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc1[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_poffset_f[5]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc1[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_poffset_f[6]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc1[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_poffset_f[7]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc1[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_poffset_f[9]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc1[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_poffset_f[10]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc1[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_poffset_f[11]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc1[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[2]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc1[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[3]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc1[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[4]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc1[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_genblock.dff_dout_reg[38]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[20]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc1[38]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_ifu_aln_qwen[2]),
+	.GCLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_genblock.dff_dout_reg[33]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[15]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc2[33]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_genblock.dff_dout_reg[24]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[6]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc2[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_genblock.dff_dout_reg[25]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_l1clk ),
+	.D(n_43949),
+	.Q(brqrv_top_brqrv_ifu_aln_misc2[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_genblock.dff_dout_reg[48]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[30]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc2[48]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_genblock.dff_dout_reg[26]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[8]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc2[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_genblock.dff_dout_reg[27]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[9]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc2[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_genblock.dff_dout_reg[28]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[10]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc2[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_genblock.dff_dout_reg[29]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[11]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc2[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_genblock.dff_dout_reg[30]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[12]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc2[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_genblock.dff_dout_reg[31]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[13]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc2[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_genblock.dff_dout_reg[32]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[14]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc2[32]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_genblock.dff_dout_reg[40]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[22]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc2[40]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_fghr_f[0]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc2[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_genblock.dff_dout_reg[34]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[16]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc2[34]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_genblock.dff_dout_reg[35]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[17]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc2[35]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_genblock.dff_dout_reg[36]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[18]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc2[36]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_genblock.dff_dout_reg[37]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[19]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc2[37]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_genblock.dff_dout_reg[39]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[21]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc2[39]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_genblock.dff_dout_reg[41]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[23]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc2[41]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_genblock.dff_dout_reg[42]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[24]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc2[42]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_genblock.dff_dout_reg[43]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[25]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc2[43]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_genblock.dff_dout_reg[44]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[26]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc2[44]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_genblock.dff_dout_reg[45]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[27]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc2[45]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_genblock.dff_dout_reg[46]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[28]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc2[46]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_genblock.dff_dout_reg[47]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[29]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc2[47]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_poffset_f[1]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc2[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_genblock.dff_dout_reg[51]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ic_access_fault_type_f[1]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc2[51]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_genblock.dff_dout_reg[23]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[5]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc2[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_fghr_f[1]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc2[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_fghr_f[2]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc2[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_fghr_f[3]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc2[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[1]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc2[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_fghr_f[4]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc2[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_fghr_f[5]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc2[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_fghr_f[6]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc2[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_poffset_f[8]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc2[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_poffset_f[0]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc2[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_genblock.dff_dout_reg[49]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[31]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc2[49]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_poffset_f[2]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc2[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_poffset_f[3]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc2[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_poffset_f[4]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc2[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_poffset_f[5]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc2[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_poffset_f[6]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc2[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_poffset_f[7]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc2[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_poffset_f[9]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc2[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_poffset_f[10]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc2[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_poffset_f[11]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc2[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[2]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc2[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[3]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc2[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[4]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc2[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_genblock.dff_dout_reg[38]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[20]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc2[38]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_aln_q0ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_ifu_aln_qwen[0]),
+	.GCLK(brqrv_top_brqrv_ifu_aln_q0ff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q0ff_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q0ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[12]),
+	.Q(brqrv_top_brqrv_ifu_aln_q0[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q0ff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q0ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[1]),
+	.Q(brqrv_top_brqrv_ifu_aln_q0[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q0ff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q0ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[2]),
+	.Q(brqrv_top_brqrv_ifu_aln_q0[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q0ff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q0ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[3]),
+	.Q(brqrv_top_brqrv_ifu_aln_q0[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q0ff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q0ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[4]),
+	.Q(brqrv_top_brqrv_ifu_aln_q0[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q0ff_genblock.dff_dout_reg[27]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q0ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[27]),
+	.Q(brqrv_top_brqrv_ifu_aln_q0[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q0ff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q0ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[5]),
+	.Q(brqrv_top_brqrv_ifu_aln_q0[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q0ff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q0ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[6]),
+	.Q(brqrv_top_brqrv_ifu_aln_q0[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q0ff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q0ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[7]),
+	.Q(brqrv_top_brqrv_ifu_aln_q0[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q0ff_genblock.dff_dout_reg[23]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q0ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[23]),
+	.Q(brqrv_top_brqrv_ifu_aln_q0[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q0ff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q0ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[8]),
+	.Q(brqrv_top_brqrv_ifu_aln_q0[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q0ff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q0ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[9]),
+	.Q(brqrv_top_brqrv_ifu_aln_q0[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q0ff_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q0ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[10]),
+	.Q(brqrv_top_brqrv_ifu_aln_q0[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q0ff_genblock.dff_dout_reg[19]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q0ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[19]),
+	.Q(brqrv_top_brqrv_ifu_aln_q0[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q0ff_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q0ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[11]),
+	.Q(brqrv_top_brqrv_ifu_aln_q0[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q0ff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q0ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[0]),
+	.Q(brqrv_top_brqrv_ifu_aln_q0[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q0ff_genblock.dff_dout_reg[31]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q0ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[31]),
+	.Q(brqrv_top_brqrv_ifu_aln_q0[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q0ff_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q0ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[14]),
+	.Q(brqrv_top_brqrv_ifu_aln_q0[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q0ff_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q0ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[15]),
+	.Q(brqrv_top_brqrv_ifu_aln_q0[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q0ff_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q0ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[16]),
+	.Q(brqrv_top_brqrv_ifu_aln_q0[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q0ff_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q0ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[17]),
+	.Q(brqrv_top_brqrv_ifu_aln_q0[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q0ff_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q0ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[18]),
+	.Q(brqrv_top_brqrv_ifu_aln_q0[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q0ff_genblock.dff_dout_reg[20]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q0ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[20]),
+	.Q(brqrv_top_brqrv_ifu_aln_q0[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q0ff_genblock.dff_dout_reg[21]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q0ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[21]),
+	.Q(brqrv_top_brqrv_ifu_aln_q0[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q0ff_genblock.dff_dout_reg[22]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q0ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[22]),
+	.Q(brqrv_top_brqrv_ifu_aln_q0[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q0ff_genblock.dff_dout_reg[24]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q0ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[24]),
+	.Q(brqrv_top_brqrv_ifu_aln_q0[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q0ff_genblock.dff_dout_reg[25]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q0ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[25]),
+	.Q(brqrv_top_brqrv_ifu_aln_q0[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q0ff_genblock.dff_dout_reg[26]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q0ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[26]),
+	.Q(brqrv_top_brqrv_ifu_aln_q0[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q0ff_genblock.dff_dout_reg[28]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q0ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[28]),
+	.Q(brqrv_top_brqrv_ifu_aln_q0[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q0ff_genblock.dff_dout_reg[29]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q0ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[29]),
+	.Q(brqrv_top_brqrv_ifu_aln_q0[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q0ff_genblock.dff_dout_reg[30]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q0ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[30]),
+	.Q(brqrv_top_brqrv_ifu_aln_q0[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q0ff_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q0ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[13]),
+	.Q(brqrv_top_brqrv_ifu_aln_q0[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_aln_q0pcff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_ifu_aln_qwen[0]),
+	.GCLK(brqrv_top_brqrv_ifu_aln_q0pcff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q0pcff_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q0pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[18]),
+	.Q(brqrv_top_brqrv_ifu_aln_q0pc[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q0pcff_genblock.dff_dout_reg[24]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q0pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[25]),
+	.Q(brqrv_top_brqrv_ifu_aln_q0pc[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q0pcff_genblock.dff_dout_reg[22]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q0pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[23]),
+	.Q(brqrv_top_brqrv_ifu_aln_q0pc[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q0pcff_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q0pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[19]),
+	.Q(brqrv_top_brqrv_ifu_aln_q0pc[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q0pcff_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q0pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[11]),
+	.Q(brqrv_top_brqrv_ifu_aln_q0pc[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q0pcff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q0pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[10]),
+	.Q(brqrv_top_brqrv_ifu_aln_q0pc[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q0pcff_genblock.dff_dout_reg[25]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q0pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[26]),
+	.Q(brqrv_top_brqrv_ifu_aln_q0pc[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q0pcff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q0pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[9]),
+	.Q(brqrv_top_brqrv_ifu_aln_q0pc[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q0pcff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q0pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[8]),
+	.Q(brqrv_top_brqrv_ifu_aln_q0pc[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q0pcff_genblock.dff_dout_reg[21]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q0pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[22]),
+	.Q(brqrv_top_brqrv_ifu_aln_q0pc[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q0pcff_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q0pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[17]),
+	.Q(brqrv_top_brqrv_ifu_aln_q0pc[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q0pcff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q0pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[7]),
+	.Q(brqrv_top_brqrv_ifu_aln_q0pc[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q0pcff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q0pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[6]),
+	.Q(brqrv_top_brqrv_ifu_aln_q0pc[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q0pcff_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q0pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[16]),
+	.Q(brqrv_top_brqrv_ifu_aln_q0pc[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q0pcff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q0pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[5]),
+	.Q(brqrv_top_brqrv_ifu_aln_q0pc[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q0pcff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q0pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[4]),
+	.Q(brqrv_top_brqrv_ifu_aln_q0pc[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q0pcff_genblock.dff_dout_reg[23]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q0pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[24]),
+	.Q(brqrv_top_brqrv_ifu_aln_q0pc[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q0pcff_genblock.dff_dout_reg[20]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q0pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[21]),
+	.Q(brqrv_top_brqrv_ifu_aln_q0pc[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q0pcff_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q0pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[15]),
+	.Q(brqrv_top_brqrv_ifu_aln_q0pc[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q0pcff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q0pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[3]),
+	.Q(brqrv_top_brqrv_ifu_aln_q0pc[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q0pcff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q0pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[2]),
+	.Q(brqrv_top_brqrv_ifu_aln_q0pc[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q0pcff_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q0pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[14]),
+	.Q(brqrv_top_brqrv_ifu_aln_q0pc[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q0pcff_genblock.dff_dout_reg[19]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q0pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[20]),
+	.Q(brqrv_top_brqrv_ifu_aln_q0pc[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q0pcff_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q0pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[13]),
+	.Q(brqrv_top_brqrv_ifu_aln_q0pc[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q0pcff_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q0pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[12]),
+	.Q(brqrv_top_brqrv_ifu_aln_q0pc[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q0pcff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q0pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[1]),
+	.Q(brqrv_top_brqrv_ifu_aln_q0pc[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q0pcff_genblock.dff_dout_reg[26]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q0pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[27]),
+	.Q(brqrv_top_brqrv_ifu_aln_q0pc[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q0pcff_genblock.dff_dout_reg[27]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q0pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[28]),
+	.Q(brqrv_top_brqrv_ifu_aln_q0pc[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q0pcff_genblock.dff_dout_reg[28]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q0pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[29]),
+	.Q(brqrv_top_brqrv_ifu_aln_q0pc[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q0pcff_genblock.dff_dout_reg[29]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q0pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[30]),
+	.Q(brqrv_top_brqrv_ifu_aln_q0pc[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q0pcff_genblock.dff_dout_reg[30]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q0pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[31]),
+	.Q(brqrv_top_brqrv_ifu_aln_q0pc[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_aln_q1ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_ifu_aln_qwen[1]),
+	.GCLK(brqrv_top_brqrv_ifu_aln_q1ff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q1ff_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q1ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[12]),
+	.Q(brqrv_top_brqrv_ifu_aln_q1[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q1ff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q1ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[1]),
+	.Q(brqrv_top_brqrv_ifu_aln_q1[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q1ff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q1ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[2]),
+	.Q(brqrv_top_brqrv_ifu_aln_q1[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q1ff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q1ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[3]),
+	.Q(brqrv_top_brqrv_ifu_aln_q1[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q1ff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q1ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[4]),
+	.Q(brqrv_top_brqrv_ifu_aln_q1[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q1ff_genblock.dff_dout_reg[27]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q1ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[27]),
+	.Q(brqrv_top_brqrv_ifu_aln_q1[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q1ff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q1ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[5]),
+	.Q(brqrv_top_brqrv_ifu_aln_q1[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q1ff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q1ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[6]),
+	.Q(brqrv_top_brqrv_ifu_aln_q1[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q1ff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q1ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[7]),
+	.Q(brqrv_top_brqrv_ifu_aln_q1[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q1ff_genblock.dff_dout_reg[23]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q1ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[23]),
+	.Q(brqrv_top_brqrv_ifu_aln_q1[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q1ff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q1ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[8]),
+	.Q(brqrv_top_brqrv_ifu_aln_q1[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q1ff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q1ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[9]),
+	.Q(brqrv_top_brqrv_ifu_aln_q1[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q1ff_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q1ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[10]),
+	.Q(brqrv_top_brqrv_ifu_aln_q1[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q1ff_genblock.dff_dout_reg[19]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q1ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[19]),
+	.Q(brqrv_top_brqrv_ifu_aln_q1[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q1ff_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q1ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[11]),
+	.Q(brqrv_top_brqrv_ifu_aln_q1[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q1ff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q1ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[0]),
+	.Q(brqrv_top_brqrv_ifu_aln_q1[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q1ff_genblock.dff_dout_reg[31]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q1ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[31]),
+	.Q(brqrv_top_brqrv_ifu_aln_q1[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q1ff_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q1ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[14]),
+	.Q(brqrv_top_brqrv_ifu_aln_q1[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q1ff_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q1ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[15]),
+	.Q(brqrv_top_brqrv_ifu_aln_q1[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q1ff_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q1ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[16]),
+	.Q(brqrv_top_brqrv_ifu_aln_q1[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q1ff_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q1ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[17]),
+	.Q(brqrv_top_brqrv_ifu_aln_q1[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q1ff_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q1ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[18]),
+	.Q(brqrv_top_brqrv_ifu_aln_q1[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q1ff_genblock.dff_dout_reg[20]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q1ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[20]),
+	.Q(brqrv_top_brqrv_ifu_aln_q1[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q1ff_genblock.dff_dout_reg[21]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q1ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[21]),
+	.Q(brqrv_top_brqrv_ifu_aln_q1[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q1ff_genblock.dff_dout_reg[22]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q1ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[22]),
+	.Q(brqrv_top_brqrv_ifu_aln_q1[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q1ff_genblock.dff_dout_reg[24]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q1ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[24]),
+	.Q(brqrv_top_brqrv_ifu_aln_q1[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q1ff_genblock.dff_dout_reg[25]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q1ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[25]),
+	.Q(brqrv_top_brqrv_ifu_aln_q1[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q1ff_genblock.dff_dout_reg[26]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q1ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[26]),
+	.Q(brqrv_top_brqrv_ifu_aln_q1[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q1ff_genblock.dff_dout_reg[28]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q1ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[28]),
+	.Q(brqrv_top_brqrv_ifu_aln_q1[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q1ff_genblock.dff_dout_reg[29]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q1ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[29]),
+	.Q(brqrv_top_brqrv_ifu_aln_q1[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q1ff_genblock.dff_dout_reg[30]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q1ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[30]),
+	.Q(brqrv_top_brqrv_ifu_aln_q1[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q1ff_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q1ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[13]),
+	.Q(brqrv_top_brqrv_ifu_aln_q1[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_aln_q1pcff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_ifu_aln_qwen[1]),
+	.GCLK(brqrv_top_brqrv_ifu_aln_q1pcff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q1pcff_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q1pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[18]),
+	.Q(brqrv_top_brqrv_ifu_aln_q1pc[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q1pcff_genblock.dff_dout_reg[24]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q1pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[25]),
+	.Q(brqrv_top_brqrv_ifu_aln_q1pc[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q1pcff_genblock.dff_dout_reg[22]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q1pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[23]),
+	.Q(brqrv_top_brqrv_ifu_aln_q1pc[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q1pcff_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q1pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[19]),
+	.Q(brqrv_top_brqrv_ifu_aln_q1pc[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q1pcff_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q1pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[11]),
+	.Q(brqrv_top_brqrv_ifu_aln_q1pc[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q1pcff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q1pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[10]),
+	.Q(brqrv_top_brqrv_ifu_aln_q1pc[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q1pcff_genblock.dff_dout_reg[25]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q1pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[26]),
+	.Q(brqrv_top_brqrv_ifu_aln_q1pc[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q1pcff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q1pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[9]),
+	.Q(brqrv_top_brqrv_ifu_aln_q1pc[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q1pcff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q1pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[8]),
+	.Q(brqrv_top_brqrv_ifu_aln_q1pc[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q1pcff_genblock.dff_dout_reg[21]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q1pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[22]),
+	.Q(brqrv_top_brqrv_ifu_aln_q1pc[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q1pcff_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q1pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[17]),
+	.Q(brqrv_top_brqrv_ifu_aln_q1pc[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q1pcff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q1pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[7]),
+	.Q(brqrv_top_brqrv_ifu_aln_q1pc[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q1pcff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q1pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[6]),
+	.Q(brqrv_top_brqrv_ifu_aln_q1pc[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q1pcff_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q1pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[16]),
+	.Q(brqrv_top_brqrv_ifu_aln_q1pc[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q1pcff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q1pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[5]),
+	.Q(brqrv_top_brqrv_ifu_aln_q1pc[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q1pcff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q1pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[4]),
+	.Q(brqrv_top_brqrv_ifu_aln_q1pc[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q1pcff_genblock.dff_dout_reg[23]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q1pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[24]),
+	.Q(brqrv_top_brqrv_ifu_aln_q1pc[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q1pcff_genblock.dff_dout_reg[20]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q1pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[21]),
+	.Q(brqrv_top_brqrv_ifu_aln_q1pc[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q1pcff_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q1pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[15]),
+	.Q(brqrv_top_brqrv_ifu_aln_q1pc[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q1pcff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q1pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[3]),
+	.Q(brqrv_top_brqrv_ifu_aln_q1pc[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q1pcff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q1pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[2]),
+	.Q(brqrv_top_brqrv_ifu_aln_q1pc[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q1pcff_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q1pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[14]),
+	.Q(brqrv_top_brqrv_ifu_aln_q1pc[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q1pcff_genblock.dff_dout_reg[19]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q1pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[20]),
+	.Q(brqrv_top_brqrv_ifu_aln_q1pc[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q1pcff_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q1pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[13]),
+	.Q(brqrv_top_brqrv_ifu_aln_q1pc[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q1pcff_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q1pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[12]),
+	.Q(brqrv_top_brqrv_ifu_aln_q1pc[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q1pcff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q1pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[1]),
+	.Q(brqrv_top_brqrv_ifu_aln_q1pc[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q1pcff_genblock.dff_dout_reg[26]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q1pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[27]),
+	.Q(brqrv_top_brqrv_ifu_aln_q1pc[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q1pcff_genblock.dff_dout_reg[27]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q1pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[28]),
+	.Q(brqrv_top_brqrv_ifu_aln_q1pc[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q1pcff_genblock.dff_dout_reg[28]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q1pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[29]),
+	.Q(brqrv_top_brqrv_ifu_aln_q1pc[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q1pcff_genblock.dff_dout_reg[29]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q1pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[30]),
+	.Q(brqrv_top_brqrv_ifu_aln_q1pc[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q1pcff_genblock.dff_dout_reg[30]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q1pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[31]),
+	.Q(brqrv_top_brqrv_ifu_aln_q1pc[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_aln_q2ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_ifu_aln_qwen[2]),
+	.GCLK(brqrv_top_brqrv_ifu_aln_q2ff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q2ff_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q2ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[12]),
+	.Q(brqrv_top_brqrv_ifu_aln_q2[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q2ff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q2ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[1]),
+	.Q(brqrv_top_brqrv_ifu_aln_q2[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q2ff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q2ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[2]),
+	.Q(brqrv_top_brqrv_ifu_aln_q2[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q2ff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q2ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[3]),
+	.Q(brqrv_top_brqrv_ifu_aln_q2[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q2ff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q2ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[4]),
+	.Q(brqrv_top_brqrv_ifu_aln_q2[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q2ff_genblock.dff_dout_reg[27]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q2ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[27]),
+	.Q(brqrv_top_brqrv_ifu_aln_q2[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q2ff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q2ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[5]),
+	.Q(brqrv_top_brqrv_ifu_aln_q2[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q2ff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q2ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[6]),
+	.Q(brqrv_top_brqrv_ifu_aln_q2[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q2ff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q2ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[7]),
+	.Q(brqrv_top_brqrv_ifu_aln_q2[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q2ff_genblock.dff_dout_reg[23]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q2ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[23]),
+	.Q(brqrv_top_brqrv_ifu_aln_q2[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q2ff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q2ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[8]),
+	.Q(brqrv_top_brqrv_ifu_aln_q2[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q2ff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q2ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[9]),
+	.Q(brqrv_top_brqrv_ifu_aln_q2[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q2ff_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q2ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[10]),
+	.Q(brqrv_top_brqrv_ifu_aln_q2[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q2ff_genblock.dff_dout_reg[19]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q2ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[19]),
+	.Q(brqrv_top_brqrv_ifu_aln_q2[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q2ff_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q2ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[11]),
+	.Q(brqrv_top_brqrv_ifu_aln_q2[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q2ff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q2ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[0]),
+	.Q(brqrv_top_brqrv_ifu_aln_q2[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q2ff_genblock.dff_dout_reg[31]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q2ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[31]),
+	.Q(brqrv_top_brqrv_ifu_aln_q2[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q2ff_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q2ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[14]),
+	.Q(brqrv_top_brqrv_ifu_aln_q2[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q2ff_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q2ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[15]),
+	.Q(brqrv_top_brqrv_ifu_aln_q2[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q2ff_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q2ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[16]),
+	.Q(brqrv_top_brqrv_ifu_aln_q2[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q2ff_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q2ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[17]),
+	.Q(brqrv_top_brqrv_ifu_aln_q2[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q2ff_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q2ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[18]),
+	.Q(brqrv_top_brqrv_ifu_aln_q2[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q2ff_genblock.dff_dout_reg[20]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q2ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[20]),
+	.Q(brqrv_top_brqrv_ifu_aln_q2[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q2ff_genblock.dff_dout_reg[21]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q2ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[21]),
+	.Q(brqrv_top_brqrv_ifu_aln_q2[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q2ff_genblock.dff_dout_reg[22]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q2ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[22]),
+	.Q(brqrv_top_brqrv_ifu_aln_q2[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q2ff_genblock.dff_dout_reg[24]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q2ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[24]),
+	.Q(brqrv_top_brqrv_ifu_aln_q2[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q2ff_genblock.dff_dout_reg[25]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q2ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[25]),
+	.Q(brqrv_top_brqrv_ifu_aln_q2[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q2ff_genblock.dff_dout_reg[26]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q2ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[26]),
+	.Q(brqrv_top_brqrv_ifu_aln_q2[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q2ff_genblock.dff_dout_reg[28]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q2ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[28]),
+	.Q(brqrv_top_brqrv_ifu_aln_q2[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q2ff_genblock.dff_dout_reg[29]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q2ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[29]),
+	.Q(brqrv_top_brqrv_ifu_aln_q2[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q2ff_genblock.dff_dout_reg[30]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q2ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[30]),
+	.Q(brqrv_top_brqrv_ifu_aln_q2[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q2ff_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q2ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[13]),
+	.Q(brqrv_top_brqrv_ifu_aln_q2[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_aln_q2pcff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_ifu_aln_qwen[2]),
+	.GCLK(brqrv_top_brqrv_ifu_aln_q2pcff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q2pcff_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q2pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[18]),
+	.Q(brqrv_top_brqrv_ifu_aln_q2pc[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q2pcff_genblock.dff_dout_reg[24]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q2pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[25]),
+	.Q(brqrv_top_brqrv_ifu_aln_q2pc[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q2pcff_genblock.dff_dout_reg[22]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q2pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[23]),
+	.Q(brqrv_top_brqrv_ifu_aln_q2pc[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q2pcff_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q2pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[19]),
+	.Q(brqrv_top_brqrv_ifu_aln_q2pc[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q2pcff_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q2pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[11]),
+	.Q(brqrv_top_brqrv_ifu_aln_q2pc[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q2pcff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q2pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[10]),
+	.Q(brqrv_top_brqrv_ifu_aln_q2pc[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q2pcff_genblock.dff_dout_reg[25]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q2pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[26]),
+	.Q(brqrv_top_brqrv_ifu_aln_q2pc[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q2pcff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q2pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[9]),
+	.Q(brqrv_top_brqrv_ifu_aln_q2pc[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q2pcff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q2pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[8]),
+	.Q(brqrv_top_brqrv_ifu_aln_q2pc[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q2pcff_genblock.dff_dout_reg[21]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q2pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[22]),
+	.Q(brqrv_top_brqrv_ifu_aln_q2pc[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q2pcff_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q2pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[17]),
+	.Q(brqrv_top_brqrv_ifu_aln_q2pc[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q2pcff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q2pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[7]),
+	.Q(brqrv_top_brqrv_ifu_aln_q2pc[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q2pcff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q2pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[6]),
+	.Q(brqrv_top_brqrv_ifu_aln_q2pc[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q2pcff_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q2pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[16]),
+	.Q(brqrv_top_brqrv_ifu_aln_q2pc[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q2pcff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q2pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[5]),
+	.Q(brqrv_top_brqrv_ifu_aln_q2pc[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q2pcff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q2pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[4]),
+	.Q(brqrv_top_brqrv_ifu_aln_q2pc[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q2pcff_genblock.dff_dout_reg[23]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q2pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[24]),
+	.Q(brqrv_top_brqrv_ifu_aln_q2pc[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q2pcff_genblock.dff_dout_reg[20]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q2pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[21]),
+	.Q(brqrv_top_brqrv_ifu_aln_q2pc[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q2pcff_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q2pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[15]),
+	.Q(brqrv_top_brqrv_ifu_aln_q2pc[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q2pcff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q2pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[3]),
+	.Q(brqrv_top_brqrv_ifu_aln_q2pc[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q2pcff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q2pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[2]),
+	.Q(brqrv_top_brqrv_ifu_aln_q2pc[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q2pcff_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q2pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[14]),
+	.Q(brqrv_top_brqrv_ifu_aln_q2pc[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q2pcff_genblock.dff_dout_reg[19]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q2pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[20]),
+	.Q(brqrv_top_brqrv_ifu_aln_q2pc[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q2pcff_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q2pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[13]),
+	.Q(brqrv_top_brqrv_ifu_aln_q2pc[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q2pcff_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q2pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[12]),
+	.Q(brqrv_top_brqrv_ifu_aln_q2pc[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q2pcff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q2pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[1]),
+	.Q(brqrv_top_brqrv_ifu_aln_q2pc[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q2pcff_genblock.dff_dout_reg[26]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q2pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[27]),
+	.Q(brqrv_top_brqrv_ifu_aln_q2pc[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q2pcff_genblock.dff_dout_reg[27]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q2pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[28]),
+	.Q(brqrv_top_brqrv_ifu_aln_q2pc[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q2pcff_genblock.dff_dout_reg[28]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q2pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[29]),
+	.Q(brqrv_top_brqrv_ifu_aln_q2pc[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q2pcff_genblock.dff_dout_reg[29]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q2pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[30]),
+	.Q(brqrv_top_brqrv_ifu_aln_q2pc[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q2pcff_genblock.dff_dout_reg[30]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q2pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[31]),
+	.Q(brqrv_top_brqrv_ifu_aln_q2pc[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[0].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [0]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[0].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[0].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [0]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[0].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[1].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [0]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[1].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[1].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [0]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[1].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[2].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [0]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[2].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[2].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [0]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[2].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[3].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [0]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[3].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[3].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [0]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[3].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[4].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [0]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[4].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[4].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [0]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[4].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[5].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [0]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[5].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[5].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [0]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[5].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[6].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [0]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[6].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[6].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [0]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[6].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[7].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [0]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[7].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[7].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [0]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[7].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[8].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [0]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[8].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[8].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [0]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[8].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[9].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [0]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[9].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[9].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [0]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[9].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[10].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [0]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[10].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[10].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [0]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[10].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[11].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [0]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[11].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[11].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [0]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[11].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[12].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [0]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[12].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[12].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [0]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[12].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[13].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [0]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[13].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[13].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [0]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[13].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[14].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [0]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[14].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[14].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [0]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[14].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[15].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [0]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[15].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[15].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [0]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[15].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].bht_bank_grp_cgc_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clken [0]),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[0].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [1]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[0].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [32]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[0].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [1]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[0].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [33]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[1].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [1]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[1].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [34]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[1].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [1]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[1].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [35]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[2].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [1]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[2].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [36]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[2].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [1]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[2].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [37]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[3].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [1]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[3].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [38]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[3].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [1]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[3].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [39]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[4].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [1]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[4].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [40]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[4].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [1]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[4].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [41]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[5].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [1]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[5].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [42]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[5].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [1]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[5].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [43]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[6].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [1]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[6].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [44]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[6].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [1]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[6].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [45]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[7].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [1]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[7].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [46]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[7].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [1]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[7].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [47]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[8].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [1]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[8].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [48]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[8].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [1]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[8].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [49]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[9].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [1]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[9].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [50]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[9].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [1]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[9].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [51]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[10].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [1]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[10].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [52]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[10].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [1]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[10].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [53]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[11].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [1]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[11].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [54]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[11].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [1]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[11].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [55]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[12].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [1]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[12].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [56]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[12].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [1]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[12].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [57]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[13].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [1]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[13].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [58]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[13].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [1]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[13].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [59]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[14].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [1]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[14].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [60]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[14].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [1]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[14].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [61]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[15].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [1]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[15].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [62]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[15].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [1]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[15].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [63]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].bht_bank_grp_cgc_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clken [1]),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[0].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [2]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[0].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [64]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[0].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [2]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[0].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [65]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[1].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [2]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[1].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [66]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[1].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [2]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[1].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [67]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[2].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [2]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[2].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [68]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[2].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [2]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[2].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [69]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[3].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [2]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[3].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [70]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[3].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [2]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[3].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [71]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[4].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [2]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[4].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [72]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[4].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [2]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[4].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [73]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[5].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [2]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[5].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [74]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[5].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [2]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[5].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [75]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[6].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [2]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[6].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [76]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[6].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [2]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[6].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [77]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[7].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [2]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[7].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [78]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[7].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [2]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[7].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [79]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[8].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [2]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[8].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [80]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[8].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [2]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[8].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [81]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[9].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [2]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[9].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [82]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[9].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [2]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[9].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [83]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[10].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [2]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[10].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [84]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[10].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [2]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[10].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [85]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[11].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [2]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[11].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [86]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[11].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [2]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[11].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [87]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[12].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [2]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[12].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [88]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[12].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [2]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[12].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [89]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[13].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [2]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[13].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [90]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[13].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [2]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[13].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [91]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[14].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [2]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[14].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [92]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[14].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [2]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[14].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [93]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[15].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [2]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[15].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [94]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[15].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [2]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[15].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [95]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].bht_bank_grp_cgc_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clken [2]),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[0].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [3]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[0].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [96]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[0].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [3]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[0].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [97]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[1].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [3]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[1].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [98]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[1].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [3]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[1].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [99]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[2].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [3]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[2].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [100]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[2].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [3]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[2].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [101]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[3].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [3]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[3].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [102]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[3].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [3]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[3].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [103]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[4].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [3]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[4].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [104]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[4].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [3]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[4].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [105]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[5].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [3]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[5].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [106]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[5].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [3]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[5].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [107]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[6].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [3]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[6].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [108]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[6].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [3]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[6].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [109]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[7].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [3]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[7].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [110]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[7].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [3]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[7].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [111]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[8].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [3]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[8].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [112]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[8].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [3]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[8].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [113]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[9].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [3]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[9].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [114]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[9].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [3]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[9].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [115]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[10].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [3]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[10].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [116]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[10].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [3]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[10].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [117]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[11].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [3]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[11].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [118]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[11].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [3]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[11].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [119]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[12].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [3]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[12].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [120]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[12].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [3]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[12].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [121]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[13].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [3]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[13].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [122]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[13].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [3]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[13].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [123]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[14].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [3]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[14].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [124]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[14].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [3]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[14].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [125]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[15].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [3]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[15].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [126]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[15].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [3]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[15].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [127]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].bht_bank_grp_cgc_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clken [3]),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[0].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [4]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[0].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [128]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[0].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [4]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[0].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [129]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[1].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [4]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[1].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [130]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[1].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [4]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[1].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [131]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[2].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [4]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[2].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [132]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[2].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [4]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[2].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [133]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[3].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [4]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[3].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [134]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[3].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [4]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[3].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [135]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[4].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [4]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[4].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [136]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[4].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [4]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[4].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [137]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[5].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [4]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[5].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [138]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[5].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [4]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[5].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [139]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[6].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [4]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[6].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [140]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[6].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [4]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[6].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [141]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[7].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [4]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[7].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [142]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[7].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [4]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[7].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [143]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[8].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [4]),
+	.D(n_43181),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [144]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[8].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [4]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[8].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [145]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[9].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [4]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[9].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [146]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[9].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [4]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[9].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [147]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[10].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [4]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[10].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [148]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[10].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [4]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[10].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [149]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[11].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [4]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[11].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [150]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[11].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [4]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[11].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [151]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[12].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [4]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[12].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [152]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[12].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [4]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[12].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [153]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[13].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [4]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[13].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [154]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[13].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [4]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[13].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [155]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[14].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [4]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[14].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [156]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[14].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [4]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[14].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [157]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[15].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [4]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[15].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [158]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[15].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [4]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[15].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [159]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].bht_bank_grp_cgc_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clken [4]),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[0].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [5]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[0].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [160]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[0].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [5]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[0].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [161]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[1].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [5]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[1].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [162]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[1].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [5]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[1].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [163]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[2].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [5]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[2].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [164]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[2].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [5]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[2].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [165]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[3].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [5]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[3].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [166]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[3].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [5]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[3].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [167]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[4].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [5]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[4].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [168]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[4].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [5]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[4].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [169]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[5].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [5]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[5].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [170]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[5].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [5]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[5].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [171]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[6].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [5]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[6].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [172]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[6].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [5]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[6].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [173]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[7].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [5]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[7].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [174]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[7].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [5]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[7].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [175]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[8].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [5]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[8].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [176]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[8].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [5]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[8].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [177]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[9].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [5]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[9].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [178]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[9].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [5]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[9].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [179]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[10].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [5]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[10].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [180]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[10].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [5]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[10].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [181]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[11].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [5]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[11].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [182]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[11].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [5]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[11].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [183]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[12].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [5]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[12].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [184]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[12].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [5]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[12].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [185]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[13].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [5]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[13].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [186]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[13].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [5]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[13].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [187]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[14].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [5]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[14].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [188]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[14].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [5]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[14].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [189]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[15].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [5]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[15].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [190]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[15].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [5]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[15].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [191]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].bht_bank_grp_cgc_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clken [5]),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[0].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [6]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[0].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [192]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[0].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [6]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[0].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [193]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[1].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [6]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[1].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [194]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[1].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [6]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[1].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [195]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[2].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [6]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[2].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [196]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[2].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [6]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[2].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [197]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[3].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [6]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[3].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [198]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[3].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [6]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[3].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [199]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[4].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [6]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[4].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [200]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[4].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [6]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[4].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [201]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[5].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [6]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[5].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [202]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[5].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [6]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[5].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [203]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[6].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [6]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[6].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [204]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[6].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [6]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[6].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [205]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[7].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [6]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[7].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [206]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[7].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [6]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[7].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [207]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[8].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [6]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[8].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [208]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[8].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [6]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[8].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [209]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[9].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [6]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[9].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [210]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[9].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [6]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[9].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [211]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[10].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [6]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[10].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [212]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[10].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [6]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[10].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [213]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[11].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [6]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[11].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [214]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[11].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [6]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[11].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [215]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[12].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [6]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[12].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [216]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[12].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [6]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[12].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [217]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[13].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [6]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[13].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [218]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[13].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [6]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[13].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [219]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[14].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [6]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[14].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [220]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[14].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [6]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[14].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [221]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[15].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [6]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[15].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [222]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[15].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [6]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[15].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [223]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].bht_bank_grp_cgc_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clken [6]),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[0].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [7]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[0].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [224]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[0].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [7]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[0].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [225]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[1].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [7]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[1].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [226]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[1].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [7]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[1].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [227]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[2].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [7]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[2].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [228]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[2].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [7]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[2].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [229]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[3].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [7]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[3].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [230]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[3].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [7]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[3].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [231]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[4].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [7]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[4].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [232]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[4].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [7]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[4].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [233]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[5].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [7]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[5].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [234]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[5].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [7]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[5].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [235]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[6].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [7]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[6].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [236]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[6].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [7]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[6].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [237]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[7].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [7]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[7].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [238]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[7].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [7]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[7].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [239]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[8].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [7]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[8].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [240]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[8].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [7]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[8].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [241]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[9].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [7]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[9].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [242]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[9].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [7]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[9].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [243]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[10].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [7]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[10].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [244]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[10].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [7]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[10].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [245]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[11].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [7]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[11].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [246]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[11].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [7]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[11].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [247]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[12].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [7]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[12].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [248]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[12].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [7]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[12].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [249]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[13].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [7]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[13].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [250]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[13].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [7]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[13].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [251]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[14].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [7]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[14].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [252]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[14].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [7]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[14].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [253]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[15].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [7]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[15].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [254]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[15].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [7]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[15].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [255]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].bht_bank_grp_cgc_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clken [7]),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[0].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [8]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[0].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [256]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[0].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [8]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[0].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [257]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[1].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [8]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[1].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [258]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[1].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [8]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[1].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [259]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[2].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [8]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[2].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [260]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[2].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [8]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[2].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [261]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[3].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [8]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[3].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [262]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[3].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [8]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[3].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [263]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[4].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [8]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[4].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [264]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[4].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [8]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[4].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [265]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[5].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [8]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[5].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [266]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[5].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [8]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[5].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [267]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[6].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [8]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[6].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [268]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[6].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [8]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[6].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [269]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[7].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [8]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[7].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [270]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[7].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [8]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[7].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [271]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[8].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [8]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[8].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [272]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[8].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [8]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[8].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [273]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[9].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [8]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[9].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [274]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[9].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [8]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[9].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [275]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[10].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [8]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[10].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [276]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[10].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [8]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[10].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [277]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[11].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [8]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[11].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [278]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[11].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [8]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[11].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [279]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[12].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [8]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[12].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [280]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[12].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [8]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[12].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [281]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[13].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [8]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[13].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [282]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[13].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [8]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[13].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [283]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[14].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [8]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[14].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [284]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[14].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [8]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[14].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [285]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[15].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [8]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[15].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [286]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[15].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [8]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[15].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [287]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].bht_bank_grp_cgc_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clken [8]),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[0].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [9]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[0].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [288]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[0].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [9]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[0].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [289]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[1].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [9]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[1].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [290]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[1].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [9]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[1].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [291]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[2].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [9]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[2].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [292]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[2].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [9]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[2].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [293]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[3].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [9]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[3].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [294]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[3].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [9]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[3].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [295]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[4].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [9]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[4].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [296]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[4].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [9]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[4].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [297]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[5].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [9]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[5].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [298]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[5].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [9]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[5].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [299]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[6].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [9]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[6].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [300]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[6].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [9]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[6].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [301]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[7].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [9]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[7].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [302]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[7].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [9]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[7].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [303]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[8].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [9]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[8].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [304]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[8].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [9]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[8].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [305]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[9].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [9]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[9].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [306]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[9].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [9]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[9].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [307]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[10].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [9]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[10].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [308]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[10].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [9]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[10].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [309]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[11].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [9]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[11].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [310]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[11].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [9]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[11].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [311]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[12].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [9]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[12].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [312]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[12].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [9]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[12].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [313]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[13].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [9]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[13].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [314]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[13].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [9]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[13].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [315]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[14].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [9]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[14].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [316]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[14].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [9]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[14].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [317]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[15].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [9]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[15].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [318]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[15].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [9]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[15].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [319]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].bht_bank_grp_cgc_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clken [9]),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[0].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [10]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[0].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [320]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[0].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [10]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[0].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [321]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[1].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [10]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[1].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [322]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[1].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [10]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[1].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [323]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[2].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [10]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[2].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [324]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[2].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [10]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[2].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [325]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[3].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [10]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[3].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [326]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[3].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [10]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[3].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [327]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[4].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [10]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[4].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [328]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[4].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [10]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[4].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [329]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[5].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [10]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[5].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [330]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[5].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [10]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[5].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [331]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[6].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [10]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[6].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [332]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[6].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [10]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[6].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [333]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[7].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [10]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[7].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [334]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[7].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [10]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[7].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [335]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[8].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [10]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[8].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [336]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[8].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [10]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[8].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [337]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[9].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [10]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[9].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [338]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[9].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [10]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[9].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [339]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[10].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [10]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[10].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [340]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[10].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [10]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[10].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [341]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[11].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [10]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[11].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [342]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[11].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [10]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[11].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [343]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[12].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [10]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[12].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [344]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[12].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [10]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[12].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [345]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[13].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [10]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[13].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [346]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[13].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [10]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[13].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [347]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[14].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [10]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[14].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [348]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[14].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [10]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[14].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [349]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[15].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [10]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[15].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [350]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[15].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [10]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[15].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [351]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].bht_bank_grp_cgc_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clken [10]),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[0].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [11]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[0].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [352]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[0].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [11]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[0].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [353]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[1].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [11]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[1].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [354]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[1].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [11]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[1].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [355]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[2].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [11]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[2].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [356]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[2].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [11]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[2].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [357]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[3].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [11]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[3].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [358]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[3].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [11]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[3].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [359]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[4].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [11]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[4].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [360]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[4].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [11]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[4].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [361]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[5].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [11]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[5].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [362]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[5].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [11]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[5].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [363]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[6].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [11]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[6].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [364]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[6].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [11]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[6].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [365]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[7].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [11]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[7].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [366]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[7].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [11]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[7].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [367]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[8].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [11]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[8].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [368]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[8].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [11]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[8].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [369]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[9].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [11]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[9].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [370]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[9].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [11]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[9].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [371]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[10].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [11]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[10].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [372]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[10].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [11]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[10].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [373]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[11].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [11]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[11].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [374]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[11].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [11]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[11].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [375]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[12].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [11]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[12].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [376]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[12].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [11]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[12].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [377]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[13].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [11]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[13].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [378]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[13].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [11]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[13].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [379]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[14].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [11]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[14].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [380]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[14].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [11]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[14].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [381]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[15].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [11]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[15].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [382]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[15].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [11]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[15].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [383]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].bht_bank_grp_cgc_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clken [11]),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[0].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [12]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[0].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [384]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[0].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [12]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[0].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [385]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[1].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [12]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[1].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [386]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[1].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [12]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[1].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [387]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[2].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [12]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[2].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [388]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[2].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [12]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[2].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [389]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[3].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [12]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[3].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [390]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[3].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [12]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[3].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [391]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[4].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [12]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[4].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [392]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[4].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [12]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[4].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [393]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[5].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [12]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[5].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [394]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[5].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [12]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[5].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [395]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[6].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [12]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[6].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [396]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[6].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [12]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[6].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [397]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[7].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [12]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[7].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [398]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[7].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [12]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[7].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [399]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[8].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [12]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[8].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [400]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[8].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [12]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[8].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [401]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[9].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [12]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[9].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [402]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[9].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [12]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[9].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [403]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[10].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [12]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[10].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [404]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[10].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [12]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[10].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [405]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[11].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [12]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[11].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [406]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[11].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [12]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[11].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [407]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[12].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [12]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[12].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [408]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[12].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [12]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[12].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [409]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[13].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [12]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[13].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [410]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[13].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [12]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[13].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [411]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[14].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [12]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[14].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [412]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[14].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [12]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[14].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [413]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[15].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [12]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[15].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [414]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[15].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [12]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[15].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [415]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].bht_bank_grp_cgc_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clken [12]),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[0].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [13]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[0].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [416]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[0].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [13]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[0].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [417]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[1].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [13]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[1].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [418]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[1].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [13]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[1].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [419]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[2].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [13]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[2].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [420]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[2].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [13]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[2].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [421]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[3].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [13]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[3].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [422]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[3].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [13]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[3].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [423]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[4].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [13]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[4].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [424]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[4].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [13]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[4].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [425]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[5].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [13]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[5].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [426]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[5].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [13]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[5].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [427]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[6].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [13]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[6].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [428]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[6].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [13]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[6].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [429]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[7].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [13]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[7].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [430]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[7].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [13]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[7].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [431]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[8].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [13]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[8].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [432]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[8].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [13]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[8].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [433]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[9].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [13]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[9].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [434]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[9].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [13]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[9].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [435]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[10].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [13]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[10].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [436]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[10].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [13]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[10].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [437]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[11].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [13]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[11].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [438]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[11].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [13]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[11].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [439]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[12].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [13]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[12].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [440]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[12].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [13]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[12].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [441]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[13].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [13]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[13].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [442]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[13].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [13]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[13].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [443]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[14].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [13]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[14].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [444]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[14].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [13]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[14].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [445]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[15].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [13]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[15].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [446]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[15].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [13]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[15].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [447]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].bht_bank_grp_cgc_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clken [13]),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[0].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [14]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[0].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [448]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[0].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [14]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[0].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [449]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[1].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [14]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[1].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [450]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[1].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [14]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[1].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [451]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[2].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [14]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[2].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [452]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[2].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [14]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[2].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [453]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[3].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [14]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[3].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [454]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[3].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [14]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[3].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [455]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[4].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [14]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[4].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [456]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[4].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [14]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[4].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [457]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[5].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [14]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[5].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [458]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[5].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [14]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[5].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [459]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[6].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [14]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[6].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [460]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[6].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [14]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[6].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [461]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[7].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [14]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[7].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [462]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[7].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [14]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[7].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [463]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[8].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [14]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[8].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [464]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[8].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [14]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[8].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [465]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[9].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [14]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[9].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [466]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[9].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [14]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[9].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [467]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[10].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [14]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[10].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [468]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[10].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [14]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[10].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [469]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[11].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [14]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[11].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [470]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[11].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [14]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[11].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [471]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[12].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [14]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[12].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [472]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[12].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [14]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[12].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [473]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[13].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [14]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[13].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [474]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[13].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [14]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[13].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [475]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[14].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [14]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[14].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [476]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[14].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [14]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[14].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [477]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[15].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [14]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[15].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [478]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[15].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [14]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[15].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [479]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].bht_bank_grp_cgc_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clken [14]),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[0].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [15]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[0].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [480]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[0].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [15]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[0].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [481]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[1].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [15]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[1].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [482]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[1].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [15]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[1].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [483]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[2].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [15]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[2].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [484]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[2].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [15]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[2].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [485]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[3].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [15]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[3].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [486]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[3].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [15]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[3].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [487]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[4].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [15]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[4].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [488]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[4].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [15]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[4].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [489]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[5].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [15]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[5].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [490]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[5].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [15]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[5].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [491]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[6].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [15]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[6].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [492]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[6].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [15]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[6].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [493]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[7].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [15]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[7].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [494]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[7].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [15]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[7].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [495]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[8].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [15]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[8].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [496]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[8].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [15]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[8].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [497]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[9].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [15]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[9].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [498]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[9].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [15]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[9].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [499]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[10].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [15]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[10].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [500]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[10].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [15]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[10].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [501]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[11].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [15]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[11].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [502]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[11].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [15]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[11].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [503]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[12].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [15]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[12].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [504]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[12].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [15]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[12].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [505]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[13].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [15]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[13].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [506]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[13].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [15]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[13].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [507]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[14].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [15]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[14].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [508]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[14].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [15]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[14].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [509]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[15].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [15]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[15].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [510]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[15].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [15]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[15].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [511]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].bht_bank_grp_cgc_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clken [15]),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_ifu_bpred.bp_br0ghrhs_g99__6131  (
+	.A(brqrv_top_brqrv_exu_i0_br_fghr_r[6]),
+	.B(brqrv_top_brqrv_exu_i0_br_index_r[8]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_br0_hashed_wb [8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_ifu_bpred.bp_br0ghrhs_g100__1881  (
+	.A(brqrv_top_brqrv_exu_i0_br_fghr_r[4]),
+	.B(brqrv_top_brqrv_exu_i0_br_index_r[6]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_br0_hashed_wb [6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_ifu_bpred.bp_br0ghrhs_g101__5115  (
+	.A(brqrv_top_brqrv_exu_i0_br_fghr_r[3]),
+	.B(brqrv_top_brqrv_exu_i0_br_index_r[5]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_br0_hashed_wb [5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_ifu_bpred.bp_br0ghrhs_g102__7482  (
+	.A(brqrv_top_brqrv_exu_i0_br_fghr_r[1]),
+	.B(brqrv_top_brqrv_exu_i0_br_index_r[3]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_br0_hashed_wb [3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_ifu_bpred.bp_br0ghrhs_g103__4733  (
+	.A(brqrv_top_brqrv_exu_i0_br_fghr_r[5]),
+	.B(brqrv_top_brqrv_exu_i0_br_index_r[7]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_br0_hashed_wb [7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_ifu_bpred.bp_br0ghrhs_g104__6161  (
+	.A(brqrv_top_brqrv_exu_i0_br_fghr_r[2]),
+	.B(brqrv_top_brqrv_exu_i0_br_index_r[4]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_br0_hashed_wb [4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_ifu_bpred.bp_br0ghrhs_g105__9315  (
+	.A(brqrv_top_brqrv_exu_i0_br_fghr_r[0]),
+	.B(brqrv_top_brqrv_exu_i0_br_index_r[2]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_br0_hashed_wb [2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_extra_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6624 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_extra_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_extra_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_extra_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[9]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_extra_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_extra_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[11]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_extra_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_extra_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[12]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_extra_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_extra_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_extra_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_extra_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_extra_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_extra_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[8]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_extra_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_extra_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_extra_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_extra_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_extra_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_extra_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[10]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_extra_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_extra_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[7]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_extra_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_extra_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[6]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o41a_1 \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_g1095__9945  (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_n_14 ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_n_15 ),
+	.A3(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_n_11 ),
+	.A4(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_n_23 ),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_n_6624 ),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_final_en ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_g1096__2883  (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_n_21 ),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_n_20 ),
+	.C(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_n_19 ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_n_22 ),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_n_23 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_g1097__2346  (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_n_12 ),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_n_8 ),
+	.C(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_n_10 ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_n_9 ),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_n_22 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_g1098__1666  (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_n_17 ),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_n_0 ),
+	.C(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_n_13 ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_n_18 ),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_n_21 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_g1099__7410  (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_n_4 ),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_n_1 ),
+	.C(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_n_16 ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_n_6 ),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_n_20 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_g1100__6417  (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_n_5 ),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_n_2 ),
+	.C(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_n_3 ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_n_7 ),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_n_19 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_g1101__5477  (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [24]),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[24]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_n_18 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_g1102__2398  (
+	.A1_N(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [27]),
+	.A2_N(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[27]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [27]),
+	.B2(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[27]),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_n_17 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_g1103__5107  (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [28]),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[28]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_n_16 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_g1104__6260  (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [14]),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[14]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_n_15 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_g1105__4319  (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [13]),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[13]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_n_14 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_g1106__8428  (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [26]),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[26]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_n_13 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_g1107__5526  (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [20]),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[20]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_n_12 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_g1108__6783  (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [15]),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[15]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_n_11 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_g1109__3680  (
+	.A1_N(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [22]),
+	.A2_N(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[22]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [22]),
+	.B2(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[22]),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_n_10 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_g1110__1617  (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [23]),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[23]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_n_9 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_g1111__2802  (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [21]),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[21]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_n_8 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_g1112__1705  (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [18]),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[18]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_g1113__5122  (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [31]),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[31]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_g1114__8246  (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [17]),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[17]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_n_5 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_g1115__7098  (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [30]),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[30]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_g1116__6131  (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [19]),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[19]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_g1117__1881  (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [16]),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[16]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_g1118__5115  (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [29]),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[29]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_n_1 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_g1119__7482  (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [25]),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[25]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_dff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_final_en ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_dff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_dff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_dff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[13]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_dff_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_dff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[30]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_dff_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_dff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[28]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_dff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_dff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[24]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_dff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_dff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[16]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_dff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_dff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[15]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_dff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_dff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[23]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_dff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_dff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[14]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_dff_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_dff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[31]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_dff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_dff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[27]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_dff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_dff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[22]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_dff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_dff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[21]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_dff_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_dff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[29]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_dff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_dff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[26]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_dff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_dff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[20]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_dff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_dff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[19]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_dff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_dff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[25]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_dff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_dff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[18]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_dff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_dff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[17]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_fetchghr_clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_fetchghr_en ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_fetchghr_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_fetchghr_dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_fetchghr_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[32]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_exu_mp_way_f ),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_fetchghr_dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_fetchghr_l1clk ),
+	.D(n_43593),
+	.Q(brqrv_top_brqrv_ifu_ifu_bp_fghr_f[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_fetchghr_dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_fetchghr_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_fghr_ns [1]),
+	.Q(brqrv_top_brqrv_ifu_ifu_bp_fghr_f[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_fetchghr_dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_fetchghr_l1clk ),
+	.D(n_43135),
+	.Q(brqrv_top_brqrv_ifu_ifu_bp_fghr_f[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_fetchghr_dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_fetchghr_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_fghr_ns [3]),
+	.Q(brqrv_top_brqrv_ifu_ifu_bp_fghr_f[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_fetchghr_dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_fetchghr_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_fghr_ns [6]),
+	.Q(brqrv_top_brqrv_ifu_ifu_bp_fghr_f[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_fetchghr_dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_fetchghr_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_fghr_ns [5]),
+	.Q(brqrv_top_brqrv_ifu_ifu_bp_fghr_f[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_fetchghr_dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_fetchghr_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_fghr_ns [4]),
+	.Q(brqrv_top_brqrv_ifu_ifu_bp_fghr_f[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_fetchghr_dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_fetchghr_l1clk ),
+	.D(n_36410),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_leak_one_f_d1 ),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_fetchghr_dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_fetchghr_l1clk ),
+	.D(brqrv_top_brqrv_exu_flush_final),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_n_10401 ),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6610 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [6]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [7]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [8]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [9]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [10]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [11]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [12]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [13]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [14]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [15]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [16]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [17]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [18]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [19]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [20]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [21]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [22]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[23]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [23]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[24]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [24]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[25]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [25]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[26]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [26]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[27]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [27]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[28]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [28]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[29]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [29]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[30]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [30]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[31]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [31]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[32]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [32]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [32]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[33]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [33]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [33]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[34]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [34]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [34]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[35]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [35]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [35]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[36]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [36]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [36]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[37]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [37]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [37]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[38]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [38]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[39]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [39]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[40]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [40]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[41]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [41]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[42]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [42]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[43]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [43]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[44]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [44]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[45]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [45]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[46]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [46]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[47]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [47]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[48]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [48]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[49]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [49]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[50]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [50]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [50]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[51]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [51]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [51]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[52]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [52]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[53]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [53]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[54]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [54]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [54]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[55]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [55]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [55]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[56]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [56]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [56]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[57]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [57]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [57]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[58]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [58]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [58]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[59]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [59]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [59]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[60]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [60]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [60]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[61]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [61]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [61]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[62]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [62]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [62]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[63]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [63]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [63]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[64]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [64]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [64]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[65]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [65]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [65]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[66]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [66]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [66]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[67]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [67]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [67]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[68]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [68]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [68]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[69]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [69]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [69]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[70]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [70]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [70]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[71]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [71]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [71]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[72]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [72]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [72]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[73]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [73]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [73]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[74]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [74]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [74]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[75]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [75]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [75]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[76]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [76]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [76]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[77]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [77]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [77]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[78]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [78]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [78]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[79]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [79]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [79]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[80]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [80]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [80]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[81]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [81]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [81]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[82]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [82]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [82]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[83]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [83]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [83]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[84]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [84]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [84]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[85]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [85]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [85]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[86]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [86]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [86]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[87]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [87]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [87]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[88]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [88]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [88]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[89]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [89]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [89]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[90]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [90]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [90]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[91]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [91]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [91]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[92]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [92]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [92]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[93]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [93]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [93]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[94]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [94]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [94]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[95]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [95]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [95]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[96]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [96]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [96]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[97]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [97]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [97]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[98]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [98]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [98]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[99]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [99]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [99]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[100]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [100]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [100]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[101]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [101]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [101]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[102]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [102]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [102]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[103]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [103]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [103]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[104]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [104]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [104]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[105]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [105]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [105]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[106]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [106]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [106]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[107]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [107]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [107]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[108]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [108]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [108]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[109]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [109]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [109]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[110]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [110]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [110]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[111]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [111]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [111]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[112]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [112]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [112]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[113]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [113]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [113]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[114]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [114]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [114]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[115]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [115]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [115]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[116]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [116]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [116]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[117]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [117]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [117]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[118]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [118]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [118]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[119]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [119]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [119]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[120]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [120]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [120]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[121]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [121]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [121]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[122]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [122]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [122]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[123]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [123]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [123]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[124]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [124]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [124]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[125]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [125]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [125]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[126]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [126]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [126]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[127]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [127]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [127]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk3.genblk1.rdtagf_g193__4733  (
+	.A1_N(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[14]),
+	.A2_N(\brqrv_top_brqrv_ifu_bpred.bp_genblk3.genblk1.rdtagf_n_5 ),
+	.B1(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[14]),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_genblk3.genblk1.rdtagf_n_5 ),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_fetch_rd_tag_f [5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk3.genblk1.rdtagf_g194__6161  (
+	.A1_N(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[13]),
+	.A2_N(\brqrv_top_brqrv_ifu_bpred.bp_genblk3.genblk1.rdtagf_n_1 ),
+	.B1(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[13]),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_genblk3.genblk1.rdtagf_n_1 ),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_fetch_rd_tag_f [4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk3.genblk1.rdtagf_g195__9315  (
+	.A1_N(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[12]),
+	.A2_N(\brqrv_top_brqrv_ifu_bpred.bp_genblk3.genblk1.rdtagf_n_3 ),
+	.B1(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[12]),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_genblk3.genblk1.rdtagf_n_3 ),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_fetch_rd_tag_f [3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk3.genblk1.rdtagf_g196__9945  (
+	.A1_N(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[10]),
+	.A2_N(\brqrv_top_brqrv_ifu_bpred.bp_genblk3.genblk1.rdtagf_n_4 ),
+	.B1(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[10]),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_genblk3.genblk1.rdtagf_n_4 ),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_fetch_rd_tag_f [1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk3.genblk1.rdtagf_g197__2883  (
+	.A1_N(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[9]),
+	.A2_N(\brqrv_top_brqrv_ifu_bpred.bp_genblk3.genblk1.rdtagf_n_2 ),
+	.B1(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[9]),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_genblk3.genblk1.rdtagf_n_2 ),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_fetch_rd_tag_f [0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk3.genblk1.rdtagf_g198__2346  (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[11]),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_genblk3.genblk1.rdtagf_n_0 ),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_fetch_rd_tag_f [2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk3.genblk1.rdtagf_g199__1666  (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[20]),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[26]),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_genblk3.genblk1.rdtagf_n_5 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk3.genblk1.rdtagf_g200__7410  (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[16]),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[22]),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_genblk3.genblk1.rdtagf_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk3.genblk1.rdtagf_g201__6417  (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[18]),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[24]),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_genblk3.genblk1.rdtagf_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk3.genblk1.rdtagf_g202__5477  (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[15]),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[21]),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_genblk3.genblk1.rdtagf_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk3.genblk1.rdtagf_g203__2398  (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[19]),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[25]),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_genblk3.genblk1.rdtagf_n_1 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk3.genblk1.rdtagf_g204__5107  (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[17]),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[23]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_genblk3.genblk1.rdtagf_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6858 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6859 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6860 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [44]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [42]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [38]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [45]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [37]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [41]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [36]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [40]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [35]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [43]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [34]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [33]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [39]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [32]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6861 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [44]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [42]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [38]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [45]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [37]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [41]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [36]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [40]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [35]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [43]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [34]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [33]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [39]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [32]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6862 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [52]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [67]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [65]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [61]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [53]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [68]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [60]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [51]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [50]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [64]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [59]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [63]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [48]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [58]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [47]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [46]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [66]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [49]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [57]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [56]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [62]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [55]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [54]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6863 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [52]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [67]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [65]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [61]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [53]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [68]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [60]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [51]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [50]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [64]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [59]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [63]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [48]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [58]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [47]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [46]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [66]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [49]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [57]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [56]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [62]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [55]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [54]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6864 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [75]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [90]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [88]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [84]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [76]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [91]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [83]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [74]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [73]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [87]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [82]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [86]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [71]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [81]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [70]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [69]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [89]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [72]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [80]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [79]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [85]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [78]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [77]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6865 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [75]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [90]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [88]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [84]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [76]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [91]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [83]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [74]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [73]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [87]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [82]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [86]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [71]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [81]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [70]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [69]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [89]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [72]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [80]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [79]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [85]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [78]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [77]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6866 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [98]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [113]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [111]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [107]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [99]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [114]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [106]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [97]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [96]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [110]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [105]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [109]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [94]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [104]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [93]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [92]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [112]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [95]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [103]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [102]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [108]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [101]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [100]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6867 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [98]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [113]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [111]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [107]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [99]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [114]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [106]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [97]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [96]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [110]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [105]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [109]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [94]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [104]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [93]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [92]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [112]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [95]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [103]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [102]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [108]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [101]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [100]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6868 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [121]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [136]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [134]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [130]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [122]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [137]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [129]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [120]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [119]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [133]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [128]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [132]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [117]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [127]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [116]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [115]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [135]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [118]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [126]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [125]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [131]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [124]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [123]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6869 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [121]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [136]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [134]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [130]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [122]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [137]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [129]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [120]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [119]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [133]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [128]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [132]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [117]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [127]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [116]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [115]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [135]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [118]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [126]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [125]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [131]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [124]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [123]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6870 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [144]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [159]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [157]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [153]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [145]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [160]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [152]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [143]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [142]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [156]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [151]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [155]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [140]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [150]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [139]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [138]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [158]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [141]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [149]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [148]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [154]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [147]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [146]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6871 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [144]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [159]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [157]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [153]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [145]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [160]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [152]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [143]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [142]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [156]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [151]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [155]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [140]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [150]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [139]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [138]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [158]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [141]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [149]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [148]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [154]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [147]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [146]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6872 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [167]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [182]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [180]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [176]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [168]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [183]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [175]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [166]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [165]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [179]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [174]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [178]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [163]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [173]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [162]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [161]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [181]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [164]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [172]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [171]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [177]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [170]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [169]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6873 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [167]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [182]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [180]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [176]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [168]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [183]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [175]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [166]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [165]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [179]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [174]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [178]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [163]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [173]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [162]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [161]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [181]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [164]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [172]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [171]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [177]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [170]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [169]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6874 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [190]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [205]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [203]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [199]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [191]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [206]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [198]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [189]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [188]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [202]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [197]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [201]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [186]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [196]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [185]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [184]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [204]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [187]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [195]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [194]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [200]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [193]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [192]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6875 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [190]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [205]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [203]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [199]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [191]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [206]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [198]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [189]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [188]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [202]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [197]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [201]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [186]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [196]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [185]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [184]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [204]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [187]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [195]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [194]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [200]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [193]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [192]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6876 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [213]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [228]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [226]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [222]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [214]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [229]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [221]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [212]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [211]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [225]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [220]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [224]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [209]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [219]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [208]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [207]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [227]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [210]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [218]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [217]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [223]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [216]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [215]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6877 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [213]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [228]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [226]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [222]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [214]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [229]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [221]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [212]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [211]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [225]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [220]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [224]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [209]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [219]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [208]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [207]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [227]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [210]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [218]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [217]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [223]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [216]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [215]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6878 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [236]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [251]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [249]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [245]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [237]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [252]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [244]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [235]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [234]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [248]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [243]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [247]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [232]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [242]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [231]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [230]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [250]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [233]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [241]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [240]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [246]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [239]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [238]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6879 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [236]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [251]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [249]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [245]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [237]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [252]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [244]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [235]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [234]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [248]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [243]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [247]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [232]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [242]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [231]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [230]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [250]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [233]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [241]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [240]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [246]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [239]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [238]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6880 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [259]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [274]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [272]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [268]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [260]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [275]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [267]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [258]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [257]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [271]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [266]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [270]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [255]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [265]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [254]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [253]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [273]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [256]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [264]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [263]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [269]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [262]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [261]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6881 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [259]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [274]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [272]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [268]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [260]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [275]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [267]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [258]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [257]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [271]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [266]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [270]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [255]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [265]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [254]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [253]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [273]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [256]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [264]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [263]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [269]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [262]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [261]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6882 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [282]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [297]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [295]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [291]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [283]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [298]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [290]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [281]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [280]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [294]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [289]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [293]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [278]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [288]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [277]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [276]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [296]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [279]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [287]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [286]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [292]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [285]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [284]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6883 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [282]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [297]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [295]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [291]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [283]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [298]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [290]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [281]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [280]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [294]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [289]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [293]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [278]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [288]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [277]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [276]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [296]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [279]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [287]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [286]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [292]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [285]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [284]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6884 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [305]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [320]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [318]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [314]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [306]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [321]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [313]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [304]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [303]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [317]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [312]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [316]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [301]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [311]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [300]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [299]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [319]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [302]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [310]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [309]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [315]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [308]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [307]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6885 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [305]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [320]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [318]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [314]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [306]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [321]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [313]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [304]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [303]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [317]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [312]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [316]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [301]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [311]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [300]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [299]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [319]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [302]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [310]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [309]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [315]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [308]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [307]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6886 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [328]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [343]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [341]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [337]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [329]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [344]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [336]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [327]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [326]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [340]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [335]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [339]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [324]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [334]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [323]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [322]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [342]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [325]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [333]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [332]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [338]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [331]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [330]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6887 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [328]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [343]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [341]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [337]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [329]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [344]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [336]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [327]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [326]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [340]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [335]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [339]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [324]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [334]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [323]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [322]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [342]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [325]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [333]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [332]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [338]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [331]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [330]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6888 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [351]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [366]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [364]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [360]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [352]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [367]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [359]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [350]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [349]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [363]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [358]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [362]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [347]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [357]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [346]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [345]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [365]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [348]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [356]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [355]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [361]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [354]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [353]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6889 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [351]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [366]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [364]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [360]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [352]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [367]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [359]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [350]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [349]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [363]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [358]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [362]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [347]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [357]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [346]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [345]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [365]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [348]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [356]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [355]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [361]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [354]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [353]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6890 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [374]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [389]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [387]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [383]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [375]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [390]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [382]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [373]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [372]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [386]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [381]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [385]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [370]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [380]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [369]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [368]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [388]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [371]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [379]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [378]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [384]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [377]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [376]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6891 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [374]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [389]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [387]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [383]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [375]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [390]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [382]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [373]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [372]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [386]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [381]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [385]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [370]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [380]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [369]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [368]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [388]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [371]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [379]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [378]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [384]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [377]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [376]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6892 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [397]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [412]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [410]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [406]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [398]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [413]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [405]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [396]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [395]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [409]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [404]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [408]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [393]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [403]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [392]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [391]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [411]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [394]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [402]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [401]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [407]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [400]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [399]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6893 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [397]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [412]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [410]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [406]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [398]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [413]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [405]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [396]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [395]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [409]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [404]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [408]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [393]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [403]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [392]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [391]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [411]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [394]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [402]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [401]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [407]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [400]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [399]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6894 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [420]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [435]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [433]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [429]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [421]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [436]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [428]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [419]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [418]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [432]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [427]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [431]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [416]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [426]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [415]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [414]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [434]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [417]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [425]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [424]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [430]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [423]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [422]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6895 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [420]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [435]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [433]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [429]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [421]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [436]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [428]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [419]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [418]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [432]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [427]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [431]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [416]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [426]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [415]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [414]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [434]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [417]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [425]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [424]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [430]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [423]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [422]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6896 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [443]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [458]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [456]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [452]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [444]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [459]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [451]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [442]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [441]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [455]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [450]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [454]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [439]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [449]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [438]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [437]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [457]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [440]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [448]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [447]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [453]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [446]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [445]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6897 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [443]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [458]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [456]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [452]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [444]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [459]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [451]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [442]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [441]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [455]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [450]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [454]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [439]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [449]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [438]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [437]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [457]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [440]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [448]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [447]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [453]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [446]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [445]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6898 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [466]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [481]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [479]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [475]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [467]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [482]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [474]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [465]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [464]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [478]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [473]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [477]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [462]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [472]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [461]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [460]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [480]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [463]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [471]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [470]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [476]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [469]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [468]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6899 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [466]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [481]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [479]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [475]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [467]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [482]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [474]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [465]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [464]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [478]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [473]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [477]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [462]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [472]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [461]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [460]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [480]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [463]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [471]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [470]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [476]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [469]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [468]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6900 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [489]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [504]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [502]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [498]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [490]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [505]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [497]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [488]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [487]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [501]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [496]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [500]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [485]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [495]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [484]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [483]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [503]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [486]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [494]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [493]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [499]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [492]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [491]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6901 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [489]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [504]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [502]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [498]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [490]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [505]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [497]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [488]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [487]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [501]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [496]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [500]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [485]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [495]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [484]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [483]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [503]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [486]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [494]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [493]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [499]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [492]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [491]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6902 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [512]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [527]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [525]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [521]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [513]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [528]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [520]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [511]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [510]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [524]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [519]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [523]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [508]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [518]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [507]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [506]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [526]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [509]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [517]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [516]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [522]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [515]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [514]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6903 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [512]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [527]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [525]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [521]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [513]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [528]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [520]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [511]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [510]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [524]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [519]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [523]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [508]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [518]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [507]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [506]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [526]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [509]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [517]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [516]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [522]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [515]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [514]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6904 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [535]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [550]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [548]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [544]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [536]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [551]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [543]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [534]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [533]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [547]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [542]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [546]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [531]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [541]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [530]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [529]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [549]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [532]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [540]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [539]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [545]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [538]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [537]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6905 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [535]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [550]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [548]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [544]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [536]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [551]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [543]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [534]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [533]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [547]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [542]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [546]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [531]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [541]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [530]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [529]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [549]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [532]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [540]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [539]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [545]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [538]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [537]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6906 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [558]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [573]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [571]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [567]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [559]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [574]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [566]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [557]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [556]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [570]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [565]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [569]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [554]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [564]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [553]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [552]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [572]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [555]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [563]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [562]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [568]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [561]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [560]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6907 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [558]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [573]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [571]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [567]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [559]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [574]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [566]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [557]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [556]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [570]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [565]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [569]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [554]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [564]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [553]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [552]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [572]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [555]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [563]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [562]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [568]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [561]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [560]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6908 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [581]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [596]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [594]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [590]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [582]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [597]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [589]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [580]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [579]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [593]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [588]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [592]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [577]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [587]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [576]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [575]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [595]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [578]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [586]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [585]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [591]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [584]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [583]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6909 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [581]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [596]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [594]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [590]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [582]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [597]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [589]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [580]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [579]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [593]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [588]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [592]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [577]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [587]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [576]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [575]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [595]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [578]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [586]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [585]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [591]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [584]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [583]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6910 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [604]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [619]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [617]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [613]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [605]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [620]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [612]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [603]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [602]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [616]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [611]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [615]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [600]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [610]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [599]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [598]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [618]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [601]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [609]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [608]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [614]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [607]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [606]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6911 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [604]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [619]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [617]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [613]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [605]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [620]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [612]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [603]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [602]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [616]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [611]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [615]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [600]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [610]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [599]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [598]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [618]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [601]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [609]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [608]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [614]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [607]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [606]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6912 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [627]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [642]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [640]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [636]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [628]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [643]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [635]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [626]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [625]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [639]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [634]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [638]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [623]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [633]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [622]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [621]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [641]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [624]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [632]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [631]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [637]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [630]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [629]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6913 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [627]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [642]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [640]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [636]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [628]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [643]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [635]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [626]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [625]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [639]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [634]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [638]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [623]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [633]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [622]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [621]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [641]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [624]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [632]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [631]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [637]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [630]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [629]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6914 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [650]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [665]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [663]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [659]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [651]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [666]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [658]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [649]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [648]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [662]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [657]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [661]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [646]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [656]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [645]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [644]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [664]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [647]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [655]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [654]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [660]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [653]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [652]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6915 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [650]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [665]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [663]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [659]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [651]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [666]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [658]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [649]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [648]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [662]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [657]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [661]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [646]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [656]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [645]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [644]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [664]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [647]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [655]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [654]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [660]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [653]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [652]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6916 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [673]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [688]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [686]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [682]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [674]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [689]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [681]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [672]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [671]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [685]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [680]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [684]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [669]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [679]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [668]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [667]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [687]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [670]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [678]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [677]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [683]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [676]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [675]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6917 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [673]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [688]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [686]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [682]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [674]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [689]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [681]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [672]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [671]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [685]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [680]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [684]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [669]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [679]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [668]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [667]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [687]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [670]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [678]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [677]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [683]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [676]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [675]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6918 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [696]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [711]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [709]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [705]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [697]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [712]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [704]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [695]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [694]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [708]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [703]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [707]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [692]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [702]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [691]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [690]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [710]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [693]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [701]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [700]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [706]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [699]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [698]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6919 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [696]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [711]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [709]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [705]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [697]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [712]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [704]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [695]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [694]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [708]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [703]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [707]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [692]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [702]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [691]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [690]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [710]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [693]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [701]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [700]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [706]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [699]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [698]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6920 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [719]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [734]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [732]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [728]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [720]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [735]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [727]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [718]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [717]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [731]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [726]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [730]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [715]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [725]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [714]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [713]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [733]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [716]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [724]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [723]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [729]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [722]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [721]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6921 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [719]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [734]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [732]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [728]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [720]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [735]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [727]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [718]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [717]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [731]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [726]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [730]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [715]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [725]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [714]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [713]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [733]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [716]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [724]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [723]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [729]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [722]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [721]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6922 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [742]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [757]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [755]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [751]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [743]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [758]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [750]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [741]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [740]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [754]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [749]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [753]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [738]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [748]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [737]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [736]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [756]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [739]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [747]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [746]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [752]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [745]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [744]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6923 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [742]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [757]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [755]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [751]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [743]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [758]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [750]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [741]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [740]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [754]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [749]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [753]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [738]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [748]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [737]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [736]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [756]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [739]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [747]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [746]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [752]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [745]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [744]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6924 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [765]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [780]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [778]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [774]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [766]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [781]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [773]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [764]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [763]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [777]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [772]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [776]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [761]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [771]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [760]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [759]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [779]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [762]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [770]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [769]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [775]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [768]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [767]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6925 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [765]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [780]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [778]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [774]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [766]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [781]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [773]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [764]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [763]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [777]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [772]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [776]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [761]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [771]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [760]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [759]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [779]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [762]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [770]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [769]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [775]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [768]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [767]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6926 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [788]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [803]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [801]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [797]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [789]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [804]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [796]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [787]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [786]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [800]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [795]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [799]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [784]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [794]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [783]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [782]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [802]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [785]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [793]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [792]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [798]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [791]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [790]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6927 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [788]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [803]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [801]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [797]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [789]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [804]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [796]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [787]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [786]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [800]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [795]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [799]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [784]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [794]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [783]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [782]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [802]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [785]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [793]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [792]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [798]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [791]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [790]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6928 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [811]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [826]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [824]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [820]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [812]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [827]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [819]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [810]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [809]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [823]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [818]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [822]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [807]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [817]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [806]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [805]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [825]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [808]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [816]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [815]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [821]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [814]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [813]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6929 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [811]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [826]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [824]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [820]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [812]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [827]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [819]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [810]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [809]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [823]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [818]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [822]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [807]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [817]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [806]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [805]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [825]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [808]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [816]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [815]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [821]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [814]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [813]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6930 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [834]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [849]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [847]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [843]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [835]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [850]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [842]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [833]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [832]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [846]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [841]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [845]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [830]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [840]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [829]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [828]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [848]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [831]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [839]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [838]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [844]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [837]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [836]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6931 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [834]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [849]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [847]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [843]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [835]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [850]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [842]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [833]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [832]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [846]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [841]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [845]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [830]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [840]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [829]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [828]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [848]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [831]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [839]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [838]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [844]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [837]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [836]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6932 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [857]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [872]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [870]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [866]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [858]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [873]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [865]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [856]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [855]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [869]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [864]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [868]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [853]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [863]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [852]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [851]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [871]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [854]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [862]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [861]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [867]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [860]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [859]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6933 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [857]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [872]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [870]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [866]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [858]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [873]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [865]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [856]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [855]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [869]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [864]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [868]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [853]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [863]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [852]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [851]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [871]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [854]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [862]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [861]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [867]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [860]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [859]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6934 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [880]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [895]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [893]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [889]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [881]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [896]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [888]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [879]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [878]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [892]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [887]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [891]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [876]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [886]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [875]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [874]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [894]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [877]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [885]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [884]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [890]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [883]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [882]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6935 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [880]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [895]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [893]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [889]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [881]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [896]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [888]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [879]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [878]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [892]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [887]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [891]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [876]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [886]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [875]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [874]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [894]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [877]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [885]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [884]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [890]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [883]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [882]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6936 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [903]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [918]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [916]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [912]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [904]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [919]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [911]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [902]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [901]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [915]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [910]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [914]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [899]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [909]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [898]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [897]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [917]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [900]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [908]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [907]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [913]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [906]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [905]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6937 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [903]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [918]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [916]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [912]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [904]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [919]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [911]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [902]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [901]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [915]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [910]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [914]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [899]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [909]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [898]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [897]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [917]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [900]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [908]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [907]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [913]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [906]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [905]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6938 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [926]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [941]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [939]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [935]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [927]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [942]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [934]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [925]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [924]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [938]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [933]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [937]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [922]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [932]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [921]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [920]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [940]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [923]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [931]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [930]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [936]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [929]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [928]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6939 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [926]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [941]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [939]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [935]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [927]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [942]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [934]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [925]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [924]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [938]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [933]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [937]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [922]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [932]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [921]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [920]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [940]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [923]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [931]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [930]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [936]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [929]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [928]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6940 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [949]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [964]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [962]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [958]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [950]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [965]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [957]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [948]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [947]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [961]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [956]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [960]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [945]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [955]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [944]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [943]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [963]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [946]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [954]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [953]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [959]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [952]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [951]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6941 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [949]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [964]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [962]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [958]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [950]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [965]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [957]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [948]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [947]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [961]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [956]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [960]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [945]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [955]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [944]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [943]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [963]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [946]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [954]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [953]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [959]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [952]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [951]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6942 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [972]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [987]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [985]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [981]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [973]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [988]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [980]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [971]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [970]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [984]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [979]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [983]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [968]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [978]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [967]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [966]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [986]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [969]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [977]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [976]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [982]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [975]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [974]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6943 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [972]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [987]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [985]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [981]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [973]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [988]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [980]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [971]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [970]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [984]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [979]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [983]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [968]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [978]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [967]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [966]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [986]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [969]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [977]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [976]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [982]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [975]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [974]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6944 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [995]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1010]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1008]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1004]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [996]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1011]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1003]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [994]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [993]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1007]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1002]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1006]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [991]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1001]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [990]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [989]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1009]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [992]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1000]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [999]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1005]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [998]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [997]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6945 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [995]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1010]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1008]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1004]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [996]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1011]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1003]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [994]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [993]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1007]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1002]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1006]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [991]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1001]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [990]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [989]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1009]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [992]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1000]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [999]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1005]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [998]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [997]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6946 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1018]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1033]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1031]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1027]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1019]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1034]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1026]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1017]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1016]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1030]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1025]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1029]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1014]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1024]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1013]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1012]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1032]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1015]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1023]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1022]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1028]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1021]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1020]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6947 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1018]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1033]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1031]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1027]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1019]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1034]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1026]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1017]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1016]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1030]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1025]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1029]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1014]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1024]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1013]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1012]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1032]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1015]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1023]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1022]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1028]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1021]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1020]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6948 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1041]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1056]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1054]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1050]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1042]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1057]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1049]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1040]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1039]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1053]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1048]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1052]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1037]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1047]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1036]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1035]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1055]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1038]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1046]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1045]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1051]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1044]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1043]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6949 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1041]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1056]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1054]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1050]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1042]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1057]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1049]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1040]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1039]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1053]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1048]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1052]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1037]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1047]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1036]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1035]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1055]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1038]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1046]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1045]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1051]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1044]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1043]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6950 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1064]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1079]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1077]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1073]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1065]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1080]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1072]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1063]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1062]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1076]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1071]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1075]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1060]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1070]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1059]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1058]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1078]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1061]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1069]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1068]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1074]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1067]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1066]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6951 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1064]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1079]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1077]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1073]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1065]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1080]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1072]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1063]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1062]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1076]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1071]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1075]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1060]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1070]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1059]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1058]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1078]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1061]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1069]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1068]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1074]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1067]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1066]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6952 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1087]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1102]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1100]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1096]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1088]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1103]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1095]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1086]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1085]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1099]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1094]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1098]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1083]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1093]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1082]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1081]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1101]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1084]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1092]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1091]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1097]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1090]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1089]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6953 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1087]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1102]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1100]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1096]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1088]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1103]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1095]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1086]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1085]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1099]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1094]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1098]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1083]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1093]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1082]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1081]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1101]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1084]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1092]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1091]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1097]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1090]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1089]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6954 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1110]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1125]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1123]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1119]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1111]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1126]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1118]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1109]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1108]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1122]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1117]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1121]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1106]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1116]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1105]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1104]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1124]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1107]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1115]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1114]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1120]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1113]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1112]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6955 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1110]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1125]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1123]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1119]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1111]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1126]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1118]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1109]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1108]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1122]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1117]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1121]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1106]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1116]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1105]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1104]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1124]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1107]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1115]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1114]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1120]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1113]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1112]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6956 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1133]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1148]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1146]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1142]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1134]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1149]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1141]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1132]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1131]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1145]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1140]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1144]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1129]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1139]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1128]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1127]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1147]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1130]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1138]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1137]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1143]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1136]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1135]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6957 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1133]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1148]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1146]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1142]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1134]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1149]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1141]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1132]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1131]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1145]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1140]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1144]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1129]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1139]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1128]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1127]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1147]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1130]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1138]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1137]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1143]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1136]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1135]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6958 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1156]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1171]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1169]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1165]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1157]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1172]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1164]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1155]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1154]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1168]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1163]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1167]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1152]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1162]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1151]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1150]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1170]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1153]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1161]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1160]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1166]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1159]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1158]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6959 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1156]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1171]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1169]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1165]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1157]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1172]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1164]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1155]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1154]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1168]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1163]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1167]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1152]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1162]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1151]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1150]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1170]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1153]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1161]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1160]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1166]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1159]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1158]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6960 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1179]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1194]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1192]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1188]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1180]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1195]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1187]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1178]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1177]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1191]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1186]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1190]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1175]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1185]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1174]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1173]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1193]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1176]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1184]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1183]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1189]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1182]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1181]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6961 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1179]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1194]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1192]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1188]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1180]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1195]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1187]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1178]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1177]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1191]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1186]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1190]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1175]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1185]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1174]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1173]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1193]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1176]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1184]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1183]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1189]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1182]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1181]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6962 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1202]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1217]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1215]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1211]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1203]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1218]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1210]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1201]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1200]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1214]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1209]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1213]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1198]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1208]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1197]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1196]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1216]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1199]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1207]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1206]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1212]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1205]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1204]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6963 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1202]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1217]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1215]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1211]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1203]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1218]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1210]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1201]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1200]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1214]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1209]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1213]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1198]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1208]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1197]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1196]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1216]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1199]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1207]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1206]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1212]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1205]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1204]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6964 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1225]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1240]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1238]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1234]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1226]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1241]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1233]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1224]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1223]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1237]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1232]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1236]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1221]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1231]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1220]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1219]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1239]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1222]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1230]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1229]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1235]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1228]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1227]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6965 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1225]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1240]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1238]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1234]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1226]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1241]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1233]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1224]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1223]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1237]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1232]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1236]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1221]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1231]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1220]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1219]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1239]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1222]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1230]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1229]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1235]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1228]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1227]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6966 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1248]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1263]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1261]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1257]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1249]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1264]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1256]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1247]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1246]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1260]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1255]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1259]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1244]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1254]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1243]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1242]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1262]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1245]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1253]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1252]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1258]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1251]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1250]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6967 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1248]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1263]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1261]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1257]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1249]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1264]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1256]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1247]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1246]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1260]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1255]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1259]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1244]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1254]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1243]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1242]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1262]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1245]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1253]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1252]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1258]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1251]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1250]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6968 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1271]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1286]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1284]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1280]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1272]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1287]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1279]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1270]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1269]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1283]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1278]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1282]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1267]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1277]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1266]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1265]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1285]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1268]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1276]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1275]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1281]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1274]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1273]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6969 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1271]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1286]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1284]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1280]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1272]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1287]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1279]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1270]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1269]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1283]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1278]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1282]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1267]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1277]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1266]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1265]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1285]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1268]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1276]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1275]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1281]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1274]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1273]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6970 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1294]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1309]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1307]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1303]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1295]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1310]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1302]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1293]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1292]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1306]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1301]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1305]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1290]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1300]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1289]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1288]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1308]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1291]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1299]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1298]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1304]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1297]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1296]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6971 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1294]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1309]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1307]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1303]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1295]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1310]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1302]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1293]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1292]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1306]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1301]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1305]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1290]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1300]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1289]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1288]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1308]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1291]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1299]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1298]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1304]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1297]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1296]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6972 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1317]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1332]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1330]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1326]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1318]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1333]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1325]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1316]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1315]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1329]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1324]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1328]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1313]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1323]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1312]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1311]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1331]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1314]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1322]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1321]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1327]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1320]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1319]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6973 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1317]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1332]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1330]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1326]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1318]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1333]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1325]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1316]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1315]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1329]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1324]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1328]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1313]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1323]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1312]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1311]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1331]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1314]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1322]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1321]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1327]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1320]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1319]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6974 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1340]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1355]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1353]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1349]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1341]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1356]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1348]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1339]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1338]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1352]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1347]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1351]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1336]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1346]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1335]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1334]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1354]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1337]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1345]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1344]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1350]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1343]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1342]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6975 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1340]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1355]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1353]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1349]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1341]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1356]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1348]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1339]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1338]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1352]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1347]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1351]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1336]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1346]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1335]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1334]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1354]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1337]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1345]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1344]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1350]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1343]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1342]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6976 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1363]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1378]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1376]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1372]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1364]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1379]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1371]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1362]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1361]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1375]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1370]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1374]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1359]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1369]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1358]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1357]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1377]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1360]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1368]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1367]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1373]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1366]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1365]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6977 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1363]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1378]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1376]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1372]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1364]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1379]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1371]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1362]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1361]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1375]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1370]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1374]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1359]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1369]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1358]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1357]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1377]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1360]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1368]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1367]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1373]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1366]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1365]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6978 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1386]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1401]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1399]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1395]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1387]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1402]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1394]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1385]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1384]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1398]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1393]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1397]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1382]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1392]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1381]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1380]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1400]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1383]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1391]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1390]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1396]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1389]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1388]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6979 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1386]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1401]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1399]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1395]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1387]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1402]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1394]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1385]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1384]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1398]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1393]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1397]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1382]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1392]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1381]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1380]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1400]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1383]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1391]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1390]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1396]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1389]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1388]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6980 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1409]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1424]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1422]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1418]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1410]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1425]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1417]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1408]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1407]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1421]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1416]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1420]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1405]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1415]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1404]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1403]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1423]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1406]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1414]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1413]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1419]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1412]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1411]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6981 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1409]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1424]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1422]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1418]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1410]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1425]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1417]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1408]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1407]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1421]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1416]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1420]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1405]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1415]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1404]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1403]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1423]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1406]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1414]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1413]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1419]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1412]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1411]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6982 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1432]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1447]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1445]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1441]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1433]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1448]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1440]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1431]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1430]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1444]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1439]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1443]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1428]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1438]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1427]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1426]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1446]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1429]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1437]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1436]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1442]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1435]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1434]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6983 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1432]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1447]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1445]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1441]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1433]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1448]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1440]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1431]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1430]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1444]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1439]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1443]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1428]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1438]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1427]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1426]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1446]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1429]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1437]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1436]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1442]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1435]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1434]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6984 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1455]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1470]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1468]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1464]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1456]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1471]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1463]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1454]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1453]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1467]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1462]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1466]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1451]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1461]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1450]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1449]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1469]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1452]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1460]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1459]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1465]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1458]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1457]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6985 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1455]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1470]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1468]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1464]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1456]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1471]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1463]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1454]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1453]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1467]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1462]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1466]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1451]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1461]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1450]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1449]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1469]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1452]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1460]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1459]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1465]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1458]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1457]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6986 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1478]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1493]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1491]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1487]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1479]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1494]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1486]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1477]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1476]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1490]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1485]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1489]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1474]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1484]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1473]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1472]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1492]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1475]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1483]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1482]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1488]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1481]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1480]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6987 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1478]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1493]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1491]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1487]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1479]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1494]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1486]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1477]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1476]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1490]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1485]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1489]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1474]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1484]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1473]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1472]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1492]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1475]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1483]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1482]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1488]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1481]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1480]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6988 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1501]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1516]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1514]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1510]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1502]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1517]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1509]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1500]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1499]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1513]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1508]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1512]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1497]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1507]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1496]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1495]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1515]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1498]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1506]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1505]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1511]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1504]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1503]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6989 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1501]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1516]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1514]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1510]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1502]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1517]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1509]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1500]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1499]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1513]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1508]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1512]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1497]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1507]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1496]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1495]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1515]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1498]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1506]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1505]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1511]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1504]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1503]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6990 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1524]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1539]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1537]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1533]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1525]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1540]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1532]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1523]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1522]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1536]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1531]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1535]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1520]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1530]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1519]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1518]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1538]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1521]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1529]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1528]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1534]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1527]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1526]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6991 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1524]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1539]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1537]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1533]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1525]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1540]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1532]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1523]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1522]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1536]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1531]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1535]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1520]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1530]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1519]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1518]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1538]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1521]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1529]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1528]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1534]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1527]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1526]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6992 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1547]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1562]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1560]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1556]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1548]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1563]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1555]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1546]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1545]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1559]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1554]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1558]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1543]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1553]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1542]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1541]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1561]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1544]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1552]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1551]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1557]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1550]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1549]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6993 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1547]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1562]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1560]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1556]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1548]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1563]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1555]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1546]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1545]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1559]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1554]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1558]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1543]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1553]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1542]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1541]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1561]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1544]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1552]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1551]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1557]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1550]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1549]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6994 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1570]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1585]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1583]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1579]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1571]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1586]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1578]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1569]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1568]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1582]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1577]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1581]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1566]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1576]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1565]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1564]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1584]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1567]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1575]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1574]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1580]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1573]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1572]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6995 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1570]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1585]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1583]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1579]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1571]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1586]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1578]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1569]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1568]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1582]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1577]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1581]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1566]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1576]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1565]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1564]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1584]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1567]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1575]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1574]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1580]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1573]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1572]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6996 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1593]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1608]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1606]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1602]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1594]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1609]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1601]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1592]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1591]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1605]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1600]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1604]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1589]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1599]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1588]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1587]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1607]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1590]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1598]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1597]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1603]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1596]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1595]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6997 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1593]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1608]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1606]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1602]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1594]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1609]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1601]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1592]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1591]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1605]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1600]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1604]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1589]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1599]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1588]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1587]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1607]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1590]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1598]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1597]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1603]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1596]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1595]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6998 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1616]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1631]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1629]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1625]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1617]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1632]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1624]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1615]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1614]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1628]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1623]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1627]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1612]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1622]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1611]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1610]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1630]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1613]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1621]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1620]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1626]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1619]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1618]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6999 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1616]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1631]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1629]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1625]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1617]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1632]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1624]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1615]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1614]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1628]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1623]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1627]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1612]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1622]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1611]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1610]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1630]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1613]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1621]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1620]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1626]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1619]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1618]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7000 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1639]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1654]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1652]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1648]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1640]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1655]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1647]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1638]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1637]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1651]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1646]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1650]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1635]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1645]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1634]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1633]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1653]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1636]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1644]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1643]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1649]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1642]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1641]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7001 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1639]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1654]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1652]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1648]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1640]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1655]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1647]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1638]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1637]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1651]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1646]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1650]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1635]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1645]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1634]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1633]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1653]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1636]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1644]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1643]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1649]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1642]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1641]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7002 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1662]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1677]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1675]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1671]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1663]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1678]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1670]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1661]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1660]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1674]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1669]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1673]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1658]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1668]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1657]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1656]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1676]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1659]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1667]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1666]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1672]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1665]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1664]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7003 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1662]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1677]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1675]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1671]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1663]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1678]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1670]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1661]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1660]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1674]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1669]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1673]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1658]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1668]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1657]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1656]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1676]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1659]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1667]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1666]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1672]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1665]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1664]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7004 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1685]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1700]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1698]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1694]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1686]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1701]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1693]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1684]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1683]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1697]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1692]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1696]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1681]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1691]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1680]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1679]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1699]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1682]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1690]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1689]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1695]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1688]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1687]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7005 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1685]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1700]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1698]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1694]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1686]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1701]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1693]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1684]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1683]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1697]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1692]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1696]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1681]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1691]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1680]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1679]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1699]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1682]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1690]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1689]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1695]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1688]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1687]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7006 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1708]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1723]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1721]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1717]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1709]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1724]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1716]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1707]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1706]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1720]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1715]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1719]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1704]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1714]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1703]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1702]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1722]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1705]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1713]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1712]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1718]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1711]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1710]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7007 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1708]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1723]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1721]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1717]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1709]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1724]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1716]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1707]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1706]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1720]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1715]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1719]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1704]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1714]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1703]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1702]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1722]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1705]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1713]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1712]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1718]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1711]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1710]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7008 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1731]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1746]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1744]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1740]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1732]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1747]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1739]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1730]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1729]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1743]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1738]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1742]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1727]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1737]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1726]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1725]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1745]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1728]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1736]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1735]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1741]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1734]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1733]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7009 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1731]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1746]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1744]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1740]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1732]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1747]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1739]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1730]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1729]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1743]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1738]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1742]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1727]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1737]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1726]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1725]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1745]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1728]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1736]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1735]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1741]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1734]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1733]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7010 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1754]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1769]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1767]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1763]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1755]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1770]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1762]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1753]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1752]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1766]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1761]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1765]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1750]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1760]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1749]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1748]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1768]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1751]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1759]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1758]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1764]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1757]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1756]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7011 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1754]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1769]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1767]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1763]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1755]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1770]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1762]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1753]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1752]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1766]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1761]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1765]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1750]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1760]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1749]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1748]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1768]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1751]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1759]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1758]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1764]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1757]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1756]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7012 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1777]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1792]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1790]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1786]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1778]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1793]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1785]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1776]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1775]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1789]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1784]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1788]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1773]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1783]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1772]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1771]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1791]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1774]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1782]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1781]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1787]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1780]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1779]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7013 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1777]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1792]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1790]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1786]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1778]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1793]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1785]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1776]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1775]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1789]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1784]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1788]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1773]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1783]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1772]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1771]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1791]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1774]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1782]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1781]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1787]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1780]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1779]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7014 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1800]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1815]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1813]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1809]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1801]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1816]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1808]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1799]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1798]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1812]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1807]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1811]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1796]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1806]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1795]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1794]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1814]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1797]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1805]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1804]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1810]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1803]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1802]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7015 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1800]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1815]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1813]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1809]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1801]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1816]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1808]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1799]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1798]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1812]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1807]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1811]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1796]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1806]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1795]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1794]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1814]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1797]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1805]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1804]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1810]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1803]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1802]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7016 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1823]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1838]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1836]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1832]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1824]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1839]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1831]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1822]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1821]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1835]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1830]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1834]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1819]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1829]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1818]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1817]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1837]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1820]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1828]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1827]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1833]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1826]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1825]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7017 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1823]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1838]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1836]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1832]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1824]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1839]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1831]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1822]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1821]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1835]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1830]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1834]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1819]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1829]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1818]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1817]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1837]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1820]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1828]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1827]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1833]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1826]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1825]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7018 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1846]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1861]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1859]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1855]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1847]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1862]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1854]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1845]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1844]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1858]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1853]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1857]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1842]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1852]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1841]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1840]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1860]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1843]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1851]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1850]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1856]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1849]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1848]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7019 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1846]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1861]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1859]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1855]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1847]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1862]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1854]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1845]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1844]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1858]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1853]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1857]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1842]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1852]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1841]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1840]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1860]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1843]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1851]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1850]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1856]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1849]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1848]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7020 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1869]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1884]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1882]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1878]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1870]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1885]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1877]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1868]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1867]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1881]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1876]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1880]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1865]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1875]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1864]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1863]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1883]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1866]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1874]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1873]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1879]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1872]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1871]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7021 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1869]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1884]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1882]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1878]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1870]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1885]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1877]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1868]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1867]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1881]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1876]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1880]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1865]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1875]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1864]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1863]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1883]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1866]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1874]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1873]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1879]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1872]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1871]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7022 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1892]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1907]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1905]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1901]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1893]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1908]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1900]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1891]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1890]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1904]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1899]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1903]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1888]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1898]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1887]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1886]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1906]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1889]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1897]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1896]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1902]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1895]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1894]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7023 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1892]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1907]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1905]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1901]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1893]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1908]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1900]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1891]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1890]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1904]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1899]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1903]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1888]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1898]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1887]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1886]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1906]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1889]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1897]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1896]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1902]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1895]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1894]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7024 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1915]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1930]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1928]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1924]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1916]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1931]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1923]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1914]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1913]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1927]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1922]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1926]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1911]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1921]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1910]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1909]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1929]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1912]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1920]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1919]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1925]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1918]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1917]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7025 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1915]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1930]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1928]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1924]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1916]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1931]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1923]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1914]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1913]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1927]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1922]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1926]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1911]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1921]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1910]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1909]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1929]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1912]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1920]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1919]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1925]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1918]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1917]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7026 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1938]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1953]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1951]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1947]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1939]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1954]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1946]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1937]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1936]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1950]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1945]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1949]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1934]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1944]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1933]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1932]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1952]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1935]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1943]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1942]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1948]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1941]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1940]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7027 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1938]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1953]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1951]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1947]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1939]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1954]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1946]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1937]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1936]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1950]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1945]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1949]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1934]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1944]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1933]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1932]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1952]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1935]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1943]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1942]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1948]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1941]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1940]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7028 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1961]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1976]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1974]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1970]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1962]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1977]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1969]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1960]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1959]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1973]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1968]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1972]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1957]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1967]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1956]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1955]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1975]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1958]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1966]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1965]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1971]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1964]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1963]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7029 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1961]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1976]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1974]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1970]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1962]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1977]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1969]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1960]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1959]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1973]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1968]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1972]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1957]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1967]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1956]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1975]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1958]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1966]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1965]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1971]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1964]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1963]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1955]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7030 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1984]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1999]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1997]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1993]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1985]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2000]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1992]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1983]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1982]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1996]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1991]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1995]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1980]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1990]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1979]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1978]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1998]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1981]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1989]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1988]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1994]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1987]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1986]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7031 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1984]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1999]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1997]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1993]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1985]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2000]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1992]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1983]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1982]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1996]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1991]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1995]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1980]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1990]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1979]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1998]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1981]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1989]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1988]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1994]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1987]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1986]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1978]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7032 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2006]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2022]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2020]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2015]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2007]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2023]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2014]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2005]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2004]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2019]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2013]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2017]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2002]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2012]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2001]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2021]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2003]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2011]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2010]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2016]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2009]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2008]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2018]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7033 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2007]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2022]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2020]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2016]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2008]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2023]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2015]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2006]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2005]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2019]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2014]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2018]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2003]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2013]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2002]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2021]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2004]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2012]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2011]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2017]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2010]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2009]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2001]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7034 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2030]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2045]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2043]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2039]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2031]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2046]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2038]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2029]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2028]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2042]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2037]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2041]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2026]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2036]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2025]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2024]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2044]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2027]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2035]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2034]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2040]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2033]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2032]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7035 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2030]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2045]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2043]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2039]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2031]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2046]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2038]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2029]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2028]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2042]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2037]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2041]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2026]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2036]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2025]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2044]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2027]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2035]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2034]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2040]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2033]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2032]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2024]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7036 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2053]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2068]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2066]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2062]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2054]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2069]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2061]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2052]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2051]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2065]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2060]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2064]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2049]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2059]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2048]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2047]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2067]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2050]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2058]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2057]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2063]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2056]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2055]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7037 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2053]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2068]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2066]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2062]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2054]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2069]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2061]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2052]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2051]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2065]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2060]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2064]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2049]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2059]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2048]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2067]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2050]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2058]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2057]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2063]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2056]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2055]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2047]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7038 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2076]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2091]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2089]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2085]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2077]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2092]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2084]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2075]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2074]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2088]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2083]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2087]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2072]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2082]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2071]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2070]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2090]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2073]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2081]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2080]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2086]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2079]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2078]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7039 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2076]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2091]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2089]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2085]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2077]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2092]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2084]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2075]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2074]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2088]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2083]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2087]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2072]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2082]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2071]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2090]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2073]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2081]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2080]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2086]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2079]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2078]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2070]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7040 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2099]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2114]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2112]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2108]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2100]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2115]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2107]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2098]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2097]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2111]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2106]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2110]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2095]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2105]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2094]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2093]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2113]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2096]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2104]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2103]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2109]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2102]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2101]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7041 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2099]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2114]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2112]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2108]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2100]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2115]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2107]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2098]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2097]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2111]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2106]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2110]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2095]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2105]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2094]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2113]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2096]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2104]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2103]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2109]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2102]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2101]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2093]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7042 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2122]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2137]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2135]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2131]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2123]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2138]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2130]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2121]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2120]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2134]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2129]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2133]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2118]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2128]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2117]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2116]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2136]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2119]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2127]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2126]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2132]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2125]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2124]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7043 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2122]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2137]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2135]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2131]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2123]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2138]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2130]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2121]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2120]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2134]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2129]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2133]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2118]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2128]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2117]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2136]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2119]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2127]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2126]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2132]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2125]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2124]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2116]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7044 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2145]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2160]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2158]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2154]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2146]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2161]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2153]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2144]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2143]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2157]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2152]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2156]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2141]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2151]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2140]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2139]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2159]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2142]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2150]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2149]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2155]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2148]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2147]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7045 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2145]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2160]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2158]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2154]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2146]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2161]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2153]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2144]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2143]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2157]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2152]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2156]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2141]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2151]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2140]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2159]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2142]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2150]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2149]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2155]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2148]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2147]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2139]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7046 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2168]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2183]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2181]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2177]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2169]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2184]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2176]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2167]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2166]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2180]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2175]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2179]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2164]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2174]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2163]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2162]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2182]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2165]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2173]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2172]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2178]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2171]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2170]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7047 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2168]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2183]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2181]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2177]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2169]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2184]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2176]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2167]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2166]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2180]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2175]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2179]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2164]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2174]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2163]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2182]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2165]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2173]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2172]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2178]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2171]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2170]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2162]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7048 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2191]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2206]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2204]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2200]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2192]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2207]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2199]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2190]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2189]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2203]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2198]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2202]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2187]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2197]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2186]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2185]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2205]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2188]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2196]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2195]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2201]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2194]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2193]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7049 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2191]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2206]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2204]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2200]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2192]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2207]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2199]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2190]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2189]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2203]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2198]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2202]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2187]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2197]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2186]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2205]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2188]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2196]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2195]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2201]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2194]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2193]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2185]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7050 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2214]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2229]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2227]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2223]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2215]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2230]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2222]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2213]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2212]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2226]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2221]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2225]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2210]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2220]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2209]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2208]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2228]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2211]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2219]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2218]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2224]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2217]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2216]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7051 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2214]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2229]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2227]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2223]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2215]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2230]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2222]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2213]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2212]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2226]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2221]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2225]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2210]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2220]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2209]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2228]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2211]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2219]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2218]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2224]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2217]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2216]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2208]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7052 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2237]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2252]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2250]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2246]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2238]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2253]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2245]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2236]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2235]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2249]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2244]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2248]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2233]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2243]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2232]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2231]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2251]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2234]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2242]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2241]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2247]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2240]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2239]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7053 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2237]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2252]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2250]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2246]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2238]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2253]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2245]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2236]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2235]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2249]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2244]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2248]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2233]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2243]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2232]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2251]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2234]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2242]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2241]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2247]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2240]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2239]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2231]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7054 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2260]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2275]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2273]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2269]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2261]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2276]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2268]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2259]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2258]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2272]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2267]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2271]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2256]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2266]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2255]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2254]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2274]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2257]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2265]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2264]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2270]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2263]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2262]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7055 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2260]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2275]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2273]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2269]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2261]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2276]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2268]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2259]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2258]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2272]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2267]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2271]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2256]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2266]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2255]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2274]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2257]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2265]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2264]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2270]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2263]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2262]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2254]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7056 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2283]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2298]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2296]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2292]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2284]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2299]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2291]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2282]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2281]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2295]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2290]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2294]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2279]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2289]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2278]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2277]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2297]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2280]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2288]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2287]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2293]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2286]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2285]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7057 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2283]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2298]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2296]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2292]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2284]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2299]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2291]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2282]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2281]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2295]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2290]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2294]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2279]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2289]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2278]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2297]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2280]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2288]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2287]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2293]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2286]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2285]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2277]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7058 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2306]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2321]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2319]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2315]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2307]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2322]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2314]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2305]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2304]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2318]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2313]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2317]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2302]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2312]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2301]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2300]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2320]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2303]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2311]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2310]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2316]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2309]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2308]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7059 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2306]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2321]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2319]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2315]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2307]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2322]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2314]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2305]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2304]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2318]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2313]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2317]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2302]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2312]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2301]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2320]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2303]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2311]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2310]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2316]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2309]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2308]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2300]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7060 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2329]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2344]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2342]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2338]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2330]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2345]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2337]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2328]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2327]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2341]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2336]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2340]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2325]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2335]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2324]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2323]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2343]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2326]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2334]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2333]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2339]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2332]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2331]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7061 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2329]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2344]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2342]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2338]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2330]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2345]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2337]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2328]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2327]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2341]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2336]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2340]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2325]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2335]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2324]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2343]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2326]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2334]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2333]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2339]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2332]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2331]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2323]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7062 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2352]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2367]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2365]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2361]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2353]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2368]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2360]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2351]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2350]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2364]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2359]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2363]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2348]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2358]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2347]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2346]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2366]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2349]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2357]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2356]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2362]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2355]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2354]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7063 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2352]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2367]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2365]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2361]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2353]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2368]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2360]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2351]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2350]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2364]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2359]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2363]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2348]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2358]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2347]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2366]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2349]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2357]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2356]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2362]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2355]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2354]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2346]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7064 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2375]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2390]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2388]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2384]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2376]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2391]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2383]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2374]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2373]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2387]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2382]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2386]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2371]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2381]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2370]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2369]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2389]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2372]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2380]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2379]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2385]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2378]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2377]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7065 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2375]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2390]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2388]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2384]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2376]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2391]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2383]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2374]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2373]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2387]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2382]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2386]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2371]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2381]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2370]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2389]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2372]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2380]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2379]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2385]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2378]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2377]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2369]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7066 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2398]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2413]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2411]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2407]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2399]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2414]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2406]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2397]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2396]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2410]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2405]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2409]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2394]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2404]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2393]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2392]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2412]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2395]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2403]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2402]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2408]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2401]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2400]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7067 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2398]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2413]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2411]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2407]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2399]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2414]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2406]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2397]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2396]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2410]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2405]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2409]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2394]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2404]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2393]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2412]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2395]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2403]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2402]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2408]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2401]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2400]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2392]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7068 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2421]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2436]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2434]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2430]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2422]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2437]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2429]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2420]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2419]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2433]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2428]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2432]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2417]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2427]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2416]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2415]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2435]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2418]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2426]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2425]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2431]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2424]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2423]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7069 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2421]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2436]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2434]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2430]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2422]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2437]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2429]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2420]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2419]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2433]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2428]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2432]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2417]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2427]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2416]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2435]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2418]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2426]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2425]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2431]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2424]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2423]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2415]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7070 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2444]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2459]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2457]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2453]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2445]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2460]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2452]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2443]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2442]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2456]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2451]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2455]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2440]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2450]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2439]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2438]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2458]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2441]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2449]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2448]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2454]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2447]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2446]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7071 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2444]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2459]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2457]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2453]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2445]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2460]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2452]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2443]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2442]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2456]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2451]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2455]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2440]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2450]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2439]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2458]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2441]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2449]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2448]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2454]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2447]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2446]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2438]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7072 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2467]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2482]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2480]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2476]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2468]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2483]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2475]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2466]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2465]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2479]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2474]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2478]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2463]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2473]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2462]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2461]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2481]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2464]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2472]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2471]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2477]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2470]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2469]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7073 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2467]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2482]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2480]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2476]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2468]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2483]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2475]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2466]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2465]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2479]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2474]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2478]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2463]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2473]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2462]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2481]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2464]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2472]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2471]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2477]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2470]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2469]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2461]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7074 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2490]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2505]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2503]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2499]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2491]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2506]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2498]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2489]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2488]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2502]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2497]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2501]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2486]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2496]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2485]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2484]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2504]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2487]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2495]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2494]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2500]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2493]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2492]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7075 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2490]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2505]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2503]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2499]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2491]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2506]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2498]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2489]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2488]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2502]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2497]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2501]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2486]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2496]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2485]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2504]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2487]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2495]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2494]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2500]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2493]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2492]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2484]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7076 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2513]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2528]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2526]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2522]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2514]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2529]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2521]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2512]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2511]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2525]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2520]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2524]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2509]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2519]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2508]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2507]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2527]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2510]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2518]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2517]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2523]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2516]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2515]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7077 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2513]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2528]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2526]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2522]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2514]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2529]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2521]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2512]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2511]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2525]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2520]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2524]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2509]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2519]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2508]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2527]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2510]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2518]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2517]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2523]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2516]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2515]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2507]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7078 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2536]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2551]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2549]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2545]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2537]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2552]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2544]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2535]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2534]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2548]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2543]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2547]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2532]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2542]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2531]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2530]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2550]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2533]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2541]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2540]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2546]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2539]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2538]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7079 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2536]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2551]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2549]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2545]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2537]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2552]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2544]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2535]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2534]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2548]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2543]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2547]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2532]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2542]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2531]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2550]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2533]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2541]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2540]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2546]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2539]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2538]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2530]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7080 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2559]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2574]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2572]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2568]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2560]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2575]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2567]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2558]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2557]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2571]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2566]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2570]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2555]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2565]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2554]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2553]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2573]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2556]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2564]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2563]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2569]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2562]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2561]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7081 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2559]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2574]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2572]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2568]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2560]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2575]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2567]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2558]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2557]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2571]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2566]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2570]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2555]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2565]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2554]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2573]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2556]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2564]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2563]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2569]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2562]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2561]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2553]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7082 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2582]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2597]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2595]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2591]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2583]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2598]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2590]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2581]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2580]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2594]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2589]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2593]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2578]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2588]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2577]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2576]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2596]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2579]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2587]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2586]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2592]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2585]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2584]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7083 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2582]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2597]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2595]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2591]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2583]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2598]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2590]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2581]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2580]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2594]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2589]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2593]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2578]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2588]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2577]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2576]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2596]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2579]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2587]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2586]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2592]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2585]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2584]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7084 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2605]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2620]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2618]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2614]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2606]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2621]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2613]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2604]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2603]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2617]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2612]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2616]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2601]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2611]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2600]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2599]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2619]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2602]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2610]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2609]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2615]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2608]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2607]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7085 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2605]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2620]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2618]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2614]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2606]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2621]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2613]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2604]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2603]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2617]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2612]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2616]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2601]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2611]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2600]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2599]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2619]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2602]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2610]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2609]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2615]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2608]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2607]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7086 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2628]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2643]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2641]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2637]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2629]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2644]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2636]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2627]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2626]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2640]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2635]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2639]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2624]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2634]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2623]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2622]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2642]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2625]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2633]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2632]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2638]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2631]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2630]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7087 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2628]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2643]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2641]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2637]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2629]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2644]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2636]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2627]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2626]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2640]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2635]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2639]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2624]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2634]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2623]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2622]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2642]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2625]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2633]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2632]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2638]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2631]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2630]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7088 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2651]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2666]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2664]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2660]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2652]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2667]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2659]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2650]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2649]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2663]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2658]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2662]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2647]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2657]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2646]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2645]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2665]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2648]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2656]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2655]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2661]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2654]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2653]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7089 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2651]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2666]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2664]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2660]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2652]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2667]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2659]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2650]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2649]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2663]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2658]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2662]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2647]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2657]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2646]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2645]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2665]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2648]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2656]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2655]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2661]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2654]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2653]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7090 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2674]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2689]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2687]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2683]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2675]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2690]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2682]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2673]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2672]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2686]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2681]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2685]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2670]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2680]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2669]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2668]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2688]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2671]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2679]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2678]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2684]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2677]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2676]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7091 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2674]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2689]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2687]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2683]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2675]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2690]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2682]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2673]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2672]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2686]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2681]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2685]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2670]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2680]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2669]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2668]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2688]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2671]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2679]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2678]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2684]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2677]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2676]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7092 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2697]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2712]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2710]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2706]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2698]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2713]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2705]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2696]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2695]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2709]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2704]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2708]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2693]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2703]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2692]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2691]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2711]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2694]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2702]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2701]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2707]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2700]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2699]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7093 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2697]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2712]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2710]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2706]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2698]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2713]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2705]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2696]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2695]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2709]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2704]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2708]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2693]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2703]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2692]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2691]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2711]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2694]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2702]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2701]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2707]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2700]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2699]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7094 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2720]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2735]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2733]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2729]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2721]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2736]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2728]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2719]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2718]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2732]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2727]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2731]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2716]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2726]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2715]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2714]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2734]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2717]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2725]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2724]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2730]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2723]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2722]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7095 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2720]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2735]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2733]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2729]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2721]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2736]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2728]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2719]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2718]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2732]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2727]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2731]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2716]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2726]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2715]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2714]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2734]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2717]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2725]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2724]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2730]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2723]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2722]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7096 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2743]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2758]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2756]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2752]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2744]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2759]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2751]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2742]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2741]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2755]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2750]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2754]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2739]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2749]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2738]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2737]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2757]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2740]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2748]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2747]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2753]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2746]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2745]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7097 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2743]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2758]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2756]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2752]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2744]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2759]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2751]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2742]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2741]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2755]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2750]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2754]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2739]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2749]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2738]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2737]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2757]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2740]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2748]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2747]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2753]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2746]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2745]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7098 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2766]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2781]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2779]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2775]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2767]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2782]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2774]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2765]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2764]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2778]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2773]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2777]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2762]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2772]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2761]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2760]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2780]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2763]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2771]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2770]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2776]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2769]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2768]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7099 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2766]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2781]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2779]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2775]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2767]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2782]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2774]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2765]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2764]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2778]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2773]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2777]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2762]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2772]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2761]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2760]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2780]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2763]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2771]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2770]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2776]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2769]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2768]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7100 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2789]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2804]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2802]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2798]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2790]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2805]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2797]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2788]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2787]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2801]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2796]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2800]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2785]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2795]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2784]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2783]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2803]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2786]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2794]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2793]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2799]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2792]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2791]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7101 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2789]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2804]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2802]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2798]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2790]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2805]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2797]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2788]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2787]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2801]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2796]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2800]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2785]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2795]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2784]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2783]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2803]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2786]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2794]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2793]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2799]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2792]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2791]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7102 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2812]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2827]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2825]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2821]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2813]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2828]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2820]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2811]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2810]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2824]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2819]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2823]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2808]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2818]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2807]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2806]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2826]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2809]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2817]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2816]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2822]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2815]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2814]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7103 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2812]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2827]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2825]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2821]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2813]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2828]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2820]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2811]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2810]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2824]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2819]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2823]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2808]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2818]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2807]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2806]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2826]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2809]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2817]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2816]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2822]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2815]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2814]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7104 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2835]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2850]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2848]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2844]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2836]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2851]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2843]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2834]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2833]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2847]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2842]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2846]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2831]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2841]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2830]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2829]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2849]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2832]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2840]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2839]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2845]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2838]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2837]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7105 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2835]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2850]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2848]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2844]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2836]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2851]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2843]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2834]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2833]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2847]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2842]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2846]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2831]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2841]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2830]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2829]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2849]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2832]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2840]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2839]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2845]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2838]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2837]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7106 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2858]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2873]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2871]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2867]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2859]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2874]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2866]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2857]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2856]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2870]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2865]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2869]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2854]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2864]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2853]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2852]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2872]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2855]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2863]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2862]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2868]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2861]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2860]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7107 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2858]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2873]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2871]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2867]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2859]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2874]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2866]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2857]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2856]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2870]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2865]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2869]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2854]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2864]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2853]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2852]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2872]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2855]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2863]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2862]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2868]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2861]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2860]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7108 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2881]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2896]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2894]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2890]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2882]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2897]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2889]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2880]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2879]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2893]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2888]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2892]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2877]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2887]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2876]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2875]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2895]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2878]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2886]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2885]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2891]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2884]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2883]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7109 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2896]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2895]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2893]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2889]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2881]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2880]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2888]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2879]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2878]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2892]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2891]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2876]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2875]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2886]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2894]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2887]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2885]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2884]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2890]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2883]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2882]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2877]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2897]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7110 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2904]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2919]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2917]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2913]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2905]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2920]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2912]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2903]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2902]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2916]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2911]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2915]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2900]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2910]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2899]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2898]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2918]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2901]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2909]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2908]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2914]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2907]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2906]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7111 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2913]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2916]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2914]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2910]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2902]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2901]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2909]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2898]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2917]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2903]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2907]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2915]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2912]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2906]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2905]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2911]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2904]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2908]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2899]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2918]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2919]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2920]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2900]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7112 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2927]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2942]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2940]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2936]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2928]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2943]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2935]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2926]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2925]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2939]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2934]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2938]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2923]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2933]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2922]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2921]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2941]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2924]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2932]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2931]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2937]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2930]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2929]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7113 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2927]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2942]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN42_n_33122),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2940]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2936]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2928]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2943]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2935]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2926]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2925]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2939]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2934]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2938]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2923]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2933]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2922]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2921]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN43_n_33126),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2941]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2924]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2932]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2931]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2937]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2930]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2929]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[0].rets_ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_rsenable [1]),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[0].rets_ff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[0].rets_ff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[0].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [12]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[0].rets_ff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[0].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[0].rets_ff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[0].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[0].rets_ff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[0].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[0].rets_ff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[0].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[0].rets_ff_genblock.dff_dout_reg[27]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[0].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [27]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[0].rets_ff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[0].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[0].rets_ff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[0].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [6]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[0].rets_ff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[0].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [7]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[0].rets_ff_genblock.dff_dout_reg[23]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[0].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [23]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[0].rets_ff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[0].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [8]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[0].rets_ff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[0].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [9]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[0].rets_ff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[0].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [10]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[0].rets_ff_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[0].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [19]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[0].rets_ff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[0].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [11]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[0].rets_ff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[0].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[0].rets_ff_genblock.dff_dout_reg[31]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[0].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [31]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[0].rets_ff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[0].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [14]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[0].rets_ff_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[0].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [15]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[0].rets_ff_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[0].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [16]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[0].rets_ff_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[0].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [17]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[0].rets_ff_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[0].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [18]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[0].rets_ff_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[0].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [20]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[0].rets_ff_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[0].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [21]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[0].rets_ff_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[0].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [22]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[0].rets_ff_genblock.dff_dout_reg[24]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[0].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [24]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[0].rets_ff_genblock.dff_dout_reg[25]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[0].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [25]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[0].rets_ff_genblock.dff_dout_reg[26]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[0].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [26]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[0].rets_ff_genblock.dff_dout_reg[28]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[0].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [28]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[0].rets_ff_genblock.dff_dout_reg[29]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[0].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [29]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[0].rets_ff_genblock.dff_dout_reg[30]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[0].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [30]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[0].rets_ff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[0].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [13]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[1].rets_ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_rsenable [1]),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[1].rets_ff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[1].rets_ff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[1].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [44]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[1].rets_ff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[1].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [33]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [33]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[1].rets_ff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[1].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [34]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [34]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[1].rets_ff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[1].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [35]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [35]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[1].rets_ff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[1].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [36]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [36]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[1].rets_ff_genblock.dff_dout_reg[27]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[1].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [59]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [59]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[1].rets_ff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[1].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [37]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [37]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[1].rets_ff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[1].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [38]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[1].rets_ff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[1].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [39]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[1].rets_ff_genblock.dff_dout_reg[23]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[1].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [55]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [55]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[1].rets_ff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[1].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [40]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[1].rets_ff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[1].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [41]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[1].rets_ff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[1].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [42]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[1].rets_ff_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[1].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [51]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [51]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[1].rets_ff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[1].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [43]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[1].rets_ff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[1].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [32]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [32]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[1].rets_ff_genblock.dff_dout_reg[31]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[1].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [63]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [63]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[1].rets_ff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[1].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [46]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[1].rets_ff_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[1].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [47]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[1].rets_ff_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[1].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [48]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[1].rets_ff_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[1].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [49]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[1].rets_ff_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[1].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [50]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [50]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[1].rets_ff_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[1].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [52]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[1].rets_ff_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[1].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [53]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[1].rets_ff_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[1].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [54]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [54]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[1].rets_ff_genblock.dff_dout_reg[24]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[1].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [56]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [56]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[1].rets_ff_genblock.dff_dout_reg[25]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[1].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [57]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [57]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[1].rets_ff_genblock.dff_dout_reg[26]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[1].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [58]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [58]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[1].rets_ff_genblock.dff_dout_reg[28]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[1].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [60]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [60]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[1].rets_ff_genblock.dff_dout_reg[29]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[1].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [61]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [61]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[1].rets_ff_genblock.dff_dout_reg[30]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[1].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [62]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [62]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[1].rets_ff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[1].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [45]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[2].rets_ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_rsenable [1]),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[2].rets_ff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[2].rets_ff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[2].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [76]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [76]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[2].rets_ff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[2].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [65]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [65]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[2].rets_ff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[2].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [66]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [66]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[2].rets_ff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[2].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [67]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [67]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[2].rets_ff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[2].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [68]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [68]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[2].rets_ff_genblock.dff_dout_reg[27]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[2].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [91]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [91]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[2].rets_ff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[2].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [69]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [69]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[2].rets_ff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[2].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [70]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [70]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[2].rets_ff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[2].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [71]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [71]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[2].rets_ff_genblock.dff_dout_reg[23]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[2].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [87]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [87]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[2].rets_ff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[2].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [72]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [72]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[2].rets_ff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[2].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [73]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [73]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[2].rets_ff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[2].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [74]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [74]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[2].rets_ff_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[2].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [83]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [83]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[2].rets_ff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[2].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [75]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [75]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[2].rets_ff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[2].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [64]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [64]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[2].rets_ff_genblock.dff_dout_reg[31]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[2].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [95]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [95]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[2].rets_ff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[2].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [78]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [78]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[2].rets_ff_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[2].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [79]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [79]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[2].rets_ff_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[2].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [80]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [80]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[2].rets_ff_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[2].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [81]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [81]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[2].rets_ff_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[2].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [82]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [82]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[2].rets_ff_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[2].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [84]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [84]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[2].rets_ff_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[2].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [85]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [85]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[2].rets_ff_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[2].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [86]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [86]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[2].rets_ff_genblock.dff_dout_reg[24]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[2].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [88]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [88]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[2].rets_ff_genblock.dff_dout_reg[25]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[2].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [89]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [89]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[2].rets_ff_genblock.dff_dout_reg[26]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[2].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [90]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [90]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[2].rets_ff_genblock.dff_dout_reg[28]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[2].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [92]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [92]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[2].rets_ff_genblock.dff_dout_reg[29]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[2].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [93]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [93]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[2].rets_ff_genblock.dff_dout_reg[30]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[2].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [94]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [94]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[2].rets_ff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[2].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [77]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [77]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[3].rets_ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_rsenable [1]),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[3].rets_ff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[3].rets_ff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[3].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [108]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [108]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[3].rets_ff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[3].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [97]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [97]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[3].rets_ff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[3].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [98]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [98]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[3].rets_ff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[3].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [99]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [99]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[3].rets_ff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[3].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [100]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [100]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[3].rets_ff_genblock.dff_dout_reg[27]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[3].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [123]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [123]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[3].rets_ff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[3].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [101]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [101]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[3].rets_ff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[3].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [102]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [102]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[3].rets_ff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[3].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [103]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [103]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[3].rets_ff_genblock.dff_dout_reg[23]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[3].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [119]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [119]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[3].rets_ff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[3].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [104]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [104]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[3].rets_ff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[3].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [105]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [105]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[3].rets_ff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[3].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [106]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [106]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[3].rets_ff_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[3].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [115]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [115]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[3].rets_ff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[3].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [107]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [107]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[3].rets_ff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[3].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [96]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [96]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[3].rets_ff_genblock.dff_dout_reg[31]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[3].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [127]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [127]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[3].rets_ff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[3].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [110]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [110]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[3].rets_ff_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[3].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [111]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [111]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[3].rets_ff_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[3].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [112]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [112]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[3].rets_ff_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[3].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [113]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [113]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[3].rets_ff_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[3].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [114]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [114]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[3].rets_ff_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[3].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [116]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [116]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[3].rets_ff_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[3].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [117]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [117]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[3].rets_ff_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[3].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [118]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [118]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[3].rets_ff_genblock.dff_dout_reg[24]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[3].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [120]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [120]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[3].rets_ff_genblock.dff_dout_reg[25]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[3].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [121]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [121]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[3].rets_ff_genblock.dff_dout_reg[26]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[3].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [122]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [122]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[3].rets_ff_genblock.dff_dout_reg[28]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[3].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [124]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [124]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[3].rets_ff_genblock.dff_dout_reg[29]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[3].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [125]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [125]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[3].rets_ff_genblock.dff_dout_reg[30]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[3].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [126]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [126]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[3].rets_ff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[3].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [109]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [109]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[4].rets_ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_rsenable [1]),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[4].rets_ff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[4].rets_ff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[4].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [140]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [140]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[4].rets_ff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[4].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [129]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [129]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[4].rets_ff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[4].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [130]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [130]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[4].rets_ff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[4].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [131]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [131]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[4].rets_ff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[4].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [132]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [132]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[4].rets_ff_genblock.dff_dout_reg[27]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[4].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [155]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [155]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[4].rets_ff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[4].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [133]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [133]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[4].rets_ff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[4].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [134]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [134]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[4].rets_ff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[4].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [135]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [135]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[4].rets_ff_genblock.dff_dout_reg[23]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[4].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [151]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [151]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[4].rets_ff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[4].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [136]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [136]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[4].rets_ff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[4].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [137]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [137]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[4].rets_ff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[4].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [138]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [138]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[4].rets_ff_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[4].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [147]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [147]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[4].rets_ff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[4].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [139]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [139]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[4].rets_ff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[4].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [128]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [128]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[4].rets_ff_genblock.dff_dout_reg[31]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[4].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [159]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [159]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[4].rets_ff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[4].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [142]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [142]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[4].rets_ff_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[4].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [143]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [143]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[4].rets_ff_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[4].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [144]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [144]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[4].rets_ff_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[4].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [145]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [145]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[4].rets_ff_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[4].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [146]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [146]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[4].rets_ff_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[4].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [148]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [148]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[4].rets_ff_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[4].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [149]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [149]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[4].rets_ff_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[4].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [150]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [150]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[4].rets_ff_genblock.dff_dout_reg[24]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[4].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [152]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [152]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[4].rets_ff_genblock.dff_dout_reg[25]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[4].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [153]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [153]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[4].rets_ff_genblock.dff_dout_reg[26]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[4].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [154]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [154]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[4].rets_ff_genblock.dff_dout_reg[28]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[4].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [156]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [156]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[4].rets_ff_genblock.dff_dout_reg[29]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[4].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [157]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [157]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[4].rets_ff_genblock.dff_dout_reg[30]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[4].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [158]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [158]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[4].rets_ff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[4].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [141]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [141]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[5].rets_ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_rsenable [1]),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[5].rets_ff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[5].rets_ff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[5].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [172]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [172]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[5].rets_ff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[5].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [161]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [161]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[5].rets_ff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[5].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [162]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [162]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[5].rets_ff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[5].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [163]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [163]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[5].rets_ff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[5].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [164]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [164]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[5].rets_ff_genblock.dff_dout_reg[27]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[5].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [187]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [187]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[5].rets_ff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[5].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [165]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [165]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[5].rets_ff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[5].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [166]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [166]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[5].rets_ff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[5].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [167]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [167]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[5].rets_ff_genblock.dff_dout_reg[23]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[5].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [183]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [183]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[5].rets_ff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[5].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [168]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [168]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[5].rets_ff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[5].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [169]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [169]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[5].rets_ff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[5].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [170]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [170]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[5].rets_ff_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[5].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [179]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [179]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[5].rets_ff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[5].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [171]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [171]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[5].rets_ff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[5].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [160]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [160]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[5].rets_ff_genblock.dff_dout_reg[31]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[5].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [191]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [191]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[5].rets_ff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[5].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [174]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [174]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[5].rets_ff_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[5].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [175]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [175]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[5].rets_ff_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[5].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [176]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [176]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[5].rets_ff_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[5].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [177]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [177]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[5].rets_ff_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[5].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [178]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [178]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[5].rets_ff_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[5].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [180]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [180]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[5].rets_ff_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[5].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [181]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [181]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[5].rets_ff_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[5].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [182]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [182]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[5].rets_ff_genblock.dff_dout_reg[24]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[5].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [184]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [184]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[5].rets_ff_genblock.dff_dout_reg[25]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[5].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [185]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [185]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[5].rets_ff_genblock.dff_dout_reg[26]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[5].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [186]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [186]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[5].rets_ff_genblock.dff_dout_reg[28]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[5].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [188]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [188]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[5].rets_ff_genblock.dff_dout_reg[29]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[5].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [189]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [189]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[5].rets_ff_genblock.dff_dout_reg[30]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[5].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [190]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [190]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[5].rets_ff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[5].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [173]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [173]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[6].rets_ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_rsenable [1]),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[6].rets_ff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[6].rets_ff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[6].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [204]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [204]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[6].rets_ff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[6].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [193]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [193]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[6].rets_ff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[6].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [194]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [194]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[6].rets_ff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[6].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [195]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [195]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[6].rets_ff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[6].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [196]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [196]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[6].rets_ff_genblock.dff_dout_reg[27]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[6].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [219]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [219]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[6].rets_ff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[6].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [197]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [197]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[6].rets_ff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[6].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [198]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [198]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[6].rets_ff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[6].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [199]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [199]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[6].rets_ff_genblock.dff_dout_reg[23]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[6].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [215]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [215]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[6].rets_ff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[6].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [200]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [200]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[6].rets_ff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[6].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [201]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [201]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[6].rets_ff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[6].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [202]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [202]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[6].rets_ff_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[6].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [211]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [211]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[6].rets_ff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[6].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [203]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [203]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[6].rets_ff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[6].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [192]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [192]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[6].rets_ff_genblock.dff_dout_reg[31]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[6].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [223]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [223]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[6].rets_ff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[6].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [206]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [206]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[6].rets_ff_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[6].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [207]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [207]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[6].rets_ff_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[6].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [208]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [208]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[6].rets_ff_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[6].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [209]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [209]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[6].rets_ff_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[6].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [210]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [210]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[6].rets_ff_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[6].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [212]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [212]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[6].rets_ff_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[6].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [213]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [213]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[6].rets_ff_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[6].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [214]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [214]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[6].rets_ff_genblock.dff_dout_reg[24]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[6].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [216]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [216]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[6].rets_ff_genblock.dff_dout_reg[25]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[6].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [217]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [217]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[6].rets_ff_genblock.dff_dout_reg[26]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[6].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [218]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [218]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[6].rets_ff_genblock.dff_dout_reg[28]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[6].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [220]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [220]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[6].rets_ff_genblock.dff_dout_reg[29]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[6].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [221]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [221]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[6].rets_ff_genblock.dff_dout_reg[30]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[6].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [222]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [222]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[6].rets_ff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[6].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [205]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [205]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[7].rets_ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[7].rets_ff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[7].rets_ff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[7].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [202]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [234]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[7].rets_ff_genblock.dff_dout_reg[30]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[7].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [222]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [254]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[7].rets_ff_genblock.dff_dout_reg[28]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[7].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [220]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [252]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[7].rets_ff_genblock.dff_dout_reg[24]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[7].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [216]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [248]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[7].rets_ff_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[7].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [208]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [240]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[7].rets_ff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[7].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [192]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [224]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[7].rets_ff_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[7].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [207]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [239]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[7].rets_ff_genblock.dff_dout_reg[23]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[7].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [215]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [247]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[7].rets_ff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[7].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [206]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [238]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[7].rets_ff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[7].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [205]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [237]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[7].rets_ff_genblock.dff_dout_reg[27]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[7].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [219]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [251]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[7].rets_ff_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[7].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [214]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [246]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[7].rets_ff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[7].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [204]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [236]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[7].rets_ff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[7].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [203]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [235]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[7].rets_ff_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[7].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [213]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [245]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[7].rets_ff_genblock.dff_dout_reg[31]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[7].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [223]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [255]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[7].rets_ff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[7].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [193]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [225]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[7].rets_ff_genblock.dff_dout_reg[29]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[7].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [221]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [253]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[7].rets_ff_genblock.dff_dout_reg[26]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[7].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [218]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [250]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[7].rets_ff_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[7].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [212]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [244]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[7].rets_ff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[7].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [200]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [232]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[7].rets_ff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[7].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [199]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [231]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[7].rets_ff_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[7].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [211]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [243]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[7].rets_ff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[7].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [198]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [230]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[7].rets_ff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[7].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [197]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [229]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[7].rets_ff_genblock.dff_dout_reg[25]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[7].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [217]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [249]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[7].rets_ff_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[7].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [210]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [242]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[7].rets_ff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[7].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [196]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [228]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[7].rets_ff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[7].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [195]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [227]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[7].rets_ff_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[7].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [209]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [241]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[7].rets_ff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[7].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [194]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [226]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[7].rets_ff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[7].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [201]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [233]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_ifc_faddrf1_ff_dff_dff_extra_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_ifu_ifc_fetch_bf_en),
+	.GCLK(brqrv_top_brqrv_ifu_ifc_faddrf1_ff_dff_dff_extra_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_ifc_faddrf1_ff_dff_dff_extra_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_ifu_ifc_faddrf1_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[1]),
+	.Q(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_ifc_faddrf1_ff_dff_dff_extra_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_ifu_ifc_faddrf1_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[12]),
+	.Q(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_ifc_faddrf1_ff_dff_dff_extra_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_ifu_ifc_faddrf1_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[5]),
+	.Q(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_ifc_faddrf1_ff_dff_dff_extra_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_ifu_ifc_faddrf1_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[6]),
+	.Q(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_ifc_faddrf1_ff_dff_dff_extra_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_ifu_ifc_faddrf1_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[7]),
+	.Q(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_ifc_faddrf1_ff_dff_dff_extra_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_ifu_ifc_faddrf1_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[8]),
+	.Q(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_ifc_faddrf1_ff_dff_dff_extra_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_ifu_ifc_faddrf1_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[9]),
+	.Q(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_ifc_faddrf1_ff_dff_dff_extra_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_ifu_ifc_faddrf1_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[10]),
+	.Q(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_ifc_faddrf1_ff_dff_dff_extra_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_ifu_ifc_faddrf1_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[11]),
+	.Q(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_ifc_faddrf1_ff_dff_dff_extra_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_ifu_ifc_faddrf1_ff_dff_dff_extra_l1clk),
+	.D(n_43609),
+	.Q(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_ifc_faddrf1_ff_dff_dff_extra_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_ifu_ifc_faddrf1_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[3]),
+	.Q(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_ifc_faddrf1_ff_dff_dff_extra_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_ifu_ifc_faddrf1_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[4]),
+	.Q(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_ifc_faddrf1_ff_dff_dff_left_dff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_ifu_ifc_faddrf1_ff_dff_dff_left_final_en),
+	.GCLK(brqrv_top_brqrv_ifu_ifc_faddrf1_ff_dff_dff_left_dff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_ifc_faddrf1_ff_dff_dff_left_dff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_ifu_ifc_faddrf1_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[19]),
+	.Q(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_ifc_faddrf1_ff_dff_dff_left_dff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_ifu_ifc_faddrf1_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[14]),
+	.Q(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_ifc_faddrf1_ff_dff_dff_left_dff_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_ifu_ifc_faddrf1_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[30]),
+	.Q(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_ifc_faddrf1_ff_dff_dff_left_dff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_ifu_ifc_faddrf1_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[15]),
+	.Q(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_ifc_faddrf1_ff_dff_dff_left_dff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_ifu_ifc_faddrf1_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[16]),
+	.Q(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_ifc_faddrf1_ff_dff_dff_left_dff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_ifu_ifc_faddrf1_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[17]),
+	.Q(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_ifc_faddrf1_ff_dff_dff_left_dff_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_ifu_ifc_faddrf1_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[26]),
+	.Q(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_ifc_faddrf1_ff_dff_dff_left_dff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_ifu_ifc_faddrf1_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[18]),
+	.Q(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_ifc_faddrf1_ff_dff_dff_left_dff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_ifu_ifc_faddrf1_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[13]),
+	.Q(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_ifc_faddrf1_ff_dff_dff_left_dff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_ifu_ifc_faddrf1_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[20]),
+	.Q(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_ifc_faddrf1_ff_dff_dff_left_dff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_ifu_ifc_faddrf1_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[21]),
+	.Q(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_ifc_faddrf1_ff_dff_dff_left_dff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_ifu_ifc_faddrf1_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[22]),
+	.Q(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_ifc_faddrf1_ff_dff_dff_left_dff_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_ifu_ifc_faddrf1_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[23]),
+	.Q(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_ifc_faddrf1_ff_dff_dff_left_dff_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_ifu_ifc_faddrf1_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[24]),
+	.Q(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_ifc_faddrf1_ff_dff_dff_left_dff_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_ifu_ifc_faddrf1_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[25]),
+	.Q(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_ifc_faddrf1_ff_dff_dff_left_dff_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_ifu_ifc_faddrf1_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[27]),
+	.Q(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_ifc_faddrf1_ff_dff_dff_left_dff_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_ifu_ifc_faddrf1_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[28]),
+	.Q(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_ifc_faddrf1_ff_dff_dff_left_dff_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_ifu_ifc_faddrf1_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[29]),
+	.Q(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_ifc_faddrf1_ff_dff_dff_left_dff_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_ifu_ifc_faddrf1_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[31]),
+	.Q(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 brqrv_top_brqrv_ifu_ifc_fbwrite_ff_clkhdr_clkhdr (
+	.CLK(brqrv_top_brqrv_n_7),
+	.GATE(brqrv_top_brqrv_ifu_ifc_fbwrite_ff_en),
+	.GCLK(brqrv_top_brqrv_ifu_ifc_fbwrite_ff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_ifc_fbwrite_ff_dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_ifu_ifc_fbwrite_ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_miss_f),
+	.Q(brqrv_top_brqrv_ifu_ifc_miss_a),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_ifc_fbwrite_ff_dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_ifu_ifc_fbwrite_ff_l1clk),
+	.D(brqrv_top_brqrv_dma_iccm_stall_any),
+	.Q(brqrv_top_brqrv_ifu_ifc_dma_iccm_stall_any_f),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_ifc_fbwrite_ff_dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_ifu_ifc_fbwrite_ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fb_write_ns[0]),
+	.Q(brqrv_top_brqrv_ifu_ifc_fb_write_f[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_ifc_fbwrite_ff_dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_ifu_ifc_fbwrite_ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fb_write_ns[1]),
+	.Q(brqrv_top_brqrv_ifu_ifc_fb_write_f[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_ifc_fbwrite_ff_dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_ifu_ifc_fbwrite_ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_next_state[1]),
+	.Q(brqrv_top_brqrv_ifu_ifc_state[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_ifc_fbwrite_ff_dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_ifu_ifc_fbwrite_ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_next_state[0]),
+	.Q(brqrv_top_brqrv_ifu_ifc_state[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_ifc_fbwrite_ff_dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_ifu_ifc_fbwrite_ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fb_write_ns[3]),
+	.Q(brqrv_top_brqrv_ifu_ifc_fb_full_f),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_ifc_fbwrite_ff_dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_ifu_ifc_fbwrite_ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fb_write_ns[2]),
+	.Q(brqrv_top_brqrv_ifu_ifc_fb_write_f[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_ifc_fbwrite_ff_dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_ifu_ifc_fbwrite_ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_req_bf),
+	.Q(brqrv_top_brqrv_ifu_ifc_fetch_req_f),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \brqrv_top_brqrv_ifu_ifc_genblk2.iccm_rangecheck_g424  (
+	.A(\brqrv_top_brqrv_ifu_ifc_genblk2.iccm_rangecheck_n_12 ),
+	.Y(brqrv_top_brqrv_ifu_ifc_iccm_access_bf), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \brqrv_top_brqrv_ifu_ifc_genblk2.iccm_rangecheck_g425__6260  (
+	.A(\brqrv_top_brqrv_ifu_ifc_genblk2.iccm_rangecheck_n_11 ),
+	.B(\brqrv_top_brqrv_ifu_ifc_genblk2.iccm_acc_in_region_bf ),
+	.C(\brqrv_top_brqrv_ifu_ifc_genblk2.iccm_rangecheck_n_0 ),
+	.D(\brqrv_top_brqrv_ifu_ifc_genblk2.iccm_rangecheck_n_10 ),
+	.Y(\brqrv_top_brqrv_ifu_ifc_genblk2.iccm_rangecheck_n_12 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_ifu_ifc_genblk2.iccm_rangecheck_g426__4319  (
+	.A(\brqrv_top_brqrv_ifu_ifc_genblk2.iccm_rangecheck_n_6 ),
+	.B(\brqrv_top_brqrv_ifu_ifc_genblk2.iccm_rangecheck_n_9 ),
+	.Y(\brqrv_top_brqrv_ifu_ifc_genblk2.iccm_rangecheck_n_11 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_ifu_ifc_genblk2.iccm_rangecheck_g427__8428  (
+	.A(\brqrv_top_brqrv_ifu_ifc_genblk2.iccm_rangecheck_n_3 ),
+	.B(\brqrv_top_brqrv_ifu_ifc_genblk2.iccm_rangecheck_n_8 ),
+	.Y(\brqrv_top_brqrv_ifu_ifc_genblk2.iccm_rangecheck_n_10 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \brqrv_top_brqrv_ifu_ifc_genblk2.iccm_rangecheck_g428__5526  (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[14]),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[12]),
+	.C(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[13]),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[15]),
+	.Y(\brqrv_top_brqrv_ifu_ifc_genblk2.iccm_rangecheck_n_9 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \brqrv_top_brqrv_ifu_ifc_genblk2.iccm_rangecheck_g429__6783  (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[22]),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[23]),
+	.C(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[18]),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[21]),
+	.Y(\brqrv_top_brqrv_ifu_ifc_genblk2.iccm_rangecheck_n_8 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \brqrv_top_brqrv_ifu_ifc_genblk2.iccm_rangecheck_g430__3680  (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[25]),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[26]),
+	.C(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[24]),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[27]),
+	.Y(\brqrv_top_brqrv_ifu_ifc_genblk2.iccm_rangecheck_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_2 \brqrv_top_brqrv_ifu_ifc_genblk2.iccm_rangecheck_g431__1617  (
+	.A(\brqrv_top_brqrv_ifu_ifc_genblk2.iccm_rangecheck_n_4 ),
+	.B(\brqrv_top_brqrv_ifu_ifc_genblk2.iccm_rangecheck_n_5 ),
+	.Y(\brqrv_top_brqrv_ifu_ifc_genblk2.iccm_acc_in_region_bf ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_ifu_ifc_genblk2.iccm_rangecheck_g432__2802  (
+	.A(FE_DBTN45_brqrv_top_brqrv_ifu_ifc_fetch_addr_bf_30),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[31]),
+	.Y(\brqrv_top_brqrv_ifu_ifc_genblk2.iccm_rangecheck_n_5 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_ifu_ifc_genblk2.iccm_rangecheck_g433__1705  (
+	.A(FE_DBTN44_brqrv_top_brqrv_ifu_ifc_fetch_addr_bf_28),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[29]),
+	.Y(\brqrv_top_brqrv_ifu_ifc_genblk2.iccm_rangecheck_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_ifu_ifc_genblk2.iccm_rangecheck_g434__5122  (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[19]),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[20]),
+	.Y(\brqrv_top_brqrv_ifu_ifc_genblk2.iccm_rangecheck_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 \brqrv_top_brqrv_ifu_ifc_genblk2.iccm_rangecheck_g2__8246  (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[16]),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[17]),
+	.X(\brqrv_top_brqrv_ifu_ifc_genblk2.iccm_rangecheck_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 brqrv_top_brqrv_ifu_mem_ctl_bus_clk_clkhdr (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_ifu_mem_ctl_bus_clk_logic_1_1_net),
+	.GCLK(brqrv_top_brqrv_ifu_mem_ctl_busclk_force), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 brqrv_top_brqrv_ifu_mem_ctl_bus_clk_tie_1_cell (
+	.HI(brqrv_top_brqrv_ifu_mem_ctl_bus_clk_logic_1_1_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 brqrv_top_brqrv_ifu_mem_ctl_bus_clk_f_clkhdr (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_ifu_mem_ctl_bus_clk_f_logic_1_1_net),
+	.GCLK(brqrv_top_brqrv_ifu_mem_ctl_busclk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 brqrv_top_brqrv_ifu_mem_ctl_bus_clk_f_tie_1_cell (
+	.HI(brqrv_top_brqrv_ifu_mem_ctl_bus_clk_f_logic_1_1_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_bus_cmd_ff_genblk1.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_busclk),
+	.D(brqrv_top_brqrv_ifu_mem_ctl_ifu_bus_cmd_valid),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_ifu_bus_arvalid_ff),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_bus_ic_req_ff2_genblk1.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_busclk_force),
+	.D(brqrv_top_brqrv_ifu_mem_ctl_ifc_bus_ic_req_ff_in),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_ifu_bus_cmd_valid),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 brqrv_top_brqrv_ifu_mem_ctl_fetch_bf_f_c1_cgc_clkhdr (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_ifu_mem_ctl_fetch_bf_f_c1_clken),
+	.GCLK(brqrv_top_brqrv_ifu_mem_ctl_fetch_bf_f_c1_clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.iccm_dma_rvalid_in ),
+	.GCLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_genblock.dff_dout_reg[28]  (
+	.CLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_l1clk ),
+	.D(n_36797),
+	.Q(brqrv_top_brqrv_iccm_dma_rdata[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_l1clk ),
+	.D(n_36772),
+	.Q(brqrv_top_brqrv_iccm_dma_rdata[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_l1clk ),
+	.D(n_36773),
+	.Q(brqrv_top_brqrv_iccm_dma_rdata[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_l1clk ),
+	.D(n_36774),
+	.Q(brqrv_top_brqrv_iccm_dma_rdata[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_genblock.dff_dout_reg[60]  (
+	.CLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.iccm_dma_rdata_1_muxed [28]),
+	.Q(brqrv_top_brqrv_iccm_dma_rdata[60]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_l1clk ),
+	.D(n_36775),
+	.Q(brqrv_top_brqrv_iccm_dma_rdata[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_l1clk ),
+	.D(n_36776),
+	.Q(brqrv_top_brqrv_iccm_dma_rdata[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_l1clk ),
+	.D(n_36777),
+	.Q(brqrv_top_brqrv_iccm_dma_rdata[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_l1clk ),
+	.D(n_36778),
+	.Q(brqrv_top_brqrv_iccm_dma_rdata[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_l1clk ),
+	.D(n_36779),
+	.Q(brqrv_top_brqrv_iccm_dma_rdata[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_l1clk ),
+	.D(n_36780),
+	.Q(brqrv_top_brqrv_iccm_dma_rdata[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_l1clk ),
+	.D(n_36781),
+	.Q(brqrv_top_brqrv_iccm_dma_rdata[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_genblock.dff_dout_reg[52]  (
+	.CLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.iccm_dma_rdata_1_muxed [20]),
+	.Q(brqrv_top_brqrv_iccm_dma_rdata[52]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_l1clk ),
+	.D(n_36782),
+	.Q(brqrv_top_brqrv_iccm_dma_rdata[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_l1clk ),
+	.D(n_36783),
+	.Q(brqrv_top_brqrv_iccm_dma_rdata[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_l1clk ),
+	.D(n_36784),
+	.Q(brqrv_top_brqrv_iccm_dma_rdata[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_genblock.dff_dout_reg[48]  (
+	.CLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.iccm_dma_rdata_1_muxed [16]),
+	.Q(brqrv_top_brqrv_iccm_dma_rdata[48]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_l1clk ),
+	.D(n_36787),
+	.Q(brqrv_top_brqrv_iccm_dma_rdata[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_l1clk ),
+	.D(n_36788),
+	.Q(brqrv_top_brqrv_iccm_dma_rdata[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_l1clk ),
+	.D(n_36789),
+	.Q(brqrv_top_brqrv_iccm_dma_rdata[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_genblock.dff_dout_reg[44]  (
+	.CLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.iccm_dma_rdata_1_muxed [12]),
+	.Q(brqrv_top_brqrv_iccm_dma_rdata[44]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_l1clk ),
+	.D(n_36790),
+	.Q(brqrv_top_brqrv_iccm_dma_rdata[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_l1clk ),
+	.D(n_36791),
+	.Q(brqrv_top_brqrv_iccm_dma_rdata[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_genblock.dff_dout_reg[23]  (
+	.CLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_l1clk ),
+	.D(n_36792),
+	.Q(brqrv_top_brqrv_iccm_dma_rdata[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_genblock.dff_dout_reg[24]  (
+	.CLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_l1clk ),
+	.D(n_36793),
+	.Q(brqrv_top_brqrv_iccm_dma_rdata[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_genblock.dff_dout_reg[25]  (
+	.CLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_l1clk ),
+	.D(n_36794),
+	.Q(brqrv_top_brqrv_iccm_dma_rdata[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_genblock.dff_dout_reg[26]  (
+	.CLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_l1clk ),
+	.D(n_36795),
+	.Q(brqrv_top_brqrv_iccm_dma_rdata[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_genblock.dff_dout_reg[27]  (
+	.CLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_l1clk ),
+	.D(n_36796),
+	.Q(brqrv_top_brqrv_iccm_dma_rdata[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_genblock.dff_dout_reg[36]  (
+	.CLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.iccm_dma_rdata_1_muxed [4]),
+	.Q(brqrv_top_brqrv_iccm_dma_rdata[36]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_l1clk ),
+	.D(n_36770),
+	.Q(brqrv_top_brqrv_iccm_dma_rdata[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_genblock.dff_dout_reg[29]  (
+	.CLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_l1clk ),
+	.D(n_36798),
+	.Q(brqrv_top_brqrv_iccm_dma_rdata[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_genblock.dff_dout_reg[30]  (
+	.CLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_l1clk ),
+	.D(n_36799),
+	.Q(brqrv_top_brqrv_iccm_dma_rdata[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_genblock.dff_dout_reg[31]  (
+	.CLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_l1clk ),
+	.D(n_36800),
+	.Q(brqrv_top_brqrv_iccm_dma_rdata[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_genblock.dff_dout_reg[32]  (
+	.CLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.iccm_dma_rdata_1_muxed [0]),
+	.Q(brqrv_top_brqrv_iccm_dma_rdata[32]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_genblock.dff_dout_reg[33]  (
+	.CLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.iccm_dma_rdata_1_muxed [1]),
+	.Q(brqrv_top_brqrv_iccm_dma_rdata[33]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_genblock.dff_dout_reg[34]  (
+	.CLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.iccm_dma_rdata_1_muxed [2]),
+	.Q(brqrv_top_brqrv_iccm_dma_rdata[34]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_genblock.dff_dout_reg[35]  (
+	.CLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.iccm_dma_rdata_1_muxed [3]),
+	.Q(brqrv_top_brqrv_iccm_dma_rdata[35]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_genblock.dff_dout_reg[37]  (
+	.CLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.iccm_dma_rdata_1_muxed [5]),
+	.Q(brqrv_top_brqrv_iccm_dma_rdata[37]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_genblock.dff_dout_reg[38]  (
+	.CLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.iccm_dma_rdata_1_muxed [6]),
+	.Q(brqrv_top_brqrv_iccm_dma_rdata[38]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_genblock.dff_dout_reg[39]  (
+	.CLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.iccm_dma_rdata_1_muxed [7]),
+	.Q(brqrv_top_brqrv_iccm_dma_rdata[39]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_genblock.dff_dout_reg[40]  (
+	.CLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.iccm_dma_rdata_1_muxed [8]),
+	.Q(brqrv_top_brqrv_iccm_dma_rdata[40]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_genblock.dff_dout_reg[41]  (
+	.CLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.iccm_dma_rdata_1_muxed [9]),
+	.Q(brqrv_top_brqrv_iccm_dma_rdata[41]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_genblock.dff_dout_reg[42]  (
+	.CLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.iccm_dma_rdata_1_muxed [10]),
+	.Q(brqrv_top_brqrv_iccm_dma_rdata[42]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_genblock.dff_dout_reg[43]  (
+	.CLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.iccm_dma_rdata_1_muxed [11]),
+	.Q(brqrv_top_brqrv_iccm_dma_rdata[43]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_genblock.dff_dout_reg[45]  (
+	.CLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.iccm_dma_rdata_1_muxed [13]),
+	.Q(brqrv_top_brqrv_iccm_dma_rdata[45]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_genblock.dff_dout_reg[46]  (
+	.CLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.iccm_dma_rdata_1_muxed [14]),
+	.Q(brqrv_top_brqrv_iccm_dma_rdata[46]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_genblock.dff_dout_reg[47]  (
+	.CLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.iccm_dma_rdata_1_muxed [15]),
+	.Q(brqrv_top_brqrv_iccm_dma_rdata[47]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_genblock.dff_dout_reg[49]  (
+	.CLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.iccm_dma_rdata_1_muxed [17]),
+	.Q(brqrv_top_brqrv_iccm_dma_rdata[49]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_genblock.dff_dout_reg[50]  (
+	.CLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.iccm_dma_rdata_1_muxed [18]),
+	.Q(brqrv_top_brqrv_iccm_dma_rdata[50]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_genblock.dff_dout_reg[51]  (
+	.CLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.iccm_dma_rdata_1_muxed [19]),
+	.Q(brqrv_top_brqrv_iccm_dma_rdata[51]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_genblock.dff_dout_reg[53]  (
+	.CLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.iccm_dma_rdata_1_muxed [21]),
+	.Q(brqrv_top_brqrv_iccm_dma_rdata[53]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_genblock.dff_dout_reg[54]  (
+	.CLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.iccm_dma_rdata_1_muxed [22]),
+	.Q(brqrv_top_brqrv_iccm_dma_rdata[54]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_genblock.dff_dout_reg[55]  (
+	.CLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.iccm_dma_rdata_1_muxed [23]),
+	.Q(brqrv_top_brqrv_iccm_dma_rdata[55]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_genblock.dff_dout_reg[56]  (
+	.CLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.iccm_dma_rdata_1_muxed [24]),
+	.Q(brqrv_top_brqrv_iccm_dma_rdata[56]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_genblock.dff_dout_reg[57]  (
+	.CLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.iccm_dma_rdata_1_muxed [25]),
+	.Q(brqrv_top_brqrv_iccm_dma_rdata[57]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_genblock.dff_dout_reg[58]  (
+	.CLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.iccm_dma_rdata_1_muxed [26]),
+	.Q(brqrv_top_brqrv_iccm_dma_rdata[58]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_genblock.dff_dout_reg[59]  (
+	.CLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.iccm_dma_rdata_1_muxed [27]),
+	.Q(brqrv_top_brqrv_iccm_dma_rdata[59]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_genblock.dff_dout_reg[61]  (
+	.CLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.iccm_dma_rdata_1_muxed [29]),
+	.Q(brqrv_top_brqrv_iccm_dma_rdata[61]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_genblock.dff_dout_reg[62]  (
+	.CLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.iccm_dma_rdata_1_muxed [30]),
+	.Q(brqrv_top_brqrv_iccm_dma_rdata[62]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_genblock.dff_dout_reg[63]  (
+	.CLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.iccm_dma_rdata_1_muxed [31]),
+	.Q(brqrv_top_brqrv_iccm_dma_rdata[63]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_l1clk ),
+	.D(n_36771),
+	.Q(brqrv_top_brqrv_iccm_dma_rdata[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_l1clk ),
+	.D(n_36769),
+	.Q(brqrv_top_brqrv_iccm_dma_rdata[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_l1clk ),
+	.D(n_36785),
+	.Q(brqrv_top_brqrv_iccm_dma_rdata[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_l1clk ),
+	.D(n_36786),
+	.Q(brqrv_top_brqrv_iccm_dma_rdata[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_misc_bits_clkhdr_clkhdr  (
+	.CLK(brqrv_top_brqrv_n_7),
+	.GATE(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_misc_bits_en ),
+	.GCLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_misc_bits_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_misc_bits_dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_misc_bits_l1clk ),
+	.D(brqrv_top_brqrv_dma_mem_addr[2]),
+	.Q(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_mem_addr_ff [2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_misc_bits_dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_misc_bits_l1clk ),
+	.D(n_36801),
+	.Q(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_misc_bits_n_19 ),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_misc_bits_dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_misc_bits_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.iccm_dma_rden ),
+	.Q(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.iccm_dma_rvalid_in ),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_misc_bits_dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_misc_bits_l1clk ),
+	.D(brqrv_top_brqrv_dma_mem_tag[2]),
+	.Q(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_misc_bits_n_10 ),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_misc_bits_dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_misc_bits_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_misc_bits_n_10 ),
+	.Q(brqrv_top_brqrv_iccm_dma_rtag[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_misc_bits_dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_misc_bits_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_misc_bits_n_9 ),
+	.Q(brqrv_top_brqrv_iccm_dma_rtag[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_misc_bits_dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_misc_bits_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_misc_bits_n_8 ),
+	.Q(brqrv_top_brqrv_iccm_dma_rtag[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_misc_bits_dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_misc_bits_l1clk ),
+	.D(brqrv_top_brqrv_dma_mem_tag[1]),
+	.Q(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_misc_bits_n_9 ),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_misc_bits_dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_misc_bits_l1clk ),
+	.D(brqrv_top_brqrv_dma_mem_tag[0]),
+	.Q(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_misc_bits_n_8 ),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_misc_bits_dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_misc_bits_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.iccm_dma_rvalid_in ),
+	.Q(brqrv_top_brqrv_iccm_dma_rvalid),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_f_ff_dff_dff_extra_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_ifu_mem_ctl_fetch_bf_f_c1_clken),
+	.GCLK(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_f_ff_dff_dff_extra_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_f_ff_dff_dff_extra_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_f_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[12]),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_f_ff_dff_dff_extra_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_f_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[11]),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_f_ff_dff_dff_extra_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_f_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[9]),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_f_ff_dff_dff_extra_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_f_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[8]),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_f_ff_dff_dff_extra_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_f_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[10]),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_f_ff_dff_dff_extra_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_f_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[7]),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrbp_1 \brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_f_ff_dff_dff_extra_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_f_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[1]),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_n_2292),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_f_ff_dff_dff_extra_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_f_ff_dff_dff_extra_l1clk),
+	.D(n_43609),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_n_2291),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_f_ff_dff_dff_extra_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_f_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[3]),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_f_ff_dff_dff_extra_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_f_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[4]),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_f_ff_dff_dff_extra_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_f_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[5]),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_f_ff_dff_dff_extra_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_f_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[6]),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_f_ff_dff_dff_left_dff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_f_ff_dff_dff_left_final_en),
+	.GCLK(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_f_ff_dff_dff_left_dff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_f_ff_dff_dff_left_dff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_f_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[17]),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_f_ff_dff_dff_left_dff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_f_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[18]),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_f_ff_dff_dff_left_dff_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_f_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[24]),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_f_ff_dff_dff_left_dff_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_f_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[27]),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_f_ff_dff_dff_left_dff_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_f_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[29]),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_f_ff_dff_dff_left_dff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_f_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[21]),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_f_ff_dff_dff_left_dff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_f_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[19]),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_f_ff_dff_dff_left_dff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_f_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[16]),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_f_ff_dff_dff_left_dff_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_f_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[26]),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_f_ff_dff_dff_left_dff_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_f_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[28]),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_f_ff_dff_dff_left_dff_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_f_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[31]),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_f_ff_dff_dff_left_dff_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_f_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[30]),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_f_ff_dff_dff_left_dff_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_f_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[25]),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_f_ff_dff_dff_left_dff_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_f_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[23]),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_f_ff_dff_dff_left_dff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_f_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[22]),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_f_ff_dff_dff_left_dff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_f_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[20]),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_f_ff_dff_dff_left_dff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_f_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[13]),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_f_ff_dff_dff_left_dff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_f_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[15]),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_f_ff_dff_dff_left_dff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_f_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[14]),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_ifu_iccm_acc_ff_genblk1.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_fetch_bf_f_c1_clk),
+	.D(brqrv_top_brqrv_ifu_ifc_iccm_access_bf),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_ifc_iccm_access_f),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_ifu_iccm_reg_acc_ff_genblk1.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_fetch_bf_f_c1_clk),
+	.D(brqrv_top_brqrv_ifu_ifc_region_acc_fault_bf),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_ifc_region_acc_fault_final_f),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 brqrv_top_brqrv_ifu_mem_ctl_ifu_pmu_sigs_ff_clkhdr_clkhdr (
+	.CLK(brqrv_top_brqrv_n_7),
+	.GATE(brqrv_top_brqrv_ifu_mem_ctl_ifu_pmu_sigs_ff_en),
+	.GCLK(brqrv_top_brqrv_ifu_mem_ctl_ifu_pmu_sigs_ff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_ifu_pmu_sigs_ff_dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_ifu_pmu_sigs_ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_mem_ctl_ifu_pmu_bus_busy_in),
+	.Q(brqrv_top_brqrv_ifu_pmu_bus_busy),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_ifu_pmu_sigs_ff_dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_ifu_pmu_sigs_ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_mem_ctl_ic_act_miss_f),
+	.Q(brqrv_top_brqrv_ifu_pmu_ic_miss),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_ifu_pmu_sigs_ff_dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_ifu_pmu_sigs_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_fence_i_r),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_reset_all_tags),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_ifu_pmu_sigs_ff_dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_ifu_pmu_sigs_ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_uncacheable_bf),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_ifu_pmu_sigs_ff_n_21),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_ifu_pmu_sigs_ff_dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_ifu_pmu_sigs_ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_mem_ctl_ifu_pmu_bus_error_in),
+	.Q(brqrv_top_brqrv_ifu_pmu_bus_error),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_ifu_pmu_sigs_ff_dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_ifu_pmu_sigs_ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_mem_ctl_ifc_fetch_req_qual_bf),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_ifc_fetch_req_f_raw),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_mem_ctl_imb_f_ff_dff_dff_extra_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_ifu_mem_ctl_fetch_bf_f_c1_clken),
+	.GCLK(brqrv_top_brqrv_ifu_mem_ctl_imb_f_ff_dff_dff_extra_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_imb_f_ff_dff_dff_extra_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_imb_f_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_ifu_mem_ctl_imb_in[1]),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_imb_f_ff_dff_dff_extra_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_imb_f_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_ifu_mem_ctl_imb_in[5]),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_imb_f_ff_dff_dff_extra_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_imb_f_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_ifu_mem_ctl_imb_in[6]),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_imb_f_ff_dff_dff_extra_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_imb_f_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_ifu_mem_ctl_imb_in[7]),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_imb_f_ff_dff_dff_extra_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_imb_f_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_ifu_mem_ctl_imb_in[8]),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_imb_f_ff_dff_dff_extra_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_imb_f_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_ifu_mem_ctl_imb_in[9]),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_imb_f_ff_dff_dff_extra_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_imb_f_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_ifu_mem_ctl_imb_in[10]),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_imb_f_ff_dff_dff_extra_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_imb_f_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_ifu_mem_ctl_imb_in[11]),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_imb_f_ff_dff_dff_extra_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_imb_f_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_ifu_mem_ctl_imb_in[2]),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_imb_f_ff_dff_dff_extra_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_imb_f_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_ifu_mem_ctl_imb_in[3]),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_imb_f_ff_dff_dff_extra_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_imb_f_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_ifu_mem_ctl_imb_in[4]),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_imb_f_ff_dff_dff_extra_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_imb_f_ff_dff_dff_extra_l1clk),
+	.D(n_43406),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_mem_ctl_imb_f_ff_dff_dff_left_dff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_ifu_mem_ctl_imb_f_ff_dff_dff_left_final_en),
+	.GCLK(brqrv_top_brqrv_ifu_mem_ctl_imb_f_ff_dff_dff_left_dff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_imb_f_ff_dff_dff_left_dff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_imb_f_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_ifu_mem_ctl_imb_in[17]),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_imb_f_ff_dff_dff_left_dff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_imb_f_ff_dff_dff_left_dff_l1clk),
+	.D(n_42176),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_imb_f_ff_dff_dff_left_dff_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_imb_f_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_ifu_mem_ctl_imb_in[24]),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_imb_f_ff_dff_dff_left_dff_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_imb_f_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_ifu_mem_ctl_imb_in[27]),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_imb_f_ff_dff_dff_left_dff_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_imb_f_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_ifu_mem_ctl_imb_in[29]),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_imb_f_ff_dff_dff_left_dff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_imb_f_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_ifu_mem_ctl_imb_in[16]),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_imb_f_ff_dff_dff_left_dff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_imb_f_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_ifu_mem_ctl_imb_in[21]),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_imb_f_ff_dff_dff_left_dff_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_imb_f_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_ifu_mem_ctl_imb_in[23]),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_imb_f_ff_dff_dff_left_dff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_imb_f_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_ifu_mem_ctl_imb_in[22]),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_imb_f_ff_dff_dff_left_dff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_imb_f_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_ifu_mem_ctl_imb_in[20]),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_imb_f_ff_dff_dff_left_dff_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_imb_f_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_ifu_mem_ctl_imb_in[31]),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_imb_f_ff_dff_dff_left_dff_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_imb_f_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_ifu_mem_ctl_imb_in[28]),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_imb_f_ff_dff_dff_left_dff_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_imb_f_ff_dff_dff_left_dff_l1clk),
+	.D(n_42175),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_imb_f_ff_dff_dff_left_dff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_imb_f_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_ifu_mem_ctl_imb_in[19]),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_imb_f_ff_dff_dff_left_dff_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_imb_f_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_ifu_mem_ctl_imb_in[26]),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_imb_f_ff_dff_dff_left_dff_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_imb_f_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_ifu_mem_ctl_imb_in[25]),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_imb_f_ff_dff_dff_left_dff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_imb_f_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_ifu_mem_ctl_imb_in[15]),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_imb_f_ff_dff_dff_left_dff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_imb_f_ff_dff_dff_left_dff_l1clk),
+	.D(n_42169),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_imb_f_ff_dff_dff_left_dff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_imb_f_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_ifu_mem_ctl_imb_in[13]),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 brqrv_top_brqrv_ifu_mem_ctl_misc1_ff_clkhdr_clkhdr (
+	.CLK(brqrv_top_brqrv_n_7),
+	.GATE(brqrv_top_brqrv_ifu_mem_ctl_misc1_ff_en),
+	.GCLK(brqrv_top_brqrv_ifu_mem_ctl_misc1_ff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_misc1_ff_dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_misc1_ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_mem_ctl_ic_debug_rd_en_ff),
+	.Q(brqrv_top_brqrv_ifu_ic_debug_rd_data_valid),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_misc1_ff_dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_misc1_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_ic_diag_pkt[1]),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_ic_debug_rd_en_ff),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_misc1_ff_dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_misc1_ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_mem_ctl_reset_tag_valid_for_miss),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_sel_mb_addr_ff),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_misc1_ff_dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_misc1_ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_mem_ctl_reset_ic_in),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_reset_ic_ff),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_ifu_mem_ctl_misc_ff_g251__7098 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_misc_ff_n_11),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_misc_ff_n_7),
+	.Y(brqrv_top_brqrv_ifu_mem_ctl_misc_ff_en), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_ifu_mem_ctl_misc_ff_g252__6131 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_misc_ff_n_10),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_misc_ff_n_2),
+	.Y(brqrv_top_brqrv_ifu_mem_ctl_misc_ff_n_11), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 brqrv_top_brqrv_ifu_mem_ctl_misc_ff_g253__1881 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_misc_ff_n_0),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_misc_ff_n_3),
+	.C(brqrv_top_brqrv_ifu_mem_ctl_misc_ff_n_9),
+	.D(brqrv_top_brqrv_ifu_mem_ctl_misc_ff_n_1),
+	.Y(brqrv_top_brqrv_ifu_mem_ctl_misc_ff_n_10), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_ifu_mem_ctl_misc_ff_g254__5115 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_misc_ff_n_8),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_misc_ff_n_30),
+	.Y(brqrv_top_brqrv_ifu_mem_ctl_misc_ff_n_9), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_ifu_mem_ctl_misc_ff_g255__7482 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_misc_ff_n_6),
+	.B(\brqrv_top_brqrv_ifu_mem_ctl_misc_ff_dff_dout[5]_60 ),
+	.Y(brqrv_top_brqrv_ifu_mem_ctl_misc_ff_n_8), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_ifu_mem_ctl_misc_ff_g256__4733 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_ifc_dma_access_ok_prev),
+	.B(n_4708),
+	.Y(brqrv_top_brqrv_ifu_mem_ctl_misc_ff_n_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_ifu_mem_ctl_misc_ff_g257__6161 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_flush_final_f),
+	.B(brqrv_top_brqrv_exu_flush_final),
+	.Y(brqrv_top_brqrv_ifu_mem_ctl_misc_ff_n_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 brqrv_top_brqrv_ifu_mem_ctl_misc_ff_g2__9945 (
+	.A_N(brqrv_top_brqrv_ifu_mem_ctl_ic_crit_wd_rdy_new_ff),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_ic_crit_wd_rdy_new_in),
+	.Y(brqrv_top_brqrv_ifu_mem_ctl_misc_ff_n_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_ifu_mem_ctl_misc_ff_g268__2883 (
+	.A(brqrv_top_brqrv_dma_iccm_req),
+	.B(\brqrv_top_brqrv_ifu_mem_ctl_misc_ff_dff_dout[0]_55 ),
+	.X(brqrv_top_brqrv_ifu_mem_ctl_misc_ff_n_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_ifu_mem_ctl_misc_ff_g269__2346 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_bus_cmd_req_in),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_bus_cmd_req_hold),
+	.Y(brqrv_top_brqrv_ifu_mem_ctl_misc_ff_n_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 brqrv_top_brqrv_ifu_mem_ctl_misc_ff_g270__1666 (
+	.A_N(brqrv_top_brqrv_ifu_mem_ctl_ic_crit_wd_rdy_new_in),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_ic_crit_wd_rdy_new_ff),
+	.Y(brqrv_top_brqrv_ifu_mem_ctl_misc_ff_n_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_ifu_mem_ctl_misc_ff_g2 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_ic_act_miss_f_delayed),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_ic_act_miss_f),
+	.X(brqrv_top_brqrv_ifu_mem_ctl_misc_ff_n_30), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 brqrv_top_brqrv_ifu_mem_ctl_misc_ff_clkhdr_clkhdr (
+	.CLK(brqrv_top_brqrv_n_7),
+	.GATE(brqrv_top_brqrv_ifu_mem_ctl_misc_ff_en),
+	.GCLK(brqrv_top_brqrv_ifu_mem_ctl_misc_ff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_misc_ff_dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_misc_ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_mem_ctl_ic_act_miss_f),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_ic_act_miss_f_delayed),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_misc_ff_dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_misc_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_flush_final),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_flush_final_f),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_misc_ff_dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_misc_ff_l1clk),
+	.D(brqrv_top_brqrv_dma_iccm_req),
+	.Q(\brqrv_top_brqrv_ifu_mem_ctl_misc_ff_dff_dout[0]_55 ),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_misc_ff_dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_misc_ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_mem_ctl_misc_ff_dff_logic_1_1_net),
+	.Q(\brqrv_top_brqrv_ifu_mem_ctl_misc_ff_dff_dout[5]_60 ),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_misc_ff_dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_misc_ff_l1clk),
+	.D(n_4708),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_ifc_dma_access_ok_prev),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_misc_ff_dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_misc_ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_mem_ctl_bus_cmd_req_in),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_bus_cmd_req_hold),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_misc_ff_dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_misc_ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_mem_ctl_ic_crit_wd_rdy_new_in),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_ic_crit_wd_rdy_new_ff),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 brqrv_top_brqrv_ifu_mem_ctl_misc_ff_dff_tie_1_cell (
+	.HI(brqrv_top_brqrv_ifu_mem_ctl_misc_ff_dff_logic_1_1_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_miss_state_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_active_clk),
+	.D(brqrv_top_brqrv_ifu_mem_ctl_miss_state_ff_n_8),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_miss_state[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_miss_state_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_active_clk),
+	.D(brqrv_top_brqrv_ifu_mem_ctl_miss_state_ff_n_9),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_miss_state[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_miss_state_ff_genblock.dffs_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_active_clk),
+	.D(n_41913),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_miss_state[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_rgn_acc_ff_genblk1.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_fetch_bf_f_c1_clk),
+	.D(brqrv_top_brqrv_ifu_ifc_region_acc_fault_bf),
+	.Q(brqrv_top_brqrv_ifu_ic_access_fault_type_f[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_unc_miss_ff_genblk1.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_fetch_bf_f_c1_clk),
+	.D(brqrv_top_brqrv_ifu_mem_ctl_uncacheable_miss_in),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_uncacheable_miss_ff),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_wr_flop[0].byp_data_error_ff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_active_clk),
+	.D(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_error_in[0]),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_error[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_wr_flop[0].byp_data_valid_ff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_active_clk),
+	.D(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_valid_in[0]),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_valid[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_wr_flop[1].byp_data_error_ff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_active_clk),
+	.D(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_error_in[1]),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_error[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_wr_flop[1].byp_data_valid_ff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_active_clk),
+	.D(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_valid_in[1]),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_valid[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_wr_flop[2].byp_data_error_ff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_active_clk),
+	.D(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_error_in[2]),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_error[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_wr_flop[2].byp_data_valid_ff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_active_clk),
+	.D(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_valid_in[2]),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_valid[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_wr_flop[3].byp_data_error_ff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_active_clk),
+	.D(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_error_in[3]),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_error[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_wr_flop[3].byp_data_valid_ff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_active_clk),
+	.D(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_valid_in[3]),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_valid[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_wr_flop[4].byp_data_error_ff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_active_clk),
+	.D(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_error_in[4]),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_error[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_wr_flop[4].byp_data_valid_ff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_active_clk),
+	.D(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_valid_in[4]),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_valid[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_wr_flop[5].byp_data_error_ff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_active_clk),
+	.D(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_error_in[5]),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_error[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_wr_flop[5].byp_data_valid_ff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_active_clk),
+	.D(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_valid_in[5]),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_valid[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_wr_flop[6].byp_data_error_ff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_active_clk),
+	.D(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_error_in[6]),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_error[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_wr_flop[6].byp_data_valid_ff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_active_clk),
+	.D(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_valid_in[6]),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_valid[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_wr_flop[7].byp_data_error_ff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_active_clk),
+	.D(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_error_in[7]),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_error[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_wr_flop[7].byp_data_valid_ff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_active_clk),
+	.D(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_valid_in[7]),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_valid[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_addrff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_3215),
+	.GCLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_addrff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_addrff_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[19]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_addrff_genblock.dff_dout_reg[30]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[30]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_addrff_genblock.dff_dout_reg[28]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[28]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_addrff_genblock.dff_dout_reg[24]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[24]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_addrff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[14]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_addrff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[13]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_addrff_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[21]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_addrff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[12]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_addrff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[11]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_addrff_genblock.dff_dout_reg[27]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[27]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_addrff_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[20]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_addrff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[10]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_addrff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[9]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_addrff_genblock.dff_dout_reg[31]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[31]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_addrff_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[15]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_addrff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[7]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_addrff_genblock.dff_dout_reg[29]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[29]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_addrff_genblock.dff_dout_reg[26]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[26]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_addrff_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[18]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_addrff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[6]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_addrff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[5]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_addrff_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[17]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_addrff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[4]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_addrff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[3]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_addrff_genblock.dff_dout_reg[25]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[25]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_addrff_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[16]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_addrff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[2]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_addrff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[8]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_addrff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[0]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_addrff_genblock.dff_dout_reg[23]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[23]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_addrff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[1]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_addrff_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[22]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_ageff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_age_in[0]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_ageQ[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_ageff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_age_in[3]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_ageQ[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_ageff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_age_in[1]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_ageQ[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_ageff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_age_in[2]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_ageQ[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_byteenff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_byteenff_n_10 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_byteenff_genblock.dffs_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_byteenff_n_13 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_byteenff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_byteenff_n_11 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_byteenff_genblock.dffs_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_byteenff_n_12 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dataff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_3215),
+	.GCLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dataff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dataff_genblock.dff_dout_reg[31]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[31]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dataff_genblock.dff_dout_reg[30]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[30]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dataff_genblock.dff_dout_reg[28]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[28]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dataff_genblock.dff_dout_reg[23]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[23]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dataff_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[15]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dataff_genblock.dff_dout_reg[27]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[27]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dataff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[9]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dataff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[8]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dataff_genblock.dff_dout_reg[29]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[29]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dataff_genblock.dff_dout_reg[26]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[26]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dataff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[2]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dataff_genblock.dff_dout_reg[24]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[24]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dataff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[0]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dataff_genblock.dff_dout_reg[25]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[25]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dataff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[1]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dataff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[3]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dataff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[4]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dataff_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[19]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dataff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[5]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dataff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[6]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dataff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[7]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dataff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[14]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dataff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[10]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dataff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[11]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dataff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[12]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dataff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[13]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dataff_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[16]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dataff_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[17]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dataff_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[18]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dataff_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[20]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dataff_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[21]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dataff_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[22]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dualff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dualff_n_4 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dual[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dualhiff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dualhiff_n_4 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dualhi[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dualtagff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dualtagff_n_6 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dualtag[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dualtagff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dualtagff_n_7 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dualtag[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_errorff_g17__7410  (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_3220),
+	.B_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_error[0]),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_errorff_din_new [0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_errorff_genblk1.dffsc_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_errorff_din_new [0]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_error[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_ldfwdff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_ldfwdff_n_4 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_ldfwd[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_nomergeff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_nomergeff_n_4 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_nomerge[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_samedwff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_samedwff_n_4 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_samedw[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_sideeffectff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_sideeffectff_n_4 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_sideeffect[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_state_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_state_ff_n_8 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_state_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(n_36764),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_state_ff_genblock.dffs_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(n_36768),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_szff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_szff_n_6 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_sz[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_szff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_szff_n_7 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_sz[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_unsignff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_unsignff_n_4 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_unsign[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_writeff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_writeff_n_4 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_write[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_addrff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_3227),
+	.GCLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_addrff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_addrff_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[51]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[51]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_addrff_genblock.dff_dout_reg[30]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[62]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[62]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_addrff_genblock.dff_dout_reg[28]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[60]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[60]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_addrff_genblock.dff_dout_reg[24]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[56]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[56]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_addrff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[46]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[46]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_addrff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[45]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[45]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_addrff_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[53]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[53]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_addrff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[44]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[44]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_addrff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[43]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[43]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_addrff_genblock.dff_dout_reg[27]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[59]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[59]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_addrff_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[52]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[52]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_addrff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[42]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[42]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_addrff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[41]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[41]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_addrff_genblock.dff_dout_reg[31]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[63]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[63]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_addrff_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[47]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[47]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_addrff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[39]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[39]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_addrff_genblock.dff_dout_reg[29]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[61]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[61]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_addrff_genblock.dff_dout_reg[26]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[58]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[58]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_addrff_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[50]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[50]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_addrff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[38]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[38]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_addrff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[37]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[37]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_addrff_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[49]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[49]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_addrff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[36]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[36]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_addrff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[35]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[35]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_addrff_genblock.dff_dout_reg[25]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[57]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[57]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_addrff_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[48]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[48]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_addrff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[34]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[34]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_addrff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[40]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[40]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_addrff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[32]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[32]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_addrff_genblock.dff_dout_reg[23]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[55]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[55]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_addrff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[33]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[33]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_addrff_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[54]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[54]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_ageff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_age_in[4]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_ageQ[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_ageff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_age_in[7]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_ageQ[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_ageff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_age_in[5]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_ageQ[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_ageff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_age_in[6]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_ageQ[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_byteenff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_byteenff_n_10 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_byteenff_genblock.dffs_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_byteenff_n_13 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_byteenff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_byteenff_n_11 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_byteenff_genblock.dffs_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_byteenff_n_12 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dataff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_3227),
+	.GCLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dataff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dataff_genblock.dff_dout_reg[31]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dataff_l1clk ),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[31]_3301 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[63]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dataff_genblock.dff_dout_reg[30]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dataff_l1clk ),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[30]_3300 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[62]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dataff_genblock.dff_dout_reg[28]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dataff_l1clk ),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[28]_3298 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[60]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dataff_genblock.dff_dout_reg[23]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dataff_l1clk ),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[23]_3293 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[55]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dataff_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dataff_l1clk ),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[15]_3285 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[47]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dataff_genblock.dff_dout_reg[27]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dataff_l1clk ),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[27]_3297 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[59]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dataff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dataff_l1clk ),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[9]_3279 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[41]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dataff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dataff_l1clk ),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[8]_3278 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[40]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dataff_genblock.dff_dout_reg[29]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dataff_l1clk ),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[29]_3299 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[61]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dataff_genblock.dff_dout_reg[26]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dataff_l1clk ),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[26]_3296 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[58]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dataff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dataff_l1clk ),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[2]_3272 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[34]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dataff_genblock.dff_dout_reg[24]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dataff_l1clk ),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[24]_3294 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[56]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dataff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dataff_l1clk ),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[0]_3270 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[32]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dataff_genblock.dff_dout_reg[25]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dataff_l1clk ),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[25]_3295 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[57]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dataff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dataff_l1clk ),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[1]_3271 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[33]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dataff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dataff_l1clk ),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[3]_3273 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[35]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dataff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dataff_l1clk ),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[4]_3274 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[36]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dataff_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dataff_l1clk ),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[19]_3289 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[51]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dataff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dataff_l1clk ),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[5]_3275 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[37]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dataff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dataff_l1clk ),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[6]_3276 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[38]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dataff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dataff_l1clk ),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[7]_3277 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[39]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dataff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dataff_l1clk ),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[14]_3284 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[46]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dataff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dataff_l1clk ),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[10]_3280 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[42]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dataff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dataff_l1clk ),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[11]_3281 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[43]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dataff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dataff_l1clk ),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[12]_3282 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[44]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dataff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dataff_l1clk ),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[13]_3283 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[45]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dataff_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dataff_l1clk ),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[16]_3286 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[48]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dataff_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dataff_l1clk ),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[17]_3287 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[49]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dataff_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dataff_l1clk ),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[18]_3288 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[50]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dataff_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dataff_l1clk ),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[20]_3290 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[52]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dataff_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dataff_l1clk ),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[21]_3291 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[53]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dataff_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dataff_l1clk ),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[22]_3292 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[54]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dualff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dualff_n_4 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dual[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dualhiff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dualhiff_n_4 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dualhi[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dualtagff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dualtagff_n_6 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dualtag[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dualtagff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dualtagff_n_7 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dualtag[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_errorff_g17__6417  (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_3304),
+	.B_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_error[1]),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_errorff_din_new [0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_errorff_genblk1.dffsc_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_errorff_din_new [0]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_error[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_ldfwdff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_ldfwdff_n_4 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_ldfwd[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_nomergeff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_nomergeff_n_4 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_nomerge[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_rspageff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_rspage_in[4]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_rspageQ[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_rspageff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_rspage_in[7]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_rspageQ[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_rspageff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_rspage_in[5]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_rsp_pickage[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_rspageff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_rspage_in[6]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_rspageQ[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_samedwff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_samedwff_n_4 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_samedw[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_sideeffectff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_sideeffectff_n_4 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_sideeffect[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_state_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_state_ff_n_8 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_state_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(n_36763),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_state_ff_genblock.dffs_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(n_36767),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_szff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_szff_n_6 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_sz[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_szff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_szff_n_7 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_sz[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_unsignff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_unsignff_n_4 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_unsign[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_writeff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_writeff_n_4 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_write[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_addrff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_3311),
+	.GCLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_addrff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_addrff_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[83]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[83]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_addrff_genblock.dff_dout_reg[30]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[94]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[94]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_addrff_genblock.dff_dout_reg[28]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[92]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[92]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_addrff_genblock.dff_dout_reg[24]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[88]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[88]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_addrff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[78]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[78]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_addrff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[77]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[77]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_addrff_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[85]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[85]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_addrff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[76]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[76]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_addrff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[75]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[75]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_addrff_genblock.dff_dout_reg[27]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[91]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[91]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_addrff_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[84]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[84]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_addrff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[74]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[74]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_addrff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[73]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[73]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_addrff_genblock.dff_dout_reg[31]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[95]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[95]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_addrff_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[79]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[79]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_addrff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[71]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[71]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_addrff_genblock.dff_dout_reg[29]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[93]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[93]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_addrff_genblock.dff_dout_reg[26]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[90]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[90]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_addrff_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[82]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[82]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_addrff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[70]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[70]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_addrff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[69]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[69]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_addrff_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[81]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[81]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_addrff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[68]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[68]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_addrff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[67]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[67]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_addrff_genblock.dff_dout_reg[25]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[89]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[89]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_addrff_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[80]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[80]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_addrff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[66]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[66]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_addrff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[72]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[72]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_addrff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[64]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[64]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_addrff_genblock.dff_dout_reg[23]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[87]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[87]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_addrff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[65]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[65]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_addrff_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[86]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[86]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_ageff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_age_in[8]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_ageQ[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_ageff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_age_in[11]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_ageQ[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_ageff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_age_in[9]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_ageQ[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_ageff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_age_in[10]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_ageQ[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_byteenff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_byteenff_n_10 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_byteenff_genblock.dffs_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_byteenff_n_13 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_byteenff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_byteenff_n_11 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_byteenff_genblock.dffs_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_byteenff_n_12 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dataff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_3311),
+	.GCLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dataff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dataff_genblock.dff_dout_reg[31]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dataff_l1clk ),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[31]_3385 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[95]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dataff_genblock.dff_dout_reg[30]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dataff_l1clk ),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[30]_3384 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[94]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dataff_genblock.dff_dout_reg[28]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dataff_l1clk ),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[28]_3382 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[92]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dataff_genblock.dff_dout_reg[23]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dataff_l1clk ),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[23]_3377 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[87]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dataff_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dataff_l1clk ),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[15]_3369 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[79]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dataff_genblock.dff_dout_reg[27]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dataff_l1clk ),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[27]_3381 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[91]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dataff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dataff_l1clk ),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[9]_3363 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[73]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dataff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dataff_l1clk ),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[8]_3362 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[72]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dataff_genblock.dff_dout_reg[29]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dataff_l1clk ),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[29]_3383 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[93]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dataff_genblock.dff_dout_reg[26]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dataff_l1clk ),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[26]_3380 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[90]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dataff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dataff_l1clk ),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[2]_3356 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[66]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dataff_genblock.dff_dout_reg[24]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dataff_l1clk ),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[24]_3378 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[88]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dataff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dataff_l1clk ),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[0]_3354 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[64]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dataff_genblock.dff_dout_reg[25]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dataff_l1clk ),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[25]_3379 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[89]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dataff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dataff_l1clk ),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[1]_3355 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[65]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dataff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dataff_l1clk ),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[3]_3357 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[67]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dataff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dataff_l1clk ),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[4]_3358 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[68]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dataff_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dataff_l1clk ),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[19]_3373 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[83]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dataff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dataff_l1clk ),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[5]_3359 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[69]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dataff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dataff_l1clk ),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[6]_3360 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[70]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dataff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dataff_l1clk ),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[7]_3361 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[71]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dataff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dataff_l1clk ),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[14]_3368 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[78]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dataff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dataff_l1clk ),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[10]_3364 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[74]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dataff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dataff_l1clk ),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[11]_3365 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[75]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dataff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dataff_l1clk ),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[12]_3366 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[76]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dataff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dataff_l1clk ),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[13]_3367 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[77]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dataff_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dataff_l1clk ),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[16]_3370 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[80]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dataff_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dataff_l1clk ),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[17]_3371 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[81]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dataff_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dataff_l1clk ),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[18]_3372 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[82]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dataff_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dataff_l1clk ),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[20]_3374 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[84]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dataff_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dataff_l1clk ),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[21]_3375 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[85]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dataff_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dataff_l1clk ),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[22]_3376 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[86]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dualff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dualff_n_4 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dual[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dualhiff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dualhiff_n_4 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dualhi[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dualtagff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dualtagff_n_6 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dualtag[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dualtagff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dualtagff_n_7 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dualtag[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_errorff_g17__5477  (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_3388),
+	.B_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_error[2]),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_errorff_din_new [0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_errorff_genblk1.dffsc_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_errorff_din_new [0]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_error[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_ldfwdff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_ldfwdff_n_4 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_ldfwd[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_nomergeff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_nomergeff_n_4 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_nomerge[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_rspageff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_rspage_in[8]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_rspageQ[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_rspageff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_rspage_in[11]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_rspageQ[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_rspageff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_rspage_in[9]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_rspageQ[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_rspageff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_rspage_in[10]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_rsp_pickage[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_samedwff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_samedwff_n_4 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_samedw[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_sideeffectff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_sideeffectff_n_4 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_sideeffect[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_state_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_state_ff_n_8 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_state_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(n_36762),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_state_ff_genblock.dffs_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(n_36766),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_szff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_szff_n_6 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_sz[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_szff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_szff_n_7 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_sz[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_unsignff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_unsignff_n_4 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_unsign[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_writeff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_writeff_n_4 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_write[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_addrff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_en[3]),
+	.GCLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_addrff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_addrff_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[115]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[115]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_addrff_genblock.dff_dout_reg[30]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[126]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[126]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_addrff_genblock.dff_dout_reg[28]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[124]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[124]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_addrff_genblock.dff_dout_reg[24]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[120]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[120]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_addrff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[110]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[110]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_addrff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[109]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[109]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_addrff_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[117]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[117]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_addrff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[108]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[108]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_addrff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[107]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[107]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_addrff_genblock.dff_dout_reg[27]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[123]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[123]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_addrff_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[116]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[116]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_addrff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[106]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[106]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_addrff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[105]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[105]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_addrff_genblock.dff_dout_reg[31]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[127]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[127]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_addrff_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[111]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[111]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_addrff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[103]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[103]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_addrff_genblock.dff_dout_reg[29]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[125]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[125]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_addrff_genblock.dff_dout_reg[26]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[122]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[122]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_addrff_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[114]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[114]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_addrff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[102]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[102]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_addrff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[101]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[101]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_addrff_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[113]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[113]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_addrff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[100]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[100]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_addrff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[99]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[99]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_addrff_genblock.dff_dout_reg[25]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[121]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[121]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_addrff_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[112]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[112]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_addrff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[98]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[98]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_addrff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[104]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[104]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_addrff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[96]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[96]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_addrff_genblock.dff_dout_reg[23]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[119]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[119]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_addrff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[97]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[97]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_addrff_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[118]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[118]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_ageff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_age_in[12]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_ageQ[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_ageff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_age_in[15]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_ageQ[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_ageff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_age_in[13]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_ageQ[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_ageff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_age_in[14]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_ageQ[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_byteenff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_byteenff_n_10 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_byteenff_genblock.dffs_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_byteenff_n_13 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_byteenff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_byteenff_n_11 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_byteenff_genblock.dffs_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_byteenff_n_12 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dataff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_en[3]),
+	.GCLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dataff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dataff_genblock.dff_dout_reg[31]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[127]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[127]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dataff_genblock.dff_dout_reg[30]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[126]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[126]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dataff_genblock.dff_dout_reg[28]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[124]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[124]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dataff_genblock.dff_dout_reg[23]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[119]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[119]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dataff_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[111]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[111]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dataff_genblock.dff_dout_reg[27]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[123]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[123]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dataff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[105]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[105]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dataff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[104]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[104]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dataff_genblock.dff_dout_reg[29]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[125]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[125]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dataff_genblock.dff_dout_reg[26]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[122]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[122]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dataff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[98]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[98]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dataff_genblock.dff_dout_reg[24]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[120]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[120]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dataff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[96]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[96]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dataff_genblock.dff_dout_reg[25]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[121]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[121]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dataff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[97]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[97]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dataff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[99]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[99]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dataff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[100]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[100]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dataff_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[115]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[115]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dataff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[101]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[101]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dataff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[102]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[102]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dataff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[103]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[103]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dataff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[110]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[110]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dataff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[106]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[106]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dataff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[107]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[107]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dataff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[108]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[108]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dataff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[109]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[109]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dataff_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[112]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[112]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dataff_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[113]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[113]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dataff_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[114]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[114]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dataff_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[116]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[116]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dataff_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[117]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[117]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dataff_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[118]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[118]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dualff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dualff_n_4 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dual[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dualhiff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dualhiff_n_4 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dualhi[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dualtagff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dualtagff_n_6 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dualtag[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dualtagff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dualtagff_n_7 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dualtag[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_errorff_g17__2398  (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_rst[3]),
+	.B_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_error[3]),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_errorff_din_new [0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_errorff_genblk1.dffsc_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_errorff_din_new [0]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_error[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_ldfwdff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_ldfwdff_n_4 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_ldfwd[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_nomergeff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_nomergeff_n_4 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_nomerge[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_rspageff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_rspage_in[12]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_rspageQ[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_rspageff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_rspage_in[15]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_rsp_pickage[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_rspageff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_rspage_in[13]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_rspageQ[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_rspageff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_rspage_in[14]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_rspageQ[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_samedwff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_samedwff_n_4 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_samedw[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_sideeffectff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_sideeffectff_n_4 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_sideeffect[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_state_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_state_ff_n_8 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_state_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(n_36761),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_state_ff_genblock.dffs_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(n_36765),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_szff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_szff_n_6 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_sz[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_szff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_szff_n_7 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_sz[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_unsignff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_unsignff_n_4 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_unsign[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_writeff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_writeff_n_4 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_write[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addrff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_wr_en),
+	.GCLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addrff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addrff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addrff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[5]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addrff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addrff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[1]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addrff_genblock.dff_dout_reg[30]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addrff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[30]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addrff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addrff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[2]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addrff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addrff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[3]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addrff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addrff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[4]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addrff_genblock.dff_dout_reg[26]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addrff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[26]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addrff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addrff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[0]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addrff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addrff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[6]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addrff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addrff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[7]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addrff_genblock.dff_dout_reg[22]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addrff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[22]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addrff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addrff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[8]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addrff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addrff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[9]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addrff_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addrff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[10]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addrff_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addrff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[11]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addrff_genblock.dff_dout_reg[31]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addrff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[31]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addrff_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addrff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[13]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addrff_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addrff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[14]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addrff_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addrff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[15]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addrff_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addrff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[16]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addrff_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addrff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[17]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addrff_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addrff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[18]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addrff_genblock.dff_dout_reg[20]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addrff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[20]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addrff_genblock.dff_dout_reg[21]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addrff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[21]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addrff_genblock.dff_dout_reg[23]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addrff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[23]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addrff_genblock.dff_dout_reg[24]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addrff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[24]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addrff_genblock.dff_dout_reg[25]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addrff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[25]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addrff_genblock.dff_dout_reg[27]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addrff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[27]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addrff_genblock.dff_dout_reg[28]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addrff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[28]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addrff_genblock.dff_dout_reg[29]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addrff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[29]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addrff_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addrff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[12]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addrff_genblock.dff_dout_reg[19]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addrff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[19]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_byteenff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_ibuf_c1_clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_byteenff_n_10),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_byteen[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_byteenff_genblock.dffs_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_ibuf_c1_clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_byteenff_n_13),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_byteen[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_byteenff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_ibuf_c1_clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_byteenff_n_11),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_byteen[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_byteenff_genblock.dffs_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_ibuf_c1_clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_byteenff_n_12),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_byteen[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dataff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_wr_en),
+	.GCLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dataff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dataff_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data_in[12]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dataff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data_in[1]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dataff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data_in[2]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dataff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data_in[3]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dataff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data_in[4]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dataff_genblock.dff_dout_reg[27]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data_in[27]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dataff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data_in[5]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dataff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data_in[6]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dataff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data_in[7]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dataff_genblock.dff_dout_reg[23]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data_in[23]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dataff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data_in[8]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dataff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data_in[9]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dataff_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data_in[10]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dataff_genblock.dff_dout_reg[19]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data_in[19]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dataff_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data_in[11]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dataff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data_in[0]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dataff_genblock.dff_dout_reg[31]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data_in[31]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dataff_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data_in[14]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dataff_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data_in[15]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dataff_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data_in[16]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dataff_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data_in[17]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dataff_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data_in[18]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dataff_genblock.dff_dout_reg[20]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data_in[20]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dataff_genblock.dff_dout_reg[21]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data_in[21]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dataff_genblock.dff_dout_reg[22]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data_in[22]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dataff_genblock.dff_dout_reg[24]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data_in[24]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dataff_genblock.dff_dout_reg[25]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data_in[25]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dataff_genblock.dff_dout_reg[26]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data_in[26]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dataff_genblock.dff_dout_reg[28]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data_in[28]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dataff_genblock.dff_dout_reg[29]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data_in[29]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dataff_genblock.dff_dout_reg[30]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data_in[30]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dataff_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data_in[13]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dualff_g30__5107 (
+	.A0(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dual),
+	.A1(n_11604),
+	.S(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_wr_en),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dualff_n_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dualff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_ibuf_c1_clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dualff_n_2),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dual),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dualtagff_g56__6260 (
+	.A0(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dualtag[1]),
+	.A1(brqrv_top_brqrv_lsu_nonblock_load_inv_tag_r[1]),
+	.S(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_wr_en),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dualtagff_n_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dualtagff_g57__4319 (
+	.A0(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dualtag[0]),
+	.A1(brqrv_top_brqrv_lsu_nonblock_load_inv_tag_r[0]),
+	.S(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_wr_en),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dualtagff_n_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dualtagff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_ibuf_c1_clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dualtagff_n_3),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dualtag[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dualtagff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_ibuf_c1_clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dualtagff_n_2),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dualtag[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_nomergeff_g30__8428 (
+	.A0(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_nomerge),
+	.A1(brqrv_top_brqrv_lsu_bus_intf_no_dword_merge_r),
+	.S(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_wr_en),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_nomergeff_n_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_nomergeff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_ibuf_c1_clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_nomergeff_n_2),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_nomerge),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_samedwff_g30__5526 (
+	.A0(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_samedw),
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ldst_samedw_r),
+	.S(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_wr_en),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_samedwff_n_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_samedwff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_ibuf_c1_clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_samedwff_n_2),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_samedw),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_sideeffectff_g30__6783 (
+	.A0(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_sideeffect),
+	.A1(brqrv_top_brqrv_lsu_bus_intf_is_sideeffects_r),
+	.S(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_wr_en),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_sideeffectff_n_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_sideeffectff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_ibuf_c1_clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_sideeffectff_n_2),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_sideeffect),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_szff_g56__3680 (
+	.A0(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_sz[1]),
+	.A1(brqrv_top_brqrv_lsu_lsu_pkt_r[9]),
+	.S(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_wr_en),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_szff_n_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_szff_g57__1617 (
+	.A0(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_sz[0]),
+	.A1(brqrv_top_brqrv_lsu_lsu_pkt_r[10]),
+	.S(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_wr_en),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_szff_n_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_szff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_ibuf_c1_clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_szff_n_3),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_sz[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_szff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_ibuf_c1_clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_szff_n_2),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_sz[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_tagff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_ibuf_c1_clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_tagff_n_6),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_tag[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_tagff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_ibuf_c1_clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_tagff_n_7),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_tag[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_timerff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_free_c2_clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_timer_in[0]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_timer[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_timerff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_free_c2_clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_timer_in[1]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_timer[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_timerff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_free_c2_clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_timer_in[2]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_timer[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_unsignff_g30__2802 (
+	.A0(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_unsign),
+	.A1(brqrv_top_brqrv_lsu_lsu_pkt_r[5]),
+	.S(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_wr_en),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_unsignff_n_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_unsignff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_ibuf_c1_clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_unsignff_n_2),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_unsign),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_valid_ff_g35__1705 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_valid_ff_n_0),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_rst),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_valid_ff_din_new[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_valid_ff_g36__5122 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_valid),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_wr_en),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_valid_ff_n_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_valid_ff_genblk1.dffsc_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_free_c2_clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_valid_ff_din_new[0]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_valid),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_writeff_g30__8246 (
+	.A0(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_write),
+	.A1(brqrv_top_brqrv_lsu_lsu_pkt_r[6]),
+	.S(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_wr_en),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_writeff_n_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_writeff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_ibuf_c1_clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_writeff_n_2),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_write),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_lsu_WrPtr0_rff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c2_r_clk),
+	.D(brqrv_top_brqrv_lsu_nonblock_load_tag_m[0]),
+	.Q(brqrv_top_brqrv_lsu_nonblock_load_inv_tag_r[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_lsu_WrPtr0_rff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c2_r_clk),
+	.D(brqrv_top_brqrv_lsu_nonblock_load_tag_m[1]),
+	.Q(brqrv_top_brqrv_lsu_nonblock_load_inv_tag_r[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_lsu_WrPtr1_rff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c2_r_clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_WrPtr1_m[0]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_WrPtr1_r[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_lsu_WrPtr1_rff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c2_r_clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_WrPtr1_m[1]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_WrPtr1_r[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_lsu_busreq_rff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c2_r_clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_3448),
+	.Q(brqrv_top_brqrv_lsu_lsu_busreq_r),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_lsu_nonblock_load_valid_rff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c2_r_clk),
+	.D(brqrv_top_brqrv_lsu_nonblock_load_valid_m),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_lsu_nonblock_load_valid_r),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addrff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_en),
+	.GCLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addrff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addrff_genblock.dff_dout_reg[21]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addrff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[21]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addrff_genblock.dff_dout_reg[30]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addrff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[30]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addrff_genblock.dff_dout_reg[28]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addrff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[28]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addrff_genblock.dff_dout_reg[24]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addrff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[24]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addrff_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addrff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[16]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addrff_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addrff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[15]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addrff_genblock.dff_dout_reg[23]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addrff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[23]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addrff_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addrff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[14]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addrff_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addrff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[13]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addrff_genblock.dff_dout_reg[27]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addrff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[27]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addrff_genblock.dff_dout_reg[22]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addrff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[22]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addrff_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addrff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[12]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addrff_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addrff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[11]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addrff_genblock.dff_dout_reg[31]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addrff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[31]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addrff_genblock.dff_dout_reg[19]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addrff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[19]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addrff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addrff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[9]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addrff_genblock.dff_dout_reg[29]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addrff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[29]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addrff_genblock.dff_dout_reg[26]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addrff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[26]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addrff_genblock.dff_dout_reg[20]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addrff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[20]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addrff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addrff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[8]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addrff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addrff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[7]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addrff_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addrff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[10]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addrff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addrff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[6]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addrff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addrff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[5]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addrff_genblock.dff_dout_reg[25]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addrff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[25]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addrff_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addrff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[18]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addrff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addrff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[4]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addrff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addrff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[3]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addrff_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addrff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[17]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteenff_genblock.dffs_g211__7098  (
+	.A1(FE_DBTN24_brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_en),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteen[1]),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteen_in[1]),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_en),
+	.X(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteenff_genblock.dffs_n_9 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteenff_genblock.dffs_g212__6131  (
+	.A1(FE_DBTN24_brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_en),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteen[4]),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteen_in[4]),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_en),
+	.X(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteenff_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteenff_genblock.dffs_g213__1881  (
+	.A1(FE_DBTN24_brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_en),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteen[7]),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteen_in[7]),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_en),
+	.X(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteenff_genblock.dffs_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteenff_genblock.dffs_g214__5115  (
+	.A1(FE_DBTN24_brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_en),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteen[0]),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteen_in[0]),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_en),
+	.X(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteenff_genblock.dffs_n_10 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteenff_genblock.dffs_g215__7482  (
+	.A1(FE_DBTN24_brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_en),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteen[5]),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteen_in[5]),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_en),
+	.X(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteenff_genblock.dffs_n_5 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteenff_genblock.dffs_g216__4733  (
+	.A1(FE_DBTN24_brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_en),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteen[6]),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteen_in[6]),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_en),
+	.X(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteenff_genblock.dffs_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteenff_genblock.dffs_g217__6161  (
+	.A1(FE_DBTN24_brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_en),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteen[3]),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteen_in[3]),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_en),
+	.X(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteenff_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteenff_genblock.dffs_g218__9315  (
+	.A1(FE_DBTN24_brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_en),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteen[2]),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteen_in[2]),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_en),
+	.X(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteenff_genblock.dffs_n_8 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteenff_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_obuf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteenff_genblock.dffs_n_9 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteen[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteenff_genblock.dffs_genblock.dffs_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_obuf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteenff_genblock.dffs_n_3 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteen[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteenff_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_obuf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteenff_genblock.dffs_n_10 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteen[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteenff_genblock.dffs_genblock.dffs_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_obuf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteenff_genblock.dffs_n_8 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteen[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteenff_genblock.dffs_genblock.dffs_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_obuf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteenff_genblock.dffs_n_5 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteen[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteenff_genblock.dffs_genblock.dffs_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_obuf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteenff_genblock.dffs_n_6 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteen[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteenff_genblock.dffs_genblock.dffs_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_obuf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteenff_genblock.dffs_n_7 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteen[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteenff_genblock.dffs_genblock.dffs_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_obuf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteenff_genblock.dffs_n_4 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteen[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_cmd_done_ff_genblk1.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_busm_clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_cmd_done_in),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_cmd_done),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_done_ff_genblk1.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_busm_clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_done_in),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_done),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_en),
+	.GCLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[13]),
+	.Q(lsu_axi_wdata[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[1]),
+	.Q(lsu_axi_wdata[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[2]),
+	.Q(lsu_axi_wdata[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[3]),
+	.Q(lsu_axi_wdata[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[4]),
+	.Q(lsu_axi_wdata[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_genblock.dff_dout_reg[59]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[59]),
+	.Q(lsu_axi_wdata[59]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[5]),
+	.Q(lsu_axi_wdata[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[6]),
+	.Q(lsu_axi_wdata[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[7]),
+	.Q(lsu_axi_wdata[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_genblock.dff_dout_reg[55]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[55]),
+	.Q(lsu_axi_wdata[55]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[8]),
+	.Q(lsu_axi_wdata[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[9]),
+	.Q(lsu_axi_wdata[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[10]),
+	.Q(lsu_axi_wdata[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_genblock.dff_dout_reg[51]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[51]),
+	.Q(lsu_axi_wdata[51]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[11]),
+	.Q(lsu_axi_wdata[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[12]),
+	.Q(lsu_axi_wdata[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[0]),
+	.Q(lsu_axi_wdata[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[14]),
+	.Q(lsu_axi_wdata[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[15]),
+	.Q(lsu_axi_wdata[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[16]),
+	.Q(lsu_axi_wdata[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[17]),
+	.Q(lsu_axi_wdata[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_genblock.dff_dout_reg[43]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[43]),
+	.Q(lsu_axi_wdata[43]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[18]),
+	.Q(lsu_axi_wdata[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_genblock.dff_dout_reg[19]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[19]),
+	.Q(lsu_axi_wdata[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_genblock.dff_dout_reg[20]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[20]),
+	.Q(lsu_axi_wdata[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_genblock.dff_dout_reg[39]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[39]),
+	.Q(lsu_axi_wdata[39]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_genblock.dff_dout_reg[21]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[21]),
+	.Q(lsu_axi_wdata[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_genblock.dff_dout_reg[22]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[22]),
+	.Q(lsu_axi_wdata[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_genblock.dff_dout_reg[23]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[23]),
+	.Q(lsu_axi_wdata[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_genblock.dff_dout_reg[35]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[35]),
+	.Q(lsu_axi_wdata[35]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_genblock.dff_dout_reg[24]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[24]),
+	.Q(lsu_axi_wdata[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_genblock.dff_dout_reg[25]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[25]),
+	.Q(lsu_axi_wdata[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_genblock.dff_dout_reg[45]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[45]),
+	.Q(lsu_axi_wdata[45]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_genblock.dff_dout_reg[27]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[27]),
+	.Q(lsu_axi_wdata[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_genblock.dff_dout_reg[28]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[28]),
+	.Q(lsu_axi_wdata[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_genblock.dff_dout_reg[29]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[29]),
+	.Q(lsu_axi_wdata[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_genblock.dff_dout_reg[30]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[30]),
+	.Q(lsu_axi_wdata[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_genblock.dff_dout_reg[31]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[31]),
+	.Q(lsu_axi_wdata[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_genblock.dff_dout_reg[32]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[32]),
+	.Q(lsu_axi_wdata[32]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_genblock.dff_dout_reg[33]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[33]),
+	.Q(lsu_axi_wdata[33]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_genblock.dff_dout_reg[34]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[34]),
+	.Q(lsu_axi_wdata[34]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_genblock.dff_dout_reg[36]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[36]),
+	.Q(lsu_axi_wdata[36]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_genblock.dff_dout_reg[37]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[37]),
+	.Q(lsu_axi_wdata[37]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_genblock.dff_dout_reg[38]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[38]),
+	.Q(lsu_axi_wdata[38]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_genblock.dff_dout_reg[40]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[40]),
+	.Q(lsu_axi_wdata[40]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_genblock.dff_dout_reg[41]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[41]),
+	.Q(lsu_axi_wdata[41]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_genblock.dff_dout_reg[42]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[42]),
+	.Q(lsu_axi_wdata[42]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_genblock.dff_dout_reg[44]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[44]),
+	.Q(lsu_axi_wdata[44]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_genblock.dff_dout_reg[26]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[26]),
+	.Q(lsu_axi_wdata[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_genblock.dff_dout_reg[46]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[46]),
+	.Q(lsu_axi_wdata[46]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_genblock.dff_dout_reg[47]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[47]),
+	.Q(lsu_axi_wdata[47]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_genblock.dff_dout_reg[48]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[48]),
+	.Q(lsu_axi_wdata[48]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_genblock.dff_dout_reg[49]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[49]),
+	.Q(lsu_axi_wdata[49]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_genblock.dff_dout_reg[50]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[50]),
+	.Q(lsu_axi_wdata[50]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_genblock.dff_dout_reg[52]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[52]),
+	.Q(lsu_axi_wdata[52]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_genblock.dff_dout_reg[53]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[53]),
+	.Q(lsu_axi_wdata[53]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_genblock.dff_dout_reg[54]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[54]),
+	.Q(lsu_axi_wdata[54]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_genblock.dff_dout_reg[56]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[56]),
+	.Q(lsu_axi_wdata[56]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_genblock.dff_dout_reg[57]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[57]),
+	.Q(lsu_axi_wdata[57]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_genblock.dff_dout_reg[58]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[58]),
+	.Q(lsu_axi_wdata[58]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_genblock.dff_dout_reg[60]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[60]),
+	.Q(lsu_axi_wdata[60]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_genblock.dff_dout_reg[61]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[61]),
+	.Q(lsu_axi_wdata[61]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_genblock.dff_dout_reg[62]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[62]),
+	.Q(lsu_axi_wdata[62]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_genblock.dff_dout_reg[63]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[63]),
+	.Q(lsu_axi_wdata[63]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_mergeff_g30__9945 (
+	.A0(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_merge),
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_merge_en),
+	.S(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_en),
+	.X(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_mergeff_genblock.dffs_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_mergeff_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_obuf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_mergeff_genblock.dffs_n_4 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_merge),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_2 brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_nosend_ff_g30__2883 (
+	.A0(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_nosend),
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_nosend_in),
+	.S(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_en),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_nosend_ff_n_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_nosend_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_free_c2_clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_nosend_ff_n_2),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_nosend),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_rdrsp_pend_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_free_c2_clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_rdrsp_pend_in),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_rdrsp_pend),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_sideeffectff_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_obuf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_sideeffectff_genblock.dffs_n_4 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_sideeffect),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_tag0ff_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_obuf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_tag0ff_genblock.dffs_n_8 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_tag0[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_tag0ff_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_obuf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_tag0ff_genblock.dffs_n_9 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_tag0[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_tag0ff_genblock.dffs_genblock.dffs_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_obuf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_tag0ff_genblock.dffs_n_10 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_tag0[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_tag1ff_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_obuf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_tag1ff_genblock.dffs_n_8 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_tag1[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_tag1ff_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_obuf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_tag1ff_genblock.dffs_n_9 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_tag1[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_tag1ff_genblock.dffs_genblock.dffs_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_obuf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_tag1ff_genblock.dffs_n_10 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_tag1[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_timerff_genblk1.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_busm_clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_timer_in[0]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_timer[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_timerff_genblk1.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_busm_clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_timer_in[1]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_timer[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_timerff_genblk1.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_busm_clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_timer_in[2]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_timer[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_valid_ff_g35__2346 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_valid_ff_n_0),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_rst),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_valid_ff_din_new[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_valid_ff_g36__1666 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_valid),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_en),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_valid_ff_n_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_valid_ff_genblk1.dffsc_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_free_c2_clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_valid_ff_din_new[0]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_valid),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wren_ff_genblk1.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_busm_clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_en),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_enQ),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_writeff_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_obuf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_writeff_genblock.dffs_n_4 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_write),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_clken_ff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_active_clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_clken_ff_logic_1_1_net),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_lsu_bus_clk_en_q),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 brqrv_top_brqrv_lsu_bus_intf_clken_ff_tie_1_cell (
+	.HI(brqrv_top_brqrv_lsu_bus_intf_clken_ff_logic_1_1_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_is_sideeffects_rff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_is_sideeffects_m),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_is_sideeffects_r),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_lsu_byten_rff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_pkt_m[9]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_ldst_byteen_r[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_lsu_byten_rff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_ldst_byteen_m[0]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_ldst_byteen_r[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_lsu_byten_rff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_ldst_byteen_m[1]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_ldst_byteen_r[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 brqrv_top_brqrv_lsu_clkdomain_g279__7410 (
+	.A(brqrv_top_brqrv_lsu_clkdomain_lsu_free_c1_clken_q),
+	.B(brqrv_top_brqrv_lsu_clkdomain_lsu_free_c1_clken),
+	.X(brqrv_top_brqrv_lsu_clkdomain_lsu_free_c2_clken), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 brqrv_top_brqrv_lsu_clkdomain_g280__6417 (
+	.A(brqrv_top_brqrv_dec_tlu_lsu_clk_override),
+	.B(brqrv_top_brqrv_lsu_clkdomain_n_3),
+	.C(brqrv_top_brqrv_lsu_p[0]),
+	.D(brqrv_top_brqrv_lsu_lsu_pkt_d[0]),
+	.X(brqrv_top_brqrv_lsu_clkdomain_lsu_free_c1_clken), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 brqrv_top_brqrv_lsu_clkdomain_g281__5477 (
+	.A1(brqrv_top_brqrv_lsu_clkdomain_lsu_c1_r_clken),
+	.A2(brqrv_top_brqrv_lsu_lsu_pkt_m[6]),
+	.B1(brqrv_top_brqrv_dec_tlu_lsu_clk_override),
+	.X(brqrv_top_brqrv_lsu_clkdomain_lsu_store_c1_r_clken), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 brqrv_top_brqrv_lsu_clkdomain_g282__2398 (
+	.A1(brqrv_top_brqrv_lsu_clkdomain_lsu_c1_m_clken),
+	.A2(brqrv_top_brqrv_lsu_lsu_pkt_d[6]),
+	.B1(brqrv_top_brqrv_dec_tlu_lsu_clk_override),
+	.X(brqrv_top_brqrv_lsu_clkdomain_lsu_store_c1_m_clken), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 brqrv_top_brqrv_lsu_clkdomain_g283__5107 (
+	.A(brqrv_top_brqrv_lsu_clkdomain_lsu_c1_r_clken_q),
+	.B(brqrv_top_brqrv_lsu_clkdomain_lsu_c1_r_clken),
+	.X(brqrv_top_brqrv_lsu_clkdomain_lsu_c2_r_clken), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 brqrv_top_brqrv_lsu_clkdomain_g284__6260 (
+	.A(brqrv_top_brqrv_lsu_clkdomain_lsu_c1_m_clken_q),
+	.B(brqrv_top_brqrv_lsu_clkdomain_lsu_c1_m_clken),
+	.X(brqrv_top_brqrv_lsu_clkdomain_lsu_c2_m_clken), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 brqrv_top_brqrv_lsu_clkdomain_g285__4319 (
+	.A(brqrv_top_brqrv_dec_tlu_force_halt),
+	.B(brqrv_top_brqrv_lsu_clkdomain_n_32),
+	.X(brqrv_top_brqrv_lsu_clkdomain_lsu_bus_buf_c1_clken), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4bb_1 brqrv_top_brqrv_lsu_clkdomain_g286__8428 (
+	.A_N(brqrv_top_brqrv_lsu_lsu_pkt_m[0]),
+	.B_N(brqrv_top_brqrv_lsu_lsu_pkt_r[0]),
+	.C(brqrv_top_brqrv_lsu_lsu_stbuf_empty_any),
+	.D(brqrv_top_brqrv_lsu_lsu_bus_buffer_empty_any),
+	.Y(brqrv_top_brqrv_lsu_clkdomain_n_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 brqrv_top_brqrv_lsu_clkdomain_g287__5526 (
+	.A(brqrv_top_brqrv_dec_tlu_lsu_clk_override),
+	.B(brqrv_top_brqrv_lsu_ldst_stbuf_reqvld_r),
+	.C(brqrv_top_brqrv_lsu_stbuf_reqvld_flushed_any),
+	.D(brqrv_top_brqrv_lsu_stbuf_reqvld_any),
+	.X(brqrv_top_brqrv_lsu_clkdomain_lsu_stbuf_c1_clken), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 brqrv_top_brqrv_lsu_clkdomain_g288__6783 (
+	.A(brqrv_top_brqrv_lsu_clkdomain_lsu_bus_ibuf_c1_clken),
+	.B(brqrv_top_brqrv_lsu_lsu_bus_buffer_pend_any),
+	.X(brqrv_top_brqrv_lsu_clkdomain_n_33), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_lsu_clkdomain_g289__3680 (
+	.A(brqrv_top_brqrv_lsu_clkdomain_n_2),
+	.B(brqrv_top_brqrv_lsu_lsu_bus_buffer_empty_any),
+	.Y(brqrv_top_brqrv_lsu_clkdomain_n_32), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 brqrv_top_brqrv_lsu_clkdomain_g290__1617 (
+	.A(brqrv_top_brqrv_lsu_lsu_pkt_m[0]),
+	.B(brqrv_top_brqrv_lsu_clkdomain_lsu_c1_m_clken_q),
+	.C(brqrv_top_brqrv_dec_tlu_lsu_clk_override),
+	.X(brqrv_top_brqrv_lsu_clkdomain_lsu_c1_r_clken), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 brqrv_top_brqrv_lsu_clkdomain_g291__2802 (
+	.A(brqrv_top_brqrv_dec_tlu_lsu_clk_override),
+	.B(brqrv_top_brqrv_dma_dccm_req),
+	.C(brqrv_top_brqrv_lsu_p[0]),
+	.X(brqrv_top_brqrv_lsu_clkdomain_lsu_c1_m_clken), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_lsu_clkdomain_g292 (
+	.A(brqrv_top_brqrv_lsu_clkdomain_n_2),
+	.Y(brqrv_top_brqrv_lsu_clkdomain_lsu_bus_ibuf_c1_clken), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_lsu_clkdomain_g293__1705 (
+	.A(brqrv_top_brqrv_lsu_lsu_busreq_r),
+	.B(brqrv_top_brqrv_dec_tlu_lsu_clk_override),
+	.Y(brqrv_top_brqrv_lsu_clkdomain_n_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 brqrv_top_brqrv_lsu_clkdomain_lsu_bus_buf_c1_cgc_clkhdr (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_lsu_clkdomain_lsu_bus_buf_c1_clken),
+	.GCLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 brqrv_top_brqrv_lsu_clkdomain_lsu_bus_ibuf_c1_cgc_clkhdr (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_lsu_clkdomain_lsu_bus_ibuf_c1_clken),
+	.GCLK(brqrv_top_brqrv_lsu_lsu_bus_ibuf_c1_clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 brqrv_top_brqrv_lsu_clkdomain_lsu_bus_obuf_c1_cgc_clkhdr (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_lsu_clkdomain_n_33),
+	.GCLK(brqrv_top_brqrv_lsu_lsu_bus_obuf_c1_clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 brqrv_top_brqrv_lsu_clkdomain_lsu_busm_cgc_clkhdr (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_lsu_clkdomain_n_32),
+	.GCLK(brqrv_top_brqrv_lsu_lsu_busm_clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_clkdomain_lsu_c1_m_clkenff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_free_c2_clk),
+	.D(brqrv_top_brqrv_lsu_clkdomain_lsu_c1_m_clken),
+	.Q(brqrv_top_brqrv_lsu_clkdomain_lsu_c1_m_clken_q),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_clkdomain_lsu_c1_r_clkenff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_free_c2_clk),
+	.D(brqrv_top_brqrv_lsu_clkdomain_lsu_c1_r_clken),
+	.Q(brqrv_top_brqrv_lsu_clkdomain_lsu_c1_r_clken_q),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 brqrv_top_brqrv_lsu_clkdomain_lsu_c1m_cgc_clkhdr (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_lsu_clkdomain_lsu_c1_m_clken),
+	.GCLK(brqrv_top_brqrv_lsu_lsu_c1_m_clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 brqrv_top_brqrv_lsu_clkdomain_lsu_c1r_cgc_clkhdr (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_lsu_clkdomain_lsu_c1_r_clken),
+	.GCLK(brqrv_top_brqrv_lsu_lsu_c1_r_clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 brqrv_top_brqrv_lsu_clkdomain_lsu_c2m_cgc_clkhdr (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_lsu_clkdomain_lsu_c2_m_clken),
+	.GCLK(brqrv_top_brqrv_lsu_lsu_c2_m_clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 brqrv_top_brqrv_lsu_clkdomain_lsu_c2r_cgc_clkhdr (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_lsu_clkdomain_lsu_c2_r_clken),
+	.GCLK(brqrv_top_brqrv_lsu_lsu_c2_r_clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_clkdomain_lsu_free_c1_clkenff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_active_clk),
+	.D(brqrv_top_brqrv_lsu_clkdomain_lsu_free_c1_clken),
+	.Q(brqrv_top_brqrv_lsu_clkdomain_lsu_free_c1_clken_q),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 brqrv_top_brqrv_lsu_clkdomain_lsu_free_cgc_clkhdr (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_lsu_clkdomain_lsu_free_c2_clken),
+	.GCLK(brqrv_top_brqrv_lsu_lsu_free_c2_clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 brqrv_top_brqrv_lsu_clkdomain_lsu_stbuf_c1_cgc_clkhdr (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_lsu_clkdomain_lsu_stbuf_c1_clken),
+	.GCLK(brqrv_top_brqrv_lsu_lsu_stbuf_c1_clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 brqrv_top_brqrv_lsu_clkdomain_lsu_store_c1m_cgc_clkhdr (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_lsu_clkdomain_lsu_store_c1_m_clken),
+	.GCLK(brqrv_top_brqrv_lsu_lsu_store_c1_m_clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 brqrv_top_brqrv_lsu_clkdomain_lsu_store_c1r_cgc_clkhdr (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_lsu_clkdomain_lsu_store_c1_r_clken),
+	.GCLK(brqrv_top_brqrv_lsu_lsu_store_c1_r_clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_hi_rff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_lsu_dccm_ctl_n_1114),
+	.GCLK(\brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_hi_rff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_hi_rff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_hi_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_dccm_ctl_store_data_hi_r_in[12]),
+	.Q(brqrv_top_brqrv_lsu_store_data_hi_r[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_hi_rff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_hi_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_dccm_ctl_store_data_hi_r_in[1]),
+	.Q(brqrv_top_brqrv_lsu_store_data_hi_r[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_hi_rff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_hi_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_dccm_ctl_store_data_hi_r_in[2]),
+	.Q(brqrv_top_brqrv_lsu_store_data_hi_r[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_hi_rff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_hi_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_dccm_ctl_store_data_hi_r_in[3]),
+	.Q(brqrv_top_brqrv_lsu_store_data_hi_r[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_hi_rff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_hi_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_dccm_ctl_store_data_hi_r_in[4]),
+	.Q(brqrv_top_brqrv_lsu_store_data_hi_r[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_hi_rff_genblock.dff_dout_reg[27]  (
+	.CLK(\brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_hi_rff_l1clk ),
+	.D(n_42132),
+	.Q(brqrv_top_brqrv_lsu_store_data_hi_r[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_hi_rff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_hi_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_dccm_ctl_store_data_hi_r_in[5]),
+	.Q(brqrv_top_brqrv_lsu_store_data_hi_r[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_hi_rff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_hi_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_dccm_ctl_store_data_hi_r_in[6]),
+	.Q(brqrv_top_brqrv_lsu_store_data_hi_r[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_hi_rff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_hi_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_dccm_ctl_store_data_hi_r_in[7]),
+	.Q(brqrv_top_brqrv_lsu_store_data_hi_r[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_hi_rff_genblock.dff_dout_reg[23]  (
+	.CLK(\brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_hi_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_dccm_ctl_store_data_hi_r_in[23]),
+	.Q(brqrv_top_brqrv_lsu_store_data_hi_r[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_hi_rff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_hi_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_dccm_ctl_store_data_hi_r_in[8]),
+	.Q(brqrv_top_brqrv_lsu_store_data_hi_r[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_hi_rff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_hi_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_dccm_ctl_store_data_hi_r_in[9]),
+	.Q(brqrv_top_brqrv_lsu_store_data_hi_r[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_hi_rff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_hi_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_dccm_ctl_store_data_hi_r_in[10]),
+	.Q(brqrv_top_brqrv_lsu_store_data_hi_r[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_hi_rff_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_hi_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_dccm_ctl_store_data_hi_r_in[19]),
+	.Q(brqrv_top_brqrv_lsu_store_data_hi_r[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_hi_rff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_hi_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_dccm_ctl_store_data_hi_r_in[11]),
+	.Q(brqrv_top_brqrv_lsu_store_data_hi_r[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_hi_rff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_hi_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_dccm_ctl_store_data_hi_r_in[0]),
+	.Q(brqrv_top_brqrv_lsu_store_data_hi_r[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_hi_rff_genblock.dff_dout_reg[31]  (
+	.CLK(\brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_hi_rff_l1clk ),
+	.D(n_42128),
+	.Q(brqrv_top_brqrv_lsu_store_data_hi_r[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_hi_rff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_hi_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_dccm_ctl_store_data_hi_r_in[14]),
+	.Q(brqrv_top_brqrv_lsu_store_data_hi_r[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_hi_rff_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_hi_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_dccm_ctl_store_data_hi_r_in[15]),
+	.Q(brqrv_top_brqrv_lsu_store_data_hi_r[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_hi_rff_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_hi_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_dccm_ctl_store_data_hi_r_in[16]),
+	.Q(brqrv_top_brqrv_lsu_store_data_hi_r[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_hi_rff_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_hi_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_dccm_ctl_store_data_hi_r_in[17]),
+	.Q(brqrv_top_brqrv_lsu_store_data_hi_r[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_hi_rff_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_hi_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_dccm_ctl_store_data_hi_r_in[18]),
+	.Q(brqrv_top_brqrv_lsu_store_data_hi_r[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_hi_rff_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_hi_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_dccm_ctl_store_data_hi_r_in[20]),
+	.Q(brqrv_top_brqrv_lsu_store_data_hi_r[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_hi_rff_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_hi_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_dccm_ctl_store_data_hi_r_in[21]),
+	.Q(brqrv_top_brqrv_lsu_store_data_hi_r[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_hi_rff_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_hi_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_dccm_ctl_store_data_hi_r_in[22]),
+	.Q(brqrv_top_brqrv_lsu_store_data_hi_r[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_hi_rff_genblock.dff_dout_reg[24]  (
+	.CLK(\brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_hi_rff_l1clk ),
+	.D(n_42134),
+	.Q(brqrv_top_brqrv_lsu_store_data_hi_r[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_hi_rff_genblock.dff_dout_reg[25]  (
+	.CLK(\brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_hi_rff_l1clk ),
+	.D(n_42127),
+	.Q(brqrv_top_brqrv_lsu_store_data_hi_r[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_hi_rff_genblock.dff_dout_reg[26]  (
+	.CLK(\brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_hi_rff_l1clk ),
+	.D(n_42133),
+	.Q(brqrv_top_brqrv_lsu_store_data_hi_r[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_hi_rff_genblock.dff_dout_reg[28]  (
+	.CLK(\brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_hi_rff_l1clk ),
+	.D(n_42131),
+	.Q(brqrv_top_brqrv_lsu_store_data_hi_r[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_hi_rff_genblock.dff_dout_reg[29]  (
+	.CLK(\brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_hi_rff_l1clk ),
+	.D(n_42130),
+	.Q(brqrv_top_brqrv_lsu_store_data_hi_r[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_hi_rff_genblock.dff_dout_reg[30]  (
+	.CLK(\brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_hi_rff_l1clk ),
+	.D(n_42129),
+	.Q(brqrv_top_brqrv_lsu_store_data_hi_r[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_hi_rff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_hi_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_dccm_ctl_store_data_hi_r_in[13]),
+	.Q(brqrv_top_brqrv_lsu_store_data_hi_r[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_lo_rff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_store_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_dccm_ctl_store_data_lo_r_in[12]),
+	.Q(brqrv_top_brqrv_lsu_store_data_lo_r[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_lo_rff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_store_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_dccm_ctl_store_data_lo_r_in[1]),
+	.Q(brqrv_top_brqrv_lsu_store_data_lo_r[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_lo_rff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_store_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_dccm_ctl_store_data_lo_r_in[2]),
+	.Q(brqrv_top_brqrv_lsu_store_data_lo_r[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_lo_rff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_store_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_dccm_ctl_store_data_lo_r_in[3]),
+	.Q(brqrv_top_brqrv_lsu_store_data_lo_r[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_lo_rff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_store_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_dccm_ctl_store_data_lo_r_in[4]),
+	.Q(brqrv_top_brqrv_lsu_store_data_lo_r[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_lo_rff_dout_reg[27]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_store_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_dccm_ctl_store_data_lo_r_in[27]),
+	.Q(brqrv_top_brqrv_lsu_store_data_lo_r[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_lo_rff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_store_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_dccm_ctl_store_data_lo_r_in[5]),
+	.Q(brqrv_top_brqrv_lsu_store_data_lo_r[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_lo_rff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_store_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_dccm_ctl_store_data_lo_r_in[6]),
+	.Q(brqrv_top_brqrv_lsu_store_data_lo_r[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_lo_rff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_store_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_dccm_ctl_store_data_lo_r_in[7]),
+	.Q(brqrv_top_brqrv_lsu_store_data_lo_r[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_lo_rff_dout_reg[23]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_store_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_dccm_ctl_store_data_lo_r_in[23]),
+	.Q(brqrv_top_brqrv_lsu_store_data_lo_r[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_lo_rff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_store_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_dccm_ctl_store_data_lo_r_in[8]),
+	.Q(brqrv_top_brqrv_lsu_store_data_lo_r[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_lo_rff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_store_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_dccm_ctl_store_data_lo_r_in[9]),
+	.Q(brqrv_top_brqrv_lsu_store_data_lo_r[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_lo_rff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_store_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_dccm_ctl_store_data_lo_r_in[10]),
+	.Q(brqrv_top_brqrv_lsu_store_data_lo_r[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_lo_rff_dout_reg[19]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_store_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_dccm_ctl_store_data_lo_r_in[19]),
+	.Q(brqrv_top_brqrv_lsu_store_data_lo_r[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_lo_rff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_store_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_dccm_ctl_store_data_lo_r_in[11]),
+	.Q(brqrv_top_brqrv_lsu_store_data_lo_r[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_lo_rff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_store_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_dccm_ctl_store_data_lo_r_in[0]),
+	.Q(brqrv_top_brqrv_lsu_store_data_lo_r[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_lo_rff_dout_reg[31]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_store_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_dccm_ctl_store_data_lo_r_in[31]),
+	.Q(brqrv_top_brqrv_lsu_store_data_lo_r[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_lo_rff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_store_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_dccm_ctl_store_data_lo_r_in[14]),
+	.Q(brqrv_top_brqrv_lsu_store_data_lo_r[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_lo_rff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_store_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_dccm_ctl_store_data_lo_r_in[15]),
+	.Q(brqrv_top_brqrv_lsu_store_data_lo_r[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_lo_rff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_store_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_dccm_ctl_store_data_lo_r_in[16]),
+	.Q(brqrv_top_brqrv_lsu_store_data_lo_r[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_lo_rff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_store_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_dccm_ctl_store_data_lo_r_in[17]),
+	.Q(brqrv_top_brqrv_lsu_store_data_lo_r[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_lo_rff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_store_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_dccm_ctl_store_data_lo_r_in[18]),
+	.Q(brqrv_top_brqrv_lsu_store_data_lo_r[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_lo_rff_dout_reg[20]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_store_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_dccm_ctl_store_data_lo_r_in[20]),
+	.Q(brqrv_top_brqrv_lsu_store_data_lo_r[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_lo_rff_dout_reg[21]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_store_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_dccm_ctl_store_data_lo_r_in[21]),
+	.Q(brqrv_top_brqrv_lsu_store_data_lo_r[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_lo_rff_dout_reg[22]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_store_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_dccm_ctl_store_data_lo_r_in[22]),
+	.Q(brqrv_top_brqrv_lsu_store_data_lo_r[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_lo_rff_dout_reg[24]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_store_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_dccm_ctl_store_data_lo_r_in[24]),
+	.Q(brqrv_top_brqrv_lsu_store_data_lo_r[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_lo_rff_dout_reg[25]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_store_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_dccm_ctl_store_data_lo_r_in[25]),
+	.Q(brqrv_top_brqrv_lsu_store_data_lo_r[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_lo_rff_dout_reg[26]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_store_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_dccm_ctl_store_data_lo_r_in[26]),
+	.Q(brqrv_top_brqrv_lsu_store_data_lo_r[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_lo_rff_dout_reg[28]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_store_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_dccm_ctl_store_data_lo_r_in[28]),
+	.Q(brqrv_top_brqrv_lsu_store_data_lo_r[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_lo_rff_dout_reg[29]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_store_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_dccm_ctl_store_data_lo_r_in[29]),
+	.Q(brqrv_top_brqrv_lsu_store_data_lo_r[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_lo_rff_dout_reg[30]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_store_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_dccm_ctl_store_data_lo_r_in[30]),
+	.Q(brqrv_top_brqrv_lsu_store_data_lo_r[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_lo_rff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_store_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_dccm_ctl_store_data_lo_r_in[13]),
+	.Q(brqrv_top_brqrv_lsu_store_data_lo_r[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U_Plus1_0.lsu_ld_data_corr_rff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_lsu_dccm_ctl_n_1110),
+	.GCLK(\brqrv_top_brqrv_lsu_dccm_ctl_L2U_Plus1_0.lsu_ld_data_corr_rff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U_Plus1_0.lsu_ld_data_corr_rff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_lsu_dccm_ctl_L2U_Plus1_0.lsu_ld_data_corr_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_lsu_ld_data_m[12]),
+	.Q(brqrv_top_brqrv_lsu_fir_addr[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U_Plus1_0.lsu_ld_data_corr_rff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_lsu_dccm_ctl_L2U_Plus1_0.lsu_ld_data_corr_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_lsu_ld_data_m[8]),
+	.Q(brqrv_top_brqrv_lsu_fir_addr[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U_Plus1_0.lsu_ld_data_corr_rff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_lsu_dccm_ctl_L2U_Plus1_0.lsu_ld_data_corr_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_lsu_ld_data_m[9]),
+	.Q(brqrv_top_brqrv_lsu_fir_addr[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U_Plus1_0.lsu_ld_data_corr_rff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_lsu_dccm_ctl_L2U_Plus1_0.lsu_ld_data_corr_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_lsu_ld_data_m[10]),
+	.Q(brqrv_top_brqrv_lsu_fir_addr[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U_Plus1_0.lsu_ld_data_corr_rff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_lsu_dccm_ctl_L2U_Plus1_0.lsu_ld_data_corr_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_lsu_ld_data_m[5]),
+	.Q(brqrv_top_brqrv_lsu_fir_addr[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U_Plus1_0.lsu_ld_data_corr_rff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_lsu_dccm_ctl_L2U_Plus1_0.lsu_ld_data_corr_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_lsu_ld_data_m[13]),
+	.Q(brqrv_top_brqrv_lsu_fir_addr[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U_Plus1_0.lsu_ld_data_corr_rff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_lsu_dccm_ctl_L2U_Plus1_0.lsu_ld_data_corr_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_lsu_ld_data_m[14]),
+	.Q(brqrv_top_brqrv_lsu_fir_addr[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U_Plus1_0.lsu_ld_data_corr_rff_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_lsu_dccm_ctl_L2U_Plus1_0.lsu_ld_data_corr_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_lsu_ld_data_m[16]),
+	.Q(brqrv_top_brqrv_lsu_fir_addr[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U_Plus1_0.lsu_ld_data_corr_rff_genblock.dff_dout_reg[31]  (
+	.CLK(\brqrv_top_brqrv_lsu_dccm_ctl_L2U_Plus1_0.lsu_ld_data_corr_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_lsu_ld_data_m[31]),
+	.Q(brqrv_top_brqrv_lsu_fir_addr[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U_Plus1_0.lsu_ld_data_corr_rff_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_lsu_dccm_ctl_L2U_Plus1_0.lsu_ld_data_corr_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_lsu_ld_data_m[18]),
+	.Q(brqrv_top_brqrv_lsu_fir_addr[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U_Plus1_0.lsu_ld_data_corr_rff_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_lsu_dccm_ctl_L2U_Plus1_0.lsu_ld_data_corr_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_lsu_ld_data_m[19]),
+	.Q(brqrv_top_brqrv_lsu_fir_addr[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U_Plus1_0.lsu_ld_data_corr_rff_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_lsu_dccm_ctl_L2U_Plus1_0.lsu_ld_data_corr_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_lsu_ld_data_m[20]),
+	.Q(brqrv_top_brqrv_lsu_fir_addr[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U_Plus1_0.lsu_ld_data_corr_rff_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_lsu_dccm_ctl_L2U_Plus1_0.lsu_ld_data_corr_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_lsu_ld_data_m[21]),
+	.Q(brqrv_top_brqrv_lsu_fir_addr[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U_Plus1_0.lsu_ld_data_corr_rff_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_lsu_dccm_ctl_L2U_Plus1_0.lsu_ld_data_corr_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_lsu_ld_data_m[22]),
+	.Q(brqrv_top_brqrv_lsu_fir_addr[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U_Plus1_0.lsu_ld_data_corr_rff_genblock.dff_dout_reg[23]  (
+	.CLK(\brqrv_top_brqrv_lsu_dccm_ctl_L2U_Plus1_0.lsu_ld_data_corr_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_lsu_ld_data_m[23]),
+	.Q(brqrv_top_brqrv_lsu_fir_addr[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U_Plus1_0.lsu_ld_data_corr_rff_genblock.dff_dout_reg[24]  (
+	.CLK(\brqrv_top_brqrv_lsu_dccm_ctl_L2U_Plus1_0.lsu_ld_data_corr_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_lsu_ld_data_m[24]),
+	.Q(brqrv_top_brqrv_lsu_fir_addr[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U_Plus1_0.lsu_ld_data_corr_rff_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_lsu_dccm_ctl_L2U_Plus1_0.lsu_ld_data_corr_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_lsu_ld_data_m[17]),
+	.Q(brqrv_top_brqrv_lsu_fir_addr[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U_Plus1_0.lsu_ld_data_corr_rff_genblock.dff_dout_reg[25]  (
+	.CLK(\brqrv_top_brqrv_lsu_dccm_ctl_L2U_Plus1_0.lsu_ld_data_corr_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_lsu_ld_data_m[25]),
+	.Q(brqrv_top_brqrv_lsu_fir_addr[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U_Plus1_0.lsu_ld_data_corr_rff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_lsu_dccm_ctl_L2U_Plus1_0.lsu_ld_data_corr_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_lsu_ld_data_m[1]),
+	.Q(brqrv_top_brqrv_lsu_fir_addr[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U_Plus1_0.lsu_ld_data_corr_rff_genblock.dff_dout_reg[29]  (
+	.CLK(\brqrv_top_brqrv_lsu_dccm_ctl_L2U_Plus1_0.lsu_ld_data_corr_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_lsu_ld_data_m[29]),
+	.Q(brqrv_top_brqrv_lsu_fir_addr[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U_Plus1_0.lsu_ld_data_corr_rff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_lsu_dccm_ctl_L2U_Plus1_0.lsu_ld_data_corr_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_lsu_ld_data_m[2]),
+	.Q(brqrv_top_brqrv_lsu_fir_addr[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U_Plus1_0.lsu_ld_data_corr_rff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_lsu_dccm_ctl_L2U_Plus1_0.lsu_ld_data_corr_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_lsu_ld_data_m[3]),
+	.Q(brqrv_top_brqrv_lsu_fir_addr[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U_Plus1_0.lsu_ld_data_corr_rff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_lsu_dccm_ctl_L2U_Plus1_0.lsu_ld_data_corr_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_lsu_ld_data_m[4]),
+	.Q(brqrv_top_brqrv_lsu_fir_addr[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U_Plus1_0.lsu_ld_data_corr_rff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_lsu_dccm_ctl_L2U_Plus1_0.lsu_ld_data_corr_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_lsu_ld_data_m[0]),
+	.Q(brqrv_top_brqrv_lsu_lsu_ld_data_corr_r[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U_Plus1_0.lsu_ld_data_corr_rff_genblock.dff_dout_reg[26]  (
+	.CLK(\brqrv_top_brqrv_lsu_dccm_ctl_L2U_Plus1_0.lsu_ld_data_corr_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_lsu_ld_data_m[26]),
+	.Q(brqrv_top_brqrv_lsu_fir_addr[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U_Plus1_0.lsu_ld_data_corr_rff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_lsu_dccm_ctl_L2U_Plus1_0.lsu_ld_data_corr_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_lsu_ld_data_m[7]),
+	.Q(brqrv_top_brqrv_lsu_fir_addr[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U_Plus1_0.lsu_ld_data_corr_rff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_lsu_dccm_ctl_L2U_Plus1_0.lsu_ld_data_corr_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_lsu_ld_data_m[11]),
+	.Q(brqrv_top_brqrv_lsu_fir_addr[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U_Plus1_0.lsu_ld_data_corr_rff_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_lsu_dccm_ctl_L2U_Plus1_0.lsu_ld_data_corr_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_lsu_ld_data_m[15]),
+	.Q(brqrv_top_brqrv_lsu_fir_addr[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U_Plus1_0.lsu_ld_data_corr_rff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_lsu_dccm_ctl_L2U_Plus1_0.lsu_ld_data_corr_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_lsu_ld_data_m[6]),
+	.Q(brqrv_top_brqrv_lsu_fir_addr[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U_Plus1_0.lsu_ld_data_corr_rff_genblock.dff_dout_reg[27]  (
+	.CLK(\brqrv_top_brqrv_lsu_dccm_ctl_L2U_Plus1_0.lsu_ld_data_corr_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_lsu_ld_data_m[27]),
+	.Q(brqrv_top_brqrv_lsu_fir_addr[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U_Plus1_0.lsu_ld_data_corr_rff_genblock.dff_dout_reg[28]  (
+	.CLK(\brqrv_top_brqrv_lsu_dccm_ctl_L2U_Plus1_0.lsu_ld_data_corr_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_lsu_ld_data_m[28]),
+	.Q(brqrv_top_brqrv_lsu_fir_addr[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U_Plus1_0.lsu_ld_data_corr_rff_genblock.dff_dout_reg[30]  (
+	.CLK(\brqrv_top_brqrv_lsu_dccm_ctl_L2U_Plus1_0.lsu_ld_data_corr_rff_l1clk ),
+	.D(n_43244),
+	.Q(brqrv_top_brqrv_lsu_fir_addr[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dma_mem_tag_mff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_m_clk),
+	.D(brqrv_top_brqrv_dma_mem_tag[2]),
+	.Q(brqrv_top_brqrv_dccm_dma_rtag[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dma_mem_tag_mff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_m_clk),
+	.D(brqrv_top_brqrv_dma_mem_tag[1]),
+	.Q(brqrv_top_brqrv_dccm_dma_rtag[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dma_mem_tag_mff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_m_clk),
+	.D(brqrv_top_brqrv_dma_mem_tag[0]),
+	.Q(brqrv_top_brqrv_dccm_dma_rtag[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_lsu_lsu_lsc_ctl_n_425),
+	.GCLK(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_lsu_addr_m[3]),
+	.Q(brqrv_top_brqrv_lsu_error_pkt_r[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_genblock.dff_dout_reg[36]  (
+	.CLK(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_l1clk ),
+	.D(n_36601),
+	.Q(brqrv_top_brqrv_lsu_error_pkt_r[38]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_genblock.dff_dout_reg[34]  (
+	.CLK(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_exc_mscause_m[2]),
+	.Q(brqrv_top_brqrv_lsu_error_pkt_r[36]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_genblock.dff_dout_reg[30]  (
+	.CLK(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_lsu_addr_m[30]),
+	.Q(brqrv_top_brqrv_lsu_error_pkt_r[32]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_lsu_addr_m[22]),
+	.Q(brqrv_top_brqrv_lsu_error_pkt_r[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_lsu_addr_m[6]),
+	.Q(brqrv_top_brqrv_lsu_error_pkt_r[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_lsu_addr_m[5]),
+	.Q(brqrv_top_brqrv_lsu_error_pkt_r[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_lsu_addr_m[21]),
+	.Q(brqrv_top_brqrv_lsu_error_pkt_r[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_lsu_addr_m[4]),
+	.Q(brqrv_top_brqrv_lsu_error_pkt_r[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_genblock.dff_dout_reg[37]  (
+	.CLK(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_lsu_pkt_m[6]),
+	.Q(brqrv_top_brqrv_dec_tlu_lsu_exc_st_r),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_genblock.dff_dout_reg[29]  (
+	.CLK(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_lsu_addr_m[29]),
+	.Q(brqrv_top_brqrv_lsu_error_pkt_r[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_lsu_addr_m[20]),
+	.Q(brqrv_top_brqrv_lsu_error_pkt_r[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_lsu_addr_m[2]),
+	.Q(brqrv_top_brqrv_lsu_error_pkt_r[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_lsu_addr_m[1]),
+	.Q(brqrv_top_brqrv_lsu_error_pkt_r[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_lsu_addr_m[19]),
+	.Q(brqrv_top_brqrv_lsu_error_pkt_r[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_lsu_addr_m[0]),
+	.Q(brqrv_top_brqrv_lsu_error_pkt_r[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_genblock.dff_dout_reg[33]  (
+	.CLK(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_exc_mscause_m[1]),
+	.Q(brqrv_top_brqrv_lsu_error_pkt_r[35]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_genblock.dff_dout_reg[28]  (
+	.CLK(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_lsu_addr_m[28]),
+	.Q(brqrv_top_brqrv_lsu_error_pkt_r[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_genblock.dff_dout_reg[25]  (
+	.CLK(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_lsu_addr_m[25]),
+	.Q(brqrv_top_brqrv_lsu_error_pkt_r[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_lsu_addr_m[17]),
+	.Q(brqrv_top_brqrv_lsu_error_pkt_r[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_genblock.dff_dout_reg[27]  (
+	.CLK(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_lsu_addr_m[27]),
+	.Q(brqrv_top_brqrv_lsu_error_pkt_r[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_lsu_addr_m[16]),
+	.Q(brqrv_top_brqrv_lsu_error_pkt_r[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_lsu_addr_m[15]),
+	.Q(brqrv_top_brqrv_lsu_error_pkt_r[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_genblock.dff_dout_reg[32]  (
+	.CLK(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_exc_mscause_m[0]),
+	.Q(brqrv_top_brqrv_lsu_error_pkt_r[34]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_genblock.dff_dout_reg[26]  (
+	.CLK(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_lsu_addr_m[26]),
+	.Q(brqrv_top_brqrv_lsu_error_pkt_r[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_lsu_addr_m[14]),
+	.Q(brqrv_top_brqrv_lsu_error_pkt_r[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_lsu_addr_m[13]),
+	.Q(brqrv_top_brqrv_lsu_error_pkt_r[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_lsu_addr_m[18]),
+	.Q(brqrv_top_brqrv_lsu_error_pkt_r[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_lsu_addr_m[12]),
+	.Q(brqrv_top_brqrv_lsu_error_pkt_r[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_lsu_addr_m[11]),
+	.Q(brqrv_top_brqrv_lsu_error_pkt_r[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_genblock.dff_dout_reg[31]  (
+	.CLK(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_lsu_addr_m[31]),
+	.Q(brqrv_top_brqrv_lsu_error_pkt_r[33]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_genblock.dff_dout_reg[24]  (
+	.CLK(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_lsu_addr_m[24]),
+	.Q(brqrv_top_brqrv_lsu_error_pkt_r[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_lsu_addr_m[10]),
+	.Q(brqrv_top_brqrv_lsu_error_pkt_r[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_lsu_addr_m[9]),
+	.Q(brqrv_top_brqrv_lsu_error_pkt_r[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_genblock.dff_dout_reg[23]  (
+	.CLK(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_lsu_addr_m[23]),
+	.Q(brqrv_top_brqrv_lsu_error_pkt_r[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_lsu_addr_m[8]),
+	.Q(brqrv_top_brqrv_lsu_error_pkt_r[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_lsu_addr_m[7]),
+	.Q(brqrv_top_brqrv_lsu_error_pkt_r[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_exc_valid_rff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c2_r_clk),
+	.D(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_error_pkt_m[0] ),
+	.Q(brqrv_top_brqrv_lsu_error_pkt_r[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_fir_error_rff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c2_r_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_fir_nondccm_access_error_m),
+	.Q(brqrv_top_brqrv_lsu_fir_error[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_fir_error_rff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c2_r_clk),
+	.D(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_fir_error_m [1]),
+	.Q(brqrv_top_brqrv_lsu_fir_error[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_access_fault_mff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_m_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_access_fault_d),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_access_fault_m),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_2 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_addr_external_mff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_m_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_addr_external_d),
+	.Q(brqrv_top_brqrv_lsu_addr_external_m),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_addr_external_rff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_addr_external_m),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_addr_external_r),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_addr_in_dccm_mff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_m_clk),
+	.D(brqrv_top_brqrv_lsu_addr_in_dccm_d),
+	.Q(brqrv_top_brqrv_lsu_addr_in_dccm_m),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_addr_in_dccm_rff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_addr_in_dccm_m),
+	.Q(brqrv_top_brqrv_lsu_addr_in_dccm_r),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_2 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_addr_in_pic_mff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_m_clk),
+	.D(brqrv_top_brqrv_lsu_addr_in_pic_d),
+	.Q(brqrv_top_brqrv_lsu_addr_in_pic_m),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_addr_in_pic_rff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_addr_in_pic_m),
+	.Q(brqrv_top_brqrv_lsu_addr_in_pic_r),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.end_addr_dccm_rangecheck_g338__5122  (
+	.A(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.end_addr_dccm_rangecheck_n_15 ),
+	.B(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.end_addr_dccm_rangecheck_n_19 ),
+	.Y(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.end_addr_dccm_rangecheck_n_20 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.end_addr_dccm_rangecheck_g339__8246  (
+	.A(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.end_addr_dccm_rangecheck_n_10 ),
+	.B(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.end_addr_dccm_rangecheck_n_18 ),
+	.Y(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.end_addr_dccm_rangecheck_n_19 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.end_addr_dccm_rangecheck_g340__7098  (
+	.A(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.end_addr_dccm_rangecheck_n_8 ),
+	.B(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.end_addr_dccm_rangecheck_n_11 ),
+	.C(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.end_addr_dccm_rangecheck_n_17 ),
+	.D(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.end_addr_dccm_rangecheck_n_13 ),
+	.Y(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.end_addr_dccm_rangecheck_n_18 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.end_addr_dccm_rangecheck_g341__6131  (
+	.A(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.end_addr_dccm_rangecheck_n_9 ),
+	.B(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.end_addr_dccm_rangecheck_n_2 ),
+	.Y(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.end_addr_dccm_rangecheck_n_17 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.end_addr_dccm_rangecheck_g344  (
+	.A(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.end_addr_dccm_rangecheck_n_14 ),
+	.Y(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.end_addr_dccm_rangecheck_n_15 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_2 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.end_addr_dccm_rangecheck_g345__1881  (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[30]),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[29]),
+	.C(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[31]),
+	.D(n_36612),
+	.Y(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.end_addr_dccm_rangecheck_n_14 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.end_addr_dccm_rangecheck_g346__5115  (
+	.A(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.end_addr_dccm_rangecheck_n_0 ),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[19]),
+	.Y(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.end_addr_dccm_rangecheck_n_13 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.end_addr_dccm_rangecheck_g347__7482  (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[14]),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[16]),
+	.C(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.end_addr_dccm_rangecheck_n_1 ),
+	.Y(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.end_addr_dccm_rangecheck_n_12 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.end_addr_dccm_rangecheck_g348__4733  (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[21]),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[25]),
+	.Y(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.end_addr_dccm_rangecheck_n_11 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.end_addr_dccm_rangecheck_g350__6161  (
+	.A(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.end_addr_dccm_rangecheck_n_5 ),
+	.B(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.end_addr_dccm_rangecheck_n_7 ),
+	.Y(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.end_addr_dccm_rangecheck_n_10 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.end_addr_dccm_rangecheck_g352__9315  (
+	.A(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.end_addr_dccm_rangecheck_n_6 ),
+	.B(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.end_addr_dccm_rangecheck_n_4 ),
+	.Y(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.end_addr_dccm_rangecheck_n_9 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.end_addr_dccm_rangecheck_g353__9945  (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[22]),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[26]),
+	.Y(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.end_addr_dccm_rangecheck_n_8 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.end_addr_dccm_rangecheck_g354  (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[27]),
+	.Y(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.end_addr_dccm_rangecheck_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.end_addr_dccm_rangecheck_g358  (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[15]),
+	.Y(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.end_addr_dccm_rangecheck_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.end_addr_dccm_rangecheck_g359  (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[23]),
+	.Y(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.end_addr_dccm_rangecheck_n_5 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.end_addr_dccm_rangecheck_g361  (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[17]),
+	.Y(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.end_addr_dccm_rangecheck_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_2 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.end_addr_dccm_rangecheck_fopt  (
+	.A(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.end_addr_dccm_rangecheck_n_20 ),
+	.Y(brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_end_addr_in_dccm_d), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.end_addr_dccm_rangecheck_g2__2883  (
+	.A_N(n_42457),
+	.B(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.end_addr_dccm_rangecheck_n_12 ),
+	.Y(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.end_addr_dccm_rangecheck_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.end_addr_dccm_rangecheck_g362__2346  (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[13]),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[12]),
+	.X(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.end_addr_dccm_rangecheck_n_1 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.end_addr_dccm_rangecheck_g363__1666  (
+	.A_N(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[20]),
+	.B(n_42454),
+	.Y(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.end_addr_dccm_rangecheck_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_2 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.start_addr_dccm_rangecheck_g260  (
+	.A(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.start_addr_dccm_rangecheck_n_21 ),
+	.Y(brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_start_addr_in_dccm_d), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.start_addr_dccm_rangecheck_g261__7410  (
+	.A(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.start_addr_dccm_rangecheck_n_18 ),
+	.B(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.start_addr_dccm_rangecheck_n_20 ),
+	.C(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.start_addr_dccm_rangecheck_n_16 ),
+	.Y(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.start_addr_dccm_rangecheck_n_21 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.start_addr_dccm_rangecheck_g262__6417  (
+	.A(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.start_addr_dccm_rangecheck_n_44 ),
+	.B(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.start_addr_dccm_rangecheck_n_43 ),
+	.Y(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.start_addr_dccm_rangecheck_n_20 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.start_addr_dccm_rangecheck_g264  (
+	.A(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.start_addr_dccm_rangecheck_n_17 ),
+	.Y(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.start_addr_dccm_rangecheck_n_18 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.start_addr_dccm_rangecheck_g265__5477  (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[28]),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[30]),
+	.C(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[29]),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[31]),
+	.Y(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.start_addr_dccm_rangecheck_n_17 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.start_addr_dccm_rangecheck_g266__2398  (
+	.A(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.start_addr_dccm_rangecheck_n_13 ),
+	.B(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.start_addr_dccm_rangecheck_n_12 ),
+	.Y(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.start_addr_dccm_rangecheck_n_16 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.start_addr_dccm_rangecheck_g269__4319  (
+	.A(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.start_addr_dccm_rangecheck_n_2 ),
+	.B(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.start_addr_dccm_rangecheck_n_0 ),
+	.C(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.start_addr_dccm_rangecheck_n_1 ),
+	.Y(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.start_addr_dccm_rangecheck_n_13 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.start_addr_dccm_rangecheck_g270__8428  (
+	.A(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.start_addr_dccm_rangecheck_n_9 ),
+	.B(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.start_addr_dccm_rangecheck_n_7 ),
+	.Y(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.start_addr_dccm_rangecheck_n_12 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.start_addr_dccm_rangecheck_g272__6783  (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[27]),
+	.B(brqrv_top_brqrv_picm_rdaddr[14]),
+	.Y(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.start_addr_dccm_rangecheck_n_10 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.start_addr_dccm_rangecheck_g273__3680  (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[25]),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[26]),
+	.Y(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.start_addr_dccm_rangecheck_n_9 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.start_addr_dccm_rangecheck_g275__2802  (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[20]),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[22]),
+	.Y(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.start_addr_dccm_rangecheck_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.start_addr_dccm_rangecheck_g277__5122  (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[19]),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[17]),
+	.Y(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.start_addr_dccm_rangecheck_n_5 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.start_addr_dccm_rangecheck_g278  (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[15]),
+	.Y(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.start_addr_dccm_rangecheck_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.start_addr_dccm_rangecheck_g279  (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[24]),
+	.Y(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.start_addr_dccm_rangecheck_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.start_addr_dccm_rangecheck_g280  (
+	.A(brqrv_top_brqrv_picm_rdaddr[12]),
+	.Y(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.start_addr_dccm_rangecheck_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.start_addr_dccm_rangecheck_g281  (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[21]),
+	.Y(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.start_addr_dccm_rangecheck_n_1 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.start_addr_dccm_rangecheck_g282  (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[23]),
+	.Y(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.start_addr_dccm_rangecheck_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4b_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.start_addr_dccm_rangecheck_g2  (
+	.A_N(brqrv_top_brqrv_picm_rdaddr[13]),
+	.B(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.start_addr_dccm_rangecheck_n_3 ),
+	.C(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.start_addr_dccm_rangecheck_n_4 ),
+	.D(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.start_addr_dccm_rangecheck_n_10 ),
+	.Y(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.start_addr_dccm_rangecheck_n_43 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.start_addr_dccm_rangecheck_g283  (
+	.A_N(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[16]),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[18]),
+	.C(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.start_addr_dccm_rangecheck_n_5 ),
+	.Y(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.start_addr_dccm_rangecheck_n_44 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_end_addr_pic_rangecheck_g216__8246 (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_end_addr_pic_rangecheck_n_6),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_end_addr_pic_rangecheck_n_9),
+	.Y(brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_end_addr_in_pic_d), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_end_addr_pic_rangecheck_g217__7098 (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_end_addr_pic_rangecheck_n_7),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_end_addr_pic_rangecheck_n_8),
+	.C(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[30]),
+	.Y(brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_end_addr_pic_rangecheck_n_9), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_end_addr_pic_rangecheck_g218__6131 (
+	.A(n_42457),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[20]),
+	.C(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[25]),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_end_addr_pic_rangecheck_n_3),
+	.Y(brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_end_addr_pic_rangecheck_n_8), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_end_addr_pic_rangecheck_g219__1881 (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_end_addr_pic_rangecheck_n_4),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_end_addr_pic_rangecheck_n_5),
+	.Y(brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_end_addr_pic_rangecheck_n_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_end_addr_pic_rangecheck_g220__5115 (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_end_addr_pic_rangecheck_n_2),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[29]),
+	.Y(brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_end_addr_pic_rangecheck_n_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_end_addr_pic_rangecheck_g221__7482 (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_end_addr_pic_rangecheck_n_1),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[31]),
+	.Y(brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_end_addr_pic_rangecheck_n_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_end_addr_pic_rangecheck_g222__4733 (
+	.A_N(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[21]),
+	.B(n_36612),
+	.C(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[19]),
+	.Y(brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_end_addr_pic_rangecheck_n_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_end_addr_pic_rangecheck_g223__6161 (
+	.A_N(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[15]),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_end_addr_pic_rangecheck_n_0),
+	.C(n_42454),
+	.Y(brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_end_addr_pic_rangecheck_n_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_end_addr_pic_rangecheck_g224__9315 (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[23]),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[27]),
+	.Y(brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_end_addr_pic_rangecheck_n_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_end_addr_pic_rangecheck_g225__9945 (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[22]),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[26]),
+	.Y(brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_end_addr_pic_rangecheck_n_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_end_addr_pic_rangecheck_g226__2883 (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[16]),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[17]),
+	.Y(brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_end_addr_pic_rangecheck_n_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_is_sideeffects_mff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c2_m_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_is_sideeffects_d),
+	.Q(brqrv_top_brqrv_lsu_is_sideeffects_m),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_start_addr_pic_rangecheck_g235__2346 (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_start_addr_pic_rangecheck_n_30),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_start_addr_pic_rangecheck_n_11),
+	.Y(brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_start_addr_pic_rangecheck_n_12), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_start_addr_pic_rangecheck_g236__1666 (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_start_addr_pic_rangecheck_n_32),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_start_addr_pic_rangecheck_n_33),
+	.Y(brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_start_addr_pic_rangecheck_n_11), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_start_addr_pic_rangecheck_g238__6417 (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_start_addr_pic_rangecheck_n_31),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[31]),
+	.X(brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_start_addr_in_pic_region_d), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_start_addr_pic_rangecheck_g244__4319 (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[20]),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[22]),
+	.Y(brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_start_addr_pic_rangecheck_n_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_start_addr_pic_rangecheck_g2__5526 (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_start_addr_pic_rangecheck_n_12),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_start_addr_pic_rangecheck_n_31),
+	.C(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[31]),
+	.X(brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_start_addr_in_pic_d), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4bb_1 brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_start_addr_pic_rangecheck_g2 (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[21]),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[27]),
+	.C_N(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[19]),
+	.D_N(brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_start_addr_pic_rangecheck_n_4),
+	.X(brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_start_addr_pic_rangecheck_n_30), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_start_addr_pic_rangecheck_g252 (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[29]),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[28]),
+	.C(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[30]),
+	.X(brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_start_addr_pic_rangecheck_n_31), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4b_1 brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_start_addr_pic_rangecheck_g253 (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[23]),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[25]),
+	.C(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[26]),
+	.D_N(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[18]),
+	.Y(brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_start_addr_pic_rangecheck_n_32), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_start_addr_pic_rangecheck_g254 (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[17]),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[24]),
+	.C(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[16]),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[15]),
+	.Y(brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_start_addr_pic_rangecheck_n_33), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r_ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_lsu_lsu_lsc_ctl_n_468),
+	.GCLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r_ff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r_ff_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r_ff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_read_data_m[14]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r_ff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r_ff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_read_data_m[4]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r_ff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r_ff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_read_data_m[8]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r_ff_genblock.dff_dout_reg[23]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r_ff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_read_data_m[23]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r_ff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r_ff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_read_data_m[9]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r_ff_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r_ff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_read_data_m[10]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r_ff_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r_ff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_read_data_m[12]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r_ff_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r_ff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_read_data_m[13]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r_ff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r_ff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_read_data_m[1]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r_ff_genblock.dff_dout_reg[31]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r_ff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_read_data_m[31]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r_ff_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r_ff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_read_data_m[17]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r_ff_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r_ff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_read_data_m[18]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r_ff_genblock.dff_dout_reg[19]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r_ff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_read_data_m[19]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r_ff_genblock.dff_dout_reg[20]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r_ff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_read_data_m[20]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r_ff_genblock.dff_dout_reg[21]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r_ff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_read_data_m[21]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r_ff_genblock.dff_dout_reg[22]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r_ff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_read_data_m[22]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r_ff_genblock.dff_dout_reg[24]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r_ff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_read_data_m[24]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r_ff_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r_ff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_read_data_m[16]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r_ff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r_ff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_read_data_m[7]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r_ff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r_ff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_read_data_m[2]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r_ff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r_ff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_read_data_m[3]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r_ff_genblock.dff_dout_reg[28]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r_ff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_read_data_m[28]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r_ff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r_ff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_read_data_m[5]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r_ff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r_ff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_read_data_m[6]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r_ff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r_ff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_read_data_m[0]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r_ff_genblock.dff_dout_reg[30]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r_ff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_read_data_m[30]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r_ff_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r_ff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_read_data_m[15]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r_ff_genblock.dff_dout_reg[25]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r_ff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_read_data_m[25]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r_ff_genblock.dff_dout_reg[26]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r_ff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_read_data_m[26]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r_ff_genblock.dff_dout_reg[27]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r_ff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_read_data_m[27]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r_ff_genblock.dff_dout_reg[29]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r_ff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_read_data_m[29]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r_ff_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r_ff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_read_data_m[11]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_mff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_lsu_lsu_lsc_ctl_n_430),
+	.GCLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_mff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_mff_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_mff_l1clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[15]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_m[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_mff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_mff_l1clk),
+	.D(brqrv_top_dccm_rd_addr_hi[5]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_m[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_mff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_mff_l1clk),
+	.D(brqrv_top_dccm_rd_addr_hi[6]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_m[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_mff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_mff_l1clk),
+	.D(brqrv_top_dccm_rd_addr_hi[7]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_m[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_mff_genblock.dff_dout_reg[25]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_mff_l1clk),
+	.D(n_36612),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_m[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_mff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_mff_l1clk),
+	.D(brqrv_top_dccm_rd_addr_hi[8]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_m[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_mff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_mff_l1clk),
+	.D(brqrv_top_dccm_rd_addr_hi[9]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_m[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_mff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_mff_l1clk),
+	.D(brqrv_top_dccm_rd_addr_hi[10]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_m[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_mff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_mff_l1clk),
+	.D(brqrv_top_dccm_rd_addr_hi[11]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_m[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_mff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_mff_l1clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[12]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_m[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_mff_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_mff_l1clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[13]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_m[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_mff_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_mff_l1clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[14]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_m[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_mff_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_mff_l1clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[20]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_m[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_mff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_mff_l1clk),
+	.D(brqrv_top_dccm_rd_addr_hi[3]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_m[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_mff_genblock.dff_dout_reg[21]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_mff_l1clk),
+	.D(n_42457),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_m[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_mff_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_mff_l1clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[17]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_m[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_mff_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_mff_l1clk),
+	.D(n_42454),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_m[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_mff_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_mff_l1clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[19]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_m[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_mff_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_mff_l1clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[21]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_m[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_mff_genblock.dff_dout_reg[19]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_mff_l1clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[22]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_m[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_mff_genblock.dff_dout_reg[20]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_mff_l1clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[23]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_m[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_mff_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_mff_l1clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[16]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_m[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_mff_genblock.dff_dout_reg[22]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_mff_l1clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[25]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_m[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_mff_genblock.dff_dout_reg[23]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_mff_l1clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[26]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_m[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_mff_genblock.dff_dout_reg[24]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_mff_l1clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[27]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_m[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_mff_genblock.dff_dout_reg[26]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_mff_l1clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[29]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_m[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_mff_genblock.dff_dout_reg[27]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_mff_l1clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[30]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_m[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_mff_genblock.dff_dout_reg[28]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_mff_l1clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[31]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_m[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_mff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_mff_l1clk),
+	.D(n_42459),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_m[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_rff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_lsu_lsu_lsc_ctl_n_460),
+	.GCLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_rff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_rff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_rff_l1clk),
+	.D(brqrv_top_brqrv_lsu_end_addr_m[7]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_rff_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_rff_l1clk),
+	.D(brqrv_top_brqrv_lsu_end_addr_m[18]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_rff_genblock.dff_dout_reg[28]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_rff_l1clk),
+	.D(brqrv_top_brqrv_lsu_end_addr_m[31]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_rff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_rff_l1clk),
+	.D(brqrv_top_brqrv_lsu_end_addr_m[8]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_rff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_rff_l1clk),
+	.D(brqrv_top_brqrv_lsu_end_addr_m[9]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_rff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_rff_l1clk),
+	.D(brqrv_top_brqrv_lsu_end_addr_m[10]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_rff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_rff_l1clk),
+	.D(brqrv_top_brqrv_lsu_end_addr_m[11]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_rff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_rff_l1clk),
+	.D(brqrv_top_brqrv_lsu_end_addr_m[12]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_rff_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_rff_l1clk),
+	.D(brqrv_top_brqrv_lsu_end_addr_m[13]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_rff_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_rff_l1clk),
+	.D(brqrv_top_brqrv_lsu_end_addr_m[14]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_rff_genblock.dff_dout_reg[20]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_rff_l1clk),
+	.D(brqrv_top_brqrv_lsu_end_addr_m[23]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_rff_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_rff_l1clk),
+	.D(brqrv_top_brqrv_lsu_end_addr_m[15]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_rff_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_rff_l1clk),
+	.D(brqrv_top_brqrv_lsu_end_addr_m[16]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_rff_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_rff_l1clk),
+	.D(brqrv_top_brqrv_lsu_end_addr_m[17]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_rff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_rff_l1clk),
+	.D(brqrv_top_brqrv_lsu_end_addr_m[3]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_rff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_rff_l1clk),
+	.D(brqrv_top_brqrv_lsu_end_addr_m[6]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_rff_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_rff_l1clk),
+	.D(brqrv_top_brqrv_lsu_end_addr_m[20]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_rff_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_rff_l1clk),
+	.D(brqrv_top_brqrv_lsu_end_addr_m[21]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_rff_genblock.dff_dout_reg[19]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_rff_l1clk),
+	.D(brqrv_top_brqrv_lsu_end_addr_m[22]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_rff_genblock.dff_dout_reg[21]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_rff_l1clk),
+	.D(brqrv_top_brqrv_lsu_end_addr_m[24]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_rff_genblock.dff_dout_reg[22]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_rff_l1clk),
+	.D(brqrv_top_brqrv_lsu_end_addr_m[25]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_rff_genblock.dff_dout_reg[23]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_rff_l1clk),
+	.D(brqrv_top_brqrv_lsu_end_addr_m[26]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_rff_genblock.dff_dout_reg[24]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_rff_l1clk),
+	.D(brqrv_top_brqrv_lsu_end_addr_m[27]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_rff_genblock.dff_dout_reg[25]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_rff_l1clk),
+	.D(brqrv_top_brqrv_lsu_end_addr_m[28]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_rff_genblock.dff_dout_reg[26]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_rff_l1clk),
+	.D(brqrv_top_brqrv_lsu_end_addr_m[29]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_rff_genblock.dff_dout_reg[27]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_rff_l1clk),
+	.D(brqrv_top_brqrv_lsu_end_addr_m[30]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_rff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_rff_l1clk),
+	.D(brqrv_top_brqrv_lsu_end_addr_m[4]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_rff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_rff_l1clk),
+	.D(brqrv_top_brqrv_lsu_end_addr_m[5]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_rff_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_rff_l1clk),
+	.D(brqrv_top_brqrv_lsu_end_addr_m[19]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_lo_mff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_m_clk),
+	.D(brqrv_top_brqrv_lsu_end_addr_d[1]),
+	.Q(brqrv_top_brqrv_lsu_end_addr_m[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_lo_mff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_m_clk),
+	.D(brqrv_top_brqrv_lsu_end_addr_d[0]),
+	.Q(brqrv_top_brqrv_lsu_end_addr_m[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_lo_mff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_m_clk),
+	.D(brqrv_top_dccm_rd_addr_hi[2]),
+	.Q(brqrv_top_brqrv_lsu_end_addr_m[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_lo_rff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_end_addr_m[0]),
+	.Q(brqrv_top_brqrv_lsu_end_addr_r[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_lo_rff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_end_addr_m[1]),
+	.Q(brqrv_top_brqrv_lsu_end_addr_r[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_lo_rff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_end_addr_m[2]),
+	.Q(brqrv_top_brqrv_lsu_end_addr_r[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_exc_mscause_mff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_m_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_exc_mscause_d[0]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_exc_mscause_m[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_exc_mscause_mff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_m_clk),
+	.D(n_42078),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_exc_mscause_m[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_exc_mscause_mff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_m_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_exc_mscause_d[2]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_exc_mscause_m[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_fir_dccm_access_error_mff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_m_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_fir_dccm_access_error_d),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_fir_dccm_access_error_m),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_fir_nondccm_access_error_mff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_m_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_fir_nondccm_access_error_d),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_fir_nondccm_access_error_m),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_mff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_m_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_pkt_d[8]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_r_in[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_mff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_m_clk),
+	.D(brqrv_top_brqrv_dec_extint_stall),
+	.Q(brqrv_top_brqrv_lsu_lsu_pkt_m[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_mff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_m_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_m_in[4]),
+	.Q(brqrv_top_brqrv_lsu_lsu_pkt_m[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_mff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_m_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_m_in[5]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_r_in[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_mff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_m_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_m_in[10]),
+	.Q(brqrv_top_brqrv_lsu_lsu_pkt_m[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_mff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_m_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_pkt_d[9]),
+	.Q(brqrv_top_brqrv_lsu_lsu_pkt_m[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_mff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_m_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_pkt_d[7]),
+	.Q(brqrv_top_brqrv_lsu_lsu_pkt_m[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_mff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_m_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_pkt_d[6]),
+	.Q(brqrv_top_brqrv_lsu_lsu_pkt_m[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_mff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_m_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_m_in[11]),
+	.Q(brqrv_top_brqrv_lsu_lsu_pkt_m[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_rff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_pkt_m[9]),
+	.Q(brqrv_top_brqrv_lsu_lsu_pkt_r[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_rff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_pkt_m[7]),
+	.Q(brqrv_top_brqrv_lsu_lsu_pkt_r[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_rff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_pkt_m[4]),
+	.Q(brqrv_top_brqrv_lsu_lsu_pkt_r[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_rff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_pkt_m[6]),
+	.Q(brqrv_top_brqrv_lsu_lsu_pkt_r[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_rff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_r_in[5]),
+	.Q(brqrv_top_brqrv_lsu_lsu_pkt_r[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_rff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_pkt_m[11]),
+	.Q(brqrv_top_brqrv_lsu_lsu_pkt_r[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_rff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_r_in[8]),
+	.Q(brqrv_top_brqrv_lsu_lsu_pkt_r[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_rff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_pkt_m[10]),
+	.Q(brqrv_top_brqrv_lsu_lsu_pkt_r[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_vldmff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c2_m_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_m_in[0]),
+	.Q(brqrv_top_brqrv_lsu_lsu_pkt_m[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_vldrff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c2_r_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_r_in[0]),
+	.Q(brqrv_top_brqrv_lsu_lsu_pkt_r[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_misaligned_fault_mff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_m_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_misaligned_fault_d),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_misaligned_fault_m),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_samff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_m_clk),
+	.D(brqrv_top_brqrv_picm_rdaddr[12]),
+	.Q(brqrv_top_brqrv_lsu_lsu_addr_m[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_samff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_m_clk),
+	.D(brqrv_top_brqrv_picm_rdaddr[1]),
+	.Q(brqrv_top_brqrv_lsu_lsu_addr_m[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_samff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_m_clk),
+	.D(n_683),
+	.Q(brqrv_top_brqrv_lsu_lsu_addr_m[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_samff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_m_clk),
+	.D(brqrv_top_dccm_rd_addr_lo[3]),
+	.Q(brqrv_top_brqrv_lsu_lsu_addr_m[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_samff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_m_clk),
+	.D(brqrv_top_dccm_rd_addr_lo[4]),
+	.Q(brqrv_top_brqrv_lsu_lsu_addr_m[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_samff_dout_reg[27]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_m_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[27]),
+	.Q(brqrv_top_brqrv_lsu_lsu_addr_m[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_samff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_m_clk),
+	.D(brqrv_top_dccm_rd_addr_lo[5]),
+	.Q(brqrv_top_brqrv_lsu_lsu_addr_m[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_samff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_m_clk),
+	.D(brqrv_top_dccm_rd_addr_lo[6]),
+	.Q(brqrv_top_brqrv_lsu_lsu_addr_m[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_samff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_m_clk),
+	.D(brqrv_top_dccm_rd_addr_lo[7]),
+	.Q(brqrv_top_brqrv_lsu_lsu_addr_m[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_samff_dout_reg[23]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_m_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[23]),
+	.Q(brqrv_top_brqrv_lsu_lsu_addr_m[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_samff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_m_clk),
+	.D(brqrv_top_dccm_rd_addr_lo[8]),
+	.Q(brqrv_top_brqrv_lsu_lsu_addr_m[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_samff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_m_clk),
+	.D(brqrv_top_dccm_rd_addr_lo[9]),
+	.Q(brqrv_top_brqrv_lsu_lsu_addr_m[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_samff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_m_clk),
+	.D(brqrv_top_dccm_rd_addr_lo[10]),
+	.Q(brqrv_top_brqrv_lsu_lsu_addr_m[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_samff_dout_reg[19]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_m_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[19]),
+	.Q(brqrv_top_brqrv_lsu_lsu_addr_m[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_samff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_m_clk),
+	.D(brqrv_top_dccm_rd_addr_lo[11]),
+	.Q(brqrv_top_brqrv_lsu_lsu_addr_m[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_samff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_m_clk),
+	.D(brqrv_top_brqrv_picm_rdaddr[0]),
+	.Q(brqrv_top_brqrv_lsu_lsu_addr_m[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_samff_dout_reg[31]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_m_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[31]),
+	.Q(brqrv_top_brqrv_lsu_lsu_addr_m[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_samff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_m_clk),
+	.D(brqrv_top_brqrv_picm_rdaddr[14]),
+	.Q(brqrv_top_brqrv_lsu_lsu_addr_m[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_samff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_m_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[15]),
+	.Q(brqrv_top_brqrv_lsu_lsu_addr_m[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_samff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_m_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[16]),
+	.Q(brqrv_top_brqrv_lsu_lsu_addr_m[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_samff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_m_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[17]),
+	.Q(brqrv_top_brqrv_lsu_lsu_addr_m[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_samff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_m_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[18]),
+	.Q(brqrv_top_brqrv_lsu_lsu_addr_m[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_samff_dout_reg[20]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_m_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[20]),
+	.Q(brqrv_top_brqrv_lsu_lsu_addr_m[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_samff_dout_reg[21]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_m_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[21]),
+	.Q(brqrv_top_brqrv_lsu_lsu_addr_m[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_samff_dout_reg[22]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_m_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[22]),
+	.Q(brqrv_top_brqrv_lsu_lsu_addr_m[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_samff_dout_reg[24]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_m_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[24]),
+	.Q(brqrv_top_brqrv_lsu_lsu_addr_m[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_samff_dout_reg[25]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_m_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[25]),
+	.Q(brqrv_top_brqrv_lsu_lsu_addr_m[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_samff_dout_reg[26]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_m_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[26]),
+	.Q(brqrv_top_brqrv_lsu_lsu_addr_m[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_samff_dout_reg[28]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_m_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[28]),
+	.Q(brqrv_top_brqrv_lsu_lsu_addr_m[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_samff_dout_reg[29]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_m_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[29]),
+	.Q(brqrv_top_brqrv_lsu_lsu_addr_m[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_samff_dout_reg[30]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_m_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[30]),
+	.Q(brqrv_top_brqrv_lsu_lsu_addr_m[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_samff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_m_clk),
+	.D(brqrv_top_brqrv_picm_rdaddr[13]),
+	.Q(brqrv_top_brqrv_lsu_lsu_addr_m[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_sarff_dout_reg[31]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_addr_m[31]),
+	.Q(brqrv_top_brqrv_lsu_lsu_addr_r[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_sarff_dout_reg[30]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_addr_m[30]),
+	.Q(brqrv_top_brqrv_lsu_lsu_addr_r[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_sarff_dout_reg[28]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_addr_m[28]),
+	.Q(brqrv_top_brqrv_lsu_lsu_addr_r[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_sarff_dout_reg[24]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_addr_m[24]),
+	.Q(brqrv_top_brqrv_lsu_lsu_addr_r[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_sarff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_addr_m[15]),
+	.Q(brqrv_top_brqrv_lsu_lsu_addr_r[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_sarff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_addr_m[14]),
+	.Q(brqrv_top_brqrv_lsu_lsu_addr_r[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_sarff_dout_reg[23]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_addr_m[23]),
+	.Q(brqrv_top_brqrv_lsu_lsu_addr_r[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_sarff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_addr_m[13]),
+	.Q(brqrv_top_brqrv_lsu_lsu_addr_r[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_sarff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_addr_m[12]),
+	.Q(brqrv_top_brqrv_lsu_lsu_addr_r[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_sarff_dout_reg[27]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_addr_m[27]),
+	.Q(brqrv_top_brqrv_lsu_lsu_addr_r[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_sarff_dout_reg[22]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_addr_m[22]),
+	.Q(brqrv_top_brqrv_lsu_lsu_addr_r[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_sarff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_addr_m[9]),
+	.Q(brqrv_top_brqrv_lsu_lsu_addr_r[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_sarff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_addr_m[8]),
+	.Q(brqrv_top_brqrv_lsu_lsu_addr_r[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_sarff_dout_reg[21]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_addr_m[21]),
+	.Q(brqrv_top_brqrv_lsu_lsu_addr_r[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_sarff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_addr_m[7]),
+	.Q(brqrv_top_brqrv_lsu_lsu_addr_r[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_sarff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_addr_m[6]),
+	.Q(brqrv_top_brqrv_lsu_lsu_addr_r[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_sarff_dout_reg[29]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_addr_m[29]),
+	.Q(brqrv_top_brqrv_lsu_lsu_addr_r[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_sarff_dout_reg[26]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_addr_m[26]),
+	.Q(brqrv_top_brqrv_lsu_lsu_addr_r[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_sarff_dout_reg[20]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_addr_m[20]),
+	.Q(brqrv_top_brqrv_lsu_lsu_addr_r[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_sarff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_addr_m[5]),
+	.Q(brqrv_top_brqrv_lsu_lsu_addr_r[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_sarff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_addr_m[4]),
+	.Q(brqrv_top_brqrv_lsu_lsu_addr_r[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_sarff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_addr_m[18]),
+	.Q(brqrv_top_brqrv_lsu_lsu_addr_r[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_sarff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_addr_m[3]),
+	.Q(brqrv_top_brqrv_lsu_lsu_addr_r[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_sarff_dout_reg[25]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_addr_m[25]),
+	.Q(brqrv_top_brqrv_lsu_lsu_addr_r[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_sarff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_addr_m[17]),
+	.Q(brqrv_top_brqrv_lsu_lsu_addr_r[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_sarff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_addr_m[16]),
+	.Q(brqrv_top_brqrv_lsu_lsu_addr_r[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_sarff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_addr_m[0]),
+	.Q(brqrv_top_brqrv_lsu_lsu_addr_r[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_sarff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_addr_m[1]),
+	.Q(brqrv_top_brqrv_lsu_lsu_addr_r[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_sarff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_addr_m[2]),
+	.Q(brqrv_top_brqrv_lsu_lsu_addr_r[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_sarff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_addr_m[10]),
+	.Q(brqrv_top_brqrv_lsu_lsu_addr_r[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_sarff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_addr_m[11]),
+	.Q(brqrv_top_brqrv_lsu_lsu_addr_r[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_sarff_dout_reg[19]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_addr_m[19]),
+	.Q(brqrv_top_brqrv_lsu_lsu_addr_r[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_sdmff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_store_c1_m_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_m_in[14]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_pre_m[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_sdmff_dout_reg[30]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_store_c1_m_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_m_in[30]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_pre_m[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_sdmff_dout_reg[28]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_store_c1_m_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_m_in[28]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_pre_m[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_sdmff_dout_reg[24]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_store_c1_m_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_m_in[24]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_pre_m[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_sdmff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_store_c1_m_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_m_in[16]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_pre_m[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_sdmff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_store_c1_m_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_m_in[15]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_pre_m[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_sdmff_dout_reg[23]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_store_c1_m_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_m_in[23]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_pre_m[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_sdmff_dout_reg[31]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_store_c1_m_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_m_in[31]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_pre_m[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_sdmff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_store_c1_m_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_m_in[13]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_pre_m[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_sdmff_dout_reg[27]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_store_c1_m_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_m_in[27]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_pre_m[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_sdmff_dout_reg[22]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_store_c1_m_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_m_in[22]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_pre_m[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_sdmff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_store_c1_m_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_m_in[12]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_pre_m[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_sdmff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_store_c1_m_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_m_in[11]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_pre_m[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_sdmff_dout_reg[21]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_store_c1_m_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_m_in[21]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_pre_m[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_sdmff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_store_c1_m_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_m_in[10]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_pre_m[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_sdmff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_store_c1_m_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_m_in[0]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_pre_m[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_sdmff_dout_reg[29]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_store_c1_m_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_m_in[29]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_pre_m[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_sdmff_dout_reg[26]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_store_c1_m_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_m_in[26]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_pre_m[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_sdmff_dout_reg[20]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_store_c1_m_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_m_in[20]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_pre_m[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_sdmff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_store_c1_m_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_m_in[8]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_pre_m[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_sdmff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_store_c1_m_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_m_in[6]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_pre_m[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_sdmff_dout_reg[19]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_store_c1_m_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_m_in[19]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_pre_m[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_sdmff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_store_c1_m_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_m_in[5]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_pre_m[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_sdmff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_store_c1_m_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_m_in[4]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_pre_m[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_sdmff_dout_reg[25]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_store_c1_m_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_m_in[25]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_pre_m[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_sdmff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_store_c1_m_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_m_in[18]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_pre_m[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_sdmff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_store_c1_m_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_m_in[3]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_pre_m[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_sdmff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_store_c1_m_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_m_in[2]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_pre_m[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_sdmff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_store_c1_m_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_m_in[17]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_pre_m[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_sdmff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_store_c1_m_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_m_in[1]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_pre_m[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_sdmff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_store_c1_m_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_m_in[9]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_pre_m[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_sdmff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_store_c1_m_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_m_in[7]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_pre_m[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_addrff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_lsu_stbuf_stbuf_wr_en[0]),
+	.GCLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_addrff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_addrff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[11]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_n_616),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_addrff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[10]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_n_612),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_addrff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[2]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_n_580),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_addrff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[9]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_n_608),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_addrff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[3]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_n_584),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_addrff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[6]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_n_596),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_addrff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[5]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_n_592),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_addrff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[4]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_n_588),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_addrff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[7]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_n_600),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_addrff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[8]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_n_604),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_byteenff_genblk1.dffsc_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_stbuf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_byteenff_din_new [0]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_byteenff_genblk1.dffsc_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_stbuf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_byteenff_din_new [3]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_byteenff_genblk1.dffsc_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_stbuf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_byteenff_din_new [1]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_byteenff_genblk1.dffsc_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_stbuf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_byteenff_din_new [2]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_dataff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_lsu_stbuf_stbuf_wr_en[0]),
+	.GCLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_dataff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_dataff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[12]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_dataff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[1]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_dataff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[2]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_dataff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[3]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_dataff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[4]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_dataff_genblock.dff_dout_reg[27]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[27]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_dataff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[5]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_dataff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[6]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_dataff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[7]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_dataff_genblock.dff_dout_reg[23]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[23]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_dataff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[8]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_dataff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[9]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_dataff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[10]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_dataff_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[19]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_dataff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[11]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_dataff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[0]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_dataff_genblock.dff_dout_reg[31]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[31]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_dataff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[14]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_dataff_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[15]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_dataff_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[16]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_dataff_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[17]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_dataff_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[18]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_dataff_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[20]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_dataff_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[21]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_dataff_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[22]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_dataff_genblock.dff_dout_reg[24]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[24]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_dataff_genblock.dff_dout_reg[25]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[25]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_dataff_genblock.dff_dout_reg[26]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[26]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_dataff_genblock.dff_dout_reg[28]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[28]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_dataff_genblock.dff_dout_reg[29]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[29]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_dataff_genblock.dff_dout_reg[30]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[30]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_dataff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[13]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_killff_g35__1617  (
+	.A(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_killff_n_0 ),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_reset[0]),
+	.Y(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_killff_din_new [0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_killff_g36__2802  (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_dma_kill[0]),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_dma_kill_en[0]),
+	.Y(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_killff_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_killff_genblk1.dffsc_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_free_c2_clk),
+	.D(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_killff_din_new [0]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_dma_kill[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_vldff_g35__1705  (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_reset[0]),
+	.B(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_vldff_n_0 ),
+	.Y(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_vldff_din_new [0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_vldff_g36__5122  (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_vld[0]),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_wr_en[0]),
+	.Y(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_vldff_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_vldff_genblk1.dffsc_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_free_c2_clk),
+	.D(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_vldff_din_new [0]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_vld[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_addrff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_lsu_stbuf_stbuf_wr_en[1]),
+	.GCLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_addrff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_addrff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[23]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_addrff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[22]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_addrff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[14]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_addrff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[21]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_addrff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[15]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_addrff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[18]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_addrff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[17]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_addrff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[16]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_addrff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[19]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_addrff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[20]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_byteenff_genblk1.dffsc_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_stbuf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_byteenff_din_new [0]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_byteenff_genblk1.dffsc_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_stbuf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_byteenff_din_new [3]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_byteenff_genblk1.dffsc_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_stbuf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_byteenff_din_new [1]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_byteenff_genblk1.dffsc_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_stbuf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_byteenff_din_new [2]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_dataff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_lsu_stbuf_stbuf_wr_en[1]),
+	.GCLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_dataff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_dataff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[44]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[44]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_dataff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[33]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[33]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_dataff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[34]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[34]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_dataff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[35]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[35]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_dataff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[36]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[36]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_dataff_genblock.dff_dout_reg[27]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[59]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[59]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_dataff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[37]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[37]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_dataff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[38]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[38]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_dataff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[39]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[39]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_dataff_genblock.dff_dout_reg[23]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[55]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[55]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_dataff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[40]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[40]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_dataff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[41]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[41]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_dataff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[42]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[42]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_dataff_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[51]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[51]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_dataff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[43]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[43]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_dataff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[32]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[32]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_dataff_genblock.dff_dout_reg[31]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[63]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[63]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_dataff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[46]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[46]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_dataff_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[47]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[47]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_dataff_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[48]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[48]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_dataff_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[49]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[49]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_dataff_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[50]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[50]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_dataff_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[52]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[52]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_dataff_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[53]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[53]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_dataff_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[54]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[54]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_dataff_genblock.dff_dout_reg[24]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[56]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[56]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_dataff_genblock.dff_dout_reg[25]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[57]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[57]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_dataff_genblock.dff_dout_reg[26]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[58]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[58]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_dataff_genblock.dff_dout_reg[28]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[60]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[60]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_dataff_genblock.dff_dout_reg[29]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[61]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[61]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_dataff_genblock.dff_dout_reg[30]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[62]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[62]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_dataff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[45]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[45]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_killff_g35__8246  (
+	.A(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_killff_n_0 ),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_reset[1]),
+	.Y(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_killff_din_new [0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_killff_g36__7098  (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_dma_kill[1]),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_dma_kill_en[1]),
+	.Y(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_killff_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_killff_genblk1.dffsc_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_free_c2_clk),
+	.D(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_killff_din_new [0]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_dma_kill[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_vldff_g35__6131  (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_reset[1]),
+	.B(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_vldff_n_0 ),
+	.Y(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_vldff_din_new [0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_vldff_g36__1881  (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_vld[1]),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_wr_en[1]),
+	.Y(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_vldff_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_vldff_genblk1.dffsc_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_free_c2_clk),
+	.D(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_vldff_din_new [0]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_vld[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_addrff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_lsu_stbuf_stbuf_wr_en[2]),
+	.GCLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_addrff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_addrff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[35]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[35]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_addrff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[34]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[34]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_addrff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[26]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_addrff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[33]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[33]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_addrff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[27]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_addrff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[30]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_addrff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[29]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_addrff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[28]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_addrff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[31]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_addrff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[32]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[32]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_byteenff_genblk1.dffsc_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_stbuf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_byteenff_din_new [0]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_byteenff_genblk1.dffsc_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_stbuf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_byteenff_din_new [3]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_byteenff_genblk1.dffsc_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_stbuf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_byteenff_din_new [1]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_byteenff_genblk1.dffsc_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_stbuf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_byteenff_din_new [2]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_dataff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_lsu_stbuf_stbuf_wr_en[2]),
+	.GCLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_dataff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_dataff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[76]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[76]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_dataff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[65]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[65]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_dataff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[66]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[66]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_dataff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[67]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[67]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_dataff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[68]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[68]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_dataff_genblock.dff_dout_reg[27]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[91]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[91]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_dataff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[69]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[69]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_dataff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[70]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[70]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_dataff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[71]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[71]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_dataff_genblock.dff_dout_reg[23]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[87]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[87]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_dataff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[72]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[72]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_dataff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[73]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[73]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_dataff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[74]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[74]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_dataff_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[83]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[83]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_dataff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[75]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[75]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_dataff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[64]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[64]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_dataff_genblock.dff_dout_reg[31]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[95]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[95]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_dataff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[78]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[78]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_dataff_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[79]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[79]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_dataff_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[80]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[80]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_dataff_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[81]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[81]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_dataff_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[82]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[82]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_dataff_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[84]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[84]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_dataff_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[85]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[85]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_dataff_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[86]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[86]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_dataff_genblock.dff_dout_reg[24]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[88]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[88]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_dataff_genblock.dff_dout_reg[25]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[89]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[89]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_dataff_genblock.dff_dout_reg[26]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[90]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[90]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_dataff_genblock.dff_dout_reg[28]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[92]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[92]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_dataff_genblock.dff_dout_reg[29]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[93]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[93]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_dataff_genblock.dff_dout_reg[30]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[94]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[94]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_dataff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[77]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[77]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_killff_g35__5115  (
+	.A(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_killff_n_0 ),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_reset[2]),
+	.Y(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_killff_din_new [0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_killff_g36__7482  (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_dma_kill[2]),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_dma_kill_en[2]),
+	.Y(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_killff_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_killff_genblk1.dffsc_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_free_c2_clk),
+	.D(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_killff_din_new [0]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_dma_kill[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_vldff_g35__4733  (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_reset[2]),
+	.B(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_vldff_n_0 ),
+	.Y(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_vldff_din_new [0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_vldff_g36__6161  (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_vld[2]),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_wr_en[2]),
+	.Y(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_vldff_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_vldff_genblk1.dffsc_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_free_c2_clk),
+	.D(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_vldff_din_new [0]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_vld[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_addrff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_lsu_stbuf_stbuf_wr_en[3]),
+	.GCLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_addrff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_addrff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[47]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[47]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_addrff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[46]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[46]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_addrff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[38]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[38]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_addrff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[45]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[45]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_addrff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[39]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[39]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_addrff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[42]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[42]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_addrff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[41]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[41]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_addrff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[40]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[40]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_addrff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[43]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[43]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_addrff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[44]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[44]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_byteenff_genblk1.dffsc_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_stbuf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_byteenff_din_new [0]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_byteenff_genblk1.dffsc_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_stbuf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_byteenff_din_new [3]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_byteenff_genblk1.dffsc_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_stbuf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_byteenff_din_new [1]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_byteenff_genblk1.dffsc_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_stbuf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_byteenff_din_new [2]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_dataff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_lsu_stbuf_stbuf_wr_en[3]),
+	.GCLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_dataff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_dataff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[108]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[108]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_dataff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[97]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[97]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_dataff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[98]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[98]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_dataff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[99]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[99]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_dataff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[100]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[100]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_dataff_genblock.dff_dout_reg[27]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[123]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[123]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_dataff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[101]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[101]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_dataff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[102]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[102]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_dataff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[103]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[103]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_dataff_genblock.dff_dout_reg[23]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[119]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[119]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_dataff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[104]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[104]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_dataff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[105]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[105]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_dataff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[106]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[106]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_dataff_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[115]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[115]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_dataff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[107]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[107]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_dataff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[96]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[96]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_dataff_genblock.dff_dout_reg[31]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[127]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[127]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_dataff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[110]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[110]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_dataff_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[111]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[111]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_dataff_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[112]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[112]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_dataff_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[113]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[113]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_dataff_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[114]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[114]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_dataff_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[116]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[116]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_dataff_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[117]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[117]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_dataff_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[118]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[118]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_dataff_genblock.dff_dout_reg[24]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[120]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[120]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_dataff_genblock.dff_dout_reg[25]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[121]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[121]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_dataff_genblock.dff_dout_reg[26]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[122]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[122]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_dataff_genblock.dff_dout_reg[28]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[124]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[124]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_dataff_genblock.dff_dout_reg[29]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[125]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[125]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_dataff_genblock.dff_dout_reg[30]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[126]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[126]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_dataff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[109]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[109]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_killff_g35__9315  (
+	.A(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_killff_n_0 ),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_reset[3]),
+	.Y(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_killff_din_new [0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_killff_g36__9945  (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_dma_kill[3]),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_dma_kill_en[3]),
+	.Y(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_killff_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_killff_genblk1.dffsc_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_free_c2_clk),
+	.D(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_killff_din_new [0]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_dma_kill[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_vldff_g35__2883  (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_reset[3]),
+	.B(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_vldff_n_0 ),
+	.Y(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_vldff_din_new [0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_vldff_g36__2346  (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_vld[3]),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_wr_en[3]),
+	.Y(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_vldff_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_vldff_genblk1.dffsc_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_free_c2_clk),
+	.D(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_vldff_din_new [0]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_vld[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_RdPtrff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_stbuf_c1_clk),
+	.D(brqrv_top_brqrv_lsu_stbuf_RdPtrff_n_6),
+	.Q(brqrv_top_brqrv_lsu_stbuf_RdPtr[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_RdPtrff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_stbuf_c1_clk),
+	.D(brqrv_top_brqrv_lsu_stbuf_RdPtrff_n_7),
+	.Q(brqrv_top_brqrv_lsu_stbuf_RdPtr[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_WrPtrff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_stbuf_c1_clk),
+	.D(brqrv_top_brqrv_lsu_stbuf_WrPtrff_n_6),
+	.Q(n_38197),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_WrPtrff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_stbuf_c1_clk),
+	.D(brqrv_top_brqrv_lsu_stbuf_WrPtrff_n_7),
+	.Q(brqrv_top_brqrv_lsu_stbuf_WrPtr[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g7505__1666  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_95 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_108 ),
+	.C(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_111 ),
+	.D(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_113 ),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_trigger_data_match[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g7506__7410  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_29 ),
+	.A2(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_93 ),
+	.B1(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_92 ),
+	.C1(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_101 ),
+	.D1(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_112 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_113 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111oi_0 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g7507__6417  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_58 ),
+	.A2(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_65 ),
+	.B1(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_109 ),
+	.C1(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_110 ),
+	.D1(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_60 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_112 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g7508__5477  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_107 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_98 ),
+	.C(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_84 ),
+	.D(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_100 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_111 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g7509__2398  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_18 ),
+	.A2(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_103 ),
+	.B1(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_66 ),
+	.B2(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_17 ),
+	.C1(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_82 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_110 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g7510__5107  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_31 ),
+	.A2(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_89 ),
+	.B1(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_87 ),
+	.C1(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_106 ),
+	.D1(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_104 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_109 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g7511__6260  (
+	.A1(brqrv_top_brqrv_lsu_trigger_lsu_match_data[31]),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[31]),
+	.B1(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_12 ),
+	.C1(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_105 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_108 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g7512__4319  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_22 ),
+	.A2(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_74 ),
+	.B1(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_102 ),
+	.B2(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_36 ),
+	.C1(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_78 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_107 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g7513__8428  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_90 ),
+	.A2(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_40 ),
+	.B1(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_94 ),
+	.B2(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_34 ),
+	.C1(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_76 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_106 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g7514__5526  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_1 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_52 ),
+	.C(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_102 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_105 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g7515__6783  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_0 ),
+	.A2(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_42 ),
+	.B1(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_24 ),
+	.B2(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_69 ),
+	.C1(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_97 ),
+	.C2(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_43 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_104 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g7516__3680  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_15 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_57 ),
+	.C(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_99 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_103 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g7517__1617  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_91 ),
+	.A2(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_39 ),
+	.B1(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_88 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_101 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g7518__2802  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_57 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_97 ),
+	.X(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_102 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g7519__1705  (
+	.A1(brqrv_top_brqrv_trigger_pkt_any[30]),
+	.A2(brqrv_top_brqrv_lsu_trigger_lsu_match_data[30]),
+	.B1(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_10 ),
+	.C1(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_96 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_100 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g7520__5122  (
+	.A_N(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_97 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[26]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[23]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_99 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g7521__8246  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_53 ),
+	.A2(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_90 ),
+	.B1(brqrv_top_brqrv_trigger_pkt_any[22]),
+	.B2(brqrv_top_brqrv_lsu_trigger_lsu_match_data[22]),
+	.C1(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_3 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_98 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g7522__7098  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_89 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_64 ),
+	.C(brqrv_top_brqrv_trigger_pkt_any[28]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_96 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g7523__6131  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_83 ),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[28]),
+	.B1(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_30 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_95 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4bb_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g7524__1881  (
+	.A_N(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_91 ),
+	.B_N(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_13 ),
+	.C(brqrv_top_brqrv_trigger_pkt_any[11]),
+	.D(brqrv_top_brqrv_trigger_pkt_any[14]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_97 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g7525__5115  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_2 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_50 ),
+	.C(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_91 ),
+	.X(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_94 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4b_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g7526__7482  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_45 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_54 ),
+	.C(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_90 ),
+	.D_N(brqrv_top_brqrv_trigger_pkt_any[25]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_93 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g7527__4733  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_75 ),
+	.A2(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_23 ),
+	.B1(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_25 ),
+	.B2(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_81 ),
+	.C1(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_86 ),
+	.C2(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_26 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_92 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g7528__6161  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_85 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[10]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_91 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4b_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g7529__9315  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_7 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_6 ),
+	.C(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_86 ),
+	.D_N(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_46 ),
+	.X(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_90 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g7530__9945  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_80 ),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[8]),
+	.B1(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_27 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_88 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g7531__2883  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_19 ),
+	.A2(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_77 ),
+	.B1(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_28 ),
+	.B2(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_71 ),
+	.C1(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_79 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_87 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g7532__2346  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_7 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_13 ),
+	.C(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_86 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_89 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g7533  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_85 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_86 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g7534__1666  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_47 ),
+	.A2(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_75 ),
+	.B1(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_33 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_84 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g7535__7410  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_8 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_81 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_85 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g7536__6417  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_47 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_48 ),
+	.C(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_74 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_83 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g7537__5477  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_54 ),
+	.A2(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_74 ),
+	.B1(brqrv_top_brqrv_trigger_pkt_any[25]),
+	.B2(brqrv_top_brqrv_lsu_trigger_lsu_match_data[25]),
+	.C1(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_11 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_82 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g7538  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_81 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_80 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g7539__2398  (
+	.A_N(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_77 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[7]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_81 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g7540__5107  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_73 ),
+	.A2(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_37 ),
+	.B1(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_68 ),
+	.B2(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_16 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_79 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g7541__6260  (
+	.A1(brqrv_top_brqrv_lsu_trigger_lsu_match_data[20]),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[20]),
+	.B1(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_72 ),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[19]),
+	.C1(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_5 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_78 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g7542__4319  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_70 ),
+	.A2(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_38 ),
+	.B1(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_67 ),
+	.B2(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_41 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_76 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g7543__8428  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_73 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[6]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_77 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g7544__5526  (
+	.A_N(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_69 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_59 ),
+	.C(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_46 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_75 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g7545__6783  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_70 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_44 ),
+	.C(brqrv_top_brqrv_trigger_pkt_any[17]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_74 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g7546__3680  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_71 ),
+	.B_N(brqrv_top_brqrv_trigger_pkt_any[5]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_73 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g7547__1617  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_50 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_69 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_72 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g7548__2802  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_68 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[4]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_71 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g7549__1705  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_4 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_14 ),
+	.C(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_0 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_70 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g7550__5122  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_68 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_56 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_69 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g7551__8246  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_63 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_65 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_67 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g7552__7098  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_55 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_65 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_68 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g7553__6131  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_49 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_65 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_66 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g7556__1881  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_61 ),
+	.A2(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_63 ),
+	.B1(brqrv_top_brqrv_trigger_pkt_any[36]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_65 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4bb_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g7557__5115  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_14 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_47 ),
+	.C_N(brqrv_top_brqrv_trigger_pkt_any[29]),
+	.D_N(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_59 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_64 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g7558__7482  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_62 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_46 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_63 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g7559__4733  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_55 ),
+	.B_N(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_56 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_62 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g7560__6161  (
+	.A_N(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_52 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_59 ),
+	.C(brqrv_top_brqrv_trigger_pkt_any[31]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_61 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g7561__9315  (
+	.A1(brqrv_top_brqrv_trigger_pkt_any[0]),
+	.A2(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_51 ),
+	.B1(brqrv_top_brqrv_trigger_pkt_any[1]),
+	.B2(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_32 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_60 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g7562__9945  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_20 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_51 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_58 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g7563__2883  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_6 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_45 ),
+	.C(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_48 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_59 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4b_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g7564__2346  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_9 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_6 ),
+	.C(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_45 ),
+	.D_N(brqrv_top_brqrv_trigger_pkt_any[15]),
+	.X(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_57 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g7565__1666  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_7 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_8 ),
+	.C(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_35 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_56 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g7566__7410  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_44 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[21]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_53 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g7567__6417  (
+	.A_N(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_49 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[3]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_55 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g7568__5477  (
+	.A_N(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_48 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[24]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_54 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4b_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g7569__2398  (
+	.A_N(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_47 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[28]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[30]),
+	.D(brqrv_top_brqrv_trigger_pkt_any[29]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_52 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g7570__5107  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_21 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_32 ),
+	.X(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_51 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g7571__6260  (
+	.A_N(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_6 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_46 ),
+	.C(brqrv_top_brqrv_trigger_pkt_any[18]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_50 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g7572  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_45 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_44 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g7573__4319  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[15]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[15]),
+	.X(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_43 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g7574__8428  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[13]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[13]),
+	.X(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_42 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g7575__5526  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[16]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[16]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_41 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g7576__6783  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[18]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[18]),
+	.X(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_40 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g7577__3680  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_2 ),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[11]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_39 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g7578__1617  (
+	.A1_N(brqrv_top_brqrv_trigger_pkt_any[17]),
+	.A2_N(brqrv_top_brqrv_lsu_trigger_lsu_match_data[17]),
+	.B1(brqrv_top_brqrv_trigger_pkt_any[17]),
+	.B2(brqrv_top_brqrv_lsu_trigger_lsu_match_data[17]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_38 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g7579__2802  (
+	.A1_N(brqrv_top_brqrv_trigger_pkt_any[6]),
+	.A2_N(brqrv_top_brqrv_lsu_trigger_lsu_match_data[6]),
+	.B1(brqrv_top_brqrv_trigger_pkt_any[6]),
+	.B2(brqrv_top_brqrv_lsu_trigger_lsu_match_data[6]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_37 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g7580__1705  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_1 ),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[23]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_36 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g7581__5122  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[7]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[6]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[4]),
+	.D(brqrv_top_brqrv_trigger_pkt_any[5]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_35 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g7582__8246  (
+	.A1_N(brqrv_top_brqrv_trigger_pkt_any[19]),
+	.A2_N(brqrv_top_brqrv_lsu_trigger_lsu_match_data[19]),
+	.B1(brqrv_top_brqrv_trigger_pkt_any[19]),
+	.B2(brqrv_top_brqrv_lsu_trigger_lsu_match_data[19]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_34 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g7583__7098  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[28]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[28]),
+	.X(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_33 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g7584__6131  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[0]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[2]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[1]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_49 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g7585__1881  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_1 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_9 ),
+	.X(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_48 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g7586__5115  (
+	.A_N(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_15 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[27]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[26]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_47 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g7587__7482  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_14 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_13 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_46 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g7588__4733  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[19]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[20]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[18]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_45 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g7589__6161  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[14]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[14]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_31 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g7590__9315  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[29]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[29]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_30 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g7591__9945  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[26]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[26]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_29 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g7592__2883  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[5]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[5]),
+	.X(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_28 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g7593__2346  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[9]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[9]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_27 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g7594__1666  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[10]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[10]),
+	.X(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_26 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g7595__7410  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[8]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[8]),
+	.X(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_25 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g7596__6417  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[12]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[12]),
+	.X(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_24 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g7597__5477  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[24]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[24]),
+	.X(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_23 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g7598__2398  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[21]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[21]),
+	.X(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_22 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g7599__5107  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[1]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[1]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_21 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g7600__6260  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[0]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[0]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_20 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g7601__4319  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[7]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[7]),
+	.X(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_19 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g7602__8428  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[27]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[27]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_18 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g7603__5526  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[3]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[3]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_17 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g7604__6783  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[4]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[4]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_16 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g7605__3680  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[2]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[2]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_32 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g7606__1617  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[31]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[31]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_12 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g7607__2802  (
+	.A(brqrv_top_brqrv_lsu_trigger_lsu_match_data[25]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[25]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_11 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g7608__1705  (
+	.A(brqrv_top_brqrv_lsu_trigger_lsu_match_data[30]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[30]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_10 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g7609__5122  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[25]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[24]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_15 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g7610__8246  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[15]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[14]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_14 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g7611__7098  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[13]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[12]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_13 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g7612__6131  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[20]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[20]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_5 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g7613__1881  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[16]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[13]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g7614__5115  (
+	.A(brqrv_top_brqrv_lsu_trigger_lsu_match_data[22]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[22]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g7615__7482  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[22]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[21]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_9 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g7616__4733  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[9]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[8]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_8 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g7617__6161  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[11]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[10]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g7618  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[17]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[16]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g7619  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[11]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g7620  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[23]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_1 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g2  (
+	.A_N(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_65 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_62 ),
+	.C(brqrv_top_brqrv_trigger_pkt_any[12]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g7505  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_95 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_108 ),
+	.C(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_111 ),
+	.D(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_113 ),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_trigger_data_match[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g7506  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_29 ),
+	.A2(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_93 ),
+	.B1(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_92 ),
+	.C1(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_101 ),
+	.D1(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_112 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_113 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111oi_0 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g7507  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_58 ),
+	.A2(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_65 ),
+	.B1(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_109 ),
+	.C1(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_110 ),
+	.D1(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_60 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_112 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g7508  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_107 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_98 ),
+	.C(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_84 ),
+	.D(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_100 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_111 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g7509  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_18 ),
+	.A2(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_103 ),
+	.B1(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_66 ),
+	.B2(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_17 ),
+	.C1(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_82 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_110 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g7510  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_31 ),
+	.A2(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_89 ),
+	.B1(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_87 ),
+	.C1(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_106 ),
+	.D1(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_104 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_109 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g7511  (
+	.A1(brqrv_top_brqrv_lsu_trigger_lsu_match_data[63]),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[69]),
+	.B1(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_12 ),
+	.C1(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_105 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_108 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g7512  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_22 ),
+	.A2(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_74 ),
+	.B1(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_102 ),
+	.B2(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_36 ),
+	.C1(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_78 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_107 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g7513  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_90 ),
+	.A2(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_40 ),
+	.B1(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_94 ),
+	.B2(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_34 ),
+	.C1(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_76 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_106 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g7514  (
+	.A(FE_DBTN39_brqrv_top_brqrv_trigger_pkt_any_61),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_52 ),
+	.C(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_102 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_105 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g7515  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_0 ),
+	.A2(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_42 ),
+	.B1(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_24 ),
+	.B2(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_69 ),
+	.C1(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_97 ),
+	.C2(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_43 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_104 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g7516  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_15 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_57 ),
+	.C(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_99 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_103 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g7517  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_91 ),
+	.A2(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_39 ),
+	.B1(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_88 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_101 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g7518  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_57 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_97 ),
+	.X(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_102 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g7519  (
+	.A1(brqrv_top_brqrv_trigger_pkt_any[68]),
+	.A2(brqrv_top_brqrv_lsu_trigger_lsu_match_data[62]),
+	.B1(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_10 ),
+	.C1(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_96 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_100 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g7520  (
+	.A_N(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_97 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[64]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[61]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_99 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g7521  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_53 ),
+	.A2(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_90 ),
+	.B1(brqrv_top_brqrv_trigger_pkt_any[60]),
+	.B2(brqrv_top_brqrv_lsu_trigger_lsu_match_data[54]),
+	.C1(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_3 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_98 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g7522  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_89 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_64 ),
+	.C(brqrv_top_brqrv_trigger_pkt_any[66]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_96 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g7523  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_83 ),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[66]),
+	.B1(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_30 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_95 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4bb_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g7524  (
+	.A_N(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_91 ),
+	.B_N(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_13 ),
+	.C(brqrv_top_brqrv_trigger_pkt_any[49]),
+	.D(brqrv_top_brqrv_trigger_pkt_any[52]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_97 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g7525  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_2 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_50 ),
+	.C(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_91 ),
+	.X(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_94 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4b_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g7526  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_45 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_54 ),
+	.C(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_90 ),
+	.D_N(brqrv_top_brqrv_trigger_pkt_any[63]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_93 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g7527  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_75 ),
+	.A2(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_23 ),
+	.B1(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_25 ),
+	.B2(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_81 ),
+	.C1(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_86 ),
+	.C2(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_26 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_92 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g7528  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_85 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[48]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_91 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4b_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g7529  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_7 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_6 ),
+	.C(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_86 ),
+	.D_N(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_46 ),
+	.X(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_90 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g7530  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_80 ),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[46]),
+	.B1(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_27 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_88 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g7531  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_19 ),
+	.A2(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_77 ),
+	.B1(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_28 ),
+	.B2(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_71 ),
+	.C1(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_79 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_87 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g7532  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_7 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_13 ),
+	.C(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_86 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_89 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g7533  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_85 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_86 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g7534  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_47 ),
+	.A2(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_75 ),
+	.B1(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_33 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_84 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g7535  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_8 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_81 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_85 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g7536  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_47 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_48 ),
+	.C(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_74 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_83 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g7537  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_54 ),
+	.A2(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_74 ),
+	.B1(brqrv_top_brqrv_trigger_pkt_any[63]),
+	.B2(brqrv_top_brqrv_lsu_trigger_lsu_match_data[57]),
+	.C1(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_11 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_82 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g7538  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_81 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_80 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g7539  (
+	.A_N(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_77 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[45]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_81 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g7540  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_73 ),
+	.A2(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_37 ),
+	.B1(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_68 ),
+	.B2(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_16 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_79 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g7541  (
+	.A1(brqrv_top_brqrv_lsu_trigger_lsu_match_data[52]),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[58]),
+	.B1(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_72 ),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[57]),
+	.C1(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_5 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_78 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g7542  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_70 ),
+	.A2(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_38 ),
+	.B1(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_67 ),
+	.B2(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_41 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_76 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g7543  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_73 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[44]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_77 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g7544  (
+	.A_N(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_69 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_59 ),
+	.C(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_46 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_75 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g7545  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_70 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_44 ),
+	.C(brqrv_top_brqrv_trigger_pkt_any[55]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_74 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g7546  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_71 ),
+	.B_N(brqrv_top_brqrv_trigger_pkt_any[43]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_73 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g7547  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_50 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_69 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_72 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g7548  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_68 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[42]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_71 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g7549  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_4 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_14 ),
+	.C(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_0 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_70 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g7550  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_68 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_56 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_69 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g7551  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_63 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_65 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_67 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g7552  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_55 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_65 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_68 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g7553  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_49 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_65 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_66 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g7556  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_61 ),
+	.A2(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_63 ),
+	.B1(brqrv_top_brqrv_trigger_pkt_any[74]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_65 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4bb_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g7557  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_14 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_47 ),
+	.C_N(brqrv_top_brqrv_trigger_pkt_any[67]),
+	.D_N(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_59 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_64 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g7558  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_62 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_46 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_63 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g7559  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_55 ),
+	.B_N(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_56 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_62 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g7560  (
+	.A_N(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_52 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_59 ),
+	.C(brqrv_top_brqrv_trigger_pkt_any[69]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_61 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g7561  (
+	.A1(brqrv_top_brqrv_trigger_pkt_any[38]),
+	.A2(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_51 ),
+	.B1(brqrv_top_brqrv_trigger_pkt_any[39]),
+	.B2(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_32 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_60 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g7562  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_20 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_51 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_58 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g7563  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_6 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_45 ),
+	.C(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_48 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_59 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4b_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g7564  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_9 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_6 ),
+	.C(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_45 ),
+	.D_N(brqrv_top_brqrv_trigger_pkt_any[53]),
+	.X(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_57 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g7565  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_7 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_8 ),
+	.C(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_35 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_56 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g7566  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_44 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[59]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_53 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g7567  (
+	.A_N(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_49 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[41]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_55 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g7568  (
+	.A_N(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_48 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[62]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_54 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4b_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g7569  (
+	.A_N(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_47 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[66]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[68]),
+	.D(brqrv_top_brqrv_trigger_pkt_any[67]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_52 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g7570  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_21 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_32 ),
+	.X(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_51 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g7571  (
+	.A_N(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_6 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_46 ),
+	.C(brqrv_top_brqrv_trigger_pkt_any[56]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_50 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g7572  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_45 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_44 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g7573  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[53]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[47]),
+	.X(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_43 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g7574  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[51]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[45]),
+	.X(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_42 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g7575  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[54]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[48]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_41 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g7576  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[56]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[50]),
+	.X(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_40 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g7577  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_2 ),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[43]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_39 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g7578  (
+	.A1_N(brqrv_top_brqrv_trigger_pkt_any[55]),
+	.A2_N(brqrv_top_brqrv_lsu_trigger_lsu_match_data[49]),
+	.B1(brqrv_top_brqrv_trigger_pkt_any[55]),
+	.B2(brqrv_top_brqrv_lsu_trigger_lsu_match_data[49]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_38 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g7579  (
+	.A1_N(brqrv_top_brqrv_trigger_pkt_any[44]),
+	.A2_N(brqrv_top_brqrv_lsu_trigger_lsu_match_data[38]),
+	.B1(brqrv_top_brqrv_trigger_pkt_any[44]),
+	.B2(brqrv_top_brqrv_lsu_trigger_lsu_match_data[38]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_37 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g7580  (
+	.A(FE_DBTN39_brqrv_top_brqrv_trigger_pkt_any_61),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[55]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_36 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g7581  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[45]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[44]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[42]),
+	.D(brqrv_top_brqrv_trigger_pkt_any[43]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_35 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g7582  (
+	.A1_N(brqrv_top_brqrv_trigger_pkt_any[57]),
+	.A2_N(brqrv_top_brqrv_lsu_trigger_lsu_match_data[51]),
+	.B1(brqrv_top_brqrv_trigger_pkt_any[57]),
+	.B2(brqrv_top_brqrv_lsu_trigger_lsu_match_data[51]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_34 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g7583  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[66]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[60]),
+	.X(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_33 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g7584  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[38]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[40]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[39]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_49 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g7585  (
+	.A(FE_DBTN39_brqrv_top_brqrv_trigger_pkt_any_61),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_9 ),
+	.X(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_48 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g7586  (
+	.A_N(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_15 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[65]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[64]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_47 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g7587  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_14 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_13 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_46 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g7588  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[57]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[58]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[56]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_45 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g7589  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[52]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[46]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_31 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g7590  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[67]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[61]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_30 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g7591  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[64]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[58]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_29 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g7592  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[43]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[37]),
+	.X(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_28 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g7593  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[47]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[41]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_27 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g7594  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[48]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[42]),
+	.X(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_26 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g7595  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[46]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[40]),
+	.X(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_25 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g7596  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[50]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[44]),
+	.X(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_24 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g7597  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[62]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[56]),
+	.X(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_23 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g7598  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[59]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[53]),
+	.X(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_22 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g7599  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[39]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[33]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_21 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g7600  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[38]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[32]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_20 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g7601  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[45]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[39]),
+	.X(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_19 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g7602  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[65]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[59]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_18 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g7603  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[41]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[35]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_17 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g7604  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[42]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[36]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_16 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g7605  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[40]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[34]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_32 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g7606  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[69]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[63]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_12 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g7607  (
+	.A(brqrv_top_brqrv_lsu_trigger_lsu_match_data[57]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[63]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_11 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g7608  (
+	.A(brqrv_top_brqrv_lsu_trigger_lsu_match_data[62]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[68]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_10 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g7609  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[63]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[62]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_15 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g7610  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[53]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[52]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_14 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g7611  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[51]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[50]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_13 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g7612  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[58]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[52]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_5 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g7613  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[54]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[51]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g7614  (
+	.A(brqrv_top_brqrv_lsu_trigger_lsu_match_data[54]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[60]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g7615  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[60]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[59]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_9 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g7616  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[47]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[46]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_8 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g7617  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[49]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[48]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g7618  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[55]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[54]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g7619  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[49]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g2  (
+	.A_N(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_65 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_62 ),
+	.C(brqrv_top_brqrv_trigger_pkt_any[50]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g7505  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_95 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_108 ),
+	.C(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_111 ),
+	.D(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_113 ),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_trigger_data_match[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g7506  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_29 ),
+	.A2(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_93 ),
+	.B1(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_92 ),
+	.C1(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_101 ),
+	.D1(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_112 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_113 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111oi_0 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g7507  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_58 ),
+	.A2(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_65 ),
+	.B1(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_109 ),
+	.C1(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_110 ),
+	.D1(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_60 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_112 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g7508  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_107 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_98 ),
+	.C(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_84 ),
+	.D(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_100 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_111 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g7509  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_18 ),
+	.A2(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_103 ),
+	.B1(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_66 ),
+	.B2(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_17 ),
+	.C1(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_82 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_110 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g7510  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_31 ),
+	.A2(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_89 ),
+	.B1(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_87 ),
+	.C1(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_106 ),
+	.D1(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_104 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_109 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g7511  (
+	.A1(brqrv_top_brqrv_lsu_trigger_lsu_match_data[95]),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[107]),
+	.B1(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_12 ),
+	.C1(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_105 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_108 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g7512  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_22 ),
+	.A2(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_74 ),
+	.B1(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_102 ),
+	.B2(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_36 ),
+	.C1(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_78 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_107 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g7513  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_90 ),
+	.A2(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_40 ),
+	.B1(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_94 ),
+	.B2(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_34 ),
+	.C1(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_76 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_106 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g7514  (
+	.A(FE_DBTN40_brqrv_top_brqrv_trigger_pkt_any_99),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_52 ),
+	.C(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_102 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_105 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g7515  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_0 ),
+	.A2(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_42 ),
+	.B1(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_24 ),
+	.B2(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_69 ),
+	.C1(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_97 ),
+	.C2(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_43 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_104 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g7516  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_15 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_57 ),
+	.C(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_99 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_103 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g7517  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_91 ),
+	.A2(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_39 ),
+	.B1(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_88 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_101 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g7518  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_57 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_97 ),
+	.X(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_102 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g7519  (
+	.A1(brqrv_top_brqrv_trigger_pkt_any[106]),
+	.A2(brqrv_top_brqrv_lsu_trigger_lsu_match_data[94]),
+	.B1(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_10 ),
+	.C1(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_96 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_100 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g7520  (
+	.A_N(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_97 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[102]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[99]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_99 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g7521  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_53 ),
+	.A2(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_90 ),
+	.B1(brqrv_top_brqrv_trigger_pkt_any[98]),
+	.B2(brqrv_top_brqrv_lsu_trigger_lsu_match_data[86]),
+	.C1(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_3 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_98 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g7522  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_89 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_64 ),
+	.C(brqrv_top_brqrv_trigger_pkt_any[104]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_96 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g7523  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_83 ),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[104]),
+	.B1(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_30 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_95 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4bb_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g7524  (
+	.A_N(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_91 ),
+	.B_N(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_13 ),
+	.C(brqrv_top_brqrv_trigger_pkt_any[87]),
+	.D(brqrv_top_brqrv_trigger_pkt_any[90]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_97 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g7525  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_2 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_50 ),
+	.C(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_91 ),
+	.X(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_94 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4b_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g7526  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_45 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_54 ),
+	.C(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_90 ),
+	.D_N(brqrv_top_brqrv_trigger_pkt_any[101]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_93 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g7527  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_75 ),
+	.A2(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_23 ),
+	.B1(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_25 ),
+	.B2(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_81 ),
+	.C1(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_86 ),
+	.C2(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_26 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_92 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g7528  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_85 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[86]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_91 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4b_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g7529  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_7 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_6 ),
+	.C(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_86 ),
+	.D_N(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_46 ),
+	.X(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_90 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g7530  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_80 ),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[84]),
+	.B1(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_27 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_88 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g7531  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_19 ),
+	.A2(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_77 ),
+	.B1(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_28 ),
+	.B2(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_71 ),
+	.C1(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_79 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_87 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g7532  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_7 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_13 ),
+	.C(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_86 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_89 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g7533  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_85 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_86 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g7534  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_47 ),
+	.A2(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_75 ),
+	.B1(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_33 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_84 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g7535  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_8 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_81 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_85 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g7536  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_47 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_48 ),
+	.C(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_74 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_83 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g7537  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_54 ),
+	.A2(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_74 ),
+	.B1(brqrv_top_brqrv_trigger_pkt_any[101]),
+	.B2(brqrv_top_brqrv_lsu_trigger_lsu_match_data[89]),
+	.C1(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_11 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_82 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g7538  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_81 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_80 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g7539  (
+	.A_N(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_77 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[83]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_81 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g7540  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_73 ),
+	.A2(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_37 ),
+	.B1(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_68 ),
+	.B2(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_16 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_79 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g7541  (
+	.A1(brqrv_top_brqrv_lsu_trigger_lsu_match_data[84]),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[96]),
+	.B1(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_72 ),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[95]),
+	.C1(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_5 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_78 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g7542  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_70 ),
+	.A2(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_38 ),
+	.B1(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_67 ),
+	.B2(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_41 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_76 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g7543  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_73 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[82]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_77 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g7544  (
+	.A_N(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_69 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_59 ),
+	.C(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_46 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_75 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g7545  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_70 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_44 ),
+	.C(brqrv_top_brqrv_trigger_pkt_any[93]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_74 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g7546  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_71 ),
+	.B_N(brqrv_top_brqrv_trigger_pkt_any[81]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_73 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g7547  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_50 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_69 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_72 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g7548  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_68 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[80]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_71 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g7549  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_4 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_14 ),
+	.C(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_0 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_70 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g7550  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_68 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_56 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_69 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g7551  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_63 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_65 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_67 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g7552  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_55 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_65 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_68 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g7553  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_49 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_65 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_66 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g7556  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_61 ),
+	.A2(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_63 ),
+	.B1(brqrv_top_brqrv_trigger_pkt_any[112]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_65 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4bb_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g7557  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_14 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_47 ),
+	.C_N(brqrv_top_brqrv_trigger_pkt_any[105]),
+	.D_N(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_59 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_64 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g7558  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_62 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_46 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_63 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g7559  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_55 ),
+	.B_N(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_56 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_62 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g7560  (
+	.A_N(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_52 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_59 ),
+	.C(brqrv_top_brqrv_trigger_pkt_any[107]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_61 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g7561  (
+	.A1(brqrv_top_brqrv_trigger_pkt_any[76]),
+	.A2(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_51 ),
+	.B1(brqrv_top_brqrv_trigger_pkt_any[77]),
+	.B2(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_32 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_60 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g7562  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_20 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_51 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_58 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g7563  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_6 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_45 ),
+	.C(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_48 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_59 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4b_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g7564  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_9 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_6 ),
+	.C(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_45 ),
+	.D_N(brqrv_top_brqrv_trigger_pkt_any[91]),
+	.X(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_57 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g7565  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_7 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_8 ),
+	.C(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_35 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_56 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g7566  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_44 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[97]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_53 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g7567  (
+	.A_N(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_49 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[79]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_55 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g7568  (
+	.A_N(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_48 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[100]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_54 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4b_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g7569  (
+	.A_N(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_47 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[104]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[106]),
+	.D(brqrv_top_brqrv_trigger_pkt_any[105]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_52 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g7570  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_21 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_32 ),
+	.X(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_51 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g7571  (
+	.A_N(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_6 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_46 ),
+	.C(brqrv_top_brqrv_trigger_pkt_any[94]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_50 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g7572  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_45 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_44 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g7573  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[91]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[79]),
+	.X(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_43 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g7574  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[89]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[77]),
+	.X(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_42 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g7575  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[92]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[80]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_41 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g7576  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[94]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[82]),
+	.X(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_40 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g7577  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_2 ),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[75]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_39 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g7578  (
+	.A1_N(brqrv_top_brqrv_trigger_pkt_any[93]),
+	.A2_N(brqrv_top_brqrv_lsu_trigger_lsu_match_data[81]),
+	.B1(brqrv_top_brqrv_trigger_pkt_any[93]),
+	.B2(brqrv_top_brqrv_lsu_trigger_lsu_match_data[81]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_38 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g7579  (
+	.A1_N(brqrv_top_brqrv_trigger_pkt_any[82]),
+	.A2_N(brqrv_top_brqrv_lsu_trigger_lsu_match_data[70]),
+	.B1(brqrv_top_brqrv_trigger_pkt_any[82]),
+	.B2(brqrv_top_brqrv_lsu_trigger_lsu_match_data[70]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_37 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g7580  (
+	.A(FE_DBTN40_brqrv_top_brqrv_trigger_pkt_any_99),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[87]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_36 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g7581  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[83]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[82]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[80]),
+	.D(brqrv_top_brqrv_trigger_pkt_any[81]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_35 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g7582  (
+	.A1_N(brqrv_top_brqrv_trigger_pkt_any[95]),
+	.A2_N(brqrv_top_brqrv_lsu_trigger_lsu_match_data[83]),
+	.B1(brqrv_top_brqrv_trigger_pkt_any[95]),
+	.B2(brqrv_top_brqrv_lsu_trigger_lsu_match_data[83]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_34 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g7583  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[104]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[92]),
+	.X(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_33 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g7584  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[76]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[78]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[77]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_49 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g7585  (
+	.A(FE_DBTN40_brqrv_top_brqrv_trigger_pkt_any_99),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_9 ),
+	.X(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_48 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g7586  (
+	.A_N(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_15 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[103]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[102]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_47 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g7587  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_14 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_13 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_46 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g7588  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[95]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[96]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[94]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_45 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g7589  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[90]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[78]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_31 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g7590  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[105]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[93]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_30 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g7591  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[102]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[90]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_29 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g7592  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[81]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[69]),
+	.X(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_28 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g7593  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[85]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[73]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_27 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g7594  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[86]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[74]),
+	.X(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_26 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g7595  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[84]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[72]),
+	.X(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_25 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g7596  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[88]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[76]),
+	.X(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_24 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g7597  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[100]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[88]),
+	.X(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_23 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g7598  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[97]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[85]),
+	.X(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_22 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g7599  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[77]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[65]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_21 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g7600  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[76]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[64]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_20 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g7601  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[83]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[71]),
+	.X(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_19 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g7602  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[103]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[91]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_18 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g7603  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[79]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[67]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_17 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g7604  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[80]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[68]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_16 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g7605  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[78]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[66]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_32 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g7606  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[107]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[95]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_12 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g7607  (
+	.A(brqrv_top_brqrv_lsu_trigger_lsu_match_data[89]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[101]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_11 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g7608  (
+	.A(brqrv_top_brqrv_lsu_trigger_lsu_match_data[94]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[106]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_10 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g7609  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[101]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[100]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_15 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g7610  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[91]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[90]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_14 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g7611  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[89]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[88]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_13 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g7612  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[96]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[84]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_5 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g7613  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[92]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[89]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g7614  (
+	.A(brqrv_top_brqrv_lsu_trigger_lsu_match_data[86]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[98]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g7615  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[98]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[97]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_9 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g7616  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[85]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[84]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_8 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g7617  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[87]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[86]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g7618  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[93]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[92]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g7619  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[87]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g2  (
+	.A_N(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_65 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_62 ),
+	.C(brqrv_top_brqrv_trigger_pkt_any[88]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g7505  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_95 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_108 ),
+	.C(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_111 ),
+	.D(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_113 ),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_trigger_data_match[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g7506  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_29 ),
+	.A2(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_93 ),
+	.B1(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_92 ),
+	.C1(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_101 ),
+	.D1(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_112 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_113 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111oi_0 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g7507  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_58 ),
+	.A2(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_65 ),
+	.B1(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_109 ),
+	.C1(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_110 ),
+	.D1(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_60 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_112 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g7508  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_107 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_98 ),
+	.C(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_84 ),
+	.D(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_100 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_111 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g7509  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_18 ),
+	.A2(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_103 ),
+	.B1(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_66 ),
+	.B2(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_17 ),
+	.C1(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_82 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_110 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g7510  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_31 ),
+	.A2(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_89 ),
+	.B1(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_87 ),
+	.C1(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_106 ),
+	.D1(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_104 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_109 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g7511  (
+	.A1(brqrv_top_brqrv_lsu_trigger_lsu_match_data[127]),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[145]),
+	.B1(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_12 ),
+	.C1(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_105 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_108 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g7512  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_22 ),
+	.A2(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_74 ),
+	.B1(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_102 ),
+	.B2(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_36 ),
+	.C1(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_78 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_107 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g7513  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_90 ),
+	.A2(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_40 ),
+	.B1(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_94 ),
+	.B2(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_34 ),
+	.C1(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_76 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_106 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g7514  (
+	.A(FE_DBTN41_brqrv_top_brqrv_trigger_pkt_any_137),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_52 ),
+	.C(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_102 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_105 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g7515  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_0 ),
+	.A2(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_42 ),
+	.B1(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_24 ),
+	.B2(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_69 ),
+	.C1(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_97 ),
+	.C2(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_43 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_104 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g7516  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_15 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_57 ),
+	.C(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_99 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_103 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g7517  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_91 ),
+	.A2(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_39 ),
+	.B1(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_88 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_101 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g7518  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_57 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_97 ),
+	.X(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_102 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g7519  (
+	.A1(brqrv_top_brqrv_trigger_pkt_any[144]),
+	.A2(brqrv_top_brqrv_lsu_trigger_lsu_match_data[126]),
+	.B1(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_10 ),
+	.C1(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_96 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_100 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g7520  (
+	.A_N(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_97 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[140]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[137]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_99 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g7521  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_53 ),
+	.A2(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_90 ),
+	.B1(brqrv_top_brqrv_trigger_pkt_any[136]),
+	.B2(brqrv_top_brqrv_lsu_trigger_lsu_match_data[118]),
+	.C1(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_3 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_98 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g7522  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_89 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_64 ),
+	.C(brqrv_top_brqrv_trigger_pkt_any[142]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_96 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g7523  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_83 ),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[142]),
+	.B1(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_30 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_95 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4bb_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g7524  (
+	.A_N(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_91 ),
+	.B_N(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_13 ),
+	.C(brqrv_top_brqrv_trigger_pkt_any[125]),
+	.D(brqrv_top_brqrv_trigger_pkt_any[128]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_97 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g7525  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_2 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_50 ),
+	.C(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_91 ),
+	.X(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_94 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4b_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g7526  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_45 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_54 ),
+	.C(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_90 ),
+	.D_N(brqrv_top_brqrv_trigger_pkt_any[139]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_93 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g7527  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_75 ),
+	.A2(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_23 ),
+	.B1(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_25 ),
+	.B2(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_81 ),
+	.C1(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_86 ),
+	.C2(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_26 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_92 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g7528  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_85 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[124]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_91 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4b_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g7529  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_7 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_6 ),
+	.C(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_86 ),
+	.D_N(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_46 ),
+	.X(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_90 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g7530  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_80 ),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[122]),
+	.B1(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_27 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_88 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g7531  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_19 ),
+	.A2(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_77 ),
+	.B1(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_28 ),
+	.B2(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_71 ),
+	.C1(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_79 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_87 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g7532  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_7 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_13 ),
+	.C(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_86 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_89 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g7533  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_85 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_86 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g7534  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_47 ),
+	.A2(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_75 ),
+	.B1(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_33 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_84 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g7535  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_8 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_81 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_85 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g7536  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_47 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_48 ),
+	.C(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_74 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_83 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g7537  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_54 ),
+	.A2(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_74 ),
+	.B1(brqrv_top_brqrv_trigger_pkt_any[139]),
+	.B2(brqrv_top_brqrv_lsu_trigger_lsu_match_data[121]),
+	.C1(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_11 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_82 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g7538  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_81 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_80 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g7539  (
+	.A_N(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_77 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[121]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_81 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g7540  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_73 ),
+	.A2(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_37 ),
+	.B1(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_68 ),
+	.B2(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_16 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_79 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g7541  (
+	.A1(brqrv_top_brqrv_lsu_trigger_lsu_match_data[116]),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[134]),
+	.B1(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_72 ),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[133]),
+	.C1(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_5 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_78 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g7542  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_70 ),
+	.A2(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_38 ),
+	.B1(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_67 ),
+	.B2(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_41 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_76 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g7543  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_73 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[120]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_77 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g7544  (
+	.A_N(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_69 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_59 ),
+	.C(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_46 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_75 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g7545  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_70 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_44 ),
+	.C(brqrv_top_brqrv_trigger_pkt_any[131]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_74 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g7546  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_71 ),
+	.B_N(brqrv_top_brqrv_trigger_pkt_any[119]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_73 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g7547  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_50 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_69 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_72 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g7548  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_68 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[118]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_71 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g7549  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_4 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_14 ),
+	.C(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_0 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_70 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g7550  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_68 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_56 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_69 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g7551  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_63 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_65 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_67 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g7552  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_55 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_65 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_68 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g7553  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_49 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_65 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_66 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g7556  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_61 ),
+	.A2(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_63 ),
+	.B1(brqrv_top_brqrv_trigger_pkt_any[150]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_65 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4bb_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g7557  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_14 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_47 ),
+	.C_N(brqrv_top_brqrv_trigger_pkt_any[143]),
+	.D_N(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_59 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_64 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g7558  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_62 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_46 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_63 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g7559  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_55 ),
+	.B_N(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_56 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_62 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g7560  (
+	.A_N(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_52 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_59 ),
+	.C(brqrv_top_brqrv_trigger_pkt_any[145]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_61 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g7561  (
+	.A1(brqrv_top_brqrv_trigger_pkt_any[114]),
+	.A2(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_51 ),
+	.B1(brqrv_top_brqrv_trigger_pkt_any[115]),
+	.B2(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_32 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_60 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g7562  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_20 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_51 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_58 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g7563  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_6 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_45 ),
+	.C(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_48 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_59 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4b_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g7564  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_9 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_6 ),
+	.C(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_45 ),
+	.D_N(brqrv_top_brqrv_trigger_pkt_any[129]),
+	.X(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_57 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g7565  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_7 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_8 ),
+	.C(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_35 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_56 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g7566  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_44 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[135]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_53 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g7567  (
+	.A_N(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_49 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[117]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_55 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g7568  (
+	.A_N(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_48 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[138]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_54 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4b_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g7569  (
+	.A_N(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_47 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[142]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[144]),
+	.D(brqrv_top_brqrv_trigger_pkt_any[143]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_52 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g7570  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_21 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_32 ),
+	.X(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_51 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g7571  (
+	.A_N(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_6 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_46 ),
+	.C(brqrv_top_brqrv_trigger_pkt_any[132]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_50 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g7572  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_45 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_44 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g7573  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[129]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[111]),
+	.X(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_43 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g7574  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[127]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[109]),
+	.X(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_42 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g7575  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[130]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[112]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_41 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g7576  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[132]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[114]),
+	.X(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_40 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g7577  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_2 ),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[107]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_39 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g7578  (
+	.A1_N(brqrv_top_brqrv_trigger_pkt_any[131]),
+	.A2_N(brqrv_top_brqrv_lsu_trigger_lsu_match_data[113]),
+	.B1(brqrv_top_brqrv_trigger_pkt_any[131]),
+	.B2(brqrv_top_brqrv_lsu_trigger_lsu_match_data[113]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_38 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g7579  (
+	.A1_N(brqrv_top_brqrv_trigger_pkt_any[120]),
+	.A2_N(brqrv_top_brqrv_lsu_trigger_lsu_match_data[102]),
+	.B1(brqrv_top_brqrv_trigger_pkt_any[120]),
+	.B2(brqrv_top_brqrv_lsu_trigger_lsu_match_data[102]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_37 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g7580  (
+	.A(FE_DBTN41_brqrv_top_brqrv_trigger_pkt_any_137),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[119]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_36 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g7581  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[121]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[120]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[118]),
+	.D(brqrv_top_brqrv_trigger_pkt_any[119]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_35 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g7582  (
+	.A1_N(brqrv_top_brqrv_trigger_pkt_any[133]),
+	.A2_N(brqrv_top_brqrv_lsu_trigger_lsu_match_data[115]),
+	.B1(brqrv_top_brqrv_trigger_pkt_any[133]),
+	.B2(brqrv_top_brqrv_lsu_trigger_lsu_match_data[115]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_34 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g7583  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[142]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[124]),
+	.X(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_33 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g7584  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[114]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[116]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[115]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_49 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g7585  (
+	.A(FE_DBTN41_brqrv_top_brqrv_trigger_pkt_any_137),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_9 ),
+	.X(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_48 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g7586  (
+	.A_N(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_15 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[141]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[140]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_47 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g7587  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_14 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_13 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_46 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g7588  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[133]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[134]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[132]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_45 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g7589  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[128]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[110]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_31 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g7590  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[143]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[125]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_30 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g7591  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[140]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[122]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_29 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g7592  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[119]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[101]),
+	.X(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_28 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g7593  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[123]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[105]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_27 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g7594  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[124]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[106]),
+	.X(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_26 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g7595  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[122]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[104]),
+	.X(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_25 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g7596  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[126]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[108]),
+	.X(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_24 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g7597  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[138]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[120]),
+	.X(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_23 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g7598  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[135]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[117]),
+	.X(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_22 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g7599  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[115]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[97]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_21 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g7600  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[114]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[96]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_20 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g7601  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[121]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[103]),
+	.X(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_19 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g7602  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[141]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[123]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_18 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g7603  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[117]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[99]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_17 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g7604  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[118]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[100]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_16 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g7605  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[116]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[98]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_32 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g7606  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[145]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[127]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_12 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g7607  (
+	.A(brqrv_top_brqrv_lsu_trigger_lsu_match_data[121]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[139]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_11 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g7608  (
+	.A(brqrv_top_brqrv_lsu_trigger_lsu_match_data[126]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[144]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_10 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g7609  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[139]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[138]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_15 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g7610  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[129]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[128]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_14 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g7611  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[127]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[126]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_13 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g7612  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[134]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[116]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_5 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g7613  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[130]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[127]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g7614  (
+	.A(brqrv_top_brqrv_lsu_trigger_lsu_match_data[118]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[136]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g7615  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[136]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[135]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_9 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g7616  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[123]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[122]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_8 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g7617  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[125]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[124]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g7618  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[131]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[130]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g7619  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[125]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g2  (
+	.A_N(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_65 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_62 ),
+	.C(brqrv_top_brqrv_trigger_pkt_any[126]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_pic_ctrl_inst_IO_CLK_GRP[0].CLK_GRPS.intenable_c1_cgc_clkhdr  (
+	.CLK(brqrv_top_brqrv_n_7),
+	.GATE(brqrv_top_brqrv_pic_ctrl_inst_intenable_clk_enable_grp[0]),
+	.GCLK(brqrv_top_brqrv_pic_ctrl_inst_gw_clk[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_pic_ctrl_inst_IO_CLK_GRP[1].CLK_GRPS.intenable_c1_cgc_clkhdr  (
+	.CLK(brqrv_top_brqrv_n_7),
+	.GATE(brqrv_top_brqrv_pic_ctrl_inst_intenable_clk_enable_grp[1]),
+	.GCLK(brqrv_top_brqrv_pic_ctrl_inst_gw_clk[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_pic_ctrl_inst_IO_CLK_GRP[2].CLK_GRPS.intenable_c1_cgc_clkhdr  (
+	.CLK(brqrv_top_brqrv_n_7),
+	.GATE(brqrv_top_brqrv_pic_ctrl_inst_intenable_clk_enable_grp[2]),
+	.GCLK(brqrv_top_brqrv_pic_ctrl_inst_gw_clk[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_pic_ctrl_inst_IO_CLK_GRP[3].CLK_GRPS.intenable_c1_cgc_clkhdr  (
+	.CLK(brqrv_top_brqrv_n_7),
+	.GATE(brqrv_top_brqrv_pic_ctrl_inst_intenable_clk_enable_grp[3]),
+	.GCLK(brqrv_top_brqrv_pic_ctrl_inst_gw_clk[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_pic_ctrl_inst_IO_CLK_GRP[4].CLK_GRPS.intenable_c1_cgc_clkhdr  (
+	.CLK(brqrv_top_brqrv_n_7),
+	.GATE(brqrv_top_brqrv_pic_ctrl_inst_intenable_clk_enable_grp[4]),
+	.GCLK(brqrv_top_brqrv_pic_ctrl_inst_gw_clk[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_pic_ctrl_inst_IO_CLK_GRP[5].CLK_GRPS.intenable_c1_cgc_clkhdr  (
+	.CLK(brqrv_top_brqrv_n_7),
+	.GATE(brqrv_top_brqrv_pic_ctrl_inst_intenable_clk_enable_grp[5]),
+	.GCLK(brqrv_top_brqrv_pic_ctrl_inst_gw_clk[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_pic_ctrl_inst_IO_CLK_GRP[6].CLK_GRPS.intenable_c1_cgc_clkhdr  (
+	.CLK(brqrv_top_brqrv_n_7),
+	.GATE(brqrv_top_brqrv_pic_ctrl_inst_intenable_clk_enable_grp[6]),
+	.GCLK(brqrv_top_brqrv_pic_ctrl_inst_gw_clk[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_pic_ctrl_inst_IO_CLK_GRP[7].LAST_GRP.intenable_c1_cgc_clkhdr  (
+	.CLK(brqrv_top_brqrv_n_7),
+	.GATE(brqrv_top_brqrv_pic_ctrl_inst_intenable_clk_enable_grp[7]),
+	.GCLK(brqrv_top_brqrv_pic_ctrl_inst_gw_clk[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[1].NON_ZERO_INT.config_gw_inst_g49  (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[3]),
+	.A2(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[1].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[2]),
+	.X(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[1].NON_ZERO_INT.config_gw_inst_g51  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[1].NON_ZERO_INT.config_gw_inst_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_gw_clear_reg_we[1]),
+	.B1_N(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[2]),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[1].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[1].NON_ZERO_INT.config_gw_inst_g52  (
+	.A(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[1].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[1].NON_ZERO_INT.config_gw_inst_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[1].NON_ZERO_INT.config_gw_inst_int_pend_ff_genblk1.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_clk[0]),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[1].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ),
+	.Q(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[1].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[1].NON_ZERO_INT.gw_config_ff_g56  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[3]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[1]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[1]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[1].NON_ZERO_INT.gw_config_ff_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[1].NON_ZERO_INT.gw_config_ff_g57  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[2]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[1]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[1].NON_ZERO_INT.gw_config_ff_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[1].NON_ZERO_INT.gw_config_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_config_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[1].NON_ZERO_INT.gw_config_ff_n_3 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[1].NON_ZERO_INT.gw_config_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_config_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[1].NON_ZERO_INT.gw_config_ff_n_2 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[1].NON_ZERO_INT.intenable_ff_g30  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[1]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg_we[1]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[1].NON_ZERO_INT.intenable_ff_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[1].NON_ZERO_INT.intenable_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_int_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[1].NON_ZERO_INT.intenable_ff_n_2 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[1].NON_ZERO_INT.intpriority_ff_g107  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[1].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[7]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[1]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[3]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[1].NON_ZERO_INT.intpriority_ff_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[1].NON_ZERO_INT.intpriority_ff_g108  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[1].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[4]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[1]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[1].NON_ZERO_INT.intpriority_ff_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[1].NON_ZERO_INT.intpriority_ff_g109  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[1].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[6]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[1]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[2]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[1].NON_ZERO_INT.intpriority_ff_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[1].NON_ZERO_INT.intpriority_ff_g110  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[1].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[5]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[1]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[1]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[1].NON_ZERO_INT.intpriority_ff_n_5 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[1].NON_ZERO_INT.intpriority_ff_g111  (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[1]),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[1].NON_ZERO_INT.intpriority_ff_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[1].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[1].NON_ZERO_INT.intpriority_ff_n_6 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[1].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[1].NON_ZERO_INT.intpriority_ff_n_3 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[1].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[1].NON_ZERO_INT.intpriority_ff_n_5 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[1].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[1].NON_ZERO_INT.intpriority_ff_n_4 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[2].NON_ZERO_INT.config_gw_inst_g49  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[2].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[5]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[4]),
+	.X(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[2].NON_ZERO_INT.config_gw_inst_g51  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[2].NON_ZERO_INT.config_gw_inst_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_gw_clear_reg_we[2]),
+	.B1_N(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[4]),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[2].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[2].NON_ZERO_INT.config_gw_inst_g52  (
+	.A(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[2].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[2].NON_ZERO_INT.config_gw_inst_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[2].NON_ZERO_INT.config_gw_inst_int_pend_ff_genblk1.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_clk[0]),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[2].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ),
+	.Q(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[2].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[2].NON_ZERO_INT.gw_config_ff_g56  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[5]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[1]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[2]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[2].NON_ZERO_INT.gw_config_ff_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[2].NON_ZERO_INT.gw_config_ff_g57  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[4]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[2]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[2].NON_ZERO_INT.gw_config_ff_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[2].NON_ZERO_INT.gw_config_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_config_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[2].NON_ZERO_INT.gw_config_ff_n_3 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[2].NON_ZERO_INT.gw_config_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_config_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[2].NON_ZERO_INT.gw_config_ff_n_2 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[2].NON_ZERO_INT.intenable_ff_g30  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[2]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg_we[2]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[2].NON_ZERO_INT.intenable_ff_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[2].NON_ZERO_INT.intenable_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_int_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[2].NON_ZERO_INT.intenable_ff_n_2 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[2].NON_ZERO_INT.intpriority_ff_g107  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[2].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[11]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[2]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[3]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[2].NON_ZERO_INT.intpriority_ff_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[2].NON_ZERO_INT.intpriority_ff_g108  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[2].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[8]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[2]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[2].NON_ZERO_INT.intpriority_ff_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[2].NON_ZERO_INT.intpriority_ff_g109  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[2].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[10]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[2]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[2]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[2].NON_ZERO_INT.intpriority_ff_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[2].NON_ZERO_INT.intpriority_ff_g110  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[2].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[9]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[2]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[1]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[2].NON_ZERO_INT.intpriority_ff_n_5 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[2].NON_ZERO_INT.intpriority_ff_g111  (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[2]),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[2].NON_ZERO_INT.intpriority_ff_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[2].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[2].NON_ZERO_INT.intpriority_ff_n_6 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[2].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[2].NON_ZERO_INT.intpriority_ff_n_3 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[2].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[2].NON_ZERO_INT.intpriority_ff_n_5 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[2].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[2].NON_ZERO_INT.intpriority_ff_n_4 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[3].NON_ZERO_INT.config_gw_inst_g49  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[3].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[7]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[6]),
+	.X(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[3].NON_ZERO_INT.config_gw_inst_g51  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[3].NON_ZERO_INT.config_gw_inst_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_gw_clear_reg_we[3]),
+	.B1_N(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[6]),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[3].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[3].NON_ZERO_INT.config_gw_inst_g52  (
+	.A(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[3].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[3].NON_ZERO_INT.config_gw_inst_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[3].NON_ZERO_INT.config_gw_inst_int_pend_ff_genblk1.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_clk[0]),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[3].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ),
+	.Q(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[3].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[3].NON_ZERO_INT.gw_config_ff_g56  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[7]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[1]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[3]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[3].NON_ZERO_INT.gw_config_ff_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[3].NON_ZERO_INT.gw_config_ff_g57  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[6]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[3]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[3].NON_ZERO_INT.gw_config_ff_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[3].NON_ZERO_INT.gw_config_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_config_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[3].NON_ZERO_INT.gw_config_ff_n_3 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[3].NON_ZERO_INT.gw_config_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_config_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[3].NON_ZERO_INT.gw_config_ff_n_2 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[3].NON_ZERO_INT.intenable_ff_g30  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[3]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg_we[3]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[3].NON_ZERO_INT.intenable_ff_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[3].NON_ZERO_INT.intenable_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_int_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[3].NON_ZERO_INT.intenable_ff_n_2 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[3].NON_ZERO_INT.intpriority_ff_g107  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[3].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[15]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[3]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[3]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[3].NON_ZERO_INT.intpriority_ff_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[3].NON_ZERO_INT.intpriority_ff_g108  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[3].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[12]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[3]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[3].NON_ZERO_INT.intpriority_ff_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[3].NON_ZERO_INT.intpriority_ff_g109  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[3].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[14]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[3]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[2]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[3].NON_ZERO_INT.intpriority_ff_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[3].NON_ZERO_INT.intpriority_ff_g110  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[3].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[13]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[3]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[1]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[3].NON_ZERO_INT.intpriority_ff_n_5 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[3].NON_ZERO_INT.intpriority_ff_g111  (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[3]),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[3].NON_ZERO_INT.intpriority_ff_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[3].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[3].NON_ZERO_INT.intpriority_ff_n_6 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[3].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[3].NON_ZERO_INT.intpriority_ff_n_3 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[3].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[3].NON_ZERO_INT.intpriority_ff_n_5 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[3].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[3].NON_ZERO_INT.intpriority_ff_n_4 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[4].NON_ZERO_INT.config_gw_inst_g49  (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[9]),
+	.A2(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[4].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[8]),
+	.X(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[4].NON_ZERO_INT.config_gw_inst_g51  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[4].NON_ZERO_INT.config_gw_inst_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_gw_clear_reg_we[4]),
+	.B1_N(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[8]),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[4].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[4].NON_ZERO_INT.config_gw_inst_g52  (
+	.A(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[4].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[4].NON_ZERO_INT.config_gw_inst_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[4].NON_ZERO_INT.config_gw_inst_int_pend_ff_genblk1.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_clk[1]),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[4].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ),
+	.Q(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[4].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[4].NON_ZERO_INT.gw_config_ff_g56  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[9]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[1]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[4]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[4].NON_ZERO_INT.gw_config_ff_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[4].NON_ZERO_INT.gw_config_ff_g57  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[8]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[4]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[4].NON_ZERO_INT.gw_config_ff_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[4].NON_ZERO_INT.gw_config_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_config_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[4].NON_ZERO_INT.gw_config_ff_n_3 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[4].NON_ZERO_INT.gw_config_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_config_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[4].NON_ZERO_INT.gw_config_ff_n_2 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[4].NON_ZERO_INT.intenable_ff_g30  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[4]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg_we[4]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[4].NON_ZERO_INT.intenable_ff_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[4].NON_ZERO_INT.intenable_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_int_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[4].NON_ZERO_INT.intenable_ff_n_2 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[4].NON_ZERO_INT.intpriority_ff_g107  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[4].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[19]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[4]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[3]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[4].NON_ZERO_INT.intpriority_ff_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[4].NON_ZERO_INT.intpriority_ff_g108  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[4].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[16]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[4]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[4].NON_ZERO_INT.intpriority_ff_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[4].NON_ZERO_INT.intpriority_ff_g109  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[4].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[18]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[4]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[2]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[4].NON_ZERO_INT.intpriority_ff_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[4].NON_ZERO_INT.intpriority_ff_g110  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[4].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[17]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[4]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[1]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[4].NON_ZERO_INT.intpriority_ff_n_5 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[4].NON_ZERO_INT.intpriority_ff_g111  (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[4]),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[4].NON_ZERO_INT.intpriority_ff_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[4].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[4].NON_ZERO_INT.intpriority_ff_n_6 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[4].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[4].NON_ZERO_INT.intpriority_ff_n_3 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[4].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[4].NON_ZERO_INT.intpriority_ff_n_5 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[4].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[4].NON_ZERO_INT.intpriority_ff_n_4 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[5].NON_ZERO_INT.config_gw_inst_g49  (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[11]),
+	.A2(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[5].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[10]),
+	.X(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[5].NON_ZERO_INT.config_gw_inst_g51  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[5].NON_ZERO_INT.config_gw_inst_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_gw_clear_reg_we[5]),
+	.B1_N(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[10]),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[5].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[5].NON_ZERO_INT.config_gw_inst_g52  (
+	.A(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[5].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[5].NON_ZERO_INT.config_gw_inst_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[5].NON_ZERO_INT.config_gw_inst_int_pend_ff_genblk1.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_clk[1]),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[5].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ),
+	.Q(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[5].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[5].NON_ZERO_INT.gw_config_ff_g56  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[11]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[1]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[5]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[5].NON_ZERO_INT.gw_config_ff_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[5].NON_ZERO_INT.gw_config_ff_g57  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[10]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[5]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[5].NON_ZERO_INT.gw_config_ff_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[5].NON_ZERO_INT.gw_config_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_config_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[5].NON_ZERO_INT.gw_config_ff_n_3 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[5].NON_ZERO_INT.gw_config_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_config_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[5].NON_ZERO_INT.gw_config_ff_n_2 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[5].NON_ZERO_INT.intenable_ff_g30  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[5]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg_we[5]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[5].NON_ZERO_INT.intenable_ff_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[5].NON_ZERO_INT.intenable_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_int_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[5].NON_ZERO_INT.intenable_ff_n_2 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[5].NON_ZERO_INT.intpriority_ff_g107  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[5].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[23]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[5]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[3]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[5].NON_ZERO_INT.intpriority_ff_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[5].NON_ZERO_INT.intpriority_ff_g108  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[5].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[20]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[5]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[5].NON_ZERO_INT.intpriority_ff_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[5].NON_ZERO_INT.intpriority_ff_g109  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[5].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[22]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[5]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[2]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[5].NON_ZERO_INT.intpriority_ff_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[5].NON_ZERO_INT.intpriority_ff_g110  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[5].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[21]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[5]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[1]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[5].NON_ZERO_INT.intpriority_ff_n_5 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[5].NON_ZERO_INT.intpriority_ff_g111  (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[5]),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[5].NON_ZERO_INT.intpriority_ff_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[5].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[5].NON_ZERO_INT.intpriority_ff_n_6 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[5].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[5].NON_ZERO_INT.intpriority_ff_n_3 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[5].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[5].NON_ZERO_INT.intpriority_ff_n_5 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[5].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[5].NON_ZERO_INT.intpriority_ff_n_4 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[6].NON_ZERO_INT.config_gw_inst_g49  (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[13]),
+	.A2(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[6].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[12]),
+	.X(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[6].NON_ZERO_INT.config_gw_inst_g51  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[6].NON_ZERO_INT.config_gw_inst_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_gw_clear_reg_we[6]),
+	.B1_N(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[12]),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[6].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[6].NON_ZERO_INT.config_gw_inst_g52  (
+	.A(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[6].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[6].NON_ZERO_INT.config_gw_inst_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[6].NON_ZERO_INT.config_gw_inst_int_pend_ff_genblk1.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_clk[1]),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[6].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ),
+	.Q(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[6].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[6].NON_ZERO_INT.gw_config_ff_g56  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[13]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[1]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[6]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[6].NON_ZERO_INT.gw_config_ff_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[6].NON_ZERO_INT.gw_config_ff_g57  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[12]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[6]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[6].NON_ZERO_INT.gw_config_ff_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[6].NON_ZERO_INT.gw_config_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_config_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[6].NON_ZERO_INT.gw_config_ff_n_3 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[6].NON_ZERO_INT.gw_config_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_config_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[6].NON_ZERO_INT.gw_config_ff_n_2 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[6].NON_ZERO_INT.intenable_ff_g30  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[6]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg_we[6]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[6].NON_ZERO_INT.intenable_ff_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[6].NON_ZERO_INT.intenable_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_int_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[6].NON_ZERO_INT.intenable_ff_n_2 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[6].NON_ZERO_INT.intpriority_ff_g107  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[6].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[27]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[6]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[3]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[6].NON_ZERO_INT.intpriority_ff_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[6].NON_ZERO_INT.intpriority_ff_g108  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[6].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[24]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[6]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[6].NON_ZERO_INT.intpriority_ff_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[6].NON_ZERO_INT.intpriority_ff_g109  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[6].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[26]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[6]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[2]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[6].NON_ZERO_INT.intpriority_ff_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[6].NON_ZERO_INT.intpriority_ff_g110  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[6].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[25]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[6]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[1]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[6].NON_ZERO_INT.intpriority_ff_n_5 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[6].NON_ZERO_INT.intpriority_ff_g111  (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[6]),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[6].NON_ZERO_INT.intpriority_ff_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[6].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[6].NON_ZERO_INT.intpriority_ff_n_6 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[6].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[6].NON_ZERO_INT.intpriority_ff_n_3 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[6].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[6].NON_ZERO_INT.intpriority_ff_n_5 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[6].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[6].NON_ZERO_INT.intpriority_ff_n_4 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[7].NON_ZERO_INT.config_gw_inst_g49  (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[15]),
+	.A2(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[7].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[14]),
+	.X(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[7].NON_ZERO_INT.config_gw_inst_g51  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[7].NON_ZERO_INT.config_gw_inst_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_gw_clear_reg_we[7]),
+	.B1_N(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[14]),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[7].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[7].NON_ZERO_INT.config_gw_inst_g52  (
+	.A(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[7].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[7].NON_ZERO_INT.config_gw_inst_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[7].NON_ZERO_INT.config_gw_inst_int_pend_ff_genblk1.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_clk[1]),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[7].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ),
+	.Q(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[7].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[7].NON_ZERO_INT.gw_config_ff_g56  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[15]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[1]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[7]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[7].NON_ZERO_INT.gw_config_ff_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[7].NON_ZERO_INT.gw_config_ff_g57  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[14]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[7]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[7].NON_ZERO_INT.gw_config_ff_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[7].NON_ZERO_INT.gw_config_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_config_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[7].NON_ZERO_INT.gw_config_ff_n_3 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[7].NON_ZERO_INT.gw_config_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_config_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[7].NON_ZERO_INT.gw_config_ff_n_2 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[7].NON_ZERO_INT.intenable_ff_g30  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[7]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg_we[7]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[7].NON_ZERO_INT.intenable_ff_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[7].NON_ZERO_INT.intenable_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_int_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[7].NON_ZERO_INT.intenable_ff_n_2 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[7].NON_ZERO_INT.intpriority_ff_g107  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[7].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[31]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[7]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[3]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[7].NON_ZERO_INT.intpriority_ff_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[7].NON_ZERO_INT.intpriority_ff_g108  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[7].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[28]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[7]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[7].NON_ZERO_INT.intpriority_ff_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[7].NON_ZERO_INT.intpriority_ff_g109  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[7].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[30]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[7]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[2]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[7].NON_ZERO_INT.intpriority_ff_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[7].NON_ZERO_INT.intpriority_ff_g110  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[7].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[29]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[7]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[1]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[7].NON_ZERO_INT.intpriority_ff_n_5 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[7].NON_ZERO_INT.intpriority_ff_g111  (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[7]),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[7].NON_ZERO_INT.intpriority_ff_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[7].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[7].NON_ZERO_INT.intpriority_ff_n_6 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[7].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[7].NON_ZERO_INT.intpriority_ff_n_3 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[7].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[7].NON_ZERO_INT.intpriority_ff_n_5 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[7].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[7].NON_ZERO_INT.intpriority_ff_n_4 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[8].NON_ZERO_INT.config_gw_inst_g49  (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[17]),
+	.A2(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[8].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[16]),
+	.X(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[8].NON_ZERO_INT.config_gw_inst_g51  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[8].NON_ZERO_INT.config_gw_inst_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_gw_clear_reg_we[8]),
+	.B1_N(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[16]),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[8].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[8].NON_ZERO_INT.config_gw_inst_g52  (
+	.A(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[8].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[8].NON_ZERO_INT.config_gw_inst_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[8].NON_ZERO_INT.config_gw_inst_int_pend_ff_genblk1.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_clk[2]),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[8].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ),
+	.Q(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[8].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[8].NON_ZERO_INT.gw_config_ff_g56  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[17]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[1]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[8]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[8].NON_ZERO_INT.gw_config_ff_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[8].NON_ZERO_INT.gw_config_ff_g57  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[16]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[8]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[8].NON_ZERO_INT.gw_config_ff_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[8].NON_ZERO_INT.gw_config_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_config_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[8].NON_ZERO_INT.gw_config_ff_n_3 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[8].NON_ZERO_INT.gw_config_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_config_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[8].NON_ZERO_INT.gw_config_ff_n_2 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[8].NON_ZERO_INT.intenable_ff_g30  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[8]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg_we[8]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[8].NON_ZERO_INT.intenable_ff_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[8].NON_ZERO_INT.intenable_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_int_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[8].NON_ZERO_INT.intenable_ff_n_2 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[8].NON_ZERO_INT.intpriority_ff_g107  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[8].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[35]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[8]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[3]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[8].NON_ZERO_INT.intpriority_ff_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[8].NON_ZERO_INT.intpriority_ff_g108  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[8].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[32]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[8]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[8].NON_ZERO_INT.intpriority_ff_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[8].NON_ZERO_INT.intpriority_ff_g109  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[8].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[34]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[8]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[2]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[8].NON_ZERO_INT.intpriority_ff_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[8].NON_ZERO_INT.intpriority_ff_g110  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[8].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[33]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[8]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[1]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[8].NON_ZERO_INT.intpriority_ff_n_5 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[8].NON_ZERO_INT.intpriority_ff_g111  (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[8]),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[8].NON_ZERO_INT.intpriority_ff_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[8].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[8].NON_ZERO_INT.intpriority_ff_n_6 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[32]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[8].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[8].NON_ZERO_INT.intpriority_ff_n_3 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[35]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[8].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[8].NON_ZERO_INT.intpriority_ff_n_5 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[33]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[8].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[8].NON_ZERO_INT.intpriority_ff_n_4 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[34]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[9].NON_ZERO_INT.config_gw_inst_g49  (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[19]),
+	.A2(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[9].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[18]),
+	.X(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[9].NON_ZERO_INT.config_gw_inst_g51  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[9].NON_ZERO_INT.config_gw_inst_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_gw_clear_reg_we[9]),
+	.B1_N(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[18]),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[9].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[9].NON_ZERO_INT.config_gw_inst_g52  (
+	.A(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[9].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[9].NON_ZERO_INT.config_gw_inst_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[9].NON_ZERO_INT.config_gw_inst_int_pend_ff_genblk1.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_clk[2]),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[9].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ),
+	.Q(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[9].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[9].NON_ZERO_INT.gw_config_ff_g56  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[19]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[1]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[9]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[9].NON_ZERO_INT.gw_config_ff_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[9].NON_ZERO_INT.gw_config_ff_g57  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[18]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[9]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[9].NON_ZERO_INT.gw_config_ff_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[9].NON_ZERO_INT.gw_config_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_config_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[9].NON_ZERO_INT.gw_config_ff_n_3 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[9].NON_ZERO_INT.gw_config_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_config_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[9].NON_ZERO_INT.gw_config_ff_n_2 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[9].NON_ZERO_INT.intenable_ff_g30  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[9]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg_we[9]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[9].NON_ZERO_INT.intenable_ff_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[9].NON_ZERO_INT.intenable_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_int_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[9].NON_ZERO_INT.intenable_ff_n_2 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[9].NON_ZERO_INT.intpriority_ff_g107  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[9].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[39]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[9]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[3]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[9].NON_ZERO_INT.intpriority_ff_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[9].NON_ZERO_INT.intpriority_ff_g108  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[9].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[36]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[9]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[9].NON_ZERO_INT.intpriority_ff_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[9].NON_ZERO_INT.intpriority_ff_g109  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[9].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[38]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[9]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[2]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[9].NON_ZERO_INT.intpriority_ff_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[9].NON_ZERO_INT.intpriority_ff_g110  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[9].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[37]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[9]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[1]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[9].NON_ZERO_INT.intpriority_ff_n_5 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[9].NON_ZERO_INT.intpriority_ff_g111  (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[9]),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[9].NON_ZERO_INT.intpriority_ff_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[9].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[9].NON_ZERO_INT.intpriority_ff_n_6 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[36]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[9].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[9].NON_ZERO_INT.intpriority_ff_n_3 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[39]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[9].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[9].NON_ZERO_INT.intpriority_ff_n_5 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[37]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[9].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[9].NON_ZERO_INT.intpriority_ff_n_4 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[38]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[10].NON_ZERO_INT.config_gw_inst_g49  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[10].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[21]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[20]),
+	.X(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[10].NON_ZERO_INT.config_gw_inst_g51  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[10].NON_ZERO_INT.config_gw_inst_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_gw_clear_reg_we[10]),
+	.B1_N(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[20]),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[10].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[10].NON_ZERO_INT.config_gw_inst_g52  (
+	.A(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[10].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[10].NON_ZERO_INT.config_gw_inst_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[10].NON_ZERO_INT.config_gw_inst_int_pend_ff_genblk1.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_clk[2]),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[10].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ),
+	.Q(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[10].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[10].NON_ZERO_INT.gw_config_ff_g56  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[21]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[1]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[10]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[10].NON_ZERO_INT.gw_config_ff_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[10].NON_ZERO_INT.gw_config_ff_g57  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[20]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[10]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[10].NON_ZERO_INT.gw_config_ff_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[10].NON_ZERO_INT.gw_config_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_config_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[10].NON_ZERO_INT.gw_config_ff_n_3 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[10].NON_ZERO_INT.gw_config_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_config_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[10].NON_ZERO_INT.gw_config_ff_n_2 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[10].NON_ZERO_INT.intenable_ff_g30  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[10]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg_we[10]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[10].NON_ZERO_INT.intenable_ff_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[10].NON_ZERO_INT.intenable_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_int_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[10].NON_ZERO_INT.intenable_ff_n_2 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[10].NON_ZERO_INT.intpriority_ff_g107  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[10].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[43]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[10]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[3]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[10].NON_ZERO_INT.intpriority_ff_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[10].NON_ZERO_INT.intpriority_ff_g108  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[10].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[40]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[10]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[10].NON_ZERO_INT.intpriority_ff_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[10].NON_ZERO_INT.intpriority_ff_g109  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[10].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[42]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[10]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[2]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[10].NON_ZERO_INT.intpriority_ff_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[10].NON_ZERO_INT.intpriority_ff_g110  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[10].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[41]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[10]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[1]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[10].NON_ZERO_INT.intpriority_ff_n_5 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[10].NON_ZERO_INT.intpriority_ff_g111  (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[10]),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[10].NON_ZERO_INT.intpriority_ff_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[10].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[10].NON_ZERO_INT.intpriority_ff_n_6 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[40]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[10].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[10].NON_ZERO_INT.intpriority_ff_n_3 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[43]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[10].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[10].NON_ZERO_INT.intpriority_ff_n_5 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[41]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[10].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[10].NON_ZERO_INT.intpriority_ff_n_4 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[42]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[11].NON_ZERO_INT.config_gw_inst_g49  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[11].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[23]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[22]),
+	.X(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[11].NON_ZERO_INT.config_gw_inst_g51  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[11].NON_ZERO_INT.config_gw_inst_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_gw_clear_reg_we[11]),
+	.B1_N(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[22]),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[11].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[11].NON_ZERO_INT.config_gw_inst_g52  (
+	.A(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[11].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[11].NON_ZERO_INT.config_gw_inst_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[11].NON_ZERO_INT.config_gw_inst_int_pend_ff_genblk1.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_clk[2]),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[11].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ),
+	.Q(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[11].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[11].NON_ZERO_INT.gw_config_ff_g56  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[23]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[1]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[11]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[11].NON_ZERO_INT.gw_config_ff_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[11].NON_ZERO_INT.gw_config_ff_g57  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[22]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[11]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[11].NON_ZERO_INT.gw_config_ff_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[11].NON_ZERO_INT.gw_config_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_config_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[11].NON_ZERO_INT.gw_config_ff_n_3 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[11].NON_ZERO_INT.gw_config_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_config_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[11].NON_ZERO_INT.gw_config_ff_n_2 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[11].NON_ZERO_INT.intenable_ff_g30  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[11]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg_we[11]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[11].NON_ZERO_INT.intenable_ff_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[11].NON_ZERO_INT.intenable_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_int_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[11].NON_ZERO_INT.intenable_ff_n_2 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[11].NON_ZERO_INT.intpriority_ff_g107  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[11].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[47]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[11]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[3]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[11].NON_ZERO_INT.intpriority_ff_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[11].NON_ZERO_INT.intpriority_ff_g108  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[11].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[44]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[11]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[11].NON_ZERO_INT.intpriority_ff_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[11].NON_ZERO_INT.intpriority_ff_g109  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[11].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[46]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[11]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[2]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[11].NON_ZERO_INT.intpriority_ff_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[11].NON_ZERO_INT.intpriority_ff_g110  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[11].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[45]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[11]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[1]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[11].NON_ZERO_INT.intpriority_ff_n_5 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[11].NON_ZERO_INT.intpriority_ff_g111  (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[11]),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[11].NON_ZERO_INT.intpriority_ff_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[11].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[11].NON_ZERO_INT.intpriority_ff_n_6 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[44]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[11].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[11].NON_ZERO_INT.intpriority_ff_n_3 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[47]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[11].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[11].NON_ZERO_INT.intpriority_ff_n_5 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[45]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[11].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[11].NON_ZERO_INT.intpriority_ff_n_4 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[46]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[12].NON_ZERO_INT.config_gw_inst_g49  (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[25]),
+	.A2(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[12].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[24]),
+	.X(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[12].NON_ZERO_INT.config_gw_inst_g51  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[12].NON_ZERO_INT.config_gw_inst_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_gw_clear_reg_we[12]),
+	.B1_N(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[24]),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[12].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[12].NON_ZERO_INT.config_gw_inst_g52  (
+	.A(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[12].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[12].NON_ZERO_INT.config_gw_inst_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[12].NON_ZERO_INT.config_gw_inst_int_pend_ff_genblk1.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_clk[3]),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[12].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ),
+	.Q(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[12].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[12].NON_ZERO_INT.gw_config_ff_g56  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[25]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[1]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[12]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[12].NON_ZERO_INT.gw_config_ff_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[12].NON_ZERO_INT.gw_config_ff_g57  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[24]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[12]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[12].NON_ZERO_INT.gw_config_ff_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[12].NON_ZERO_INT.gw_config_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_config_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[12].NON_ZERO_INT.gw_config_ff_n_3 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[12].NON_ZERO_INT.gw_config_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_config_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[12].NON_ZERO_INT.gw_config_ff_n_2 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[12].NON_ZERO_INT.intenable_ff_g30  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[12]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg_we[12]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[12].NON_ZERO_INT.intenable_ff_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[12].NON_ZERO_INT.intenable_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_int_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[12].NON_ZERO_INT.intenable_ff_n_2 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[12].NON_ZERO_INT.intpriority_ff_g107  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[12].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[51]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[12]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[3]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[12].NON_ZERO_INT.intpriority_ff_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[12].NON_ZERO_INT.intpriority_ff_g108  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[12].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[48]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[12]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[12].NON_ZERO_INT.intpriority_ff_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[12].NON_ZERO_INT.intpriority_ff_g109  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[12].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[50]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[12]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[2]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[12].NON_ZERO_INT.intpriority_ff_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[12].NON_ZERO_INT.intpriority_ff_g110  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[12].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[49]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[12]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[1]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[12].NON_ZERO_INT.intpriority_ff_n_5 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[12].NON_ZERO_INT.intpriority_ff_g111  (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[12]),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[12].NON_ZERO_INT.intpriority_ff_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[12].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[12].NON_ZERO_INT.intpriority_ff_n_6 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[48]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[12].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[12].NON_ZERO_INT.intpriority_ff_n_3 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[51]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[12].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[12].NON_ZERO_INT.intpriority_ff_n_5 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[49]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[12].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[12].NON_ZERO_INT.intpriority_ff_n_4 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[50]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[13].NON_ZERO_INT.config_gw_inst_g49  (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[27]),
+	.A2(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[13].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[26]),
+	.X(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[13].NON_ZERO_INT.config_gw_inst_g51  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[13].NON_ZERO_INT.config_gw_inst_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_gw_clear_reg_we[13]),
+	.B1_N(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[26]),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[13].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[13].NON_ZERO_INT.config_gw_inst_g52  (
+	.A(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[13].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[13].NON_ZERO_INT.config_gw_inst_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[13].NON_ZERO_INT.config_gw_inst_int_pend_ff_genblk1.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_clk[3]),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[13].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ),
+	.Q(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[13].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[13].NON_ZERO_INT.gw_config_ff_g56  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[27]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[1]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[13]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[13].NON_ZERO_INT.gw_config_ff_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[13].NON_ZERO_INT.gw_config_ff_g57  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[26]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[13]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[13].NON_ZERO_INT.gw_config_ff_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[13].NON_ZERO_INT.gw_config_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_config_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[13].NON_ZERO_INT.gw_config_ff_n_3 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[13].NON_ZERO_INT.gw_config_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_config_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[13].NON_ZERO_INT.gw_config_ff_n_2 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[13].NON_ZERO_INT.intenable_ff_g30  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[13]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg_we[13]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[13].NON_ZERO_INT.intenable_ff_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[13].NON_ZERO_INT.intenable_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_int_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[13].NON_ZERO_INT.intenable_ff_n_2 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[13].NON_ZERO_INT.intpriority_ff_g107  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[13].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[55]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[13]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[3]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[13].NON_ZERO_INT.intpriority_ff_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[13].NON_ZERO_INT.intpriority_ff_g108  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[13].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[52]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[13]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[13].NON_ZERO_INT.intpriority_ff_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[13].NON_ZERO_INT.intpriority_ff_g109  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[13].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[54]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[13]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[2]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[13].NON_ZERO_INT.intpriority_ff_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[13].NON_ZERO_INT.intpriority_ff_g110  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[13].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[53]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[13]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[1]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[13].NON_ZERO_INT.intpriority_ff_n_5 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[13].NON_ZERO_INT.intpriority_ff_g111  (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[13]),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[13].NON_ZERO_INT.intpriority_ff_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[13].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[13].NON_ZERO_INT.intpriority_ff_n_6 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[52]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[13].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[13].NON_ZERO_INT.intpriority_ff_n_3 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[55]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[13].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[13].NON_ZERO_INT.intpriority_ff_n_5 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[53]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[13].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[13].NON_ZERO_INT.intpriority_ff_n_4 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[54]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[14].NON_ZERO_INT.config_gw_inst_g49  (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[29]),
+	.A2(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[14].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[28]),
+	.X(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[14].NON_ZERO_INT.config_gw_inst_g51  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[14].NON_ZERO_INT.config_gw_inst_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_gw_clear_reg_we[14]),
+	.B1_N(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[28]),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[14].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[14].NON_ZERO_INT.config_gw_inst_g52  (
+	.A(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[14].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[14].NON_ZERO_INT.config_gw_inst_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[14].NON_ZERO_INT.config_gw_inst_int_pend_ff_genblk1.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_clk[3]),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[14].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ),
+	.Q(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[14].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[14].NON_ZERO_INT.gw_config_ff_g56  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[29]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[1]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[14]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[14].NON_ZERO_INT.gw_config_ff_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[14].NON_ZERO_INT.gw_config_ff_g57  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[28]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[14]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[14].NON_ZERO_INT.gw_config_ff_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[14].NON_ZERO_INT.gw_config_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_config_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[14].NON_ZERO_INT.gw_config_ff_n_3 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[14].NON_ZERO_INT.gw_config_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_config_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[14].NON_ZERO_INT.gw_config_ff_n_2 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[14].NON_ZERO_INT.intenable_ff_g30  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[14]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg_we[14]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[14].NON_ZERO_INT.intenable_ff_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[14].NON_ZERO_INT.intenable_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_int_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[14].NON_ZERO_INT.intenable_ff_n_2 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[14].NON_ZERO_INT.intpriority_ff_g107  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[14].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[59]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[14]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[3]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[14].NON_ZERO_INT.intpriority_ff_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[14].NON_ZERO_INT.intpriority_ff_g108  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[14].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[56]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[14]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[14].NON_ZERO_INT.intpriority_ff_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[14].NON_ZERO_INT.intpriority_ff_g109  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[14].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[58]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[14]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[2]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[14].NON_ZERO_INT.intpriority_ff_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[14].NON_ZERO_INT.intpriority_ff_g110  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[14].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[57]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[14]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[1]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[14].NON_ZERO_INT.intpriority_ff_n_5 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[14].NON_ZERO_INT.intpriority_ff_g111  (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[14]),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[14].NON_ZERO_INT.intpriority_ff_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[14].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[14].NON_ZERO_INT.intpriority_ff_n_6 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[56]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[14].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[14].NON_ZERO_INT.intpriority_ff_n_3 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[59]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[14].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[14].NON_ZERO_INT.intpriority_ff_n_5 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[57]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[14].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[14].NON_ZERO_INT.intpriority_ff_n_4 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[58]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[15].NON_ZERO_INT.config_gw_inst_g49  (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[31]),
+	.A2(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[15].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[30]),
+	.X(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[15].NON_ZERO_INT.config_gw_inst_g51  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[15].NON_ZERO_INT.config_gw_inst_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_gw_clear_reg_we[15]),
+	.B1_N(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[30]),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[15].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[15].NON_ZERO_INT.config_gw_inst_g52  (
+	.A(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[15].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[15].NON_ZERO_INT.config_gw_inst_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[15].NON_ZERO_INT.config_gw_inst_int_pend_ff_genblk1.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_clk[3]),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[15].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ),
+	.Q(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[15].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[15].NON_ZERO_INT.gw_config_ff_g56  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[31]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[1]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[15]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[15].NON_ZERO_INT.gw_config_ff_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[15].NON_ZERO_INT.gw_config_ff_g57  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[30]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[15]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[15].NON_ZERO_INT.gw_config_ff_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[15].NON_ZERO_INT.gw_config_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_config_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[15].NON_ZERO_INT.gw_config_ff_n_3 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[15].NON_ZERO_INT.gw_config_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_config_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[15].NON_ZERO_INT.gw_config_ff_n_2 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[15].NON_ZERO_INT.intenable_ff_g30  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[15]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg_we[15]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[15].NON_ZERO_INT.intenable_ff_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[15].NON_ZERO_INT.intenable_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_int_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[15].NON_ZERO_INT.intenable_ff_n_2 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[15].NON_ZERO_INT.intpriority_ff_g107  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[15].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[63]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[15]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[3]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[15].NON_ZERO_INT.intpriority_ff_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[15].NON_ZERO_INT.intpriority_ff_g108  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[15].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[60]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[15]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[15].NON_ZERO_INT.intpriority_ff_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[15].NON_ZERO_INT.intpriority_ff_g109  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[15].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[62]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[15]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[2]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[15].NON_ZERO_INT.intpriority_ff_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[15].NON_ZERO_INT.intpriority_ff_g110  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[15].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[61]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[15]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[1]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[15].NON_ZERO_INT.intpriority_ff_n_5 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[15].NON_ZERO_INT.intpriority_ff_g111  (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[15]),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[15].NON_ZERO_INT.intpriority_ff_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[15].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[15].NON_ZERO_INT.intpriority_ff_n_6 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[60]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[15].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[15].NON_ZERO_INT.intpriority_ff_n_3 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[63]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[15].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[15].NON_ZERO_INT.intpriority_ff_n_5 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[61]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[15].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[15].NON_ZERO_INT.intpriority_ff_n_4 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[62]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[16].NON_ZERO_INT.config_gw_inst_g49  (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[33]),
+	.A2(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[16].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[32]),
+	.X(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[16].NON_ZERO_INT.config_gw_inst_g51  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[16].NON_ZERO_INT.config_gw_inst_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_gw_clear_reg_we[16]),
+	.B1_N(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[32]),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[16].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[16].NON_ZERO_INT.config_gw_inst_g52  (
+	.A(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[16].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[16].NON_ZERO_INT.config_gw_inst_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[16].NON_ZERO_INT.config_gw_inst_int_pend_ff_genblk1.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_clk[4]),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[16].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ),
+	.Q(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[16].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[16].NON_ZERO_INT.gw_config_ff_g56  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[33]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[1]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[16]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[16].NON_ZERO_INT.gw_config_ff_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[16].NON_ZERO_INT.gw_config_ff_g57  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[32]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[16]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[16].NON_ZERO_INT.gw_config_ff_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[16].NON_ZERO_INT.gw_config_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_config_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[16].NON_ZERO_INT.gw_config_ff_n_3 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[32]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[16].NON_ZERO_INT.gw_config_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_config_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[16].NON_ZERO_INT.gw_config_ff_n_2 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[33]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[16].NON_ZERO_INT.intenable_ff_g30  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[16]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg_we[16]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[16].NON_ZERO_INT.intenable_ff_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[16].NON_ZERO_INT.intenable_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_int_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[16].NON_ZERO_INT.intenable_ff_n_2 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[16].NON_ZERO_INT.intpriority_ff_g107  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[16].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[67]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[16]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[3]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[16].NON_ZERO_INT.intpriority_ff_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[16].NON_ZERO_INT.intpriority_ff_g108  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[16].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[64]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[16]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[16].NON_ZERO_INT.intpriority_ff_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[16].NON_ZERO_INT.intpriority_ff_g109  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[16].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[66]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[16]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[2]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[16].NON_ZERO_INT.intpriority_ff_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[16].NON_ZERO_INT.intpriority_ff_g110  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[16].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[65]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[16]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[1]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[16].NON_ZERO_INT.intpriority_ff_n_5 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[16].NON_ZERO_INT.intpriority_ff_g111  (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[16]),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[16].NON_ZERO_INT.intpriority_ff_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[16].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[16].NON_ZERO_INT.intpriority_ff_n_6 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[64]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[16].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[16].NON_ZERO_INT.intpriority_ff_n_3 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[67]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[16].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[16].NON_ZERO_INT.intpriority_ff_n_5 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[65]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[16].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[16].NON_ZERO_INT.intpriority_ff_n_4 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[66]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[17].NON_ZERO_INT.config_gw_inst_g49  (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[35]),
+	.A2(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[17].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[34]),
+	.X(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[17].NON_ZERO_INT.config_gw_inst_g51  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[17].NON_ZERO_INT.config_gw_inst_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_gw_clear_reg_we[17]),
+	.B1_N(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[34]),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[17].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[17].NON_ZERO_INT.config_gw_inst_g52  (
+	.A(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[17].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[17].NON_ZERO_INT.config_gw_inst_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[17].NON_ZERO_INT.config_gw_inst_int_pend_ff_genblk1.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_clk[4]),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[17].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ),
+	.Q(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[17].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[17].NON_ZERO_INT.gw_config_ff_g56  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[35]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[1]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[17]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[17].NON_ZERO_INT.gw_config_ff_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[17].NON_ZERO_INT.gw_config_ff_g57  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[34]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[17]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[17].NON_ZERO_INT.gw_config_ff_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[17].NON_ZERO_INT.gw_config_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_config_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[17].NON_ZERO_INT.gw_config_ff_n_3 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[34]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[17].NON_ZERO_INT.gw_config_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_config_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[17].NON_ZERO_INT.gw_config_ff_n_2 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[35]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[17].NON_ZERO_INT.intenable_ff_g30  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[17]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg_we[17]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[17].NON_ZERO_INT.intenable_ff_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[17].NON_ZERO_INT.intenable_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_int_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[17].NON_ZERO_INT.intenable_ff_n_2 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[17].NON_ZERO_INT.intpriority_ff_g107  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[17].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[71]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[17]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[3]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[17].NON_ZERO_INT.intpriority_ff_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[17].NON_ZERO_INT.intpriority_ff_g108  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[17].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[68]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[17]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[17].NON_ZERO_INT.intpriority_ff_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[17].NON_ZERO_INT.intpriority_ff_g109  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[17].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[70]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[17]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[2]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[17].NON_ZERO_INT.intpriority_ff_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[17].NON_ZERO_INT.intpriority_ff_g110  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[17].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[69]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[17]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[1]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[17].NON_ZERO_INT.intpriority_ff_n_5 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[17].NON_ZERO_INT.intpriority_ff_g111  (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[17]),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[17].NON_ZERO_INT.intpriority_ff_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[17].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[17].NON_ZERO_INT.intpriority_ff_n_6 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[68]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[17].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[17].NON_ZERO_INT.intpriority_ff_n_3 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[71]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[17].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[17].NON_ZERO_INT.intpriority_ff_n_5 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[69]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[17].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[17].NON_ZERO_INT.intpriority_ff_n_4 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[70]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[18].NON_ZERO_INT.config_gw_inst_g49  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[18].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[37]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[36]),
+	.X(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[18].NON_ZERO_INT.config_gw_inst_g51  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[18].NON_ZERO_INT.config_gw_inst_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_gw_clear_reg_we[18]),
+	.B1_N(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[36]),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[18].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[18].NON_ZERO_INT.config_gw_inst_g52  (
+	.A(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[18].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[18].NON_ZERO_INT.config_gw_inst_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[18].NON_ZERO_INT.config_gw_inst_int_pend_ff_genblk1.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_clk[4]),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[18].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ),
+	.Q(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[18].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[18].NON_ZERO_INT.gw_config_ff_g56  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[37]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[1]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[18]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[18].NON_ZERO_INT.gw_config_ff_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[18].NON_ZERO_INT.gw_config_ff_g57  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[36]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[18]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[18].NON_ZERO_INT.gw_config_ff_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[18].NON_ZERO_INT.gw_config_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_config_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[18].NON_ZERO_INT.gw_config_ff_n_3 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[36]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[18].NON_ZERO_INT.gw_config_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_config_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[18].NON_ZERO_INT.gw_config_ff_n_2 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[37]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[18].NON_ZERO_INT.intenable_ff_g30  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[18]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg_we[18]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[18].NON_ZERO_INT.intenable_ff_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[18].NON_ZERO_INT.intenable_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_int_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[18].NON_ZERO_INT.intenable_ff_n_2 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[18].NON_ZERO_INT.intpriority_ff_g107  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[18].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[75]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[18]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[3]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[18].NON_ZERO_INT.intpriority_ff_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[18].NON_ZERO_INT.intpriority_ff_g108  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[18].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[72]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[18]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[18].NON_ZERO_INT.intpriority_ff_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[18].NON_ZERO_INT.intpriority_ff_g109  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[18].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[74]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[18]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[2]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[18].NON_ZERO_INT.intpriority_ff_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[18].NON_ZERO_INT.intpriority_ff_g110  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[18].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[73]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[18]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[1]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[18].NON_ZERO_INT.intpriority_ff_n_5 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[18].NON_ZERO_INT.intpriority_ff_g111  (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[18]),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[18].NON_ZERO_INT.intpriority_ff_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[18].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[18].NON_ZERO_INT.intpriority_ff_n_6 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[72]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[18].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[18].NON_ZERO_INT.intpriority_ff_n_3 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[75]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[18].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[18].NON_ZERO_INT.intpriority_ff_n_5 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[73]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[18].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[18].NON_ZERO_INT.intpriority_ff_n_4 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[74]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[19].NON_ZERO_INT.config_gw_inst_g49  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[19].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[39]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[38]),
+	.X(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[19].NON_ZERO_INT.config_gw_inst_g51  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[19].NON_ZERO_INT.config_gw_inst_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_gw_clear_reg_we[19]),
+	.B1_N(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[38]),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[19].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[19].NON_ZERO_INT.config_gw_inst_g52  (
+	.A(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[19].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[19].NON_ZERO_INT.config_gw_inst_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[19].NON_ZERO_INT.config_gw_inst_int_pend_ff_genblk1.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_clk[4]),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[19].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ),
+	.Q(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[19].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[19].NON_ZERO_INT.gw_config_ff_g56  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[39]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[1]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[19]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[19].NON_ZERO_INT.gw_config_ff_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[19].NON_ZERO_INT.gw_config_ff_g57  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[38]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[19]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[19].NON_ZERO_INT.gw_config_ff_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[19].NON_ZERO_INT.gw_config_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_config_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[19].NON_ZERO_INT.gw_config_ff_n_3 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[38]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[19].NON_ZERO_INT.gw_config_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_config_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[19].NON_ZERO_INT.gw_config_ff_n_2 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[39]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[19].NON_ZERO_INT.intenable_ff_g30  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[19]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg_we[19]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[19].NON_ZERO_INT.intenable_ff_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[19].NON_ZERO_INT.intenable_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_int_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[19].NON_ZERO_INT.intenable_ff_n_2 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[19].NON_ZERO_INT.intpriority_ff_g107  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[19].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[79]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[19]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[3]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[19].NON_ZERO_INT.intpriority_ff_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[19].NON_ZERO_INT.intpriority_ff_g108  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[19].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[76]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[19]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[19].NON_ZERO_INT.intpriority_ff_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[19].NON_ZERO_INT.intpriority_ff_g109  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[19].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[78]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[19]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[2]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[19].NON_ZERO_INT.intpriority_ff_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[19].NON_ZERO_INT.intpriority_ff_g110  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[19].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[77]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[19]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[1]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[19].NON_ZERO_INT.intpriority_ff_n_5 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[19].NON_ZERO_INT.intpriority_ff_g111  (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[19]),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[19].NON_ZERO_INT.intpriority_ff_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[19].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[19].NON_ZERO_INT.intpriority_ff_n_6 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[76]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[19].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[19].NON_ZERO_INT.intpriority_ff_n_3 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[79]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[19].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[19].NON_ZERO_INT.intpriority_ff_n_5 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[77]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[19].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[19].NON_ZERO_INT.intpriority_ff_n_4 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[78]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[20].NON_ZERO_INT.config_gw_inst_g49  (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[41]),
+	.A2(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[20].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[40]),
+	.X(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[20].NON_ZERO_INT.config_gw_inst_g51  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[20].NON_ZERO_INT.config_gw_inst_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_gw_clear_reg_we[20]),
+	.B1_N(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[40]),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[20].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[20].NON_ZERO_INT.config_gw_inst_g52  (
+	.A(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[20].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[20].NON_ZERO_INT.config_gw_inst_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[20].NON_ZERO_INT.config_gw_inst_int_pend_ff_genblk1.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_clk[5]),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[20].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ),
+	.Q(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[20].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[20].NON_ZERO_INT.gw_config_ff_g56  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[41]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[1]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[20]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[20].NON_ZERO_INT.gw_config_ff_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[20].NON_ZERO_INT.gw_config_ff_g57  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[40]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[20]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[20].NON_ZERO_INT.gw_config_ff_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[20].NON_ZERO_INT.gw_config_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_config_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[20].NON_ZERO_INT.gw_config_ff_n_3 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[40]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[20].NON_ZERO_INT.gw_config_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_config_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[20].NON_ZERO_INT.gw_config_ff_n_2 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[41]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[20].NON_ZERO_INT.intenable_ff_g30  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[20]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg_we[20]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[20].NON_ZERO_INT.intenable_ff_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[20].NON_ZERO_INT.intenable_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_int_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[20].NON_ZERO_INT.intenable_ff_n_2 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[20].NON_ZERO_INT.intpriority_ff_g107  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[20].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[83]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[20]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[3]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[20].NON_ZERO_INT.intpriority_ff_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[20].NON_ZERO_INT.intpriority_ff_g108  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[20].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[80]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[20]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[20].NON_ZERO_INT.intpriority_ff_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[20].NON_ZERO_INT.intpriority_ff_g109  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[20].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[82]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[20]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[2]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[20].NON_ZERO_INT.intpriority_ff_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[20].NON_ZERO_INT.intpriority_ff_g110  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[20].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[81]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[20]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[1]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[20].NON_ZERO_INT.intpriority_ff_n_5 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[20].NON_ZERO_INT.intpriority_ff_g111  (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[20]),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[20].NON_ZERO_INT.intpriority_ff_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[20].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[20].NON_ZERO_INT.intpriority_ff_n_6 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[80]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[20].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[20].NON_ZERO_INT.intpriority_ff_n_3 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[83]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[20].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[20].NON_ZERO_INT.intpriority_ff_n_5 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[81]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[20].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[20].NON_ZERO_INT.intpriority_ff_n_4 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[82]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[21].NON_ZERO_INT.config_gw_inst_g49  (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[43]),
+	.A2(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[21].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[42]),
+	.X(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[21].NON_ZERO_INT.config_gw_inst_g51  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[21].NON_ZERO_INT.config_gw_inst_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_gw_clear_reg_we[21]),
+	.B1_N(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[42]),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[21].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[21].NON_ZERO_INT.config_gw_inst_g52  (
+	.A(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[21].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[21].NON_ZERO_INT.config_gw_inst_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[21].NON_ZERO_INT.config_gw_inst_int_pend_ff_genblk1.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_clk[5]),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[21].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ),
+	.Q(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[21].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[21].NON_ZERO_INT.gw_config_ff_g56  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[43]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[1]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[21]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[21].NON_ZERO_INT.gw_config_ff_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[21].NON_ZERO_INT.gw_config_ff_g57  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[42]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[21]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[21].NON_ZERO_INT.gw_config_ff_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[21].NON_ZERO_INT.gw_config_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_config_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[21].NON_ZERO_INT.gw_config_ff_n_3 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[42]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[21].NON_ZERO_INT.gw_config_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_config_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[21].NON_ZERO_INT.gw_config_ff_n_2 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[43]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[21].NON_ZERO_INT.intenable_ff_g30  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[21]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg_we[21]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[21].NON_ZERO_INT.intenable_ff_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[21].NON_ZERO_INT.intenable_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_int_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[21].NON_ZERO_INT.intenable_ff_n_2 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[21].NON_ZERO_INT.intpriority_ff_g107  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[21].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[87]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[21]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[3]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[21].NON_ZERO_INT.intpriority_ff_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[21].NON_ZERO_INT.intpriority_ff_g108  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[21].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[84]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[21]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[21].NON_ZERO_INT.intpriority_ff_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[21].NON_ZERO_INT.intpriority_ff_g109  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[21].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[86]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[21]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[2]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[21].NON_ZERO_INT.intpriority_ff_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[21].NON_ZERO_INT.intpriority_ff_g110  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[21].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[85]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[21]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[1]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[21].NON_ZERO_INT.intpriority_ff_n_5 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[21].NON_ZERO_INT.intpriority_ff_g111  (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[21]),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[21].NON_ZERO_INT.intpriority_ff_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[21].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[21].NON_ZERO_INT.intpriority_ff_n_6 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[84]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[21].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[21].NON_ZERO_INT.intpriority_ff_n_3 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[87]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[21].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[21].NON_ZERO_INT.intpriority_ff_n_5 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[85]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[21].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[21].NON_ZERO_INT.intpriority_ff_n_4 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[86]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[22].NON_ZERO_INT.config_gw_inst_g49  (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[45]),
+	.A2(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[22].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[44]),
+	.X(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[22].NON_ZERO_INT.config_gw_inst_g51  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[22].NON_ZERO_INT.config_gw_inst_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_gw_clear_reg_we[22]),
+	.B1_N(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[44]),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[22].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[22].NON_ZERO_INT.config_gw_inst_g52  (
+	.A(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[22].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[22].NON_ZERO_INT.config_gw_inst_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[22].NON_ZERO_INT.config_gw_inst_int_pend_ff_genblk1.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_clk[5]),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[22].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ),
+	.Q(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[22].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[22].NON_ZERO_INT.gw_config_ff_g56  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[45]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[1]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[22]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[22].NON_ZERO_INT.gw_config_ff_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[22].NON_ZERO_INT.gw_config_ff_g57  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[44]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[22]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[22].NON_ZERO_INT.gw_config_ff_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[22].NON_ZERO_INT.gw_config_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_config_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[22].NON_ZERO_INT.gw_config_ff_n_3 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[44]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[22].NON_ZERO_INT.gw_config_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_config_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[22].NON_ZERO_INT.gw_config_ff_n_2 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[45]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[22].NON_ZERO_INT.intenable_ff_g30  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[22]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg_we[22]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[22].NON_ZERO_INT.intenable_ff_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[22].NON_ZERO_INT.intenable_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_int_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[22].NON_ZERO_INT.intenable_ff_n_2 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[22].NON_ZERO_INT.intpriority_ff_g107  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[22].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[91]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[22]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[3]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[22].NON_ZERO_INT.intpriority_ff_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[22].NON_ZERO_INT.intpriority_ff_g108  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[22].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[88]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[22]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[22].NON_ZERO_INT.intpriority_ff_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[22].NON_ZERO_INT.intpriority_ff_g109  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[22].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[90]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[22]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[2]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[22].NON_ZERO_INT.intpriority_ff_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[22].NON_ZERO_INT.intpriority_ff_g110  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[22].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[89]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[22]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[1]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[22].NON_ZERO_INT.intpriority_ff_n_5 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[22].NON_ZERO_INT.intpriority_ff_g111  (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[22]),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[22].NON_ZERO_INT.intpriority_ff_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[22].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[22].NON_ZERO_INT.intpriority_ff_n_6 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[88]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[22].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[22].NON_ZERO_INT.intpriority_ff_n_3 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[91]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[22].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[22].NON_ZERO_INT.intpriority_ff_n_5 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[89]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[22].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[22].NON_ZERO_INT.intpriority_ff_n_4 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[90]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[23].NON_ZERO_INT.config_gw_inst_g49  (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[47]),
+	.A2(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[23].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[46]),
+	.X(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[23].NON_ZERO_INT.config_gw_inst_g51  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[23].NON_ZERO_INT.config_gw_inst_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_gw_clear_reg_we[23]),
+	.B1_N(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[46]),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[23].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[23].NON_ZERO_INT.config_gw_inst_g52  (
+	.A(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[23].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[23].NON_ZERO_INT.config_gw_inst_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[23].NON_ZERO_INT.config_gw_inst_int_pend_ff_genblk1.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_clk[5]),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[23].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ),
+	.Q(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[23].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[23].NON_ZERO_INT.gw_config_ff_g56  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[47]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[1]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[23]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[23].NON_ZERO_INT.gw_config_ff_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[23].NON_ZERO_INT.gw_config_ff_g57  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[46]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[23]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[23].NON_ZERO_INT.gw_config_ff_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[23].NON_ZERO_INT.gw_config_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_config_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[23].NON_ZERO_INT.gw_config_ff_n_3 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[46]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[23].NON_ZERO_INT.gw_config_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_config_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[23].NON_ZERO_INT.gw_config_ff_n_2 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[47]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[23].NON_ZERO_INT.intenable_ff_g30  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[23]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg_we[23]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[23].NON_ZERO_INT.intenable_ff_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[23].NON_ZERO_INT.intenable_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_int_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[23].NON_ZERO_INT.intenable_ff_n_2 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[23].NON_ZERO_INT.intpriority_ff_g107  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[23].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[95]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[23]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[3]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[23].NON_ZERO_INT.intpriority_ff_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[23].NON_ZERO_INT.intpriority_ff_g108  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[23].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[92]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[23]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[23].NON_ZERO_INT.intpriority_ff_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[23].NON_ZERO_INT.intpriority_ff_g109  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[23].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[94]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[23]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[2]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[23].NON_ZERO_INT.intpriority_ff_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[23].NON_ZERO_INT.intpriority_ff_g110  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[23].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[93]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[23]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[1]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[23].NON_ZERO_INT.intpriority_ff_n_5 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[23].NON_ZERO_INT.intpriority_ff_g111  (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[23]),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[23].NON_ZERO_INT.intpriority_ff_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[23].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[23].NON_ZERO_INT.intpriority_ff_n_6 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[92]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[23].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[23].NON_ZERO_INT.intpriority_ff_n_3 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[95]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[23].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[23].NON_ZERO_INT.intpriority_ff_n_5 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[93]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[23].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[23].NON_ZERO_INT.intpriority_ff_n_4 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[94]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[24].NON_ZERO_INT.config_gw_inst_g49  (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[49]),
+	.A2(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[24].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[48]),
+	.X(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[24].NON_ZERO_INT.config_gw_inst_g51  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[24].NON_ZERO_INT.config_gw_inst_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_gw_clear_reg_we[24]),
+	.B1_N(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[48]),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[24].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[24].NON_ZERO_INT.config_gw_inst_g52  (
+	.A(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[24].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[24].NON_ZERO_INT.config_gw_inst_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[24].NON_ZERO_INT.config_gw_inst_int_pend_ff_genblk1.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_clk[6]),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[24].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ),
+	.Q(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[24].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[24].NON_ZERO_INT.gw_config_ff_g56  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[49]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[1]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[24]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[24].NON_ZERO_INT.gw_config_ff_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[24].NON_ZERO_INT.gw_config_ff_g57  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[48]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[24]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[24].NON_ZERO_INT.gw_config_ff_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[24].NON_ZERO_INT.gw_config_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_config_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[24].NON_ZERO_INT.gw_config_ff_n_3 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[48]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[24].NON_ZERO_INT.gw_config_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_config_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[24].NON_ZERO_INT.gw_config_ff_n_2 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[49]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[24].NON_ZERO_INT.intenable_ff_g30  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[24]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg_we[24]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[24].NON_ZERO_INT.intenable_ff_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[24].NON_ZERO_INT.intenable_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_int_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[24].NON_ZERO_INT.intenable_ff_n_2 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[24].NON_ZERO_INT.intpriority_ff_g107  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[24].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[99]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[24]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[3]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[24].NON_ZERO_INT.intpriority_ff_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[24].NON_ZERO_INT.intpriority_ff_g108  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[24].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[96]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[24]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[24].NON_ZERO_INT.intpriority_ff_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[24].NON_ZERO_INT.intpriority_ff_g109  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[24].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[98]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[24]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[2]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[24].NON_ZERO_INT.intpriority_ff_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[24].NON_ZERO_INT.intpriority_ff_g110  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[24].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[97]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[24]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[1]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[24].NON_ZERO_INT.intpriority_ff_n_5 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[24].NON_ZERO_INT.intpriority_ff_g111  (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[24]),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[24].NON_ZERO_INT.intpriority_ff_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[24].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[24].NON_ZERO_INT.intpriority_ff_n_6 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[96]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[24].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[24].NON_ZERO_INT.intpriority_ff_n_3 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[99]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[24].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[24].NON_ZERO_INT.intpriority_ff_n_5 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[97]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[24].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[24].NON_ZERO_INT.intpriority_ff_n_4 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[98]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[25].NON_ZERO_INT.config_gw_inst_g49  (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[51]),
+	.A2(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[25].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[50]),
+	.X(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[25].NON_ZERO_INT.config_gw_inst_g51  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[25].NON_ZERO_INT.config_gw_inst_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_gw_clear_reg_we[25]),
+	.B1_N(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[50]),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[25].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[25].NON_ZERO_INT.config_gw_inst_g52  (
+	.A(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[25].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[25].NON_ZERO_INT.config_gw_inst_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[25].NON_ZERO_INT.config_gw_inst_int_pend_ff_genblk1.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_clk[6]),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[25].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ),
+	.Q(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[25].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[25].NON_ZERO_INT.gw_config_ff_g56  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[51]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[1]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[25]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[25].NON_ZERO_INT.gw_config_ff_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[25].NON_ZERO_INT.gw_config_ff_g57  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[50]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[25]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[25].NON_ZERO_INT.gw_config_ff_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[25].NON_ZERO_INT.gw_config_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_config_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[25].NON_ZERO_INT.gw_config_ff_n_3 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[50]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[25].NON_ZERO_INT.gw_config_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_config_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[25].NON_ZERO_INT.gw_config_ff_n_2 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[51]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[25].NON_ZERO_INT.intenable_ff_g30  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[25]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg_we[25]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[25].NON_ZERO_INT.intenable_ff_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[25].NON_ZERO_INT.intenable_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_int_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[25].NON_ZERO_INT.intenable_ff_n_2 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[25].NON_ZERO_INT.intpriority_ff_g107  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[25].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[103]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[25]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[3]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[25].NON_ZERO_INT.intpriority_ff_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[25].NON_ZERO_INT.intpriority_ff_g108  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[25].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[100]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[25]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[25].NON_ZERO_INT.intpriority_ff_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[25].NON_ZERO_INT.intpriority_ff_g109  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[25].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[102]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[25]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[2]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[25].NON_ZERO_INT.intpriority_ff_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[25].NON_ZERO_INT.intpriority_ff_g110  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[25].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[101]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[25]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[1]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[25].NON_ZERO_INT.intpriority_ff_n_5 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[25].NON_ZERO_INT.intpriority_ff_g111  (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[25]),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[25].NON_ZERO_INT.intpriority_ff_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[25].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[25].NON_ZERO_INT.intpriority_ff_n_6 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[100]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[25].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[25].NON_ZERO_INT.intpriority_ff_n_3 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[103]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[25].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[25].NON_ZERO_INT.intpriority_ff_n_5 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[101]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[25].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[25].NON_ZERO_INT.intpriority_ff_n_4 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[102]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[26].NON_ZERO_INT.config_gw_inst_g49  (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[53]),
+	.A2(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[26].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[52]),
+	.X(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[26].NON_ZERO_INT.config_gw_inst_g51  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[26].NON_ZERO_INT.config_gw_inst_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_gw_clear_reg_we[26]),
+	.B1_N(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[52]),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[26].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[26].NON_ZERO_INT.config_gw_inst_g52  (
+	.A(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[26].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[26].NON_ZERO_INT.config_gw_inst_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[26].NON_ZERO_INT.config_gw_inst_int_pend_ff_genblk1.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_clk[6]),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[26].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ),
+	.Q(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[26].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[26].NON_ZERO_INT.gw_config_ff_g56  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[53]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[1]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[26]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[26].NON_ZERO_INT.gw_config_ff_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[26].NON_ZERO_INT.gw_config_ff_g57  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[52]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[26]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[26].NON_ZERO_INT.gw_config_ff_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[26].NON_ZERO_INT.gw_config_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_config_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[26].NON_ZERO_INT.gw_config_ff_n_3 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[52]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[26].NON_ZERO_INT.gw_config_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_config_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[26].NON_ZERO_INT.gw_config_ff_n_2 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[53]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[26].NON_ZERO_INT.intenable_ff_g30  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[26]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg_we[26]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[26].NON_ZERO_INT.intenable_ff_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[26].NON_ZERO_INT.intenable_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_int_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[26].NON_ZERO_INT.intenable_ff_n_2 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[26].NON_ZERO_INT.intpriority_ff_g107  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[26].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[107]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[26]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[3]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[26].NON_ZERO_INT.intpriority_ff_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[26].NON_ZERO_INT.intpriority_ff_g108  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[26].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[104]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[26]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[26].NON_ZERO_INT.intpriority_ff_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[26].NON_ZERO_INT.intpriority_ff_g109  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[26].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[106]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[26]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[2]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[26].NON_ZERO_INT.intpriority_ff_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[26].NON_ZERO_INT.intpriority_ff_g110  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[26].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[105]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[26]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[1]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[26].NON_ZERO_INT.intpriority_ff_n_5 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[26].NON_ZERO_INT.intpriority_ff_g111  (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[26]),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[26].NON_ZERO_INT.intpriority_ff_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[26].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[26].NON_ZERO_INT.intpriority_ff_n_6 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[104]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[26].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[26].NON_ZERO_INT.intpriority_ff_n_3 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[107]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[26].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[26].NON_ZERO_INT.intpriority_ff_n_5 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[105]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[26].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[26].NON_ZERO_INT.intpriority_ff_n_4 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[106]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[27].NON_ZERO_INT.config_gw_inst_g49  (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[55]),
+	.A2(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[27].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[54]),
+	.X(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[27].NON_ZERO_INT.config_gw_inst_g51  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[27].NON_ZERO_INT.config_gw_inst_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_gw_clear_reg_we[27]),
+	.B1_N(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[54]),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[27].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[27].NON_ZERO_INT.config_gw_inst_g52  (
+	.A(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[27].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[27].NON_ZERO_INT.config_gw_inst_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[27].NON_ZERO_INT.config_gw_inst_int_pend_ff_genblk1.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_clk[6]),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[27].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ),
+	.Q(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[27].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[27].NON_ZERO_INT.gw_config_ff_g56  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[55]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[1]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[27]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[27].NON_ZERO_INT.gw_config_ff_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[27].NON_ZERO_INT.gw_config_ff_g57  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[54]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[27]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[27].NON_ZERO_INT.gw_config_ff_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[27].NON_ZERO_INT.gw_config_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_config_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[27].NON_ZERO_INT.gw_config_ff_n_3 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[54]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[27].NON_ZERO_INT.gw_config_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_config_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[27].NON_ZERO_INT.gw_config_ff_n_2 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[55]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[27].NON_ZERO_INT.intenable_ff_g30  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[27]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg_we[27]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[27].NON_ZERO_INT.intenable_ff_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[27].NON_ZERO_INT.intenable_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_int_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[27].NON_ZERO_INT.intenable_ff_n_2 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[27].NON_ZERO_INT.intpriority_ff_g107  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[27].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[111]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[27]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[3]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[27].NON_ZERO_INT.intpriority_ff_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[27].NON_ZERO_INT.intpriority_ff_g108  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[27].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[108]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[27]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[27].NON_ZERO_INT.intpriority_ff_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[27].NON_ZERO_INT.intpriority_ff_g109  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[27].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[110]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[27]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[2]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[27].NON_ZERO_INT.intpriority_ff_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[27].NON_ZERO_INT.intpriority_ff_g110  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[27].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[109]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[27]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[1]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[27].NON_ZERO_INT.intpriority_ff_n_5 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[27].NON_ZERO_INT.intpriority_ff_g111  (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[27]),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[27].NON_ZERO_INT.intpriority_ff_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[27].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[27].NON_ZERO_INT.intpriority_ff_n_6 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[108]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[27].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[27].NON_ZERO_INT.intpriority_ff_n_3 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[111]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[27].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[27].NON_ZERO_INT.intpriority_ff_n_5 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[109]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[27].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[27].NON_ZERO_INT.intpriority_ff_n_4 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[110]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[28].NON_ZERO_INT.config_gw_inst_g49  (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[57]),
+	.A2(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[28].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[56]),
+	.X(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[28].NON_ZERO_INT.config_gw_inst_g51  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[28].NON_ZERO_INT.config_gw_inst_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_gw_clear_reg_we[28]),
+	.B1_N(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[56]),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[28].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[28].NON_ZERO_INT.config_gw_inst_g52  (
+	.A(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[28].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[28].NON_ZERO_INT.config_gw_inst_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[28].NON_ZERO_INT.config_gw_inst_int_pend_ff_genblk1.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_clk[7]),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[28].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ),
+	.Q(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[28].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[28].NON_ZERO_INT.gw_config_ff_g56  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[57]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[1]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[28]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[28].NON_ZERO_INT.gw_config_ff_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[28].NON_ZERO_INT.gw_config_ff_g57  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[56]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[28]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[28].NON_ZERO_INT.gw_config_ff_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[28].NON_ZERO_INT.gw_config_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_config_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[28].NON_ZERO_INT.gw_config_ff_n_3 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[56]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[28].NON_ZERO_INT.gw_config_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_config_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[28].NON_ZERO_INT.gw_config_ff_n_2 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[57]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[28].NON_ZERO_INT.intenable_ff_g30  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[28]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg_we[28]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[28].NON_ZERO_INT.intenable_ff_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[28].NON_ZERO_INT.intenable_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_int_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[28].NON_ZERO_INT.intenable_ff_n_2 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[28].NON_ZERO_INT.intpriority_ff_g107  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[28].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[115]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[28]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[3]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[28].NON_ZERO_INT.intpriority_ff_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[28].NON_ZERO_INT.intpriority_ff_g108  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[28].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[112]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[28]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[28].NON_ZERO_INT.intpriority_ff_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[28].NON_ZERO_INT.intpriority_ff_g109  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[28].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[114]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[28]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[2]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[28].NON_ZERO_INT.intpriority_ff_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[28].NON_ZERO_INT.intpriority_ff_g110  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[28].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[113]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[28]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[1]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[28].NON_ZERO_INT.intpriority_ff_n_5 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[28].NON_ZERO_INT.intpriority_ff_g111  (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[28]),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[28].NON_ZERO_INT.intpriority_ff_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[28].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[28].NON_ZERO_INT.intpriority_ff_n_6 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[112]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[28].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[28].NON_ZERO_INT.intpriority_ff_n_3 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[115]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[28].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[28].NON_ZERO_INT.intpriority_ff_n_5 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[113]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[28].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[28].NON_ZERO_INT.intpriority_ff_n_4 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[114]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[29].NON_ZERO_INT.config_gw_inst_g49  (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[59]),
+	.A2(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[29].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[58]),
+	.X(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[29].NON_ZERO_INT.config_gw_inst_g51  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[29].NON_ZERO_INT.config_gw_inst_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_gw_clear_reg_we[29]),
+	.B1_N(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[58]),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[29].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[29].NON_ZERO_INT.config_gw_inst_g52  (
+	.A(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[29].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[29].NON_ZERO_INT.config_gw_inst_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[29].NON_ZERO_INT.config_gw_inst_int_pend_ff_genblk1.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_clk[7]),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[29].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ),
+	.Q(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[29].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[29].NON_ZERO_INT.gw_config_ff_g56  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[59]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[1]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[29]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[29].NON_ZERO_INT.gw_config_ff_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[29].NON_ZERO_INT.gw_config_ff_g57  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[58]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[29]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[29].NON_ZERO_INT.gw_config_ff_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[29].NON_ZERO_INT.gw_config_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_config_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[29].NON_ZERO_INT.gw_config_ff_n_3 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[58]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[29].NON_ZERO_INT.gw_config_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_config_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[29].NON_ZERO_INT.gw_config_ff_n_2 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[59]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[29].NON_ZERO_INT.intenable_ff_g30  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[29]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg_we[29]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[29].NON_ZERO_INT.intenable_ff_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[29].NON_ZERO_INT.intenable_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_int_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[29].NON_ZERO_INT.intenable_ff_n_2 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[29].NON_ZERO_INT.intpriority_ff_g107  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[29].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[119]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[29]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[3]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[29].NON_ZERO_INT.intpriority_ff_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[29].NON_ZERO_INT.intpriority_ff_g108  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[29].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[116]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[29]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[29].NON_ZERO_INT.intpriority_ff_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[29].NON_ZERO_INT.intpriority_ff_g109  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[29].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[118]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[29]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[2]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[29].NON_ZERO_INT.intpriority_ff_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[29].NON_ZERO_INT.intpriority_ff_g110  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[29].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[117]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[29]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[1]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[29].NON_ZERO_INT.intpriority_ff_n_5 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[29].NON_ZERO_INT.intpriority_ff_g111  (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[29]),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[29].NON_ZERO_INT.intpriority_ff_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[29].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[29].NON_ZERO_INT.intpriority_ff_n_6 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[116]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[29].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[29].NON_ZERO_INT.intpriority_ff_n_3 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[119]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[29].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[29].NON_ZERO_INT.intpriority_ff_n_5 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[117]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[29].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[29].NON_ZERO_INT.intpriority_ff_n_4 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[118]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[30].NON_ZERO_INT.config_gw_inst_g49  (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[61]),
+	.A2(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[30].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[60]),
+	.X(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[30].NON_ZERO_INT.config_gw_inst_g51  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[30].NON_ZERO_INT.config_gw_inst_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_gw_clear_reg_we[30]),
+	.B1_N(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[60]),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[30].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[30].NON_ZERO_INT.config_gw_inst_g52  (
+	.A(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[30].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[30].NON_ZERO_INT.config_gw_inst_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[30].NON_ZERO_INT.config_gw_inst_int_pend_ff_genblk1.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_clk[7]),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[30].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ),
+	.Q(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[30].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[30].NON_ZERO_INT.gw_config_ff_g56  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[61]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[1]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[30]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[30].NON_ZERO_INT.gw_config_ff_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[30].NON_ZERO_INT.gw_config_ff_g57  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[60]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[30]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[30].NON_ZERO_INT.gw_config_ff_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[30].NON_ZERO_INT.gw_config_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_config_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[30].NON_ZERO_INT.gw_config_ff_n_3 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[60]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[30].NON_ZERO_INT.gw_config_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_config_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[30].NON_ZERO_INT.gw_config_ff_n_2 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[61]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[30].NON_ZERO_INT.intenable_ff_g30  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[30]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg_we[30]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[30].NON_ZERO_INT.intenable_ff_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[30].NON_ZERO_INT.intenable_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_int_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[30].NON_ZERO_INT.intenable_ff_n_2 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[30].NON_ZERO_INT.intpriority_ff_g107  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[30].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[123]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[30]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[3]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[30].NON_ZERO_INT.intpriority_ff_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[30].NON_ZERO_INT.intpriority_ff_g108  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[30].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[120]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[30]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[30].NON_ZERO_INT.intpriority_ff_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[30].NON_ZERO_INT.intpriority_ff_g109  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[30].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[122]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[30]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[2]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[30].NON_ZERO_INT.intpriority_ff_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[30].NON_ZERO_INT.intpriority_ff_g110  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[30].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[121]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[30]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[1]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[30].NON_ZERO_INT.intpriority_ff_n_5 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[30].NON_ZERO_INT.intpriority_ff_g111  (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[30]),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[30].NON_ZERO_INT.intpriority_ff_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[30].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[30].NON_ZERO_INT.intpriority_ff_n_6 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[120]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[30].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[30].NON_ZERO_INT.intpriority_ff_n_3 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[123]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[30].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[30].NON_ZERO_INT.intpriority_ff_n_5 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[121]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[30].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[30].NON_ZERO_INT.intpriority_ff_n_4 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[122]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[31].NON_ZERO_INT.config_gw_inst_g49  (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[63]),
+	.A2(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[31].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[62]),
+	.X(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[31].NON_ZERO_INT.config_gw_inst_g51  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[31].NON_ZERO_INT.config_gw_inst_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_gw_clear_reg_we[31]),
+	.B1_N(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[62]),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[31].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[31].NON_ZERO_INT.config_gw_inst_g52  (
+	.A(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[31].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[31].NON_ZERO_INT.config_gw_inst_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[31].NON_ZERO_INT.config_gw_inst_int_pend_ff_genblk1.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_clk[7]),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[31].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ),
+	.Q(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[31].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[31].NON_ZERO_INT.gw_config_ff_g56  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[63]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[1]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[31]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[31].NON_ZERO_INT.gw_config_ff_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[31].NON_ZERO_INT.gw_config_ff_g57  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[62]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[31]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[31].NON_ZERO_INT.gw_config_ff_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[31].NON_ZERO_INT.gw_config_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_config_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[31].NON_ZERO_INT.gw_config_ff_n_3 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[62]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[31].NON_ZERO_INT.gw_config_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_config_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[31].NON_ZERO_INT.gw_config_ff_n_2 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[63]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[31].NON_ZERO_INT.intenable_ff_g30  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[31]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg_we[31]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[31].NON_ZERO_INT.intenable_ff_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[31].NON_ZERO_INT.intenable_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_int_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[31].NON_ZERO_INT.intenable_ff_n_2 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[31].NON_ZERO_INT.intpriority_ff_g107  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[31].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[127]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[31]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[3]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[31].NON_ZERO_INT.intpriority_ff_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[31].NON_ZERO_INT.intpriority_ff_g108  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[31].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[124]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[31]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[31].NON_ZERO_INT.intpriority_ff_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[31].NON_ZERO_INT.intpriority_ff_g109  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[31].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[126]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[31]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[2]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[31].NON_ZERO_INT.intpriority_ff_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[31].NON_ZERO_INT.intpriority_ff_g110  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[31].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[125]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[31]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[1]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[31].NON_ZERO_INT.intpriority_ff_n_5 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[31].NON_ZERO_INT.intpriority_ff_g111  (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[31]),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[31].NON_ZERO_INT.intpriority_ff_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[31].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[31].NON_ZERO_INT.intpriority_ff_n_6 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[124]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[31].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[31].NON_ZERO_INT.intpriority_ff_n_3 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[127]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[31].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[31].NON_ZERO_INT.intpriority_ff_n_5 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[125]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[31].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[31].NON_ZERO_INT.intpriority_ff_n_4 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[126]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_claimid_ff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_free_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_genblock.level_intpend_id [1364]),
+	.Q(brqrv_top_brqrv_pic_claimid[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_claimid_ff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_free_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_genblock.level_intpend_id [1362]),
+	.Q(brqrv_top_brqrv_pic_claimid[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_claimid_ff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_free_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_genblock.level_intpend_id [1361]),
+	.Q(brqrv_top_brqrv_pic_claimid[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_claimid_ff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_free_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_genblock.level_intpend_id [1363]),
+	.Q(brqrv_top_brqrv_pic_claimid[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_claimid_ff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_free_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_genblock.level_intpend_id [1360]),
+	.Q(brqrv_top_brqrv_pic_claimid[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 brqrv_top_brqrv_pic_ctrl_inst_config_reg_ff_g30 (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_config_reg),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_config_reg_we),
+	.X(brqrv_top_brqrv_pic_ctrl_inst_config_reg_ff_n_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_config_reg_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_free_clk),
+	.D(brqrv_top_brqrv_pic_ctrl_inst_config_reg_ff_n_2),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_config_reg),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 brqrv_top_brqrv_pic_ctrl_inst_gw_config_c1_cgc_clkhdr (
+	.CLK(brqrv_top_brqrv_n_7),
+	.GATE(brqrv_top_brqrv_pic_ctrl_inst_gw_config_c1_clken),
+	.GCLK(brqrv_top_brqrv_pic_ctrl_inst_gw_config_c1_clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_mexintpend_ff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_free_clk),
+	.D(brqrv_top_brqrv_pic_ctrl_inst_mexintpend_in),
+	.Q(brqrv_top_brqrv_mexintpend),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 brqrv_top_brqrv_pic_ctrl_inst_pic_addr_c1_cgc_clkhdr (
+	.CLK(brqrv_top_brqrv_n_7),
+	.GATE(brqrv_top_brqrv_pic_ctrl_inst_pic_raddr_c1_clken),
+	.GCLK(brqrv_top_brqrv_pic_ctrl_inst_pic_raddr_c1_clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 brqrv_top_brqrv_pic_ctrl_inst_pic_data_c1_cgc_clkhdr (
+	.CLK(brqrv_top_brqrv_n_7),
+	.GATE(brqrv_top_brqrv_pic_ctrl_inst_pic_data_c1_clken),
+	.GCLK(brqrv_top_brqrv_pic_ctrl_inst_pic_data_c1_clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 brqrv_top_brqrv_pic_ctrl_inst_pic_int_c1_cgc_clkhdr (
+	.CLK(brqrv_top_brqrv_n_7),
+	.GATE(brqrv_top_brqrv_pic_ctrl_inst_pic_int_c1_clken),
+	.GCLK(brqrv_top_brqrv_pic_ctrl_inst_pic_int_c1_clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_cgc_clkhdr (
+	.CLK(brqrv_top_brqrv_n_7),
+	.GATE(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clken),
+	.GCLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_picm_dat_flop_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_data_c1_clk),
+	.D(brqrv_top_brqrv_picm_wr_data[12]),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_picm_dat_flop_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_data_c1_clk),
+	.D(n_42126),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_picm_dat_flop_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_data_c1_clk),
+	.D(n_42125),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_picm_dat_flop_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_data_c1_clk),
+	.D(n_42124),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_picm_dat_flop_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_data_c1_clk),
+	.D(n_42123),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_picm_dat_flop_dout_reg[27]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_data_c1_clk),
+	.D(brqrv_top_brqrv_picm_wr_data[27]),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_picm_dat_flop_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_data_c1_clk),
+	.D(n_42122),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_picm_dat_flop_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_data_c1_clk),
+	.D(n_42121),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_picm_dat_flop_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_data_c1_clk),
+	.D(n_43254),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_picm_dat_flop_dout_reg[23]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_data_c1_clk),
+	.D(brqrv_top_brqrv_picm_wr_data[23]),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_picm_dat_flop_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_data_c1_clk),
+	.D(brqrv_top_brqrv_picm_wr_data[8]),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_picm_dat_flop_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_data_c1_clk),
+	.D(brqrv_top_brqrv_picm_wr_data[9]),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_picm_dat_flop_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_data_c1_clk),
+	.D(brqrv_top_brqrv_picm_wr_data[10]),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_picm_dat_flop_dout_reg[19]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_data_c1_clk),
+	.D(brqrv_top_brqrv_picm_wr_data[19]),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_picm_dat_flop_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_data_c1_clk),
+	.D(brqrv_top_brqrv_picm_wr_data[11]),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_picm_dat_flop_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_data_c1_clk),
+	.D(n_43255),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_picm_dat_flop_dout_reg[31]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_data_c1_clk),
+	.D(brqrv_top_brqrv_picm_wr_data[31]),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_picm_dat_flop_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_data_c1_clk),
+	.D(brqrv_top_brqrv_picm_wr_data[14]),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_picm_dat_flop_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_data_c1_clk),
+	.D(brqrv_top_brqrv_picm_wr_data[15]),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_picm_dat_flop_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_data_c1_clk),
+	.D(brqrv_top_brqrv_picm_wr_data[16]),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_picm_dat_flop_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_data_c1_clk),
+	.D(brqrv_top_brqrv_picm_wr_data[17]),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_picm_dat_flop_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_data_c1_clk),
+	.D(brqrv_top_brqrv_picm_wr_data[18]),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_picm_dat_flop_dout_reg[20]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_data_c1_clk),
+	.D(brqrv_top_brqrv_picm_wr_data[20]),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_picm_dat_flop_dout_reg[21]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_data_c1_clk),
+	.D(brqrv_top_brqrv_picm_wr_data[21]),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_picm_dat_flop_dout_reg[22]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_data_c1_clk),
+	.D(brqrv_top_brqrv_picm_wr_data[22]),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_picm_dat_flop_dout_reg[24]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_data_c1_clk),
+	.D(brqrv_top_brqrv_picm_wr_data[24]),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_picm_dat_flop_dout_reg[25]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_data_c1_clk),
+	.D(brqrv_top_brqrv_picm_wr_data[25]),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_picm_dat_flop_dout_reg[26]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_data_c1_clk),
+	.D(brqrv_top_brqrv_picm_wr_data[26]),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_picm_dat_flop_dout_reg[28]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_data_c1_clk),
+	.D(brqrv_top_brqrv_picm_wr_data[28]),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_picm_dat_flop_dout_reg[29]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_data_c1_clk),
+	.D(brqrv_top_brqrv_picm_wr_data[29]),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_picm_dat_flop_dout_reg[30]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_data_c1_clk),
+	.D(brqrv_top_brqrv_picm_wr_data[30]),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_picm_dat_flop_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_data_c1_clk),
+	.D(brqrv_top_brqrv_picm_wr_data[13]),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_picm_mke_flop_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_free_clk),
+	.D(brqrv_top_brqrv_picm_mken),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_picm_mken_ff),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_picm_radd_flop_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_raddr_c1_clk),
+	.D(brqrv_top_brqrv_pic_ctrl_inst_picm_radd_flop_logic_1_1_net),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_picm_radd_flop_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_raddr_c1_clk),
+	.D(brqrv_top_dccm_rd_addr_lo[9]),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_picm_radd_flop_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_raddr_c1_clk),
+	.D(brqrv_top_brqrv_picm_rdaddr[1]),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_picm_radd_flop_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_raddr_c1_clk),
+	.D(brqrv_top_brqrv_picm_rdaddr[13]),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrbp_1 \brqrv_top_brqrv_pic_ctrl_inst_picm_radd_flop_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_raddr_c1_clk),
+	.D(n_683),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_picm_radd_flop_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_raddr_c1_clk),
+	.D(brqrv_top_dccm_rd_addr_lo[3]),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_picm_radd_flop_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_raddr_c1_clk),
+	.D(brqrv_top_dccm_rd_addr_lo[4]),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_picm_radd_flop_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_raddr_c1_clk),
+	.D(brqrv_top_brqrv_picm_rdaddr[0]),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_picm_radd_flop_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_raddr_c1_clk),
+	.D(brqrv_top_dccm_rd_addr_lo[10]),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_picm_radd_flop_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_raddr_c1_clk),
+	.D(brqrv_top_dccm_rd_addr_lo[6]),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_picm_radd_flop_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_raddr_c1_clk),
+	.D(brqrv_top_dccm_rd_addr_lo[7]),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_picm_radd_flop_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_raddr_c1_clk),
+	.D(brqrv_top_dccm_rd_addr_lo[8]),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_picm_radd_flop_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_raddr_c1_clk),
+	.D(brqrv_top_dccm_rd_addr_lo[5]),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_picm_radd_flop_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_raddr_c1_clk),
+	.D(brqrv_top_dccm_rd_addr_lo[11]),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_picm_radd_flop_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_raddr_c1_clk),
+	.D(brqrv_top_brqrv_picm_rdaddr[12]),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_picm_radd_flop_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_raddr_c1_clk),
+	.D(brqrv_top_brqrv_picm_rdaddr[14]),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 brqrv_top_brqrv_pic_ctrl_inst_picm_radd_flop_tie_1_cell (
+	.HI(brqrv_top_brqrv_pic_ctrl_inst_picm_radd_flop_logic_1_1_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_picm_rde_flop_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_free_clk),
+	.D(brqrv_top_brqrv_picm_rden),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_picm_rden_ff),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_picm_wadd_flop_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_data_c1_clk),
+	.D(brqrv_top_brqrv_pic_ctrl_inst_picm_wadd_flop_logic_1_1_net),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_picm_wadd_flop_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_data_c1_clk),
+	.D(brqrv_top_brqrv_picm_wraddr[9]),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_picm_wadd_flop_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_data_c1_clk),
+	.D(brqrv_top_brqrv_picm_wraddr[1]),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_picm_wadd_flop_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_data_c1_clk),
+	.D(brqrv_top_brqrv_picm_wraddr[13]),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_picm_wadd_flop_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_data_c1_clk),
+	.D(brqrv_top_brqrv_picm_wraddr[2]),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_picm_wadd_flop_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_data_c1_clk),
+	.D(brqrv_top_brqrv_picm_wraddr[3]),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_picm_wadd_flop_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_data_c1_clk),
+	.D(brqrv_top_brqrv_picm_wraddr[4]),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_picm_wadd_flop_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_data_c1_clk),
+	.D(brqrv_top_brqrv_picm_wraddr[0]),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_picm_wadd_flop_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_data_c1_clk),
+	.D(brqrv_top_brqrv_picm_wraddr[10]),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_picm_wadd_flop_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_data_c1_clk),
+	.D(brqrv_top_brqrv_picm_wraddr[6]),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_picm_wadd_flop_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_data_c1_clk),
+	.D(brqrv_top_brqrv_picm_wraddr[7]),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_picm_wadd_flop_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_data_c1_clk),
+	.D(brqrv_top_brqrv_picm_wraddr[8]),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_picm_wadd_flop_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_data_c1_clk),
+	.D(brqrv_top_brqrv_picm_wraddr[5]),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_picm_wadd_flop_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_data_c1_clk),
+	.D(brqrv_top_brqrv_picm_wraddr[11]),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_picm_wadd_flop_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_data_c1_clk),
+	.D(brqrv_top_brqrv_picm_wraddr[12]),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_picm_wadd_flop_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_data_c1_clk),
+	.D(brqrv_top_brqrv_picm_wraddr[14]),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 brqrv_top_brqrv_pic_ctrl_inst_picm_wadd_flop_tie_1_cell (
+	.HI(brqrv_top_brqrv_pic_ctrl_inst_picm_wadd_flop_logic_1_1_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_picm_wre_flop_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_free_clk),
+	.D(brqrv_top_brqrv_picm_wren),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_picm_wren_ff),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_pl_ff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_free_clk),
+	.D(brqrv_top_brqrv_pic_ctrl_inst_pl_in_q[3]),
+	.Q(brqrv_top_brqrv_pic_pl[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_pl_ff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_free_clk),
+	.D(brqrv_top_brqrv_pic_ctrl_inst_pl_in_q[2]),
+	.Q(brqrv_top_brqrv_pic_pl[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_pl_ff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_free_clk),
+	.D(brqrv_top_brqrv_pic_ctrl_inst_pl_in_q[0]),
+	.Q(brqrv_top_brqrv_pic_pl[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_pl_ff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_free_clk),
+	.D(brqrv_top_brqrv_pic_ctrl_inst_pl_in_q[1]),
+	.Q(brqrv_top_brqrv_pic_pl[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_wake_up_ff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_free_clk),
+	.D(brqrv_top_brqrv_pic_ctrl_inst_mhwakeup_in),
+	.Q(brqrv_top_brqrv_mhwakeup),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 brqrv_top_dmi_wrapper_i_dmi_jtag_to_core_sync_g58 (
+	.A1(brqrv_top_dmi_wrapper_i_dmi_jtag_to_core_sync_rden[2]),
+	.A2(brqrv_top_dmi_wrapper_i_dmi_jtag_to_core_sync_rden[1]),
+	.B1(brqrv_top_dmi_reg_wr_en),
+	.X(brqrv_top_dmi_reg_en), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrbp_1 \brqrv_top_dmi_wrapper_i_dmi_jtag_to_core_sync_rden_reg[2]  (
+	.CLK(clk),
+	.D(brqrv_top_dmi_wrapper_i_dmi_jtag_to_core_sync_rden[1]),
+	.Q_N(brqrv_top_dmi_wrapper_i_dmi_jtag_to_core_sync_rden[2]),
+	.RESET_B(n_13971), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 brqrv_top_dmi_wrapper_i_dmi_jtag_to_core_sync_g60 (
+	.A(brqrv_top_dmi_wrapper_i_dmi_jtag_to_core_sync_wren[2]),
+	.B_N(brqrv_top_dmi_wrapper_i_dmi_jtag_to_core_sync_wren[1]),
+	.Y(brqrv_top_dmi_reg_wr_en), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_dmi_wrapper_i_dmi_jtag_to_core_sync_wren_reg[2]  (
+	.CLK(clk),
+	.D(brqrv_top_dmi_wrapper_i_dmi_jtag_to_core_sync_wren[1]),
+	.Q(brqrv_top_dmi_wrapper_i_dmi_jtag_to_core_sync_wren[2]),
+	.RESET_B(n_13971), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_dmi_wrapper_i_dmi_jtag_to_core_sync_wren_reg[0]  (
+	.CLK(clk),
+	.D(brqrv_top_dmi_wrapper_wr_en),
+	.Q(brqrv_top_dmi_wrapper_i_dmi_jtag_to_core_sync_wren[0]),
+	.RESET_B(n_13971), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_dmi_wrapper_i_dmi_jtag_to_core_sync_rden_reg[1]  (
+	.CLK(clk),
+	.D(brqrv_top_dmi_wrapper_i_dmi_jtag_to_core_sync_rden[0]),
+	.Q(brqrv_top_dmi_wrapper_i_dmi_jtag_to_core_sync_rden[1]),
+	.RESET_B(n_13971), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_dmi_wrapper_i_dmi_jtag_to_core_sync_rden_reg[0]  (
+	.CLK(clk),
+	.D(brqrv_top_dmi_wrapper_rd_en),
+	.Q(brqrv_top_dmi_wrapper_i_dmi_jtag_to_core_sync_rden[0]),
+	.RESET_B(n_13971), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_dmi_wrapper_i_dmi_jtag_to_core_sync_wren_reg[1]  (
+	.CLK(clk),
+	.D(brqrv_top_dmi_wrapper_i_dmi_jtag_to_core_sync_wren[0]),
+	.Q(brqrv_top_dmi_wrapper_i_dmi_jtag_to_core_sync_wren[1]),
+	.RESET_B(n_13971), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_iccm_controller_addr_q_reg[1]  (
+	.CLK(clk),
+	.D(brqrv_top_iccm_instr_addr[1]),
+	.Q(brqrv_top_iccm_instr_addr[1]),
+	.RESET_B(rst),
+	.SCD(brqrv_top_iccm_controller_n_19),
+	.SCE(brqrv_top_iccm_controller_n_13), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_iccm_controller_addr_q_reg[2]  (
+	.CLK(clk),
+	.D(brqrv_top_iccm_instr_addr[2]),
+	.Q(brqrv_top_iccm_instr_addr[2]),
+	.RESET_B(rst),
+	.SCD(brqrv_top_iccm_controller_n_25),
+	.SCE(brqrv_top_iccm_controller_n_13), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_iccm_controller_addr_q_reg[3]  (
+	.CLK(clk),
+	.D(brqrv_top_iccm_instr_addr[3]),
+	.Q(brqrv_top_iccm_instr_addr[3]),
+	.RESET_B(rst),
+	.SCD(brqrv_top_iccm_controller_n_30),
+	.SCE(brqrv_top_iccm_controller_n_13), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_iccm_controller_addr_q_reg[4]  (
+	.CLK(clk),
+	.D(brqrv_top_iccm_instr_addr[4]),
+	.Q(brqrv_top_iccm_instr_addr[4]),
+	.RESET_B(rst),
+	.SCD(brqrv_top_iccm_controller_n_35),
+	.SCE(brqrv_top_iccm_controller_n_13), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_iccm_controller_addr_q_reg[5]  (
+	.CLK(clk),
+	.D(brqrv_top_iccm_instr_addr[5]),
+	.Q(brqrv_top_iccm_instr_addr[5]),
+	.RESET_B(rst),
+	.SCD(brqrv_top_iccm_controller_n_38),
+	.SCE(brqrv_top_iccm_controller_n_13), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_iccm_controller_addr_q_reg[6]  (
+	.CLK(clk),
+	.D(brqrv_top_iccm_instr_addr[6]),
+	.Q(brqrv_top_iccm_instr_addr[6]),
+	.RESET_B(rst),
+	.SCD(brqrv_top_iccm_controller_n_41),
+	.SCE(brqrv_top_iccm_controller_n_13), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_iccm_controller_addr_q_reg[7]  (
+	.CLK(clk),
+	.D(brqrv_top_iccm_instr_addr[7]),
+	.Q(brqrv_top_iccm_instr_addr[7]),
+	.RESET_B(rst),
+	.SCD(brqrv_top_iccm_controller_n_44),
+	.SCE(brqrv_top_iccm_controller_n_13), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_iccm_controller_addr_q_reg[8]  (
+	.CLK(clk),
+	.D(brqrv_top_iccm_instr_addr[8]),
+	.Q(brqrv_top_iccm_instr_addr[8]),
+	.RESET_B(rst),
+	.SCD(brqrv_top_iccm_controller_n_47),
+	.SCE(brqrv_top_iccm_controller_n_13), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_iccm_controller_addr_q_reg[9]  (
+	.CLK(clk),
+	.D(brqrv_top_iccm_instr_addr[9]),
+	.Q(brqrv_top_iccm_instr_addr[9]),
+	.RESET_B(rst),
+	.SCD(brqrv_top_iccm_controller_n_50),
+	.SCE(brqrv_top_iccm_controller_n_13), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_iccm_controller_addr_q_reg[10]  (
+	.CLK(clk),
+	.D(brqrv_top_iccm_instr_addr[10]),
+	.Q(brqrv_top_iccm_instr_addr[10]),
+	.RESET_B(rst),
+	.SCD(brqrv_top_iccm_controller_n_53),
+	.SCE(brqrv_top_iccm_controller_n_13), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_iccm_controller_byte_count_reg[0]  (
+	.CLK(clk),
+	.D(brqrv_top_iccm_controller_n_3),
+	.Q(brqrv_top_iccm_controller_byte_count[0]),
+	.RESET_B(rst),
+	.SCD(brqrv_top_iccm_controller_n_4),
+	.SCE(brqrv_top_iccm_controller_byte_count[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_iccm_controller_byte_count_reg[1]  (
+	.CLK(clk),
+	.D(brqrv_top_iccm_controller_n_12),
+	.Q(brqrv_top_iccm_controller_byte_count[1]),
+	.RESET_B(rst),
+	.SCD(brqrv_top_iccm_controller_byte_count[1]),
+	.SCE(brqrv_top_iccm_controller_n_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 brqrv_top_iccm_controller_reset_q_reg (
+	.CLK(clk),
+	.D(brqrv_top_iccm_controller_n_51),
+	.Q(brqrv_top_core_rst),
+	.RESET_B(rst), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_iccm_controller_rx_byte_q0_reg[0]  (
+	.CLK(clk),
+	.D(brqrv_top_rx_byte_i[0]),
+	.Q(brqrv_top_iccm_instr_wdata[24]),
+	.RESET_B(rst),
+	.SCD(brqrv_top_iccm_instr_wdata[24]),
+	.SCE(brqrv_top_iccm_controller_n_14), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_iccm_controller_rx_byte_q0_reg[1]  (
+	.CLK(clk),
+	.D(brqrv_top_rx_byte_i[1]),
+	.Q(brqrv_top_iccm_instr_wdata[25]),
+	.RESET_B(rst),
+	.SCD(brqrv_top_iccm_instr_wdata[25]),
+	.SCE(brqrv_top_iccm_controller_n_14), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_iccm_controller_rx_byte_q0_reg[2]  (
+	.CLK(clk),
+	.D(brqrv_top_rx_byte_i[2]),
+	.Q(brqrv_top_iccm_instr_wdata[26]),
+	.RESET_B(rst),
+	.SCD(brqrv_top_iccm_instr_wdata[26]),
+	.SCE(brqrv_top_iccm_controller_n_14), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_iccm_controller_rx_byte_q0_reg[3]  (
+	.CLK(clk),
+	.D(brqrv_top_rx_byte_i[3]),
+	.Q(brqrv_top_iccm_instr_wdata[27]),
+	.RESET_B(rst),
+	.SCD(brqrv_top_iccm_instr_wdata[27]),
+	.SCE(brqrv_top_iccm_controller_n_14), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_iccm_controller_rx_byte_q0_reg[4]  (
+	.CLK(clk),
+	.D(brqrv_top_rx_byte_i[4]),
+	.Q(brqrv_top_iccm_instr_wdata[28]),
+	.RESET_B(rst),
+	.SCD(brqrv_top_iccm_instr_wdata[28]),
+	.SCE(brqrv_top_iccm_controller_n_14), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_iccm_controller_rx_byte_q0_reg[5]  (
+	.CLK(clk),
+	.D(brqrv_top_rx_byte_i[5]),
+	.Q(brqrv_top_iccm_instr_wdata[29]),
+	.RESET_B(rst),
+	.SCD(brqrv_top_iccm_instr_wdata[29]),
+	.SCE(brqrv_top_iccm_controller_n_14), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_iccm_controller_rx_byte_q0_reg[6]  (
+	.CLK(clk),
+	.D(brqrv_top_rx_byte_i[6]),
+	.Q(brqrv_top_iccm_instr_wdata[30]),
+	.RESET_B(rst),
+	.SCD(brqrv_top_iccm_instr_wdata[30]),
+	.SCE(brqrv_top_iccm_controller_n_14), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_iccm_controller_rx_byte_q0_reg[7]  (
+	.CLK(clk),
+	.D(brqrv_top_rx_byte_i[7]),
+	.Q(brqrv_top_iccm_instr_wdata[31]),
+	.RESET_B(rst),
+	.SCD(brqrv_top_iccm_instr_wdata[31]),
+	.SCE(brqrv_top_iccm_controller_n_14), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_iccm_controller_rx_byte_q1_reg[0]  (
+	.CLK(clk),
+	.D(brqrv_top_iccm_instr_wdata[16]),
+	.Q(brqrv_top_iccm_instr_wdata[16]),
+	.RESET_B(rst),
+	.SCD(brqrv_top_rx_byte_i[0]),
+	.SCE(brqrv_top_iccm_controller_n_10), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_iccm_controller_rx_byte_q1_reg[1]  (
+	.CLK(clk),
+	.D(brqrv_top_iccm_instr_wdata[17]),
+	.Q(brqrv_top_iccm_instr_wdata[17]),
+	.RESET_B(rst),
+	.SCD(brqrv_top_rx_byte_i[1]),
+	.SCE(brqrv_top_iccm_controller_n_10), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_iccm_controller_rx_byte_q1_reg[2]  (
+	.CLK(clk),
+	.D(brqrv_top_iccm_instr_wdata[18]),
+	.Q(brqrv_top_iccm_instr_wdata[18]),
+	.RESET_B(rst),
+	.SCD(brqrv_top_rx_byte_i[2]),
+	.SCE(brqrv_top_iccm_controller_n_10), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_iccm_controller_rx_byte_q1_reg[3]  (
+	.CLK(clk),
+	.D(brqrv_top_iccm_instr_wdata[19]),
+	.Q(brqrv_top_iccm_instr_wdata[19]),
+	.RESET_B(rst),
+	.SCD(brqrv_top_rx_byte_i[3]),
+	.SCE(brqrv_top_iccm_controller_n_10), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_iccm_controller_rx_byte_q1_reg[4]  (
+	.CLK(clk),
+	.D(brqrv_top_iccm_instr_wdata[20]),
+	.Q(brqrv_top_iccm_instr_wdata[20]),
+	.RESET_B(rst),
+	.SCD(brqrv_top_rx_byte_i[4]),
+	.SCE(brqrv_top_iccm_controller_n_10), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_iccm_controller_rx_byte_q1_reg[5]  (
+	.CLK(clk),
+	.D(brqrv_top_iccm_instr_wdata[21]),
+	.Q(brqrv_top_iccm_instr_wdata[21]),
+	.RESET_B(rst),
+	.SCD(brqrv_top_rx_byte_i[5]),
+	.SCE(brqrv_top_iccm_controller_n_10), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_iccm_controller_rx_byte_q1_reg[6]  (
+	.CLK(clk),
+	.D(brqrv_top_iccm_instr_wdata[22]),
+	.Q(brqrv_top_iccm_instr_wdata[22]),
+	.RESET_B(rst),
+	.SCD(brqrv_top_rx_byte_i[6]),
+	.SCE(brqrv_top_iccm_controller_n_10), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_iccm_controller_rx_byte_q1_reg[7]  (
+	.CLK(clk),
+	.D(brqrv_top_iccm_instr_wdata[23]),
+	.Q(brqrv_top_iccm_instr_wdata[23]),
+	.RESET_B(rst),
+	.SCD(brqrv_top_rx_byte_i[7]),
+	.SCE(brqrv_top_iccm_controller_n_10), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_iccm_controller_rx_byte_q2_reg[0]  (
+	.CLK(clk),
+	.D(brqrv_top_rx_byte_i[0]),
+	.Q(brqrv_top_iccm_instr_wdata[8]),
+	.RESET_B(rst),
+	.SCD(brqrv_top_iccm_instr_wdata[8]),
+	.SCE(brqrv_top_iccm_controller_n_11), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_iccm_controller_rx_byte_q2_reg[1]  (
+	.CLK(clk),
+	.D(brqrv_top_rx_byte_i[1]),
+	.Q(brqrv_top_iccm_instr_wdata[9]),
+	.RESET_B(rst),
+	.SCD(brqrv_top_iccm_instr_wdata[9]),
+	.SCE(brqrv_top_iccm_controller_n_11), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_iccm_controller_rx_byte_q2_reg[2]  (
+	.CLK(clk),
+	.D(brqrv_top_rx_byte_i[2]),
+	.Q(brqrv_top_iccm_instr_wdata[10]),
+	.RESET_B(rst),
+	.SCD(brqrv_top_iccm_instr_wdata[10]),
+	.SCE(brqrv_top_iccm_controller_n_11), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_iccm_controller_rx_byte_q2_reg[3]  (
+	.CLK(clk),
+	.D(brqrv_top_rx_byte_i[3]),
+	.Q(brqrv_top_iccm_instr_wdata[11]),
+	.RESET_B(rst),
+	.SCD(brqrv_top_iccm_instr_wdata[11]),
+	.SCE(brqrv_top_iccm_controller_n_11), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_iccm_controller_rx_byte_q2_reg[4]  (
+	.CLK(clk),
+	.D(brqrv_top_rx_byte_i[4]),
+	.Q(brqrv_top_iccm_instr_wdata[12]),
+	.RESET_B(rst),
+	.SCD(brqrv_top_iccm_instr_wdata[12]),
+	.SCE(brqrv_top_iccm_controller_n_11), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_iccm_controller_rx_byte_q2_reg[5]  (
+	.CLK(clk),
+	.D(brqrv_top_rx_byte_i[5]),
+	.Q(brqrv_top_iccm_instr_wdata[13]),
+	.RESET_B(rst),
+	.SCD(brqrv_top_iccm_instr_wdata[13]),
+	.SCE(brqrv_top_iccm_controller_n_11), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_iccm_controller_rx_byte_q2_reg[6]  (
+	.CLK(clk),
+	.D(brqrv_top_rx_byte_i[6]),
+	.Q(brqrv_top_iccm_instr_wdata[14]),
+	.RESET_B(rst),
+	.SCD(brqrv_top_iccm_instr_wdata[14]),
+	.SCE(brqrv_top_iccm_controller_n_11), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_iccm_controller_rx_byte_q2_reg[7]  (
+	.CLK(clk),
+	.D(brqrv_top_rx_byte_i[7]),
+	.Q(brqrv_top_iccm_instr_wdata[15]),
+	.RESET_B(rst),
+	.SCD(brqrv_top_iccm_instr_wdata[15]),
+	.SCE(brqrv_top_iccm_controller_n_11), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_iccm_controller_rx_byte_q3_reg[0]  (
+	.CLK(clk),
+	.D(brqrv_top_iccm_instr_wdata[0]),
+	.Q(brqrv_top_iccm_instr_wdata[0]),
+	.RESET_B(rst),
+	.SCD(brqrv_top_rx_byte_i[0]),
+	.SCE(brqrv_top_iccm_controller_n_15), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_iccm_controller_rx_byte_q3_reg[1]  (
+	.CLK(clk),
+	.D(brqrv_top_iccm_instr_wdata[1]),
+	.Q(brqrv_top_iccm_instr_wdata[1]),
+	.RESET_B(rst),
+	.SCD(brqrv_top_rx_byte_i[1]),
+	.SCE(brqrv_top_iccm_controller_n_15), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_iccm_controller_rx_byte_q3_reg[2]  (
+	.CLK(clk),
+	.D(brqrv_top_iccm_instr_wdata[2]),
+	.Q(brqrv_top_iccm_instr_wdata[2]),
+	.RESET_B(rst),
+	.SCD(brqrv_top_rx_byte_i[2]),
+	.SCE(brqrv_top_iccm_controller_n_15), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_iccm_controller_rx_byte_q3_reg[3]  (
+	.CLK(clk),
+	.D(brqrv_top_iccm_instr_wdata[3]),
+	.Q(brqrv_top_iccm_instr_wdata[3]),
+	.RESET_B(rst),
+	.SCD(brqrv_top_rx_byte_i[3]),
+	.SCE(brqrv_top_iccm_controller_n_15), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_iccm_controller_rx_byte_q3_reg[4]  (
+	.CLK(clk),
+	.D(brqrv_top_iccm_instr_wdata[4]),
+	.Q(brqrv_top_iccm_instr_wdata[4]),
+	.RESET_B(rst),
+	.SCD(brqrv_top_rx_byte_i[4]),
+	.SCE(brqrv_top_iccm_controller_n_15), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_iccm_controller_rx_byte_q3_reg[5]  (
+	.CLK(clk),
+	.D(brqrv_top_iccm_instr_wdata[5]),
+	.Q(brqrv_top_iccm_instr_wdata[5]),
+	.RESET_B(rst),
+	.SCD(brqrv_top_rx_byte_i[5]),
+	.SCE(brqrv_top_iccm_controller_n_15), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_iccm_controller_rx_byte_q3_reg[6]  (
+	.CLK(clk),
+	.D(brqrv_top_iccm_instr_wdata[6]),
+	.Q(brqrv_top_iccm_instr_wdata[6]),
+	.RESET_B(rst),
+	.SCD(brqrv_top_rx_byte_i[6]),
+	.SCE(brqrv_top_iccm_controller_n_15), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_iccm_controller_rx_byte_q3_reg[7]  (
+	.CLK(clk),
+	.D(brqrv_top_iccm_instr_wdata[7]),
+	.Q(brqrv_top_iccm_instr_wdata[7]),
+	.RESET_B(rst),
+	.SCD(brqrv_top_rx_byte_i[7]),
+	.SCE(brqrv_top_iccm_controller_n_15), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 brqrv_top_iccm_controller_we_q_reg (
+	.CLK(clk),
+	.D(brqrv_top_iccm_controller_n_31),
+	.Q(brqrv_top_iccm_instr_we),
+	.RESET_B(rst), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_iccm_controller_g2640 (
+	.A1_N(brqrv_top_iccm_instr_addr[10]),
+	.A2_N(brqrv_top_iccm_controller_n_49),
+	.B1(brqrv_top_iccm_instr_addr[10]),
+	.B2(brqrv_top_iccm_controller_n_49),
+	.Y(brqrv_top_iccm_controller_n_53), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 brqrv_top_iccm_controller_g2644 (
+	.A1(brqrv_top_iccm_controller_n_46),
+	.A2(brqrv_top_iccm_controller_ctrl_fsm_cs[0]),
+	.B1(brqrv_top_iccm_controller_n_13),
+	.X(brqrv_top_iccm_controller_n_52), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o31ai_1 brqrv_top_iccm_controller_g2645 (
+	.A1(brqrv_top_iccm_controller_n_0),
+	.A2(brqrv_top_iccm_controller_n_1),
+	.A3(brqrv_top_iccm_controller_n_45),
+	.B1(brqrv_top_iccm_controller_n_8),
+	.Y(brqrv_top_iccm_controller_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 brqrv_top_iccm_controller_g2646 (
+	.A1(brqrv_top_iccm_instr_addr[9]),
+	.A2(brqrv_top_iccm_controller_n_48),
+	.B1(brqrv_top_iccm_controller_n_49),
+	.X(brqrv_top_iccm_controller_n_50), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_iccm_controller_g2647 (
+	.A(brqrv_top_iccm_controller_n_48),
+	.B(brqrv_top_iccm_instr_addr[9]),
+	.Y(brqrv_top_iccm_controller_n_49), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_iccm_controller_g2648 (
+	.A(brqrv_top_iccm_instr_addr[8]),
+	.B(brqrv_top_iccm_controller_n_43),
+	.COUT(brqrv_top_iccm_controller_n_48),
+	.SUM(brqrv_top_iccm_controller_n_47), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_iccm_controller_g2650 (
+	.A(brqrv_top_iccm_controller_n_45),
+	.B(brqrv_top_rx_dv_i),
+	.Y(brqrv_top_iccm_controller_n_46), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 brqrv_top_iccm_controller_g2651 (
+	.A(brqrv_top_iccm_controller_n_42),
+	.B(brqrv_top_iccm_controller_n_23),
+	.C(brqrv_top_iccm_instr_wdata[6]),
+	.D(brqrv_top_iccm_instr_wdata[7]),
+	.Y(brqrv_top_iccm_controller_n_45), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_iccm_controller_g2652 (
+	.A(brqrv_top_iccm_instr_addr[7]),
+	.B(brqrv_top_iccm_controller_n_40),
+	.COUT(brqrv_top_iccm_controller_n_43),
+	.SUM(brqrv_top_iccm_controller_n_44), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4b_1 brqrv_top_iccm_controller_g2654 (
+	.A_N(brqrv_top_iccm_controller_n_39),
+	.B(brqrv_top_iccm_instr_wdata[3]),
+	.C(brqrv_top_iccm_instr_wdata[4]),
+	.D(brqrv_top_iccm_instr_wdata[5]),
+	.X(brqrv_top_iccm_controller_n_42), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_iccm_controller_g2655 (
+	.A(brqrv_top_iccm_instr_addr[6]),
+	.B(brqrv_top_iccm_controller_n_37),
+	.COUT(brqrv_top_iccm_controller_n_40),
+	.SUM(brqrv_top_iccm_controller_n_41), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 brqrv_top_iccm_controller_g2657 (
+	.A(brqrv_top_iccm_controller_n_36),
+	.B(brqrv_top_iccm_instr_wdata[1]),
+	.C(brqrv_top_iccm_instr_wdata[2]),
+	.D(brqrv_top_iccm_instr_wdata[0]),
+	.Y(brqrv_top_iccm_controller_n_39), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_iccm_controller_g2658 (
+	.A(brqrv_top_iccm_instr_addr[5]),
+	.B(brqrv_top_iccm_controller_n_34),
+	.COUT(brqrv_top_iccm_controller_n_37),
+	.SUM(brqrv_top_iccm_controller_n_38), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 brqrv_top_iccm_controller_g2660 (
+	.A(brqrv_top_iccm_instr_wdata[17]),
+	.B(brqrv_top_iccm_instr_wdata[16]),
+	.C(brqrv_top_iccm_instr_wdata[23]),
+	.D(brqrv_top_iccm_controller_n_32),
+	.Y(brqrv_top_iccm_controller_n_36), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_iccm_controller_g2661 (
+	.A(brqrv_top_iccm_instr_addr[4]),
+	.B(brqrv_top_iccm_controller_n_29),
+	.COUT(brqrv_top_iccm_controller_n_34),
+	.SUM(brqrv_top_iccm_controller_n_35), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211o_1 brqrv_top_iccm_controller_g2665 (
+	.A1(brqrv_top_iccm_controller_n_0),
+	.A2(brqrv_top_rx_dv_i),
+	.B1(brqrv_top_iccm_controller_ctrl_fsm_cs[1]),
+	.C1(brqrv_top_iccm_controller_n_28),
+	.X(brqrv_top_iccm_controller_n_33), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 brqrv_top_iccm_controller_g2666 (
+	.A(brqrv_top_iccm_instr_wdata[22]),
+	.B(brqrv_top_iccm_instr_wdata[20]),
+	.C(brqrv_top_iccm_instr_wdata[21]),
+	.D(brqrv_top_iccm_controller_n_26),
+	.X(brqrv_top_iccm_controller_n_32), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_iccm_controller_g2667 (
+	.A1_N(brqrv_top_iccm_controller_ctrl_fsm_cs[0]),
+	.A2_N(brqrv_top_iccm_instr_we),
+	.B1(brqrv_top_iccm_controller_n_4),
+	.B2(brqrv_top_iccm_controller_n_28),
+	.Y(brqrv_top_iccm_controller_n_31), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_iccm_controller_g2668 (
+	.A(brqrv_top_iccm_instr_addr[3]),
+	.B(brqrv_top_iccm_controller_n_24),
+	.COUT(brqrv_top_iccm_controller_n_29),
+	.SUM(brqrv_top_iccm_controller_n_30), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_iccm_controller_g2670 (
+	.A(brqrv_top_iccm_controller_n_0),
+	.B(brqrv_top_iccm_controller_n_27),
+	.Y(brqrv_top_iccm_controller_n_28), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a311oi_1 brqrv_top_iccm_controller_g2671 (
+	.A1(brqrv_top_iccm_controller_n_21),
+	.A2(brqrv_top_rx_byte_i[0]),
+	.A3(brqrv_top_rx_byte_i[1]),
+	.B1(brqrv_top_iccm_controller_n_6),
+	.C1(brqrv_top_iccm_controller_n_23),
+	.Y(brqrv_top_iccm_controller_n_27), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 brqrv_top_iccm_controller_g2672 (
+	.A(brqrv_top_iccm_instr_wdata[30]),
+	.B(brqrv_top_iccm_instr_wdata[29]),
+	.C(brqrv_top_iccm_instr_wdata[31]),
+	.D(brqrv_top_iccm_controller_n_22),
+	.X(brqrv_top_iccm_controller_n_26), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_iccm_controller_g2673 (
+	.A(brqrv_top_iccm_instr_addr[2]),
+	.B(brqrv_top_iccm_controller_n_18),
+	.COUT(brqrv_top_iccm_controller_n_24),
+	.SUM(brqrv_top_iccm_controller_n_25), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 brqrv_top_iccm_controller_g2675 (
+	.A(brqrv_top_iccm_instr_wdata[15]),
+	.B(brqrv_top_iccm_instr_wdata[14]),
+	.C(brqrv_top_iccm_controller_n_20),
+	.Y(brqrv_top_iccm_controller_n_23), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 brqrv_top_iccm_controller_g2676 (
+	.A(brqrv_top_iccm_instr_wdata[28]),
+	.B(brqrv_top_iccm_instr_wdata[27]),
+	.C(brqrv_top_iccm_instr_wdata[26]),
+	.D(brqrv_top_iccm_controller_n_16),
+	.X(brqrv_top_iccm_controller_n_22), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4b_1 brqrv_top_iccm_controller_g2694 (
+	.A_N(brqrv_top_iccm_controller_n_9),
+	.B(brqrv_top_rx_byte_i[4]),
+	.C(brqrv_top_rx_byte_i[3]),
+	.D(brqrv_top_rx_byte_i[2]),
+	.X(brqrv_top_iccm_controller_n_21), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 brqrv_top_iccm_controller_g2695 (
+	.A(brqrv_top_iccm_instr_wdata[13]),
+	.B(brqrv_top_iccm_instr_wdata[12]),
+	.C(brqrv_top_iccm_controller_n_17),
+	.X(brqrv_top_iccm_controller_n_20), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_iccm_controller_g2712 (
+	.A(brqrv_top_iccm_instr_addr[1]),
+	.B(brqrv_top_iccm_controller_n_5),
+	.COUT(brqrv_top_iccm_controller_n_18),
+	.SUM(brqrv_top_iccm_controller_n_19), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 brqrv_top_iccm_controller_g2714 (
+	.A(brqrv_top_iccm_instr_wdata[10]),
+	.B(brqrv_top_iccm_instr_wdata[8]),
+	.C(brqrv_top_iccm_instr_wdata[11]),
+	.D(brqrv_top_iccm_instr_wdata[9]),
+	.Y(brqrv_top_iccm_controller_n_17), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 brqrv_top_iccm_controller_g2715 (
+	.A(brqrv_top_iccm_instr_wdata[25]),
+	.B(brqrv_top_iccm_instr_wdata[18]),
+	.C(brqrv_top_iccm_instr_wdata[19]),
+	.D(brqrv_top_iccm_instr_wdata[24]),
+	.X(brqrv_top_iccm_controller_n_16), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 brqrv_top_iccm_controller_g2716 (
+	.A(brqrv_top_iccm_controller_n_7),
+	.B_N(brqrv_top_iccm_controller_n_6),
+	.Y(brqrv_top_iccm_controller_n_12), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_iccm_controller_g2717 (
+	.A(brqrv_top_iccm_controller_n_6),
+	.B(brqrv_top_iccm_controller_n_4),
+	.Y(brqrv_top_iccm_controller_n_15), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_iccm_controller_g2718 (
+	.A(brqrv_top_iccm_controller_n_3),
+	.B(brqrv_top_iccm_controller_n_7),
+	.Y(brqrv_top_iccm_controller_n_14), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 brqrv_top_iccm_controller_g2719 (
+	.A(brqrv_top_iccm_controller_n_5),
+	.B(brqrv_top_iccm_controller_n_3),
+	.X(brqrv_top_iccm_controller_n_13), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 brqrv_top_iccm_controller_g2720 (
+	.A(brqrv_top_rx_byte_i[5]),
+	.B(brqrv_top_rx_byte_i[7]),
+	.C(brqrv_top_rx_byte_i[6]),
+	.Y(brqrv_top_iccm_controller_n_9), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 brqrv_top_iccm_controller_g2721 (
+	.A1(brqrv_top_iccm_controller_ctrl_fsm_cs[0]),
+	.A2(brqrv_top_iccm_controller_ctrl_fsm_cs[1]),
+	.B1(brqrv_top_core_rst),
+	.Y(brqrv_top_iccm_controller_n_8), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 brqrv_top_iccm_controller_g2722 (
+	.A(brqrv_top_iccm_controller_n_3),
+	.B(brqrv_top_iccm_controller_n_2),
+	.C(brqrv_top_iccm_controller_byte_count[1]),
+	.Y(brqrv_top_iccm_controller_n_11), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 brqrv_top_iccm_controller_g2723 (
+	.A(brqrv_top_iccm_controller_byte_count[1]),
+	.B(brqrv_top_iccm_controller_n_2),
+	.C(brqrv_top_iccm_controller_n_4),
+	.Y(brqrv_top_iccm_controller_n_10), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_iccm_controller_g2724 (
+	.A(brqrv_top_iccm_controller_byte_count[0]),
+	.B(brqrv_top_iccm_controller_byte_count[1]),
+	.Y(brqrv_top_iccm_controller_n_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_iccm_controller_g2725 (
+	.A(brqrv_top_iccm_controller_byte_count[1]),
+	.B(brqrv_top_iccm_controller_byte_count[0]),
+	.Y(brqrv_top_iccm_controller_n_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 brqrv_top_iccm_controller_g2726 (
+	.A(brqrv_top_iccm_controller_n_4),
+	.Y(brqrv_top_iccm_controller_n_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_iccm_controller_g2727 (
+	.A(brqrv_top_iccm_controller_ctrl_fsm_cs[0]),
+	.B(brqrv_top_iccm_controller_n_1),
+	.Y(brqrv_top_iccm_controller_n_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_iccm_controller_g2728 (
+	.A(brqrv_top_iccm_controller_n_1),
+	.B(brqrv_top_iccm_controller_ctrl_fsm_cs[0]),
+	.Y(brqrv_top_iccm_controller_n_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_iccm_controller_g2736 (
+	.A(brqrv_top_iccm_controller_byte_count[0]),
+	.Y(brqrv_top_iccm_controller_n_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrbp_1 \brqrv_top_iccm_controller_ctrl_fsm_cs_reg[1]  (
+	.CLK(clk),
+	.D(brqrv_top_iccm_controller_n_52),
+	.Q(brqrv_top_iccm_controller_ctrl_fsm_cs[1]),
+	.Q_N(brqrv_top_iccm_controller_n_1),
+	.RESET_B(rst), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrbp_1 \brqrv_top_iccm_controller_ctrl_fsm_cs_reg[0]  (
+	.CLK(clk),
+	.D(brqrv_top_iccm_controller_n_33),
+	.Q(brqrv_top_iccm_controller_ctrl_fsm_cs[0]),
+	.Q_N(brqrv_top_iccm_controller_n_0),
+	.RESET_B(rst), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_mem_Gen_dccm_enable.dccm_rd_addr_hi_ff_dout_reg[0]  (
+	.CLK(brqrv_top_mem_active_clk),
+	.D(brqrv_top_dccm_rd_addr_hi[2]),
+	.Q(\brqrv_top_mem_Gen_dccm_enable.dccm_n_266 ),
+	.RESET_B(rst), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_mem_Gen_dccm_enable.dccm_rd_addr_hi_ff_dout_reg[1]  (
+	.CLK(brqrv_top_mem_active_clk),
+	.D(brqrv_top_dccm_rd_addr_hi[3]),
+	.Q(\brqrv_top_mem_Gen_dccm_enable.dccm_n_267 ),
+	.RESET_B(rst), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_mem_Gen_dccm_enable.dccm_rd_addr_lo_ff_dout_reg[0]  (
+	.CLK(brqrv_top_mem_active_clk),
+	.D(n_683),
+	.Q(\brqrv_top_mem_Gen_dccm_enable.dccm_n_268 ),
+	.RESET_B(rst), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_mem_Gen_dccm_enable.dccm_rd_addr_lo_ff_dout_reg[1]  (
+	.CLK(brqrv_top_mem_active_clk),
+	.D(brqrv_top_dccm_rd_addr_lo[3]),
+	.Q(\brqrv_top_mem_Gen_dccm_enable.dccm_n_269 ),
+	.RESET_B(rst), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 brqrv_top_mem_active_cg_clkhdr (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_mem_active_cg_logic_1_1_net),
+	.GCLK(brqrv_top_mem_active_clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 brqrv_top_mem_active_cg_tie_1_cell (
+	.HI(brqrv_top_mem_active_cg_logic_1_1_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_mem_iccm.iccm_rd_addr_hi_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_mem_active_clk),
+	.D(\brqrv_top_mem_iccm.iccm_addr_bank_inc [2]),
+	.Q(\brqrv_top_mem_iccm.iccm_n_370 ),
+	.RESET_B(rst), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_mem_iccm.iccm_rd_addr_hi_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_mem_active_clk),
+	.D(\brqrv_top_mem_iccm.iccm_addr_bank_inc [3]),
+	.Q(\brqrv_top_mem_iccm.iccm_n_371 ),
+	.RESET_B(rst), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_mem_iccm.iccm_rd_addr_lo_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_mem_active_clk),
+	.D(n_43386),
+	.Q(\brqrv_top_mem_iccm.iccm_n_1379 ),
+	.RESET_B(rst), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_mem_iccm.iccm_rd_addr_lo_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_mem_active_clk),
+	.D(brqrv_top_n_974),
+	.Q(\brqrv_top_mem_iccm.iccm_iccm_rd_addr_lo_q [2]),
+	.RESET_B(rst), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_mem_iccm.iccm_rd_addr_lo_ff_genblock.dffs_dout_reg[2]  (
+	.CLK(brqrv_top_mem_active_clk),
+	.D(brqrv_top_n_975),
+	.Q(\brqrv_top_mem_iccm.iccm_iccm_rd_addr_lo_q [3]),
+	.RESET_B(rst), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_uart_rx_m_r_Bit_Index_reg[0]  (
+	.CLK(clk),
+	.D(brqrv_top_uart_rx_m_n_31),
+	.Q(brqrv_top_uart_rx_m_r_Bit_Index[0]),
+	.RESET_B(rst),
+	.SCD(brqrv_top_uart_rx_m_r_Bit_Index[0]),
+	.SCE(brqrv_top_uart_rx_m_n_141), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_uart_rx_m_r_Bit_Index_reg[1]  (
+	.CLK(clk),
+	.D(brqrv_top_uart_rx_m_n_178),
+	.Q(brqrv_top_uart_rx_m_r_Bit_Index[1]),
+	.RESET_B(rst), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_uart_rx_m_r_Clock_Count_reg[0]  (
+	.CLK(clk),
+	.D(brqrv_top_uart_rx_m_n_151),
+	.Q(brqrv_top_uart_rx_m_r_Clock_Count[0]),
+	.RESET_B(rst),
+	.SCD(brqrv_top_uart_rx_m_n_153),
+	.SCE(brqrv_top_uart_rx_m_r_Clock_Count[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_uart_rx_m_r_Clock_Count_reg[1]  (
+	.CLK(clk),
+	.D(brqrv_top_uart_rx_m_n_174),
+	.Q(brqrv_top_uart_rx_m_r_Clock_Count[1]),
+	.RESET_B(rst), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_uart_rx_m_r_Clock_Count_reg[2]  (
+	.CLK(clk),
+	.D(brqrv_top_uart_rx_m_n_173),
+	.Q(brqrv_top_uart_rx_m_r_Clock_Count[2]),
+	.RESET_B(rst), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_uart_rx_m_r_Clock_Count_reg[3]  (
+	.CLK(clk),
+	.D(brqrv_top_uart_rx_m_n_172),
+	.Q(brqrv_top_uart_rx_m_r_Clock_Count[3]),
+	.RESET_B(rst), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_uart_rx_m_r_Clock_Count_reg[4]  (
+	.CLK(clk),
+	.D(brqrv_top_uart_rx_m_n_171),
+	.Q(brqrv_top_uart_rx_m_r_Clock_Count[4]),
+	.RESET_B(rst), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_uart_rx_m_r_Clock_Count_reg[5]  (
+	.CLK(clk),
+	.D(brqrv_top_uart_rx_m_n_170),
+	.Q(brqrv_top_uart_rx_m_r_Clock_Count[5]),
+	.RESET_B(rst), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_uart_rx_m_r_Clock_Count_reg[6]  (
+	.CLK(clk),
+	.D(brqrv_top_uart_rx_m_n_169),
+	.Q(brqrv_top_uart_rx_m_r_Clock_Count[6]),
+	.RESET_B(rst), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_uart_rx_m_r_Clock_Count_reg[10]  (
+	.CLK(clk),
+	.D(brqrv_top_uart_rx_m_n_183),
+	.Q(brqrv_top_uart_rx_m_r_Clock_Count[10]),
+	.RESET_B(rst), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrbp_1 \brqrv_top_uart_rx_m_r_Clock_Count_reg[13]  (
+	.CLK(clk),
+	.D(brqrv_top_uart_rx_m_n_190),
+	.Q(brqrv_top_uart_rx_m_n_2),
+	.Q_N(brqrv_top_uart_rx_m_r_Clock_Count[13]),
+	.RESET_B(rst), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_uart_rx_m_r_Clock_Count_reg[15]  (
+	.CLK(clk),
+	.D(brqrv_top_uart_rx_m_n_194),
+	.Q(brqrv_top_uart_rx_m_r_Clock_Count[15]),
+	.RESET_B(rst), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_uart_rx_m_r_Rx_Byte_reg[0]  (
+	.CLK(clk),
+	.D(brqrv_top_uart_rx_m_n_159),
+	.Q(brqrv_top_rx_byte_i[0]),
+	.RESET_B(rst), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_uart_rx_m_r_Rx_Byte_reg[1]  (
+	.CLK(clk),
+	.D(brqrv_top_uart_rx_m_n_163),
+	.Q(brqrv_top_rx_byte_i[1]),
+	.RESET_B(rst), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_uart_rx_m_r_Rx_Byte_reg[2]  (
+	.CLK(clk),
+	.D(brqrv_top_uart_rx_m_r_Rx_Data),
+	.Q(brqrv_top_rx_byte_i[2]),
+	.RESET_B(rst),
+	.SCD(brqrv_top_rx_byte_i[2]),
+	.SCE(brqrv_top_uart_rx_m_n_154), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_uart_rx_m_r_Rx_Byte_reg[3]  (
+	.CLK(clk),
+	.D(brqrv_top_rx_byte_i[3]),
+	.Q(brqrv_top_rx_byte_i[3]),
+	.RESET_B(rst),
+	.SCD(brqrv_top_uart_rx_m_r_Rx_Data),
+	.SCE(brqrv_top_uart_rx_m_n_158), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_uart_rx_m_r_Rx_Byte_reg[4]  (
+	.CLK(clk),
+	.D(brqrv_top_uart_rx_m_n_161),
+	.Q(brqrv_top_rx_byte_i[4]),
+	.RESET_B(rst), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_uart_rx_m_r_Rx_Byte_reg[5]  (
+	.CLK(clk),
+	.D(brqrv_top_uart_rx_m_n_162),
+	.Q(brqrv_top_rx_byte_i[5]),
+	.RESET_B(rst), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_uart_rx_m_r_Rx_Byte_reg[6]  (
+	.CLK(clk),
+	.D(brqrv_top_rx_byte_i[6]),
+	.Q(brqrv_top_rx_byte_i[6]),
+	.RESET_B(rst),
+	.SCD(brqrv_top_uart_rx_m_r_Rx_Data),
+	.SCE(brqrv_top_uart_rx_m_n_155), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_uart_rx_m_r_Rx_Byte_reg[7]  (
+	.CLK(clk),
+	.D(brqrv_top_rx_byte_i[7]),
+	.Q(brqrv_top_rx_byte_i[7]),
+	.RESET_B(rst),
+	.SCD(brqrv_top_uart_rx_m_r_Rx_Data),
+	.SCE(brqrv_top_uart_rx_m_n_156), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 brqrv_top_uart_rx_m_r_Rx_DV_reg (
+	.CLK(clk),
+	.D(brqrv_top_uart_rx_m_n_143),
+	.Q(brqrv_top_rx_dv_i),
+	.RESET_B(rst), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 brqrv_top_uart_rx_m_r_Rx_Data_R_reg (
+	.CLK(clk),
+	.D(brqrv_top_uart_rx_m_n_20),
+	.Q(brqrv_top_uart_rx_m_r_Rx_Data_R), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 brqrv_top_uart_rx_m_r_Rx_Data_reg (
+	.CLK(clk),
+	.D(brqrv_top_uart_rx_m_n_33),
+	.Q(brqrv_top_uart_rx_m_r_Rx_Data), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_uart_rx_m_r_SM_Main_reg[1]  (
+	.CLK(clk),
+	.D(brqrv_top_uart_rx_m_n_215),
+	.Q(brqrv_top_uart_rx_m_r_SM_Main[1]),
+	.RESET_B(rst), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_uart_rx_m_r_SM_Main_reg[2]  (
+	.CLK(clk),
+	.D(brqrv_top_uart_rx_m_n_137),
+	.Q(brqrv_top_uart_rx_m_r_SM_Main[2]),
+	.RESET_B(rst), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o41ai_1 brqrv_top_uart_rx_m_g7552 (
+	.A1(brqrv_top_uart_rx_m_r_Clock_Count[13]),
+	.A2(brqrv_top_uart_rx_m_r_Clock_Count[15]),
+	.A3(brqrv_top_uart_rx_m_n_5),
+	.A4(brqrv_top_uart_rx_m_n_186),
+	.B1(brqrv_top_uart_rx_m_n_193),
+	.Y(brqrv_top_uart_rx_m_n_194), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_uart_rx_m_g7554 (
+	.A(brqrv_top_uart_rx_m_n_191),
+	.B(brqrv_top_uart_rx_m_r_Clock_Count[15]),
+	.Y(brqrv_top_uart_rx_m_n_193), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o32ai_1 brqrv_top_uart_rx_m_g7555 (
+	.A1(brqrv_top_uart_rx_m_r_Clock_Count[13]),
+	.A2(brqrv_top_uart_rx_m_r_Clock_Count[14]),
+	.A3(brqrv_top_uart_rx_m_n_186),
+	.B1(brqrv_top_uart_rx_m_n_5),
+	.B2(brqrv_top_uart_rx_m_n_189),
+	.Y(brqrv_top_uart_rx_m_n_192), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 brqrv_top_uart_rx_m_g7557 (
+	.A1(brqrv_top_uart_rx_m_r_Clock_Count[14]),
+	.A2(brqrv_top_uart_rx_m_n_150),
+	.B1(brqrv_top_uart_rx_m_n_189),
+	.Y(brqrv_top_uart_rx_m_n_191), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_uart_rx_m_g7558 (
+	.A1(brqrv_top_uart_rx_m_r_Clock_Count[13]),
+	.A2(brqrv_top_uart_rx_m_n_187),
+	.B1(brqrv_top_uart_rx_m_n_2),
+	.B2(brqrv_top_uart_rx_m_n_186),
+	.Y(brqrv_top_uart_rx_m_n_190), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 brqrv_top_uart_rx_m_g7559 (
+	.A1(brqrv_top_uart_rx_m_n_2),
+	.A2(brqrv_top_uart_rx_m_n_150),
+	.B1(brqrv_top_uart_rx_m_n_187),
+	.X(brqrv_top_uart_rx_m_n_189), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o32ai_1 brqrv_top_uart_rx_m_g7560 (
+	.A1(brqrv_top_uart_rx_m_r_Clock_Count[12]),
+	.A2(brqrv_top_uart_rx_m_n_181),
+	.A3(brqrv_top_uart_rx_m_n_150),
+	.B1(brqrv_top_uart_rx_m_n_3),
+	.B2(brqrv_top_uart_rx_m_n_185),
+	.Y(brqrv_top_uart_rx_m_n_188), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 brqrv_top_uart_rx_m_g7562 (
+	.A1(brqrv_top_uart_rx_m_r_Clock_Count[12]),
+	.A2(brqrv_top_uart_rx_m_n_150),
+	.B1(brqrv_top_uart_rx_m_n_185),
+	.X(brqrv_top_uart_rx_m_n_187), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 brqrv_top_uart_rx_m_g7563 (
+	.A(brqrv_top_uart_rx_m_n_3),
+	.B(brqrv_top_uart_rx_m_n_181),
+	.C(brqrv_top_uart_rx_m_n_150),
+	.X(brqrv_top_uart_rx_m_n_186), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_uart_rx_m_g7564 (
+	.A1(brqrv_top_uart_rx_m_n_182),
+	.A2(brqrv_top_uart_rx_m_n_150),
+	.B1(brqrv_top_uart_rx_m_n_8),
+	.B2(brqrv_top_uart_rx_m_n_152),
+	.Y(brqrv_top_uart_rx_m_n_184), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_uart_rx_m_g7565 (
+	.A1(brqrv_top_uart_rx_m_n_151),
+	.A2(brqrv_top_uart_rx_m_n_181),
+	.B1(brqrv_top_uart_rx_m_n_153),
+	.Y(brqrv_top_uart_rx_m_n_185), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 brqrv_top_uart_rx_m_g7569 (
+	.A1(brqrv_top_uart_rx_m_n_151),
+	.A2(brqrv_top_uart_rx_m_n_168),
+	.B1(brqrv_top_uart_rx_m_n_153),
+	.B2(brqrv_top_uart_rx_m_r_Clock_Count[10]),
+	.X(brqrv_top_uart_rx_m_n_183), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_uart_rx_m_g7570 (
+	.A(brqrv_top_uart_rx_m_n_8),
+	.B(brqrv_top_uart_rx_m_n_167),
+	.Y(brqrv_top_uart_rx_m_n_182), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 brqrv_top_uart_rx_m_g7571 (
+	.A_N(brqrv_top_uart_rx_m_n_167),
+	.B(brqrv_top_uart_rx_m_r_Clock_Count[11]),
+	.Y(brqrv_top_uart_rx_m_n_181), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o32ai_1 brqrv_top_uart_rx_m_g7572 (
+	.A1(brqrv_top_uart_rx_m_r_Clock_Count[7]),
+	.A2(brqrv_top_uart_rx_m_n_120),
+	.A3(brqrv_top_uart_rx_m_n_150),
+	.B1(brqrv_top_uart_rx_m_n_7),
+	.B2(brqrv_top_uart_rx_m_n_176),
+	.Y(brqrv_top_uart_rx_m_n_180), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_uart_rx_m_g7573 (
+	.A1(brqrv_top_uart_rx_m_n_9),
+	.A2(brqrv_top_uart_rx_m_n_176),
+	.B1(brqrv_top_uart_rx_m_n_130),
+	.B2(brqrv_top_uart_rx_m_n_150),
+	.Y(brqrv_top_uart_rx_m_n_179), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 brqrv_top_uart_rx_m_g7588 (
+	.A1(brqrv_top_uart_rx_m_n_36),
+	.A2(brqrv_top_uart_rx_m_n_141),
+	.B1(brqrv_top_uart_rx_m_n_164),
+	.Y(brqrv_top_uart_rx_m_n_178), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_uart_rx_m_g7589 (
+	.A(brqrv_top_uart_rx_m_n_160),
+	.B(brqrv_top_uart_rx_m_n_165),
+	.Y(brqrv_top_uart_rx_m_n_177), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_uart_rx_m_g7596 (
+	.A1(brqrv_top_uart_rx_m_n_138),
+	.A2(brqrv_top_uart_rx_m_n_150),
+	.B1(brqrv_top_uart_rx_m_n_10),
+	.B2(brqrv_top_uart_rx_m_n_152),
+	.Y(brqrv_top_uart_rx_m_n_175), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 brqrv_top_uart_rx_m_g7597 (
+	.A1(brqrv_top_uart_rx_m_n_151),
+	.A2(brqrv_top_uart_rx_m_n_35),
+	.B1(brqrv_top_uart_rx_m_n_153),
+	.B2(brqrv_top_uart_rx_m_r_Clock_Count[1]),
+	.X(brqrv_top_uart_rx_m_n_174), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 brqrv_top_uart_rx_m_g7598 (
+	.A1(brqrv_top_uart_rx_m_n_151),
+	.A2(brqrv_top_uart_rx_m_n_54),
+	.B1(brqrv_top_uart_rx_m_n_153),
+	.B2(brqrv_top_uart_rx_m_r_Clock_Count[2]),
+	.X(brqrv_top_uart_rx_m_n_173), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 brqrv_top_uart_rx_m_g7599 (
+	.A1(brqrv_top_uart_rx_m_n_151),
+	.A2(brqrv_top_uart_rx_m_n_78),
+	.B1(brqrv_top_uart_rx_m_n_153),
+	.B2(brqrv_top_uart_rx_m_r_Clock_Count[3]),
+	.X(brqrv_top_uart_rx_m_n_172), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 brqrv_top_uart_rx_m_g7600 (
+	.A1(brqrv_top_uart_rx_m_n_151),
+	.A2(brqrv_top_uart_rx_m_n_100),
+	.B1(brqrv_top_uart_rx_m_n_153),
+	.B2(brqrv_top_uart_rx_m_r_Clock_Count[4]),
+	.X(brqrv_top_uart_rx_m_n_171), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 brqrv_top_uart_rx_m_g7601 (
+	.A1(brqrv_top_uart_rx_m_n_151),
+	.A2(brqrv_top_uart_rx_m_n_115),
+	.B1(brqrv_top_uart_rx_m_n_153),
+	.B2(brqrv_top_uart_rx_m_r_Clock_Count[5]),
+	.X(brqrv_top_uart_rx_m_n_170), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 brqrv_top_uart_rx_m_g7602 (
+	.A1(brqrv_top_uart_rx_m_n_151),
+	.A2(brqrv_top_uart_rx_m_n_121),
+	.B1(brqrv_top_uart_rx_m_n_153),
+	.B2(brqrv_top_uart_rx_m_r_Clock_Count[6]),
+	.X(brqrv_top_uart_rx_m_n_169), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_uart_rx_m_g7603 (
+	.A(brqrv_top_uart_rx_m_r_Clock_Count[10]),
+	.B(brqrv_top_uart_rx_m_n_131),
+	.X(brqrv_top_uart_rx_m_n_168), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_uart_rx_m_g7604 (
+	.A1(brqrv_top_uart_rx_m_n_151),
+	.A2(brqrv_top_uart_rx_m_n_120),
+	.B1(brqrv_top_uart_rx_m_n_153),
+	.Y(brqrv_top_uart_rx_m_n_176), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 brqrv_top_uart_rx_m_g7605 (
+	.A1(brqrv_top_uart_rx_m_r_SM_Main[0]),
+	.A2(brqrv_top_uart_rx_m_n_47),
+	.B1(brqrv_top_uart_rx_m_n_142),
+	.C1(brqrv_top_uart_rx_m_n_157),
+	.Y(brqrv_top_uart_rx_m_n_166), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 brqrv_top_uart_rx_m_g7606 (
+	.A1(brqrv_top_uart_rx_m_n_30),
+	.A2(brqrv_top_uart_rx_m_n_141),
+	.B1(brqrv_top_uart_rx_m_r_Bit_Index[2]),
+	.Y(brqrv_top_uart_rx_m_n_165), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 brqrv_top_uart_rx_m_g7607 (
+	.A1(brqrv_top_uart_rx_m_n_31),
+	.A2(brqrv_top_uart_rx_m_n_141),
+	.B1(brqrv_top_uart_rx_m_r_Bit_Index[1]),
+	.Y(brqrv_top_uart_rx_m_n_164), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_uart_rx_m_g7609 (
+	.A(brqrv_top_uart_rx_m_n_131),
+	.B(brqrv_top_uart_rx_m_r_Clock_Count[10]),
+	.Y(brqrv_top_uart_rx_m_n_167), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 brqrv_top_uart_rx_m_g7610 (
+	.A1(brqrv_top_uart_rx_m_n_15),
+	.A2(brqrv_top_uart_rx_m_n_145),
+	.B1(brqrv_top_uart_rx_m_n_149),
+	.Y(brqrv_top_uart_rx_m_n_163), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 brqrv_top_uart_rx_m_g7611 (
+	.A1(brqrv_top_uart_rx_m_n_21),
+	.A2(brqrv_top_uart_rx_m_n_145),
+	.B1(brqrv_top_uart_rx_m_n_147),
+	.Y(brqrv_top_uart_rx_m_n_162), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 brqrv_top_uart_rx_m_g7612 (
+	.A1(brqrv_top_uart_rx_m_n_14),
+	.A2(brqrv_top_uart_rx_m_n_145),
+	.B1(brqrv_top_uart_rx_m_n_148),
+	.Y(brqrv_top_uart_rx_m_n_161), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_uart_rx_m_g7613 (
+	.A1(brqrv_top_uart_rx_m_n_26),
+	.A2(brqrv_top_uart_rx_m_n_13),
+	.B1(brqrv_top_uart_rx_m_n_158),
+	.Y(brqrv_top_uart_rx_m_n_160), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 brqrv_top_uart_rx_m_g7614 (
+	.A1(brqrv_top_uart_rx_m_n_144),
+	.A2(brqrv_top_uart_rx_m_n_22),
+	.B1(brqrv_top_uart_rx_m_n_139),
+	.B2(brqrv_top_rx_byte_i[0]),
+	.X(brqrv_top_uart_rx_m_n_159), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_uart_rx_m_g7615 (
+	.A(brqrv_top_uart_rx_m_n_156),
+	.Y(brqrv_top_uart_rx_m_n_157), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_uart_rx_m_g7616 (
+	.A(brqrv_top_uart_rx_m_n_14),
+	.B(brqrv_top_uart_rx_m_n_146),
+	.Y(brqrv_top_uart_rx_m_n_155), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 brqrv_top_uart_rx_m_g7617 (
+	.A_N(brqrv_top_uart_rx_m_n_146),
+	.B(brqrv_top_uart_rx_m_n_22),
+	.Y(brqrv_top_uart_rx_m_n_154), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_uart_rx_m_g7619 (
+	.A(brqrv_top_uart_rx_m_n_15),
+	.B(brqrv_top_uart_rx_m_n_146),
+	.Y(brqrv_top_uart_rx_m_n_158), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_uart_rx_m_g7620 (
+	.A(brqrv_top_uart_rx_m_n_21),
+	.B(brqrv_top_uart_rx_m_n_146),
+	.Y(brqrv_top_uart_rx_m_n_156), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_uart_rx_m_g7621 (
+	.A(brqrv_top_uart_rx_m_n_153),
+	.Y(brqrv_top_uart_rx_m_n_152), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_uart_rx_m_g7622 (
+	.A(brqrv_top_uart_rx_m_n_151),
+	.Y(brqrv_top_uart_rx_m_n_150), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 brqrv_top_uart_rx_m_g7623 (
+	.A1(brqrv_top_uart_rx_m_n_15),
+	.A2(brqrv_top_uart_rx_m_n_136),
+	.B1(brqrv_top_rx_byte_i[1]),
+	.Y(brqrv_top_uart_rx_m_n_149), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 brqrv_top_uart_rx_m_g7624 (
+	.A1(brqrv_top_uart_rx_m_n_14),
+	.A2(brqrv_top_uart_rx_m_n_136),
+	.B1(brqrv_top_rx_byte_i[4]),
+	.Y(brqrv_top_uart_rx_m_n_148), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 brqrv_top_uart_rx_m_g7625 (
+	.A1(brqrv_top_uart_rx_m_n_21),
+	.A2(brqrv_top_uart_rx_m_n_136),
+	.B1(brqrv_top_rx_byte_i[5]),
+	.Y(brqrv_top_uart_rx_m_n_147), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_uart_rx_m_g7627 (
+	.A1(brqrv_top_uart_rx_m_n_134),
+	.A2(brqrv_top_uart_rx_m_n_12),
+	.B1(brqrv_top_uart_rx_m_n_57),
+	.Y(brqrv_top_uart_rx_m_n_153), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 brqrv_top_uart_rx_m_g7628 (
+	.A1(brqrv_top_uart_rx_m_n_27),
+	.A2(brqrv_top_uart_rx_m_n_129),
+	.B1(brqrv_top_uart_rx_m_n_142),
+	.Y(brqrv_top_uart_rx_m_n_151), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_uart_rx_m_g7629 (
+	.A(brqrv_top_uart_rx_m_n_145),
+	.Y(brqrv_top_uart_rx_m_n_144), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_uart_rx_m_g7630 (
+	.A(brqrv_top_uart_rx_m_n_0),
+	.B(brqrv_top_uart_rx_m_n_23),
+	.Y(brqrv_top_uart_rx_m_n_143), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_uart_rx_m_g7632 (
+	.A(brqrv_top_uart_rx_m_n_133),
+	.B(brqrv_top_uart_rx_m_r_Bit_Index[1]),
+	.Y(brqrv_top_uart_rx_m_n_146), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_uart_rx_m_g7633 (
+	.A(brqrv_top_uart_rx_m_n_135),
+	.B(brqrv_top_uart_rx_m_r_Rx_Data),
+	.Y(brqrv_top_uart_rx_m_n_145), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_uart_rx_m_g7635 (
+	.A(brqrv_top_uart_rx_m_n_135),
+	.B(brqrv_top_uart_rx_m_n_22),
+	.Y(brqrv_top_uart_rx_m_n_139), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_uart_rx_m_g7636 (
+	.A(brqrv_top_uart_rx_m_n_10),
+	.B(brqrv_top_uart_rx_m_n_127),
+	.Y(brqrv_top_uart_rx_m_n_138), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 brqrv_top_uart_rx_m_g7637 (
+	.A1(brqrv_top_uart_rx_m_n_125),
+	.A2(brqrv_top_uart_rx_m_n_12),
+	.A3(brqrv_top_uart_rx_m_r_SM_Main[0]),
+	.B1(brqrv_top_uart_rx_m_n_132),
+	.Y(brqrv_top_uart_rx_m_n_142), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_uart_rx_m_g7638 (
+	.A1(brqrv_top_uart_rx_m_n_12),
+	.A2(brqrv_top_uart_rx_m_n_4),
+	.B1(brqrv_top_uart_rx_m_n_133),
+	.Y(brqrv_top_uart_rx_m_n_141), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_uart_rx_m_g7639 (
+	.A(brqrv_top_uart_rx_m_n_0),
+	.Y(brqrv_top_uart_rx_m_n_137), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_uart_rx_m_g7640 (
+	.A(brqrv_top_uart_rx_m_n_136),
+	.Y(brqrv_top_uart_rx_m_n_135), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_uart_rx_m_g7641 (
+	.A(brqrv_top_uart_rx_m_n_126),
+	.B(brqrv_top_uart_rx_m_r_SM_Main[0]),
+	.Y(brqrv_top_uart_rx_m_n_134), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_uart_rx_m_g7643 (
+	.A(brqrv_top_uart_rx_m_n_129),
+	.B(brqrv_top_uart_rx_m_n_30),
+	.Y(brqrv_top_uart_rx_m_n_136), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o32a_1 brqrv_top_uart_rx_m_g7645 (
+	.A1(brqrv_top_uart_rx_m_r_Clock_Count[8]),
+	.A2(brqrv_top_uart_rx_m_n_7),
+	.A3(brqrv_top_uart_rx_m_n_120),
+	.B1(brqrv_top_uart_rx_m_r_Clock_Count[7]),
+	.B2(brqrv_top_uart_rx_m_n_9),
+	.X(brqrv_top_uart_rx_m_n_130), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_uart_rx_m_g7646 (
+	.A(brqrv_top_uart_rx_m_n_27),
+	.B(brqrv_top_uart_rx_m_n_128),
+	.Y(brqrv_top_uart_rx_m_n_133), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_uart_rx_m_g7647 (
+	.A(brqrv_top_uart_rx_m_n_28),
+	.B(brqrv_top_uart_rx_m_n_129),
+	.Y(brqrv_top_uart_rx_m_n_132), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_uart_rx_m_g7648 (
+	.A(brqrv_top_uart_rx_m_n_10),
+	.B(brqrv_top_uart_rx_m_n_127),
+	.Y(brqrv_top_uart_rx_m_n_131), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_uart_rx_m_g7649 (
+	.A(brqrv_top_uart_rx_m_n_128),
+	.Y(brqrv_top_uart_rx_m_n_129), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 brqrv_top_uart_rx_m_g7650 (
+	.A1(brqrv_top_uart_rx_m_n_123),
+	.A2(brqrv_top_uart_rx_m_n_122),
+	.B1(brqrv_top_uart_rx_m_n_80),
+	.Y(brqrv_top_uart_rx_m_n_128), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_uart_rx_m_g7651 (
+	.A(brqrv_top_uart_rx_m_n_126),
+	.Y(brqrv_top_uart_rx_m_n_125), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 brqrv_top_uart_rx_m_g7652 (
+	.A(brqrv_top_uart_rx_m_n_9),
+	.B(brqrv_top_uart_rx_m_n_7),
+	.C(brqrv_top_uart_rx_m_n_120),
+	.X(brqrv_top_uart_rx_m_n_127), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111oi_0 brqrv_top_uart_rx_m_g7653 (
+	.A1(brqrv_top_uart_rx_m_n_62),
+	.A2(brqrv_top_uart_rx_m_r_Clock_Count[3]),
+	.B1(brqrv_top_uart_rx_m_n_68),
+	.C1(brqrv_top_uart_rx_m_n_107),
+	.D1(brqrv_top_uart_rx_m_n_124),
+	.Y(brqrv_top_uart_rx_m_n_126), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 brqrv_top_uart_rx_m_g7654 (
+	.A1(brqrv_top_uart_rx_m_r_Clock_Count[9]),
+	.A2(brqrv_top_uart_rx_m_n_84),
+	.B1(brqrv_top_uart_rx_m_n_92),
+	.C1(brqrv_top_uart_rx_m_n_109),
+	.D1(brqrv_top_uart_rx_m_n_118),
+	.Y(brqrv_top_uart_rx_m_n_124), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 brqrv_top_uart_rx_m_g7655 (
+	.A(brqrv_top_uart_rx_m_n_119),
+	.B(brqrv_top_uart_rx_m_n_105),
+	.C(brqrv_top_uart_rx_m_n_116),
+	.Y(brqrv_top_uart_rx_m_n_123), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 brqrv_top_uart_rx_m_g7656 (
+	.A1(brqrv_top_uart_rx_m_n_113),
+	.A2(brqrv_top_uart_rx_m_n_116),
+	.B1(brqrv_top_uart_rx_m_n_102),
+	.B2(brqrv_top_uart_rx_m_n_110),
+	.C1(brqrv_top_uart_rx_m_n_111),
+	.Y(brqrv_top_uart_rx_m_n_122), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_uart_rx_m_g7657 (
+	.A(brqrv_top_uart_rx_m_r_Clock_Count[6]),
+	.B(brqrv_top_uart_rx_m_n_117),
+	.X(brqrv_top_uart_rx_m_n_121), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_uart_rx_m_g7658 (
+	.A(brqrv_top_uart_rx_m_n_117),
+	.B(brqrv_top_uart_rx_m_r_Clock_Count[6]),
+	.Y(brqrv_top_uart_rx_m_n_120), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 brqrv_top_uart_rx_m_g7659 (
+	.A1(brqrv_top_uart_rx_m_r_Clock_Count[8]),
+	.A2(brqrv_top_uart_rx_m_n_73),
+	.B1(brqrv_top_uart_rx_m_n_114),
+	.Y(brqrv_top_uart_rx_m_n_119), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111oi_0 brqrv_top_uart_rx_m_g7660 (
+	.A1(brqrv_top_uart_rx_m_n_58),
+	.A2(brqrv_top_uart_rx_m_r_Clock_Count[8]),
+	.B1(brqrv_top_uart_rx_m_n_75),
+	.C1(brqrv_top_uart_rx_m_n_89),
+	.D1(brqrv_top_uart_rx_m_n_112),
+	.Y(brqrv_top_uart_rx_m_n_118), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_uart_rx_m_g7661 (
+	.A(brqrv_top_uart_rx_m_r_Clock_Count[5]),
+	.B(brqrv_top_uart_rx_m_n_99),
+	.COUT(brqrv_top_uart_rx_m_n_117),
+	.SUM(brqrv_top_uart_rx_m_n_115), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_uart_rx_m_g7662 (
+	.A1(brqrv_top_uart_rx_m_n_81),
+	.A2(brqrv_top_uart_rx_m_n_104),
+	.B1(brqrv_top_uart_rx_m_n_82),
+	.B2(brqrv_top_uart_rx_m_n_83),
+	.Y(brqrv_top_uart_rx_m_n_114), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 brqrv_top_uart_rx_m_g7663 (
+	.A1(brqrv_top_uart_rx_m_r_Clock_Count[12]),
+	.A2(brqrv_top_uart_rx_m_n_93),
+	.B1_N(brqrv_top_uart_rx_m_n_110),
+	.Y(brqrv_top_uart_rx_m_n_116), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 brqrv_top_uart_rx_m_g7664 (
+	.A1(brqrv_top_uart_rx_m_n_106),
+	.A2(brqrv_top_uart_rx_m_n_73),
+	.A3(brqrv_top_uart_rx_m_r_Clock_Count[8]),
+	.B1(brqrv_top_uart_rx_m_n_108),
+	.Y(brqrv_top_uart_rx_m_n_113), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 brqrv_top_uart_rx_m_g7665 (
+	.A1(brqrv_top_uart_rx_m_r_Clock_Count[11]),
+	.A2(brqrv_top_uart_rx_m_n_93),
+	.B1(brqrv_top_uart_rx_m_n_80),
+	.C1(brqrv_top_uart_rx_m_n_103),
+	.D1(brqrv_top_uart_rx_m_n_98),
+	.Y(brqrv_top_uart_rx_m_n_112), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32oi_1 brqrv_top_uart_rx_m_g7666 (
+	.A1(brqrv_top_uart_rx_m_n_101),
+	.A2(brqrv_top_uart_rx_m_n_85),
+	.A3(brqrv_top_uart_rx_m_r_Clock_Count[14]),
+	.B1(brqrv_top_uart_rx_m_n_79),
+	.B2(brqrv_top_uart_rx_m_r_Clock_Count[15]),
+	.Y(brqrv_top_uart_rx_m_n_111), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111oi_0 brqrv_top_uart_rx_m_g7667 (
+	.A1(brqrv_top_uart_rx_m_n_74),
+	.A2(brqrv_top_uart_rx_m_r_Clock_Count[12]),
+	.B1(brqrv_top_uart_rx_m_r_Clock_Count[15]),
+	.C1(brqrv_top_uart_rx_m_n_88),
+	.D1(brqrv_top_uart_rx_m_n_91),
+	.Y(brqrv_top_uart_rx_m_n_109), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 brqrv_top_uart_rx_m_g7668 (
+	.A1(brqrv_top_uart_rx_m_r_Clock_Count[14]),
+	.A2(brqrv_top_uart_rx_m_n_101),
+	.B1(brqrv_top_uart_rx_m_n_2),
+	.B2(brqrv_top_uart_rx_m_n_74),
+	.C1(brqrv_top_uart_rx_m_n_85),
+	.Y(brqrv_top_uart_rx_m_n_110), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_uart_rx_m_g7669 (
+	.A1(brqrv_top_uart_rx_m_n_97),
+	.A2(brqrv_top_uart_rx_m_n_95),
+	.B1(brqrv_top_uart_rx_m_n_76),
+	.B2(brqrv_top_uart_rx_m_n_96),
+	.Y(brqrv_top_uart_rx_m_n_108), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_uart_rx_m_g7670 (
+	.A(brqrv_top_uart_rx_m_n_2),
+	.B(brqrv_top_uart_rx_m_n_101),
+	.Y(brqrv_top_uart_rx_m_n_107), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_uart_rx_m_g7671 (
+	.A(brqrv_top_uart_rx_m_n_105),
+	.Y(brqrv_top_uart_rx_m_n_106), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_uart_rx_m_g7672 (
+	.A1(brqrv_top_uart_rx_m_n_86),
+	.A2(brqrv_top_uart_rx_m_n_70),
+	.B1(brqrv_top_uart_rx_m_n_43),
+	.B2(brqrv_top_uart_rx_m_r_Clock_Count[5]),
+	.Y(brqrv_top_uart_rx_m_n_104), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 brqrv_top_uart_rx_m_g7673 (
+	.A1(brqrv_top_uart_rx_m_r_Clock_Count[9]),
+	.A2(brqrv_top_uart_rx_m_n_58),
+	.B1_N(brqrv_top_uart_rx_m_n_96),
+	.Y(brqrv_top_uart_rx_m_n_105), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111oi_0 brqrv_top_uart_rx_m_g7674 (
+	.A1(brqrv_top_uart_rx_m_n_45),
+	.A2(brqrv_top_uart_rx_m_r_Clock_Count[1]),
+	.B1(brqrv_top_uart_rx_m_n_51),
+	.C1(brqrv_top_uart_rx_m_n_87),
+	.D1(brqrv_top_uart_rx_m_n_94),
+	.Y(brqrv_top_uart_rx_m_n_103), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_uart_rx_m_g7675 (
+	.A1(brqrv_top_uart_rx_m_n_74),
+	.A2(brqrv_top_uart_rx_m_n_2),
+	.B1(brqrv_top_uart_rx_m_n_93),
+	.B2(brqrv_top_uart_rx_m_r_Clock_Count[12]),
+	.Y(brqrv_top_uart_rx_m_n_102), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_uart_rx_m_g7676 (
+	.A(brqrv_top_uart_rx_m_r_Clock_Count[4]),
+	.B(brqrv_top_uart_rx_m_n_77),
+	.COUT(brqrv_top_uart_rx_m_n_99),
+	.SUM(brqrv_top_uart_rx_m_n_100), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_uart_rx_m_g7677 (
+	.A(brqrv_top_uart_rx_m_n_93),
+	.B(brqrv_top_uart_rx_m_r_Clock_Count[11]),
+	.Y(brqrv_top_uart_rx_m_n_98), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_uart_rx_m_g7678 (
+	.A1(brqrv_top_uart_rx_m_n_84),
+	.A2(brqrv_top_uart_rx_m_r_Clock_Count[10]),
+	.B1(brqrv_top_uart_rx_m_r_Clock_Count[11]),
+	.Y(brqrv_top_uart_rx_m_n_97), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_uart_rx_m_g7679 (
+	.A1(brqrv_top_uart_rx_m_n_67),
+	.A2(la_data_in[46]),
+	.B1(brqrv_top_uart_rx_m_n_66),
+	.Y(brqrv_top_uart_rx_m_n_101), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 brqrv_top_uart_rx_m_g7680 (
+	.A1(brqrv_top_uart_rx_m_n_84),
+	.A2(brqrv_top_uart_rx_m_r_Clock_Count[10]),
+	.A3(brqrv_top_uart_rx_m_r_Clock_Count[11]),
+	.B1(brqrv_top_uart_rx_m_n_65),
+	.Y(brqrv_top_uart_rx_m_n_95), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 brqrv_top_uart_rx_m_g7681 (
+	.A1(brqrv_top_uart_rx_m_r_Clock_Count[5]),
+	.A2(brqrv_top_uart_rx_m_n_64),
+	.B1(brqrv_top_uart_rx_m_n_63),
+	.C1(brqrv_top_uart_rx_m_n_72),
+	.D1(brqrv_top_uart_rx_m_n_90),
+	.Y(brqrv_top_uart_rx_m_n_94), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_uart_rx_m_g7682 (
+	.A1(brqrv_top_uart_rx_m_r_Clock_Count[11]),
+	.A2(brqrv_top_uart_rx_m_n_65),
+	.B1(brqrv_top_uart_rx_m_r_Clock_Count[10]),
+	.B2(brqrv_top_uart_rx_m_n_84),
+	.Y(brqrv_top_uart_rx_m_n_96), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_uart_rx_m_g7683 (
+	.A(brqrv_top_uart_rx_m_n_84),
+	.B(brqrv_top_uart_rx_m_r_Clock_Count[9]),
+	.Y(brqrv_top_uart_rx_m_n_92), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_uart_rx_m_g7684 (
+	.A(brqrv_top_uart_rx_m_r_Clock_Count[12]),
+	.B(brqrv_top_uart_rx_m_n_74),
+	.Y(brqrv_top_uart_rx_m_n_91), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 brqrv_top_uart_rx_m_g7685 (
+	.A1(brqrv_top_uart_rx_m_n_50),
+	.A2(brqrv_top_uart_rx_m_r_Clock_Count[6]),
+	.B1(brqrv_top_uart_rx_m_n_73),
+	.B2(brqrv_top_uart_rx_m_r_Clock_Count[7]),
+	.C1(brqrv_top_uart_rx_m_n_69),
+	.Y(brqrv_top_uart_rx_m_n_90), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_uart_rx_m_g7686 (
+	.A1(brqrv_top_uart_rx_m_n_60),
+	.A2(la_data_in[44]),
+	.B1(brqrv_top_uart_rx_m_n_59),
+	.Y(brqrv_top_uart_rx_m_n_93), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_uart_rx_m_g7687 (
+	.A(brqrv_top_uart_rx_m_r_Clock_Count[10]),
+	.B(brqrv_top_uart_rx_m_n_65),
+	.Y(brqrv_top_uart_rx_m_n_89), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_uart_rx_m_g7688 (
+	.A(brqrv_top_uart_rx_m_r_Clock_Count[14]),
+	.B(brqrv_top_uart_rx_m_n_79),
+	.Y(brqrv_top_uart_rx_m_n_88), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 brqrv_top_uart_rx_m_g7689 (
+	.A1(brqrv_top_uart_rx_m_r_Clock_Count[7]),
+	.A2(brqrv_top_uart_rx_m_n_73),
+	.B1(brqrv_top_uart_rx_m_n_40),
+	.C1(brqrv_top_uart_rx_m_n_56),
+	.Y(brqrv_top_uart_rx_m_n_87), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 brqrv_top_uart_rx_m_g7690 (
+	.A1(brqrv_top_uart_rx_m_n_61),
+	.A2(brqrv_top_uart_rx_m_n_55),
+	.B1(brqrv_top_uart_rx_m_n_52),
+	.B2(brqrv_top_uart_rx_m_n_55),
+	.C1(brqrv_top_uart_rx_m_n_71),
+	.Y(brqrv_top_uart_rx_m_n_86), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 brqrv_top_uart_rx_m_g7691 (
+	.A(brqrv_top_uart_rx_m_r_Clock_Count[15]),
+	.B(brqrv_top_uart_rx_m_n_79),
+	.X(brqrv_top_uart_rx_m_n_85), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_uart_rx_m_g7692 (
+	.A1(brqrv_top_uart_rx_m_n_48),
+	.A2(la_data_in[42]),
+	.B1(brqrv_top_uart_rx_m_n_49),
+	.Y(brqrv_top_uart_rx_m_n_84), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 brqrv_top_uart_rx_m_g7693 (
+	.A1(brqrv_top_uart_rx_m_n_64),
+	.A2(brqrv_top_uart_rx_m_r_Clock_Count[6]),
+	.A3(brqrv_top_uart_rx_m_r_Clock_Count[7]),
+	.B1(brqrv_top_uart_rx_m_n_50),
+	.Y(brqrv_top_uart_rx_m_n_83), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_uart_rx_m_g7694 (
+	.A1(brqrv_top_uart_rx_m_n_64),
+	.A2(brqrv_top_uart_rx_m_r_Clock_Count[6]),
+	.B1(brqrv_top_uart_rx_m_r_Clock_Count[7]),
+	.Y(brqrv_top_uart_rx_m_n_82), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_uart_rx_m_g7695 (
+	.A1(brqrv_top_uart_rx_m_r_Clock_Count[7]),
+	.A2(brqrv_top_uart_rx_m_n_50),
+	.B1(brqrv_top_uart_rx_m_r_Clock_Count[6]),
+	.B2(brqrv_top_uart_rx_m_n_64),
+	.Y(brqrv_top_uart_rx_m_n_81), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_uart_rx_m_g7696 (
+	.A(brqrv_top_uart_rx_m_r_Clock_Count[3]),
+	.B(brqrv_top_uart_rx_m_n_53),
+	.COUT(brqrv_top_uart_rx_m_n_77),
+	.SUM(brqrv_top_uart_rx_m_n_78), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_uart_rx_m_g7697 (
+	.A(brqrv_top_uart_rx_m_n_58),
+	.B(brqrv_top_uart_rx_m_r_Clock_Count[9]),
+	.Y(brqrv_top_uart_rx_m_n_76), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_uart_rx_m_g7698 (
+	.A(brqrv_top_uart_rx_m_r_Clock_Count[8]),
+	.B(brqrv_top_uart_rx_m_n_58),
+	.Y(brqrv_top_uart_rx_m_n_75), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 brqrv_top_uart_rx_m_g7699 (
+	.A_N(la_data_in[47]),
+	.B(brqrv_top_uart_rx_m_n_66),
+	.Y(brqrv_top_uart_rx_m_n_80), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 brqrv_top_uart_rx_m_g7700 (
+	.A_N(brqrv_top_uart_rx_m_n_66),
+	.B(la_data_in[47]),
+	.Y(brqrv_top_uart_rx_m_n_79), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_uart_rx_m_g7701 (
+	.A(brqrv_top_uart_rx_m_n_64),
+	.B(brqrv_top_uart_rx_m_r_Clock_Count[5]),
+	.Y(brqrv_top_uart_rx_m_n_72), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_uart_rx_m_g7702 (
+	.A1(brqrv_top_uart_rx_m_n_62),
+	.A2(brqrv_top_uart_rx_m_r_Clock_Count[4]),
+	.B1(brqrv_top_uart_rx_m_n_38),
+	.B2(brqrv_top_uart_rx_m_r_Clock_Count[3]),
+	.Y(brqrv_top_uart_rx_m_n_71), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 brqrv_top_uart_rx_m_g7703 (
+	.A1(brqrv_top_uart_rx_m_r_Clock_Count[5]),
+	.A2(brqrv_top_uart_rx_m_n_43),
+	.B1(brqrv_top_uart_rx_m_r_Clock_Count[4]),
+	.B2(brqrv_top_uart_rx_m_n_62),
+	.X(brqrv_top_uart_rx_m_n_70), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_uart_rx_m_g7704 (
+	.A(la_data_in[45]),
+	.B(brqrv_top_uart_rx_m_n_59),
+	.Y(brqrv_top_uart_rx_m_n_74), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_uart_rx_m_g7705 (
+	.A1(brqrv_top_uart_rx_m_n_44),
+	.A2(la_data_in[40]),
+	.B1(brqrv_top_uart_rx_m_n_42),
+	.Y(brqrv_top_uart_rx_m_n_73), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_uart_rx_m_g7706 (
+	.A(brqrv_top_uart_rx_m_r_Clock_Count[6]),
+	.B(brqrv_top_uart_rx_m_n_50),
+	.Y(brqrv_top_uart_rx_m_n_69), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_uart_rx_m_g7707 (
+	.A(brqrv_top_uart_rx_m_r_Clock_Count[3]),
+	.B(brqrv_top_uart_rx_m_n_62),
+	.Y(brqrv_top_uart_rx_m_n_68), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 brqrv_top_uart_rx_m_g7708 (
+	.A_N(la_data_in[45]),
+	.B(brqrv_top_uart_rx_m_n_59),
+	.Y(brqrv_top_uart_rx_m_n_67), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 brqrv_top_uart_rx_m_g7709 (
+	.A1_N(brqrv_top_uart_rx_m_r_Clock_Count[4]),
+	.A2_N(brqrv_top_uart_rx_m_n_43),
+	.B1(brqrv_top_uart_rx_m_r_Clock_Count[4]),
+	.B2(brqrv_top_uart_rx_m_n_43),
+	.Y(brqrv_top_uart_rx_m_n_63), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 brqrv_top_uart_rx_m_g7710 (
+	.A(la_data_in[45]),
+	.B(la_data_in[46]),
+	.C_N(brqrv_top_uart_rx_m_n_59),
+	.Y(brqrv_top_uart_rx_m_n_66), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_uart_rx_m_g7711 (
+	.A(la_data_in[43]),
+	.B(brqrv_top_uart_rx_m_n_49),
+	.Y(brqrv_top_uart_rx_m_n_65), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_uart_rx_m_g7712 (
+	.A1(brqrv_top_uart_rx_m_n_39),
+	.A2(la_data_in[38]),
+	.B1(brqrv_top_uart_rx_m_n_37),
+	.Y(brqrv_top_uart_rx_m_n_64), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 brqrv_top_uart_rx_m_g7713 (
+	.A1(brqrv_top_uart_rx_m_r_Clock_Count[2]),
+	.A2(brqrv_top_uart_rx_m_n_45),
+	.B1(brqrv_top_uart_rx_m_n_41),
+	.Y(brqrv_top_uart_rx_m_n_61), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 brqrv_top_uart_rx_m_g7714 (
+	.A_N(la_data_in[43]),
+	.B(brqrv_top_uart_rx_m_n_49),
+	.Y(brqrv_top_uart_rx_m_n_60), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_uart_rx_m_g7715 (
+	.A1(brqrv_top_uart_rx_m_n_32),
+	.A2(la_data_in[36]),
+	.B1(brqrv_top_uart_rx_m_n_29),
+	.Y(brqrv_top_uart_rx_m_n_62), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 brqrv_top_uart_rx_m_g7716 (
+	.A(brqrv_top_uart_rx_m_n_47),
+	.B(brqrv_top_uart_rx_m_n_28),
+	.C(brqrv_top_uart_rx_m_n_27),
+	.Y(brqrv_top_uart_rx_m_n_57), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 brqrv_top_uart_rx_m_g7717 (
+	.A1_N(brqrv_top_uart_rx_m_r_Clock_Count[2]),
+	.A2_N(brqrv_top_uart_rx_m_n_38),
+	.B1(brqrv_top_uart_rx_m_r_Clock_Count[2]),
+	.B2(brqrv_top_uart_rx_m_n_38),
+	.Y(brqrv_top_uart_rx_m_n_56), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 brqrv_top_uart_rx_m_g7718 (
+	.A(la_data_in[43]),
+	.B(la_data_in[44]),
+	.C_N(brqrv_top_uart_rx_m_n_49),
+	.Y(brqrv_top_uart_rx_m_n_59), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_uart_rx_m_g7719 (
+	.A(la_data_in[41]),
+	.B(brqrv_top_uart_rx_m_n_42),
+	.Y(brqrv_top_uart_rx_m_n_58), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_uart_rx_m_g7720 (
+	.A(brqrv_top_uart_rx_m_r_Clock_Count[2]),
+	.B(brqrv_top_uart_rx_m_n_34),
+	.COUT(brqrv_top_uart_rx_m_n_53),
+	.SUM(brqrv_top_uart_rx_m_n_54), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_uart_rx_m_g7721 (
+	.A(brqrv_top_uart_rx_m_n_45),
+	.B(brqrv_top_uart_rx_m_r_Clock_Count[2]),
+	.Y(brqrv_top_uart_rx_m_n_52), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_uart_rx_m_g7722 (
+	.A(brqrv_top_uart_rx_m_r_Clock_Count[1]),
+	.B(brqrv_top_uart_rx_m_n_45),
+	.Y(brqrv_top_uart_rx_m_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_uart_rx_m_g7723 (
+	.A(brqrv_top_uart_rx_m_r_Clock_Count[3]),
+	.B(brqrv_top_uart_rx_m_n_38),
+	.Y(brqrv_top_uart_rx_m_n_55), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 brqrv_top_uart_rx_m_g7724 (
+	.A_N(la_data_in[41]),
+	.B(brqrv_top_uart_rx_m_n_42),
+	.Y(brqrv_top_uart_rx_m_n_48), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_uart_rx_m_g7725 (
+	.A(la_data_in[39]),
+	.B(brqrv_top_uart_rx_m_n_37),
+	.Y(brqrv_top_uart_rx_m_n_50), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 brqrv_top_uart_rx_m_g7726 (
+	.A(la_data_in[41]),
+	.B(la_data_in[42]),
+	.C_N(brqrv_top_uart_rx_m_n_42),
+	.Y(brqrv_top_uart_rx_m_n_49), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 brqrv_top_uart_rx_m_g7727 (
+	.A(brqrv_top_uart_rx_m_n_46),
+	.Y(brqrv_top_uart_rx_m_n_47), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 brqrv_top_uart_rx_m_g7728 (
+	.A_N(la_data_in[39]),
+	.B(brqrv_top_uart_rx_m_n_37),
+	.Y(brqrv_top_uart_rx_m_n_44), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 brqrv_top_uart_rx_m_g7729 (
+	.A(brqrv_top_uart_rx_m_r_Rx_Data),
+	.B_N(brqrv_top_uart_rx_m_n_12),
+	.Y(brqrv_top_uart_rx_m_n_46), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_uart_rx_m_g7730 (
+	.A1(brqrv_top_uart_rx_m_n_17),
+	.A2(la_data_in[34]),
+	.B1(brqrv_top_uart_rx_m_n_24),
+	.Y(brqrv_top_uart_rx_m_n_45), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_uart_rx_m_g7731 (
+	.A(brqrv_top_uart_rx_m_n_19),
+	.B(brqrv_top_uart_rx_m_n_25),
+	.C(brqrv_top_uart_rx_m_r_Clock_Count[1]),
+	.X(brqrv_top_uart_rx_m_n_41), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_uart_rx_m_g7732 (
+	.A(brqrv_top_uart_rx_m_r_Clock_Count[0]),
+	.B(brqrv_top_uart_rx_m_n_25),
+	.X(brqrv_top_uart_rx_m_n_40), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_uart_rx_m_g7733 (
+	.A(la_data_in[37]),
+	.B(brqrv_top_uart_rx_m_n_29),
+	.Y(brqrv_top_uart_rx_m_n_43), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 brqrv_top_uart_rx_m_g7734 (
+	.A(la_data_in[39]),
+	.B(la_data_in[40]),
+	.C_N(brqrv_top_uart_rx_m_n_37),
+	.Y(brqrv_top_uart_rx_m_n_42), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 brqrv_top_uart_rx_m_g7735 (
+	.A_N(la_data_in[37]),
+	.B(brqrv_top_uart_rx_m_n_29),
+	.Y(brqrv_top_uart_rx_m_n_39), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_uart_rx_m_g7737 (
+	.A(brqrv_top_uart_rx_m_n_30),
+	.B(brqrv_top_uart_rx_m_r_Bit_Index[0]),
+	.Y(brqrv_top_uart_rx_m_n_36), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_uart_rx_m_g7738 (
+	.A(la_data_in[35]),
+	.B(brqrv_top_uart_rx_m_n_24),
+	.Y(brqrv_top_uart_rx_m_n_38), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 brqrv_top_uart_rx_m_g7739 (
+	.A(la_data_in[37]),
+	.B(la_data_in[38]),
+	.C_N(brqrv_top_uart_rx_m_n_29),
+	.Y(brqrv_top_uart_rx_m_n_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_uart_rx_m_g7740 (
+	.A(brqrv_top_uart_rx_m_r_Clock_Count[0]),
+	.B(brqrv_top_uart_rx_m_r_Clock_Count[1]),
+	.COUT(brqrv_top_uart_rx_m_n_34),
+	.SUM(brqrv_top_uart_rx_m_n_35), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 brqrv_top_uart_rx_m_g7741 (
+	.A_N(brqrv_top_uart_rx_m_r_Rx_Data_R),
+	.B(rst),
+	.Y(brqrv_top_uart_rx_m_n_33), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 brqrv_top_uart_rx_m_g7742 (
+	.A_N(la_data_in[35]),
+	.B(brqrv_top_uart_rx_m_n_24),
+	.Y(brqrv_top_uart_rx_m_n_32), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_uart_rx_m_g7743 (
+	.A(brqrv_top_uart_rx_m_r_Bit_Index[0]),
+	.B(brqrv_top_uart_rx_m_n_27),
+	.Y(brqrv_top_uart_rx_m_n_31), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_uart_rx_m_g7744 (
+	.A(brqrv_top_uart_rx_m_r_Bit_Index[1]),
+	.B(brqrv_top_uart_rx_m_n_27),
+	.Y(brqrv_top_uart_rx_m_n_30), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 brqrv_top_uart_rx_m_g7745 (
+	.A(la_data_in[35]),
+	.B(la_data_in[36]),
+	.C_N(brqrv_top_uart_rx_m_n_24),
+	.Y(brqrv_top_uart_rx_m_n_29), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_uart_rx_m_g7747 (
+	.A(brqrv_top_uart_rx_m_n_27),
+	.Y(brqrv_top_uart_rx_m_n_26), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_uart_rx_m_g7749 (
+	.A(brqrv_top_uart_rx_m_n_18),
+	.B(brqrv_top_uart_rx_m_r_SM_Main[0]),
+	.Y(brqrv_top_uart_rx_m_n_28), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_uart_rx_m_g7750 (
+	.A(brqrv_top_uart_rx_m_n_18),
+	.B(brqrv_top_uart_rx_m_n_4),
+	.Y(brqrv_top_uart_rx_m_n_27), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 brqrv_top_uart_rx_m_g7751 (
+	.A1(brqrv_top_uart_rx_m_r_SM_Main[0]),
+	.A2(brqrv_top_uart_rx_m_r_SM_Main[1]),
+	.B1(brqrv_top_rx_dv_i),
+	.Y(brqrv_top_uart_rx_m_n_23), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_uart_rx_m_g7752 (
+	.A1(la_data_in[33]),
+	.A2(la_data_in[32]),
+	.B1(brqrv_top_uart_rx_m_n_16),
+	.Y(brqrv_top_uart_rx_m_n_25), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 brqrv_top_uart_rx_m_g7753 (
+	.A(la_data_in[33]),
+	.B(la_data_in[34]),
+	.C(la_data_in[32]),
+	.Y(brqrv_top_uart_rx_m_n_24), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 brqrv_top_uart_rx_m_g7754 (
+	.A_N(rx_i),
+	.B(rst),
+	.Y(brqrv_top_uart_rx_m_n_20), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 brqrv_top_uart_rx_m_g7755 (
+	.A(brqrv_top_uart_rx_m_r_Clock_Count[0]),
+	.B(la_data_in[32]),
+	.X(brqrv_top_uart_rx_m_n_19), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_uart_rx_m_g7756 (
+	.A(brqrv_top_uart_rx_m_r_Bit_Index[0]),
+	.B(brqrv_top_uart_rx_m_r_Bit_Index[2]),
+	.Y(brqrv_top_uart_rx_m_n_22), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_uart_rx_m_g7757 (
+	.A(brqrv_top_uart_rx_m_r_Bit_Index[2]),
+	.B(brqrv_top_uart_rx_m_r_Bit_Index[0]),
+	.Y(brqrv_top_uart_rx_m_n_21), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_uart_rx_m_g7758 (
+	.A(brqrv_top_uart_rx_m_n_16),
+	.Y(brqrv_top_uart_rx_m_n_17), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_uart_rx_m_g7759 (
+	.A(brqrv_top_uart_rx_m_n_14),
+	.Y(brqrv_top_uart_rx_m_n_13), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 brqrv_top_uart_rx_m_g7760 (
+	.A(brqrv_top_uart_rx_m_r_SM_Main[2]),
+	.B_N(brqrv_top_uart_rx_m_r_SM_Main[1]),
+	.Y(brqrv_top_uart_rx_m_n_18), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_uart_rx_m_g7761 (
+	.A(la_data_in[32]),
+	.B(la_data_in[33]),
+	.Y(brqrv_top_uart_rx_m_n_16), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_uart_rx_m_g7762 (
+	.A(brqrv_top_uart_rx_m_n_11),
+	.B(brqrv_top_uart_rx_m_r_Bit_Index[0]),
+	.Y(brqrv_top_uart_rx_m_n_15), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_uart_rx_m_g7763 (
+	.A(brqrv_top_uart_rx_m_r_Bit_Index[2]),
+	.B(brqrv_top_uart_rx_m_n_6),
+	.Y(brqrv_top_uart_rx_m_n_14), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_uart_rx_m_g7764 (
+	.A(brqrv_top_uart_rx_m_r_SM_Main[2]),
+	.B(brqrv_top_uart_rx_m_r_SM_Main[1]),
+	.Y(brqrv_top_uart_rx_m_n_12), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_uart_rx_m_g7770 (
+	.A(brqrv_top_uart_rx_m_r_Bit_Index[0]),
+	.Y(brqrv_top_uart_rx_m_n_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 brqrv_top_uart_rx_m_g7775 (
+	.A_N(brqrv_top_uart_rx_m_n_28),
+	.B(brqrv_top_uart_rx_m_n_129),
+	.Y(brqrv_top_uart_rx_m_n_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrbp_1 \brqrv_top_uart_rx_m_r_Bit_Index_reg[2]  (
+	.CLK(clk),
+	.D(brqrv_top_uart_rx_m_n_177),
+	.Q(brqrv_top_uart_rx_m_r_Bit_Index[2]),
+	.Q_N(brqrv_top_uart_rx_m_n_11),
+	.RESET_B(rst), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrbp_1 \brqrv_top_uart_rx_m_r_Clock_Count_reg[9]  (
+	.CLK(clk),
+	.D(brqrv_top_uart_rx_m_n_175),
+	.Q(brqrv_top_uart_rx_m_r_Clock_Count[9]),
+	.Q_N(brqrv_top_uart_rx_m_n_10),
+	.RESET_B(rst), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrbp_1 \brqrv_top_uart_rx_m_r_Clock_Count_reg[8]  (
+	.CLK(clk),
+	.D(brqrv_top_uart_rx_m_n_179),
+	.Q(brqrv_top_uart_rx_m_r_Clock_Count[8]),
+	.Q_N(brqrv_top_uart_rx_m_n_9),
+	.RESET_B(rst), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrbp_1 \brqrv_top_uart_rx_m_r_Clock_Count_reg[11]  (
+	.CLK(clk),
+	.D(brqrv_top_uart_rx_m_n_184),
+	.Q(brqrv_top_uart_rx_m_r_Clock_Count[11]),
+	.Q_N(brqrv_top_uart_rx_m_n_8),
+	.RESET_B(rst), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrbp_1 \brqrv_top_uart_rx_m_r_Clock_Count_reg[7]  (
+	.CLK(clk),
+	.D(brqrv_top_uart_rx_m_n_180),
+	.Q(brqrv_top_uart_rx_m_r_Clock_Count[7]),
+	.Q_N(brqrv_top_uart_rx_m_n_7),
+	.RESET_B(rst), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrbp_1 \brqrv_top_uart_rx_m_r_Clock_Count_reg[14]  (
+	.CLK(clk),
+	.D(brqrv_top_uart_rx_m_n_192),
+	.Q(brqrv_top_uart_rx_m_r_Clock_Count[14]),
+	.Q_N(brqrv_top_uart_rx_m_n_5),
+	.RESET_B(rst), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrbp_1 \brqrv_top_uart_rx_m_r_SM_Main_reg[0]  (
+	.CLK(clk),
+	.D(brqrv_top_uart_rx_m_n_166),
+	.Q(brqrv_top_uart_rx_m_r_SM_Main[0]),
+	.Q_N(brqrv_top_uart_rx_m_n_4),
+	.RESET_B(rst), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrbp_1 \brqrv_top_uart_rx_m_r_Clock_Count_reg[12]  (
+	.CLK(clk),
+	.D(brqrv_top_uart_rx_m_n_188),
+	.Q(brqrv_top_uart_rx_m_r_Clock_Count[12]),
+	.Q_N(brqrv_top_uart_rx_m_n_3),
+	.RESET_B(rst), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a311o_1 brqrv_top_uart_rx_m_g7792 (
+	.A1(brqrv_top_uart_rx_m_n_126),
+	.A2(brqrv_top_uart_rx_m_n_46),
+	.A3(brqrv_top_uart_rx_m_r_SM_Main[0]),
+	.B1(brqrv_top_uart_rx_m_n_26),
+	.C1(brqrv_top_uart_rx_m_n_132),
+	.X(brqrv_top_uart_rx_m_n_215), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+endmodule
+